1972_Motorola_Semiconductor_Data_Library_Reference_Volume 1972 Motorola Semiconductor Data Library Reference Volume

User Manual: 1972_Motorola_Semiconductor_Data_Library_Reference_Volume

Open the PDF directly: View PDF PDF.
Page Count: 737

Download1972_Motorola_Semiconductor_Data_Library_Reference_Volume 1972 Motorola Semiconductor Data Library Reference Volume
Open PDF In BrowserView PDF
English
French
German

INTRODUCTION
TABLE OF CONTENTS

1N ... INDEX
Ordering policy for Zeners. Complete numerical index of all EIA-registered device
types, with major electrical specifications.

2N ... & 3N , . . INDEX
Complete numerical index of all EIA-registered device types,
with major electrical specifications.

INDEXES
NON-REGISTERED DEVICE INDEX
Complete alpha-numeric index of all in-house non-registered
device types, with major electrical specifications.

•
•
•

DEVICES FOR MILITARY APPLICATIONS
Numerical index of 1N ... and 2N ... devices that
comply with military specifications.

SELECTOR GUIDES
Grouping of preferred semiconductors by major device categories (i.e., transistors,
diodes, thyristors, integrated circuits, microcircuit components, etc.) for quick preselection of devices best suited for specific applications. See selector-guide index on
page 5-13 (Includes optoelectronic "Glossary)

•

MICROCIRCUIT COMPONENTS
Unencapsulated transistors, diodes, passive devices, and integrated circuits for use in
hybrid circuits. I ncludes processing, packaging, and inspection criteria.

HARDWARE AND PACKAGING
Hardware-Device Mounting Hardware and Heatsinks
Lead Tape Packaging Standards for Axial-Lead Components

DIMENSIONED DEVICE OUTLINES
(Includes Leadform Information)

APPLICATION INFORMATION
Selection Guide
Abstracts

•
•
•

THE
SEMICONDUCTOR
DATA LIBRARY
_ _ _ _ _ _ _ _ _ FIRST EDITION _ _ _ _ _ _ _ _ __
prepared by
Technical Information Center

The information in this book has been carefully checked and is believed to be reliable; however, no responsibility
is assumed for inaccuracies. Furthermore, this information does not convey to the purchaser of semiconductor
devices any license under the patent rights of any manufacturer identified in this library.

Nous n'acceptons aucune responsabilite"en ce qui concerne les erreurs gui auraient pu s'introduire dans cette
edition, en depit des soins minutieux apportes sa preparation et ~ sa revision; nous esperons toutefois que les
renseignements fournis sont fiables. De plus, il est bien entendu que ces renseignements ne permettent pas a'
I'acheteur de dispositifs semiconducteurs d'utiliser les brevets des fabricants mentionnes dans ce catalogue.

a

Die in diesem Buch enthaltenen Angaben wurden sorgfiiltig iiberprUft und sind nach unserer Meinung vellig
zuverliissig. Wir kennen jedoch fUr die Genauigkeit dieser Angaben keine Verantwortung ubernehmen. Dartiber
hinaus wird dem Kaufer von Halbleiterelementen mit Angaben, die in dieser Bibliothek genannt werden, keine
unter die Patentrechte eines Herstellers fallende Lizenz erteilt.

First Edition
@)MOTOROLA INC., 1972
"All Rights Reserved"

II

THE
SEMICONDUCTOR
DATA LIBRARY
One of the major problems facing workers in the
electronics field is the identification and selection of
semiconductor devices. Type numbers assigned to the
semiconductors are of little value since they indicate
neither device parameters nor applications. Because it
is difficult even to identify the many thousands of
device type numbers, let alone evaluate their merits for
a particular application, engineers often limit their
designs to a few well-known device types - despite
the fact that newer or more suitable devices may be
available. To help alleviate this problem, the Motorola
Semiconductor Data Library has been developed.
The Motorola Semiconductor Data Library identifies and characterizes all semiconductor devices with
1 N- - -, 2N- - -, and 3N- - - numbers registered with the
Electronics I ndustries Association at the time the
library was printed, as well as a broad line of devices
with special in-house type numbers. (It provides
complete data sheet specifications for a wide range of
discrete semiconductors, and short-form specifications
for integrated circuits.) And in addition, to simplify
the selection of the most useful semiconductor type
numbers, it contains carefully prepared selector guides
with recommended devices for specific applications.
Properly used, it can be a valuable aid for the design
engineer, the component engineer, and the purchasing
agent in narrowing the broad categories of potentially
usable components to those best suited for a specific
project.

Dimensioned Device Outlines - Dimensioneddrawings
of package outlines with JEDEC and Motorola cross
reference. (I ncludes leadform drawings on specific
packages.!
Application Note Catalog - Selection guide listing
application note by application category. Also a brief
summary of the available application note contents and
how to order application notes.
To meet the requirements of a practical up-to-date
reference, the Reference Volume of the Semiconductor
Library will be completely updated and published twice
a year, with supplementary publications quarterly.

VOLUME I
This volume contains complete data sheets for
Motorola-manufactured devices with E lA-registered
type numbers up to 1 N4999 and 2N4999. Data sheets
are in numerical sequence according to device type
number except for those data sheets that cover.several
devices with differing type numbers. A numerical
index in front of the book permits the user to quickly
locate the page number of the data sheet for any device
characterized in the book.
Since most of the device type numbers in the
"below 5000" category have alreagy been utilized by
existing product, it is expected that this book will
require little updating in the next few years. Accordingly, this volume will be reprinted only as required by
the demand, and modifications will be made only when
reprinting is required.

COMPOSITION OF THE LIBRARY
The Semiconductor Data Library is divided into
three volumes, organized as follows:

VOLUME II
This volume contains data sheets for all Motorolamanufactured, E IA registered devices with type numbers 1 N5000 and 2N5000 and up, as well as those
with 3N- - - type numbers. In addition, all active data
sheets for devices with special Motorola type numbers
(not registered with E IA) are included.
Because this book contains the detailed data for
all the most recently developed semiconductors, it will
be updated through the publication of supplements.
Two supplements will be published during the life of
this edition.

REFERENCE VOLUME
The reference volume is a self-contained compendium of semiconductor devices and integrated
circuits information. This volume enables the user to
locate and select devices for most any application or
specific circuit. It also contains package and hardware
information as well as applications information. Once
a preliminary selection of a potentially suitable device
has been made, consult Volumes I or II for detailed
specifications for that particular device.
EIA Registered Device Index - Complete numerical
index of all EIA registered device types, with major
electrical specifications.
Non-Registered Device Index - Complete numerical
index of all in-house non-registered Motorola device
types, with major electrical specifications.
Microcircuits Components - Unencapsulated transistors, diodes, passive devices, and integrated circuits
for use in hybrid circuits.
(Includes processing,
packaging, and inspection criteria.)
Master Selection Guides - Grouping of preferred
devices by major device categories for quick preselection of devices best suited for specific applications.
Includes semiconductor devices and ICs.
Military Device Listing - A complete list of Motorola
devices that comply with Military Specifications.
Hardware and Packaging Information - Device mounting hardware, heat sinks and special device packaging.

How to Use The Semiconductor Data Library
The library is designed to serve several specific
functions;
1. To permit quick identification (together with
major specifications) of EIA registered semicon-

ductor devices with units with special Motorola
type numbers.
2. To permit quick selection of the most suitable
devices for a specific circuit application.
3. To permit quick selection of the devices that
best meet a given set of electrical specifications.
4. To provide complete characterization of a broad
line of components, encompassing most semiconductor categories, for a detailed comparison
of device types.
III

The following examples illustrate several ways of
usi ng this library.
Problem: Device Identification
Known: Device Type Number
Information Needed: Device function, applications,
major specifications.
Procedure: Consu It the Master I ndex of the Reference
Volume and locate the type number of the device in
question in the alpha-numeric listing of the master
index. The information given in this index lists not only
the type of device it is, but also provides the major
electrical specifications for the device. I n addition, it
indicates whether or not the device is manufactured by
Motorola ifnd, if not, whether Motorola can supply an
electrically suitable equivalent. Complete data for
Motorola manufactured devices can then be obtained,
if required, from the other two volumes of your Semiconductor Data Library.
Problem: Device Preselection

Known: a) Intended circuit application for a particular device
b) Approximate electrical specifications of
a desired device.
Information Needed: a) What devices are available
for a specific circuit function?
b) What device types will best
match a required set of electrical characteristics?
Procedure: Consult the Master Selection Guide section of the Reference Volume. This section contains
product categories, i.e., power transistors, zener diodes,
etc., and by specific market segments, including communications, consumer and military. An index to the
individual selector guides is given at the beginning of
the section for quick access to the pertinent guides.
Complete data for Motorola manufactured devices can
then be obtained, if required, from the other two
volumes of your Semiconductor Data Library.

CATALOGUE DE SEMICONDUCTEURS

Identifier et ensuite choisir les dispositifs semiconducteurs constituent I'un des grands problemes que
rencontrent ceux qui travaillent dans Ie domaine de
I electronique. Les differents dispositifs sont designes
par des chiffres ne donnant aucune indication sur leurs
parametres et sur leurs applications. La difficult!! pour
les techniciens et ingenieurs d'identifier plusieurs milliers
de dispositifs les amlment utiliser, lars de la conception de circuits, des dispositifs bien connus alors que
d'autres dispositifs mieux adaptes sont disponibles. Afin
de pallier cet inconvenient, Motorola a done institue
ce catalogue de semiconducteurs.

INDEX DU CATALOGUE
Le Catalogue de Semiconducteurs comprend trois
volumes:
VOLUME DE REFERENCE
Le volume de rE~fefence resume les renseignements
sur les dispositifs semiconducteurs et circuits integres.
Ce volume permet donc
I'utilisateur de determiner
et de choisir les disP05itifs pour la majorite des applications; iI contient egalement des renseignements sur
les boitiers et sur les systemes de montage. Une fois
Ie choix du dispositif effectue, il suffit de consulter
les Volumes I et II pour obtenir toutes les donnees
concernant ce dispositif.

a

a

Le Catalogue de Semiconducteurs de Motorola identifie et caracterise les dispositifs semiconducteurs enregistr6s aupres de I' Association des Industries Electroniques (EIA) par les symboles 1N--, 2N---, et 3N--ainsi que les dispositifs propres II Motorola avec des
numerosspaciaux. (Ce catalogue contient les specifications completes pour taus les semiconducteurs discrets,
et des specifications abrE!ge'es pour les circuits int~gres.)
De plus, afin de simplifier Ie choix des dispositifs les
plus utiles, il contient egalement un "guide" mettant
en evidence les dispositifs destines des applications
bien spacifiques. Son utilisation adequate peut done
etre un outil de travail tres utile pour I'ingenieur de
circuit, I'ingenieur de composants, et I'acheteur en leur
permettant de limiter Ie nombre de composants possible convenent Ie mieux pour un projet bien determine.

Index des DisP05itifs Homologues par EIA
Cet index fournit egalement les donnees electriques
principales.
index des Dispositifs Non-Homologues

a

Cet index fournit une liste complete des dispositifs
Motorola non-homologUE!S, avec leurs donnees electriques principales.
Composants Micro-circuits
Transistors et diodes non-encapsules, elements passifs et circuits integres pour utilisation en circuits hy-

IV

brides (y compris processus, mise en boitier et criteres
d'inspection. )

supplementaires, car il contient toutes les donnees detail I ees des dispositifs semiconducteurs les plus recents.
Deux supplements seront publies pendant la duree de
vie de cette edition.

Guide
Les dispositifs les plus utilises y sont groupes par
categories principales pour un choix rap ide des composants les mieux adaptes 'a certaines applications (y
compris dispositifs discrets et circuits integres.)

Methode d'Utilisation du Catalogue de
Semiconducteurs
Ce catalogue a pour but:
1. D'identifier rapidement, grace aux 'specifications
principales, si Ie dispositif est homologue par EIA
ou s'il s'agit d'un type special Motorola.

Liste des Dispositifs Militaires
Cette liste fournit tous les dispositifs Motorola homologues par les Specifications Militaires.
Boitiers et Modes de Montage

2. De selectionner rapidement Ie dispositif Ie mieux
adapte un circuit.

Fournit les modes de montage, les radiateurs et les
boitiers speciaux.

3. De s~lectionner rapidement un dispositif en fonction des specifications electriques.

a

4. De fournir les donnees completes de tout I'ensemble des composants Motorola - donc la majorite
des dispositifs semiconducteurs - afin de pouvoir
comparer tous les-types de dispositifs. '.

Dimension des Boitiers
Dessin et dimension des boitiers homologues par
JEDEC et Motorola (y compris les dessins pour former
les tiges.)

Exemples de methodes d'utilisation;
Catalogue de Notes d'Applications

Question:
Identifier Ie dispositif
Donnee:
Type de dispositif
Renseignements Requis:
Fonction du dispositif, applications et specifications
principal:es.

Fournit une liste complete des notes d'applications
groupees par categories, egalement un resume des notes
suivre pour
d'applications disponibles et la marche
les obtenir.

a

a

Methode: Consulter l'lndex du Volume de Reference
et determiner Ie numero du dispositif en question parmi
la liste numerique de I'index. Ce renseignement ainsi
obtenu indique non seulement Ie type de dispositif
mais egalement fournit les specifications electriques
principales de ce dispositif. De plus Ie fabricant y
sera precise et Ie catalogue indiquera ;i Motorola peut
fournir les dispositifs equivalents. Les deux autres
volumes de ce catalogue vont maintenant fournir toutes
les donnees sur les dispositifs faits par Motorola.

II est evident qu'afin de garder ce catalogue jour,
Ie Volume de Reference sera completement revise et
publie deux fois par an, avec des additions supplementaires publiees tous les trimestres.
VOLUME I
Ce volume est constitue par les specifications pour
les composants faits par Motorola avec les numeros
homologues par EIA jusqu'a 1N4999 et 2N4999. Ces
specifications sont classees par ordre numerique sauf
les specifications qui se rapportent
plusieurs types
de dispositifs. Un index numerique en premiere page
permet'a I'utilisateur de determiner rapidemente Ie numero de la page pour chaque dispositif decrit dans ce
catalogue.

a

Question:

b) Specifications'electriques approximatives du dispositif en question.

II est probable que les dispositifs portant un numero
en-dessous de 5000 n{cessiteront peu de mise Ii jour
puisque tous ces numeros sont deja utilises. En consequence, cevolume ne sera reimprime que sur demande
et les modifications apparaitront uniquement lors de
cette nouvelle edition.

Renseignements Requis:
a) Quels sont les dispositifs disponibles pour la fonction precise de ce circuit?
b) Quel type de dispositif va repondre des caracteristiques electriques predeterminees?

a

VOLUME II

Methode:
Consulter Ie Guide dans Ie Volume de
Reference qui est categorise par produits, c'est-il-dire
transistors de puissance, diodes zener, etc., et par marches, y compris communications, grand public, et militaire. Ces differentes categories apparaissent en premiere
page pour faciliter la selection du Guide. Nous pouvons
maintenant obtenir toutes les donnees sur les dispositifs faits par Motorola en utilisant les deux autres volumes du Catalogue de Semiconducteurs.

Cevolumeest constitue par toutes les specifications
pour les dispositifs faits par Motorola, homologues par
EIA avec numeros 1N5000, 2N5000, etc. ainsi que
ceux avec les numeros 3N--. De plus, les specifications
de dispositifs avec numeros speciaux de Motorola (non
homologues par EIA) y sont incluses.
Ce catalogue sera mis

a jour Ii I'aide

Choix du Dispositif

Donnees:
a) Application probable du circuit pour un dispositif
connu.

d'editions

v

DIE HALBLEITER DATENBIBLIOTHEK
Eines der Hauptprobll!me fUr Fachleute in der Elektronik-Industrie besteht in der Bestimmung und Selektion von Halbleitertypen. Die meisten Typenbezeichnuln'gen' geben wenig oder keine Auskunft uber Parameter oder Anwendungen von speziellen Halbleitern.
Viele tausend verschiedene Halbleitertypen sind heute
erhiiltl ich.
Es ist fast unmoglich, auch nur einen
geringen Prozentsatz aller Typen genau zu kennen.
Somit bringen die meisten Ingenieure und Techniker
nur die bekanntesten und gebrauchlichsten Halbleitertypen zur Anwendung, auch wenn neuere und bessere
Elemente zur VerfUgung stehen.

hybriden Kreisen. (Prozess-, Einkapselung- und Inspektions-Kriterien sind inbegriffen.)
Hauptnachschlagewerk
Zusammenfassung in Gruppen der bevorzugten Hauptelementkategorien far schnelle Vorselektion der Elemente aie am besten fur gegebene Anwendungen in Frage
kommen. Dieses Dokument enhalt Halbleiterelemente
und integrierte Kreise.
Militarelementen- Liste
Dies ist eine vollstandige Liste von Motorola Bausteinen
die Militarspezifikationen erfallen.

Um diesem Problem Abhilfe zu schaffen hat Motorola
die meisten Halbleitertypen in eine Halbleitersammlung
zusammengefasst. Diese Halbleitersammlung umfasst
aile 1N, 2N und 3N Typen, die durch die "Electronics
Industries Association" registriert sind. Weiterhin sind
eine grosse Anzahl von Motorola In-Haus Typen in dieser
Sammlung zusammengefasst. Volistandige Spezifikationen einer grossen Anzahl von diskreten Halbleitern
und Kurzspezifikationen von integrierten Schaltkreisen
sind vorhanden.

Montagezubehor und Einkapselung Information
Bauelement-Montagezubehor, Kiihlelemente und Spezial-Elementeneinkapselung.
Vermasste Elementen-Grundrisse
Vermasste Zeichnungen von Gehiiusegrundrissen mit
JEDEC und Motorola Gegenuberstellung. (Zeichnungen
der Anschlussformen von gegebenen Gehausen sind
inbegriffen.)

Zusatzlich sind, zur Vereinfachung der Aufsuche der
meist gebrauchten Halbleitertypennummern, Nachschlagetabellen mit Vorzugstypen fUr bestimmte Anwendungen in der Sammlung enthalten.

Awendungsbericht-Katalog
Nachschlagliste der Anwendungsberichte welche in Anwendungskategorien zusammengefasst sind. Eine kurze
Zusammenfassung des I nhalts der verfugbaren Berichte
ist gegeben und ebenfalls wie sie bestellt werden konnen.

Die Halbleitersammlung kann dem Entwicklungs und
Komponent-I ngenieur sowie dem Einkiiufer von Halbleitern gute Dienste leisten im Aufsuchen der best
moglichen Elemente fur eine bestimmte Anwendung.

Um den Anforderungen eines praktischen, auf den letzten Stand gebrachten Nachschlagewerkes zu genugen
wird der Referenz-Band der Halbleiterbibliothek zweimal im Jahr vollstiindig uberarbeitet und publiziert.
Zusatzliche Veroffentlichungen werden vierteljiihrlich
herausgegeben.

ZUSAMMENSETZUNG DER
HALBLEITERSAMMLUNG
Die Halbleitersammlung besteht aus drei Teilen, die
folgendermassen zusammengefasst sind:

BAND I
REFERENZ-BAND

Dieser Band enthiilt vollstiindige Datenbliitter der von
Motorola fabrizierten Elemente mit EIA registrierten
Nummern bis zu 1N4999 und 2N4999. Die Datenblatter sind in numerischer Ordnung gemiiss der Bauelemente-Typennummer eingereiht. Ausnah me sind solche
Datenbliitter welche spezielle Elemente mit wechselnden
Typennummern behandeln. Ein numerisches Verzeichnis am anfang des' Bandes erlaubt dem Beniitzer ein
schneliesAuffinden der Datenblatter fUr aile Elemente,
die im Buch aufgefUhrt sind.

Der Referenz-Band besteht aus einer u bersichtl ichen
Zusammenfassung von Halbleitern und integrierten
Schaltungen. Mit Hilfe dieses Referenzbandes lassen
sich Halbleiter und integrierte Schaltu ngen fur spezielle
Anwendungszwecke leicht auffinden. Gehause-, Anwendungs- und Montagezubehorinformation sind ebenso im Referenzband angegeben. Nach der Wahl eines
Halbleiters oder einer integrierten Schaltung aus dem
Referenzband kann Band I oder Band II fUr die speziellen Daten zur Hilfe gezogen werden.

Weil die meisten Elemente-Typennummern in der Kategorie bis 5000 schon von bestehenden Produkten aufgebraucht wurden, ist erwartet, dass dieser Band in
den niichsten Jahren wenig Ueberarbeitung verlangt.
Dementsprechend wird dieses Buch nur neu gedruckt
wenn die Nachfrage es verlangt und Modifikationen
werden nur bei einer Neuauflage vorgenommen.

EIA Registriertes Halbleiter-Verzeichnis
Volistandiges numerisches Verzeichnis aller EIA registrierter Halbleiter Typen, mit den hauptsachlichen elektrischen Spezifikationen.
Nicht RegistrierteslHalbleiter-Verzeichnis

BAND II

Volistandiges numerisches Verzeichnis aller nicht registrierter In-Haus Motorola Halbleiter Typen, mit den
haupts~chlichen elektrischen Spezifikationen.

Dieser Band enthalt Datenblatter der von Motorola
hergestellten EIA registrierten Elemente mit der Typennummer 1N5000 und 2N5000 und aufwiirts und ebenfalls solche mit den 3N- - Typennummern. Aile aktiven
Datenbliitter fUr Elemente mit speziellen Motorola
Typennummern (nicht EIA registriert) sind zusatzlich

Mikroschaltkreis- Komponenten
Nicht eingekapselte Transistoren, Dioden, passive Elemente und integrierte Schaltkreise fur den Gebrauch in

VI

hier einbezogen.
Weil dieser Band die detaillierten Daten fUr aile der
erst kurzlich entwickelten Halbleiter enthalt. wird er
durch Publikationen von Zusatzbiichern auf den letzten
Stand gebracht. Zwei ZusatzbUcher werden wah rend
der"Lebensdauer"dieser Ausgabe veroffentlicht werden.
Wie wird "Die Halbleiter Datenbibliothek" gebraucht
Die Bibl iothek ist zusammengestellt worden um mehrere
spezielle Funktionen zu erfUllen:

1. Erlaubtschnelle Bestimmung (zusammen mit Hauptspezifikationen) von EIA registrierten Halbleitern
und Bausteinen mit speziellen Motorola Typennummern.
2. Erlaubt schnelle Selektion der best geeignetsten
Elemente fUr eine bestimmte Schaltungsanwendung.
3. Erlaubt schnelle Selektion von Elementen welche
am besten gegebene elektrische Spezifikationen erfUlien.
4. Liefert vollstandige Charakterisation einer breiten
Komponentenlinie. welche die meisten HalbleiterKategorien einschliesst. Erlaubt einen detaillierten
Vergleich der Elementtypen.
Die nachfolgenden Beispiele veranschaulichen mehrere
Wege um diese Bibliothek zu gebrauchen.
Problem:
Elementen-Bestimmung
Bekannt:
Elemente-Typennummer
Benotigte Information:
Elementefunktion.
Anwendung. Hauptspezifikationen
1m Hauptverzeichnis des Referenzbandes
Vorgang:
sind die Typennummern des zu untersuchenden Elementes in der alphanumerischen Liste aufgefuhrt. Die

VII

Information. die in diesem Verzeichnis gegeben ist.
besteht nicht nur aus dem Elemententyp sondern auch
die elektrischen Hauptspezifikationen sind gegeben.
Zusatzlich ist angegeben ob das Element von Motorola
hergestellt wird undo im Fall dass dies verneint wird. ob
Motorola ein elektrisch vergleichbares Bauelement liefern kann. Wenn benetigt. kennen die vollstiindigen
Daten der von Motorola hergestellten Halbleiter von
den zwei anderen Banden der Halbleiter Bibliothek
erhalten werden.
Problem:
Bekannt:

Elementen-Vorbestimmung

a) Vorgesehene Schaltkreisanwendung fUr ein bestimmtes Element.
b) Ungefiihre elektrische Spezifikationen eines gewUnschten Typs.
Benotigte Information:
a) Welche Elemente sind fUr eine bestimmte Kreisfunktion verfUgbar ?
b) Welche Elementtypen erfUilen am besten die erforderl ichen elektrischen Charakteristiiken?

Vorgang:
Das Hauptnachschlagwerk des Referenzbandes wird aufgeschlagen.
Dieses Kapitel enthiilt
Produktkategorien. z.B. Leistungstransistoren. Zenerdioden etc. eingereiht in bestimmte Marktsegmente. einschliesslich Fernmeldewesen. Verbraucherindustrie und
Militlirbereich. Ein "Index" zu den einzelnen "AuswahlFiihrern" ist am an fang dieses Kapitels gegeben. was
zum schnellen Auffinden der zutreffenden "FUhrer"
hilft. Volistlindige Daten der von Motorola hergestellten Elemente konnen. wenn benotigt. von den zwei
anderen Banden entnommen werden.

CONTENTS
Section I

1N - - - Numerical Index and Short-Form Specifications
1N Numbers
Varactor Diodes
Tunnel Diodes
4-Layer Diodes
Transient Suppressor Diodes
Light-Emitting Diodes

Section II

2N - - - and 3N - - - ,Numerical I ndex and Short-F orm Specifications
2N and 3N Numbers
Thyristors
Field-Effect Transistors
Reference Amplifiers
Unijunction Transistors
Programmable Unijunction Transistors

Section III

2-1
2-66
2-78
2-84
2-86
2-88

I ndex of Non-R egistered Motorola Type N umbers
(and Short-Form Specifications)
Zener Diodes, Rectifiers, Signal Diodes,
Reference Diodes
Hot Carrier Diodes
Hot Carrier Rectifiers
Rectifier Assemblies
Varactors
Switching Diodes
PIN Switching Diodes
Light-Emitting Diodes
Optoelectronic Devices
Thyristors
Bilateral Trigger Diacs
Bidirectional Switch
Unidirectional Switch
Unijunction Transistors
Programmable Unijunction Transistors
Transistors
Field-Effect Transistors

Section IV

1-1
1-95
1-104
1-108
1-110
1-112

3-3
3-15
3-16
3-17
3-20
3-23
3-24
3-25
3-26
3-28
3-33
3-34
3-35
3-36
3-37
3-38
3-50

Devices for Military Applications
1N - - - JEDEC Registered Device Specifications
Diodes - - TC Reference, Zener, Signal/Assemblies

4-3

2N ---JEDEC Registered Device Specifications
Transistors - Switching and High Frequency,
Power, Field-Effect, RF, mW, Unijunction,
and Multiple Devices
Thyristors - SCRs

4-4

VIII

CONTENTS (continued)
Section V

Selection Guides
Semiconductor Devices Index
Digital Integrated Circuits Index
Linear Integrated Circuits Index

Section VI

Microcircuit Components
Semiconductor Devices Index
MTTL Integrated Circuits (Beam Lead) Index
Linear Integrated Circuit Chips Index

Section VII

Section IX

6-3
6-60
6-111

Hardware and Packaging
Lead Tape Packaging Standards for
Axial-Lead Components
Hardware-Device Mounting Hardware
and Heatsinks

Section VIII

5-1
5-88
5-88

Dimensioned Device Outlines
Motorola Case Number Cross Reference
Registered Case Number Cross Reference
Outline Dimensions
Leadforms

7-2
7-4
8-3
8-5
8-6
8-41

Application Notes
Application Note Selection Guide
Application Note Abstracts

IX

9-3
9-7

x

NUMERICAL
INDEX

INDEX
1-1
1-95
1-104
1-108
1-110
1-112

lN Numbers
Varactor Diodes
Tunnel Diodes
4- Layer Diodes
Transient Suppressor
Light-Emitting Diodes

1-1

DEVICE OPTION
This section provides ordering information for Motorola's broad line of non-standard devices with variations
in voltage and tolerance_ It also includes the method
for specifying matched sets and zener clipper diodes.

•

NON-STANDARD ZENER DIODES
SPECIAL VOLTAGE AND TOLERANCE RATINGS

MATCHED SETS OF ZENER DIODES

JE DEC "1 N" type numbers denote a specific Zener
voltage, power rating, and tolerance. For example,
JEDEC type 1 N4728 is a standard 1 watt diode, rated
at 3.3 volts ±.10%. A suffix "A" on this type number
indicates a ±.5% voltage tolerance.
Special Motorola devices, with a choice of voltages
and tolerances are also available. The following diagram
explains the Motorola coding system:

Zener diodes can also be obtained in sets consisting
of two or more matched devices. The method for specifying such matched sets is similar to the one described
for specifying units with a special voltage and/or tolerance except that two extra suffixes are added to the
code number described above.
These units are marked with code letters to identify
the matched sets and in addition, each unit in a set is
marked with the same serial number which is different
for each set being ordered.

M

T

Power
Rating
(Watts)

T

XXXX

Z

T

T

Nominal
Zener Voltage

Motorola

~~;~~

(Watts)

T

Zener

Tolerance

Diode

(±.%) (omit for
±20% units)

(Volts)

J/!
M

Motorola
Nominal Voltage
(each device)

(:X~I~~~~~t~:c~~:r

(:X~I~~~~~t~:C~~;1 )

Z

T

Zener
Diodes

I

~T~I~~~~~
of set

(± 1%1

Tolerance
per device (±%)

) ±~~~i~~~:S}

(A-~~~:roJ

·Code

For example, the code for a special 10 watt Zener
diode with a voltage of 41 volts and a tolerance of ±. 1 %
would be: 10M41Z1.
Following is a list of other standard Motorola symbols for special Zener orders (X's indicate nominal Zener
voltage):

BASIC
MOTOROLA TYPE

DEVICE
DESCRIPTION

%MXXXAZ5
%MXXXZ5
.4MXXXAZ5
.4MXXXZ10
.5MXXXZS10

250 mW Alloy Glass, ±.5%
250 mW Glass, ±.5%
400 mW Alloy Glass, ±.5%
400 mW Glass, ±.10%
500 mW Surmetic, ±.10%
1 Watt Flangeless, ±.5%
1 Watt Alloy Flang6:ess, ±. 10%
1 Watt Flangeless, ±. 1 0%
1 Watt Surmetic, ±.5%
1.5 Watt,±.20%
5 Watt Surmetic, ±.5%
10 Watt Alloy Stud, ±.5%
10 Watt Stud, ±. 10%
50 Watt Alloy TO-3, ±. 10%
50 Watt Alloy Stud, ±.5%
50 Watt TO-3, ±.20%
50 Watt Stud, ±.5%

1MXXXAZ10
1MXXXZ10
1MXXXZS5
1.5MXXXZ
5MXXXZS5
10MXXXAZ5
10MXXXZ10
50MXXXAZ10
50MXXXASZ5
50MXXXZ
50MXXXSZ5

For reverse polarities (10 Wand 50W), insert" R"
before tolerance, ie., 50M11OSZR5.
1N5518 thru 1N5546 - This series may be ordered
in ±.2% and ±.1 % tolerance by adding the following
suffix:
C =±.2%

0= ±.1%

For example, the 1 N5518D wOlJld be the same as
the 1 N5518B except Vz = 3.3 ±. 1 %.

B - Two devices in series
C - Three devices in series
o - Four devices in series
E - Five devices in series
F - Six devices in series
G - Seven devices in series
H - Eight devices in series
P - Two devices in parallel (not recommended)
X - Two devices; one standard polarity, the other
reverse polarity. (10 and 50 watts only)
Le., 10M51Z5B1 is for two 10 watt zeners, each of 51
volts, ±.5%, matched to a total voltage of 1 02 volts ±. 1 %.

ORDERING OF MATCHED SETS
Order per instructions in "Matched Sets of Zener
Diodes" or else specify the following:
,
- Type of matched set (series or parallel)
- Number of units per set
- Device type (with proper suffix to indicate
tolerance
- Number of sets required
- Total voltage and overall tolerance of the set

ADDITIONAL NOTES
Consult factory for pricing and ordering information
on special sets. For example: 1 )Sets with overall tolerance different from those shown; 2) Matched sets of
temperature compensated devices; 3) Sets which require
basic device types within the set to be different from
each other; 4) Sets with device type nominal voltages
outside the range of the Zener family involved; 5) Tight
tolerance temperature compensated diodes_

1-1 a

ZENER CHIPS (MZC)

ZENER CLIPPERS

1. The nomenclature for Zener Chips is as follows:

C

Z

M

T T

Motorola Zener

T T T

Series
Voltage IA or B)

C = Chip Nominal

BASIC TYPE
MOTOROLA
NOMENCLATURE

MZCXXAX
MZCXXBX

Special clipper diodes with opposing Zener junctions
built into the devices are available by using the following nomenclatures:

T

X

T

Tolerance

I±%)

M

XXX

T

Z

Z

T T

Power

Nominal

Zener

Rating

Voltage

Diode

Clipper

(Watts)

DEVICE
DESCRIPTION

X

T
Tolerance for each of
the two Zener Voltages
(Is not a matching

requirement. Omit for
± 20% Units.)

Zener Chip - "A" Series. High Level
Zener Chip - "8" Senes. Low Level

This nomenclature is applicable to all packages and
power ratings as restricted in the above paragraphs.
2. Chips are sold in increments of ten (10) only
3. Chips are not sold as matched sets or clippers.
4. A "·1" suffix will cause all chips ordered to be supplied in Deka-Pak.

ORDERING INFORMATION
Order using the above nomenclature or else specify
the device type, nominal voltage and tolerance required.

UNIBLOC SERIES ZENER DIODES (MZ92)
The nomenclature for Unibloc Series Zener Diodes is
as follows:

M
Motorola

Z

92

XX

Zener Series Nominal
Voltage

V
Non Suffix
A Suffix
B Suffix
C Suffix
D Suffix

20%
10%
5%
2%
1%

1-1 b

•

I

NUMERICAL INDEX
1N--- TYPE NUMBERS

•

The following table provides a numerical index and short-form specifications for EIA-registered
1 N type numbers_

KEY

ZENER DIODES
VzlNom) = Nominal Zener Break·
down Voltage (Volts)
IZT = Test Current for Zener
Voltage ImA)
Tal = Tolerance for Specified

RECTIFIERS
VR = DC Blocking Voltage
VF = Average Forward Voltage Drop
10 = Average Rectifier Forward
Current
IR = Average Reverse Current

Nominal Zener Breakdown

IFSM = Peak Surge Current

Po

:2

TYPE

:$
a:

w

I-

«
:;:

RECTIFIERS

0

.J

i=
REPLACE·
MENT

REF.

~

IL

i=

:2

w

9
Numerical listing
01 Registered
Type Numbe"
S = Silicon
G = Germanium
SE = Selenium
Type number of recommended

replacement or of nearest
electrical equivalent lully
characterized in this book.
Reference device number indicates
specific Data Sheet on which device
is characterized.

10
VF II(Amps)
VR I volts
volts

PRY
Volts

I

ZENER DIODES

I mA
IR II FSM

SIGNAL DIODES
Vf

'hit.

@

IF

Voltage
Maximum Power Dissipation
M= Milliwatts
W=Watts
=

I I
IR

VZ

(nom)

J~

I

J,~%

Po

I

REFERENCE DIODES

toliJSI

' I

Vz lnoml

Tc Ili/:T
%I"C
IDA

I

Temp
Range

SHADING INDICATES
SIGNAL DIODES

SHADING INDICATES
REFERENCE DIODES

PRV = Peak Reverse Voltage
VF @IF"" Maximum Forward

Vz(Nom) = Nominal Zener Break·
down Voltage (Volts)
Te = Average Temperature Coet·
'icien. over Temperature
Range
Izr = Test Current for Zener
Voltage (rnA)
Temp Range =Operating Range of
AverageT C

Voltage at Indicated Forward
current - M = Milliamp,

A =amp
I R = Reverse Current M= milliamp. * =microamp
N = nanoamp
trr = Reverse Recovery Time

The codas listed below deline the listed device and
indicates the appropriate specification column heading .
• R - Ractilie", Fast Recovery DZ - Diode, Zaner
OS - Diode, Signal
DR - Diode, Reference

1-2

-

lN34 lN85

TYPE

...........
.......
iii:

RECTIFIERS

:z:

C>

REPLACEMENT

VA
(volts)

~

""
;:::

REF.

;:;:

...

:IE

VF
(volts)

YF 'l>
(wItS)

PRY

(volt.~

G
G
G
G
G
G
G
G
G
G
G
G
G
G

Microwave Mixer - to 9000 MHz:

DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS

G

G
G

G

G

G
G
G
G

S
S
S
S
S
G
G
G
G

Ka-band
Ka-band
Ka-band
Ka-band

G
G
G
G
G
G
G
G
G

G
G
G
G

G

G

G
G

G

G
G
G

S
S
S
S
S
S
S
G
G
S
S
S
S

G
G

IR

NF - 6.0 dB
1.0
8.5M
5.0M
1.0

1.0

1.0
1.0
1.0
1.0
1.0

7.5M
4.0M
4.OM
5.0M
5.0M
4.OM

IZT
rnA

Tol
VZ±%

":llnam)

!~Il

TC

%/0(;

IZT
rnA

9.0
9.0
9.0
9.0
9.0

dB
dB
dB
dB
dB

tg:
"

o.

'.: .

20

2.5101' , 200*,
5.0101
,50*
60
5.0M
SO*
~g
4.1)M, 5;0*
4.0M
5.0*
100
3.0101
625*
100
3.0M
130
625*"
1.0
5.0M
50*
75
30* ;.:
5.0M
60
1.0
3.0M
25*
125
1.0
1,0
3.0M
25*
100
1.0
15M
0.3111
40
15M 0;05M
1.7
1.8,
15M 0,05M
,125
1.0
2,SM, ' ,5))*
f - 9,375 MHz, Va = 5.0 mV to l'i;'miT
f = 9,375 MHz, Va = 5.0 mV to 16 mV
~ 10 V @ 10 rnA, IR(dark) = 30 ~A @ 50 V
- 10 V @ 10 rnA, IR(dark) ~ 30 ~A @ 50 V
f = 16, 000 MHz; NF = 12 to 7.5 dB
f = 16,000 MHz; NF = 12 to 7.5 dB
f = 16,000 MHz; NF = 12 to 7.5 dB
f = 16,000 MHz; NF = 12 to 7.5 dB
f = 16,000 MHz; NF = 12 to 7.5 dB
f = 16, 000 MHz; NF = 12 to 7.5 dB
f = 16,000 MHz; NF - 12 to 7.5 dB
1.0
1.0
1.0
1.0
1.0
1.0
1.0

85

'.(.'

~

Microwave Ku-hand Mixer:

Microwave Ku-band Mixer:
Microwave Ku-band Mixer:
Microwave Ku-band Mixer:
Microwave Ku-band Mixer:
Microwave Ku-band Mixer:
Meter Rectifier -

to 3,000
MHz
I DS ISO
DS
40
to
1,000
MHz;
Microwave Mixer Microwave Mixer - to 1,000 MHz;
Microwave Mixer- - to 1,000 MHz;
Microwave Mixer - to 1,000 MHz;
DS
225
DS,
12
Photosensitive Device; IR(dark)

I

I

Jt

I. 1.0'3;OM' '101'"

1.0' 3.OM, ,lO*,
= 16 to 14' dB
= 16 to 14 dB
= 16 to 14 dB
= 16 to 14 dB
1: 0 Is.OM'flO*
'
'1.0
601!.' O.1M "
= 20 ~A @ 90 V, 'Sensitivity = 0.35

NF
NF
NF
NF

1

1-3

Po

REFERENCE DIODES
t"

15*'
30*
2.0M
25*
6.0*
6.0*
40*
40*
650*

20*
1.0
5.0M
1.0
3.0M
410*
3.0M
410*
1.0
3.0M
105M
1.0
5.0M
400*
1.0
4.0M
85
1.0
833*
4.OM
50
1.0
4.OM
50
1.0
2.5M
1.0
SO
1.0
4.0M
150*
85
1.0
5.OM
100*
50
f = 34,860 MHz; NF = 13.1 to
f = 34,860 MHz; NF = 13.1 to
f = 34,860 MHz; NF = 13.1 to
f = 34,860 MHz; NF - 13.1 to
f = 34 860 MHz; NF = 13.1 to
35 ' 1.0
S.OM '
10*
7.0*
50
1.0
S.OM
3;OM ' 0.3M
150
1.0
4.0M
500*
150
1.0
5.0M
500*
190
1.0
15M
300*
30
1.0
15M
1.0
300*
40
3.6M
300*
80
1.0
100
1.0
1.0
100
1.0
3.0M
250
40*:
S.OM
1.0
50
40
S:OM
300*
130
1.0
700*
1.0
S.OM
110
' 50*,
1.0
4.QM
125
50*,
4.0M
100
1.0

Photosensitive Device; VF
Photosensitive Device; VF
Microwave Ku- band Mixer:

I

IF

Vz(nom)

25

Microwave X-band Detector
Microwave X-band Detector

I

IFSM

25
50
60
115
75
50

DS
OS
OS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
OS
DS
DS
DS
DS
DS
OS
DS
DS
DS
DS
DS
DS

G
G
G
G
G
G

S
S

50
100
100
100
200
200
200

Mixer:
Mixer:
Mixer:
Mixer:

G

G

60

60

Microwave Ka- band Mixer:
Microwave
Microwave
Microwave
Microwave

IA
(rnA)

SIGNAl. DIODES

:z:

53

1N23H
1N34
1N34A
1N35
1N38
1N38A
1N38B
1N39
1N39A
1N39B
1N40
1N41
1N42
1N43
1N44
1N45
IN46
IN47
IN48
IN49
IN50
IN51
IN52
IN52A
IN53
IN53A
IN53B
IN53C
IN53D
IN54
IN54A
IN55
IN55A
IN55B
IN56
IN56A
IN57
IN58
IN58A
IN59
IN60
1N60A
IN61
IN62
IN63
IN63A
IN64
IN65
IN66
IN66A
1N67
IN67A
IN68
1N68A
1N69
1N69A
1N70
1N70A
1N71
1N73
1N74
1N75
1N76
1N76A
1N77A
1N77S
1N78
1N78A
1N78B
1N78C
1N78D
1N78E
1N78F
1N79
1N81
1N81A
1N82
1N82A
1N82AG
1N82G
1N83
1N84
1N85

10

(Amps)

ZENER DIODES

~A

mW @ 90 V

Temp
Range

•

IN86-1N194
ZENER DIODES

TYPE

•

iN86
iN87
iN87A
iN88
iN89
iN90
iN9i
iN92
iN93
iN94
iN95
iN96
iN96A
iN97
iN98
iN98A
iN99
iNiOO
iNiOOA
iNlOi
iNi02
iNi03
iNi04
iNi05
iNi06
iNi07
iNi08
iN109
iN110
iN11i
iN112
iN113
iN114
iN115
iN116
iN117
iNn8
iN118A
iN119
iN120
iN124
iN124A
iN126
iN126A
iN127
iN127A
iN128
iN128A
iN132
iN133
iN134
iN137 A
iN137B
iN138A
iN138B
iN139
iN140
iN14i
iN142
iN143
iN144
iN145
iN147
iN147A
iN149
iN150
iN15i
iN152
iN153
iN155
iN155A
iN156
iN158
iN160
iNl73A
iN188
iN189
iN190
iN19i
iNl92
lN193
iN194

REPLACEMENT

G
S
S
G
G
G
G
G
G
G
G
G

REF.

iN9i
iN9l
iN9i

G
G
G
G
G
G
G
G
G
G
G
S
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G

G
G

Microwave Mixer
Microwave Mixer

UHF Detector:

to
to

f

S
S
S
S

G
G
G
G
G
G

G
G

G
S
S
G

G
G
S
S
S
G
S
S

DS
DS
f = 900 MHz;
UHF Mixer:
f = 900 MHz;
Microwave X-band Mixer:

dB
dB

UHF Mixer:

Microwave C-band
R
R

Microwave
Microwave
Microwave

Microwave

MHz; NF
MHz; NF

0.7
0.7
0.7
MHz
1.4

= 8.3 dB
= 9.8 dB
0.5
0.5
0.5

2.4
1.9
1.2

25
25
25

0.5
O.
NF = 11.4 dB

UHF Mixer - to
Photosensitive
Photosensitive

~A/mW

G
G

G
S
S

1-4

lN194A-1N281

TYPE

~
....

!C

RECTIFIERS

:z:

.....

CI

REPLACE MENT

~
~

REF.

VR
(volts)

;::

....
53

::IS

:z:

S
S
S
G
G
G

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

.5M8.7ZZS10 &
&
.5M9.1ZZS5
.5MI0.5ZZS10 &
&
.5MI0ZZS5
. 5M12. 7ZZSlO &
&
.5MI2ZZS5
.5M15.7ZZS10 &
&
.5M15ZZS5
&
.5M19ZZS10
&
.5M18ZZS5
.5M23.5ZZS5 &
.5M28.5ZZS10 &
.5M34.5ZZS10 &
&
.5M41ZZS10
.5M48.5ZZSlO &
&
.5M58ZZS10
&
.5M71ZZS10
.5M87.5ZZS10 &
.5M105ZZS10 &
.5M127.5ZZS5 &
IN248A
IN248B
IN248B
IN248B
IN248B
IN249A
1N249B
1N249B
IN248B
1N248B
IN248B
IN250A
1N250B
IN248B
IN248B
IN248B

DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

(Amps)

ZENER DIODES

IR
(rnA)

Vz(nom)

IFSM

SIGNAL DIODES

pRV

(volts!
IN194A
IN195
IN196
IN198
1N198A
IN198B
IN200
IN201
IN202
IN203
IN204
IN205
IN206
IN207
IN208
IN209
IN210
IN2ll
1N212
IN213
IN214
IN215
1N216
IN217
IN2l8
1N219
IN220
IN221
1N222
1N225
IN225A
IN226
1N226A
IN227
IN227A
IN228
IN228A
IN229
1N229A
IN230
IN231
IN232
IN233
IN234
IN235
IN236
IN237
IN238
IN239
IN248
IN248A
IN248B
IN248C
IN249
IN249A
IN249B
IN249C
1N250
IN250A
IN250B
IN250C
IN251
IN252
IN253
1N254
IN255
IN256
IN259
1N263
IN264
IN255
IN266
IN267
IN268
IN269
IN270
IN273
IN276
IN277
1N278
IN279
IN281

10

VF
(volts)

40
40
40

VF

1.0
2.0
2.0

80
80

1.0
1.0

6.8
8.2
10
12
15
18
22
27
33
39
47
56
68
82
100
120
150
180
220
270
330
390
470

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
4.0
4.0
4.0
4.0
4.0
4.0

80

@

(volts)

1.0

IF
l.OM
2.0M
1. OM
4.OM
4.0M
4.0M
50M
35M
30M
23M
11M
12M
9.0M
7.0M
5.5M
4.5M
3.5M
2.1M
2.0M
1.5M
1. 2M
0.9M
0.1M
6.5M
6.0M
3.0M
2.2M
2.0M
1.5M

IR

'trr

VZ(nom)

,IpS!

J

10
9.1
12
10

18
2l

50
50
50
39
100
100
100
77
200
200
200
154
3if

PT:g;

& See page 1·3forordering;nformation.

1-5

3.5M
t.~M

Temp

Range

0.1'~

0.1*
0.1*
0.1*
0.1*
1.0*
1.0*
1.0*
1.0*
1.0*
5.0*
5.0*
5.0*
5.0*
5.0*
5.0*
5.0*

14.5

J I

IZl

rnA

0.1*

DS
,25'
,
DS ,.,3t)"; :,'1;0"
Microwave S-band Mixer: NF = 7.5 dB
80' ·,'·LO·
DS
. ·30.',
DS
1.0
DS
SO' ,1.0
DS
100
.. 1.0
DS
1..'0·
50
DS
30
1.0
DS
'60·
1.0

r

TC

%I"C

0.3

G
G
G
G
G
G
G
G
G
G

S

PD

0.2
0.3
0.1

10*
10*
lO*
10*
10*
10*

G
G

G

Tal
VZ±%

REFERENCE DIODES

200
10
5.0
1.,5
250
1.5
20
5.0
1.5
20
5.0
250
R
1.2
20
3.8
350
R
200
1.5
10
5.0
R
1.5
20
5.0
250
R
20
5.0
250
1.5
R
20
3.6
1.2
350
R
1.5
10
5.0
200
R
20
5.0
250
1.5
R
250
1.5
20
5.0
R
R
1.2
20
3.4
350
DS
S.OH' O.l-;\' O.,U",
1.0
DS
).QM 0.1* 0.. 15'<
1.0
20"
MR1l20
MR1l21
R
0.1
95
1.5
1.0
4.0
MRllZO
R
MRll22
190
1.5
0.4
1.5
MRll24
MRn20
R
380
1.5
0.4
1.5
MRll26
MRn20
R
570
1.5
0.2
1.0
Microwave Mixer pair - to 9,000 MHz
Microwave X-band Mixer: f = 9,375 MHz; NF = 7.5 dB
er
Microwave
i.=,3~~0 MHz ,3;211 100*
' 75*'" ,'::i,~:'<
1,4.014
R
R

IZT
rnA

12* ,
20*'

.,

"":':'
.",.,'
.,':.
.... ,

.100*' ,
100M' .·20*
40M '100*

200M

75*,
100M.
20M .:125*
100M 200*
100M
'30:1<

.

..

....~

27
32
39
45
54
64
80
100
120
145

0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2

5.0
5.0
5.0
).U

5.0

150M
150M
150M
150M
150M
150M
150M
DUM

150M
150M
150M
150M
150M
150M
150M
150M
150M
150M
150M
150M

•

lN282-1N352

...
...""

RECTIFIERS
:z:

c::>

;::

::$

TYPE

•

IN282
IN283
IN285
IN286
IN286A
IN287
IN288
IN289
IN290
IN291
IN292
IN294
IN294A
IN295
IN295A
IN296
IN297
IN297A
1N298
1N298A
1N299
1N300
1N300A
1N300B
1N301
1N301A
1N301E
1N302
lN302A
lN303
lN303A
lN303B
lN304
lN305
lN306
lN307
lN308
1N309
lN3l0
lN311
lN3l2
lN313
lN3l4
lN3l5
1N3l5A
1N3l6
1N3l7
1N318
1N319
lN320
1N321
1N322
1N323
1N324
1N32S
1N326
1N327
1N328
lN329
lN330
lN33l
1N332
1N333
1N334
lN33S
lN336
lN337
lN338
lN339
lN340
lN34l
1N342
lN343
IN344
lN34S
lN346
IN347
IN348
lN349
lN3S0
lN3Sl
lN3S2

0-

:e
""
G
G

S
S
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G

S
S
S
S
S
S
S
S
S
S
S
S
G
G
G
G
G
G

S
G
G
G
G
G

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S

S
S
S

REPLACEMENT

REF.

PD

""""

;:;:

;::
:z:

...e

DS
DS
UHF Mixer; NF = 12.5 dB
Microwave X- K band Mixer;
Microwave X-K band Mixer;~
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
Microwave Mixer - to

lN4001
lN4002
1N4003
lN4004
lN4005
lN4007

MR1124
MR1124
MR1123
MR1123
MR1122
MR1122
MRl121
MR1l2l
MR1l2l
MR1l24
MR1l24
MR1123
MR1l23
MRl122
MRl122
MR1121
MR1121
MRl121

lN4001
lN4001
lN4001
1N400l
1N4001
1N4001

MR1120
MR1120
MR1120
MR1120
MR1120
MR1120
MR1120
MR1120
MR1120
MR1120
MR1120
MR1l20
MR1120
MR1l20
MR1120
MR1120
MR1120
MR1l20

R'
R
R
R
R
R
R
R
R
R
R

850
1000

0:48
0.48
2.0
2.0
2.0
2.0
2.0
1.2
1.2
2.0
2.0
2.0
2.0
2.0
1.2
1.2

R
R
R
R
R
R
R
R
R
R
R

'.' J.
400
400
300
300
200
200
100
100
100
400
400

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

0.4
0.2
0.4
0.2

R
R
R
R
R
R
R

300
300
200
200
100
100
100

2.0
2.0
2.0
2.0
2.0
2.0
2.0

DS
DS

DS
DS
DS

300
200
50
100
200
350
500
850
850
50
100
200
350

sao

.)1..

i~o
.0

0.2
0.2
0.25
0.25
0.4
0.4
0.4
0.4
0.4
0.4

"f~O~,

'Lo'
1;0"
c.::'Ilgj' ",1',0,'

1- 6

2.0
2.0
10
10
2.0
2.0
2.0
2.0
2.0
10

1.0
1.0

0.06
0.06

10

!}.·O~*

,M ,0;01*.

>'--

,

0.2
1.0
0.4
0.2
0.4
0.2

0.2
0.2
0.2
0.2
0.1
0.1
0.2
0.1
0.1
0.5
0.5

10
5.0
10
5.0
10
5.0
20
10
5.0
10
5.0

0.4
0.2
0.4
0.2
1.0
0.4
0.2

0.5
0.5
0.5
0.5
0.5
0.5
0.5

10
5.0
10
5.0
20
10
5.0.

0.4

····,2·OM. "11.'0''1.*'
20M .0.,0;3">\: '
20M:

O~.O$,*'

'

"~'/

lN353-1N433
RECTIFIERS

TYPE

....
..........Sa:

c:::o

REPLACEMENT

~

REF.

~

u

VR
(yolts)

;:;:
;:::
:z

...
5!

10
(Amps)

VF
(volts)

IR
(rnA)

VF
(>.WI

l)

IF

IR

IZT
rnA

Tol
VZ±%

IFSM

Vz(nom)

tr,

lIz(noml

%I"C

rnA

Range

1.8
2.4
2.9
3.5
4.1
4.8
5.8
7.1
8.75
10.5
12.8
15.8
19
23.5
28.5
34.5
41
48.5
58
71
87.5
105
127.5
157.5
190
235
285
345
410
485
580

20
20
15
10
10
10
10

+20
+20
+20
±20
10
5.0
5.0
5.0
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.1
0.1
0.1
0.1
0.1
0.1

200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M

SIGNAL DIODES
PRY

(voltsl

1N353
1N354
1N355
1N358
IN358A
IN359
IN360
1N361
1N362
IN363
IN364
IN365
1N367
IN368
IN368A
IN369
1N369A
1N370
1N371
1N372
IN373
IN374
1N375
IN376
1N377
1N378
1N379
1N380
1N381
1N382
1N383
1N384
1N385
IN386
IN387
1N388
1N389
1N390
1N391
1N392
1N393
1N394
IN395
1N396
IN397
1N398
1N399
1N400
1N401
1N402
1N403
1N404
1N405
1N406
1N407
1N408
1N411B
1N412B
1N413B
1N415B
1N415C
IN4150
1N41SE
1N415F
1N415G
1N415H
1N416B
'lN416C
1N416D
1N416E
1N416F
1N416G
1N417
1N418
1N419
1N429
1N430
1N430A
1N430B
1N431
1N432
1N432A
1N433

ZENER DIODES

:z

,(II$)

20M
DS
225
LO
S
0.1*
325
20M
0.1*
S
DS
LO
4.0M
so*
100
1.0
DS
G
Microwave L-X-band Detector
S
Microwave L-X-band Detector
S
2.0
0.1
2.0
50
IN4001
S
IN4001 R
2.0
IN4002
100
2.0
0.1
S
IN4001 R
2.0
0.1
200
2.0
1N4003
S
R
1N4001
2.0
0.1
2.0
350
S
1N4004
1N4001 R
2.0
2.0
0.1
S
IN4005
IN4001 R
500
0.06
10
850
1.2
0.1
1N4007
S
IN4001 R
1000
1.2
0.1
0.06
10
IN4007
S
1N4001 R
DS
15
G
R
25
200
0.5
o.i
0.3
G
R
200
0.1
0.16
25
0.5
G
Microwave SoX-band Detector
S
S
Microwave L-X-band Detector
1N5221 DZ
S
IN5221B
INS221A
IN5221 DZ
S
IN5221 DZ
IN5225A
S
IN5227A
IN5221 DZ
S
IN5229A
IN5221 DZ
S
S
1N5230A
IN5221 DZ
IN5233A
IN5221 DZ
S
1N5221 DZ
S
1N5236A
1N5238A
1N5221
DZ
S
IN5221 DZ
S
IN5240A
1N5221
DZ
S
1N5243A
1N5221 DZ
S
1N5246A
1N5249A
1N5221 DZ
S
1N5221 DZ
S
1N5252A
1N5221 DZ
S
1N5255A
1N5221 DZ
S
1N5258A
1N5260A
1N5221 DZ
S
1N5221 DZ
1N5261A
S
1N5264A
1N5221 DZ
S
1N5221 DZ
1N5266A
S
1N5221 DZ
S
1N5269A
1N5221 DZ
S
IN5271A
1N5221 DZ
S
1N5274A
1N5221 DZ
S
IN5277A
1N5221
DZ
S
IN5280A
DZ
S • SM115ZSBlO &
DZ
S .5M140ZSB10 &
DZ
S .5M170ZSB10 &
OZ
S .5M205ZSBlO &
OZ
S .5M160ZSC10 &
OZ
S .5M195ZSC10 &
OS
1.5
S
os
S
DS
2.5
S
os
3.1
S
os
225M
3.7
1.0
S
os
4.3
200M
S
1.0·
DS
5.2
170M
S
1.0
1.0
130M
S
os
6.2·
·.. ·SO
R
25 '525'
50
1.S
S MR1810 SB
525
R
50
25
100
1.S
S MR1811 SB
R
25
525
ZOO
L5
50
S MR1813 SB
S Microwave X-band Mixer; NF = 11.4 to 6.5 dB
S Microwave X-hand Mixer; NF = 11.4 to 6.5 dB
S Microwave X-band Mixer; NF= 11.4 to 6.5 dB
S Microwave X-band Mixer; NF = 11.4 to 6.S dB
S Microwave X-band Mixer; NF = 11.4 to 6.5 dB
S MicrowaveX-band Mi-"'.er; NF = 11.4 to 6.5 dB
S Microwave J1ix!,!, - 1;0 9000 MHz; NF = 6. () dB
S Microwave S-band Mixer; NF = 10.3 to 5.5 dB
S Microwave S-band Mixer; NF = 10.3 to 5.5 dB
S Microwave S-band Mixer; NF = 10.3 to 5.5 dB
S Microwave S-band Mixer; NF = 10.3 to 5.5 dB
S Microwave S-band Mixer; NF = 10.3 to 5.5 dB
NF = 10 3 to 5.S dB
S Microwave S-band Mixer;
OS
liD'
' .. " .
. ~:3'
G
OS
7,QM
G
60'
0,3
G
OS
,125M
..0.3
.' ~O ..
OR
S
1N429
S 1N3156
1N3154 OR
1N3157
S
1N3154 DR
S 1N3157A
1N3154 OR
,.
S
OS
68" :O~.55
15M
OS
S
10M
S.ON
40· .1.0
OS
S
40, .1.0
20M ,5.01'1:
S
DS
145
1.0
3.OM ".' :(}.1*

PD

REFERENCE DIODES
Temp
IZT
Tc

13

14
15
14
15
10
15
12
13

10
11

10
14
15
15
14
14
10
15
12
13
10
11
10

:a.O

U

& See paga 1-3forordaring information.

1-7

. 6.2.
'8.4

8.4
8.4

0.002
0.001
0.001

7.5.
10 -55/100
10 -55/100
10 .- ·55/150

•

lN433A-1N483
z

.....

c::>

~

TYPE

...........""

REF.

REPLACEMENT

::E

•

5i
c..>

Po

;;;;:

;:::
z
....
5!

TemP

Range

IN433A
IN434
IN434A
IN435
IN440
IN440B
lN44l
IN441B
lN442
lN442B
IN443
lN443B
IN444
lN444B
lN445
IN445B
lN446
lN447
lN448
lN449
IN450
lN45l
lN452
IN453
lN454
IN455
lN456
lN456A
lN457
lN457A
lN458
lN458A
lN459
lN459A
lN460
lN460A
lN46l
lN46lA
lN462
lN462A
IN463
IN463A
lN464
IN464A
IN465
IN465A
lN465B
lN466
IN466A
lN466B
lN467
IN467A
lN467B
lN468
IN468A
lN46SB
lN469
lN469A
lN469B
lN470
lN470A
lN470B
lN471
lN471A
lN472
lN472A
lN473
lN473A
lN474
lN474A
lN475
lN475A
IN476
lN477
lN478
lN479
IN480
IN481
lN482
lN482A
lN482B
lN483

DS
DS
DS
DS

S
S
S
G

S
S
S
S
S
S
S
S
S

S
S
S
S
G
G
G
G
G
G
G

IN4002
IN4002
IN4003
lN4003
lN4004
lN4004
IN4004
IN4004
lN4005
lN4005
IN4005
lN4005

IN4001
lN4001
lN4001
IN4001
IN4001
IN4001
IN4001
IN4001
lN400l
IN4001
IN4001
IN4001

R
R
R
R

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

15

0.3

0.3

1.0
0.001
1.5

0.3

1. 75

0.3

2.0
0.002

0.3

15
15
15
15
15

Microwave K-Ka-band Detector

G
G
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

100
100
200
200
300
300
400
400
500
500
600
600

R
R
R
R
R
R
R
R

lN5223A
lN5223B
· 5M2. 7ZS1
lN5226A
IN5226B
.5M3.3ZSl
lN522SB
lN522SB
.5M3.9ZSl
lN5230A
lN5230B
.5M4.7ZS1
lN5232B
lN5232B
.5M5.6ZSl
lN5235B
lN5235B
.5M6.8ZSl
.5M3.4ZZSl0
.5M3.3ZZS5
.SM4.1ZZS10
.5M3.9ZZS5
.5M4.SZZS10
.5M4.7ZZS5
.5M5.SZZSI0
.5M5.6ZZS5
· 5M7 . IZZS 10
· 5M6. SZZS5

G
G
G
G
G
G

S
S
S
S

IN5221
lN522l
IN5221
IN5221
IN5221
lN5221
IN5221
lN5221
IN5221
lN522l
IN5221
lN5221
&
&
&
&
&
&
&
&
&
&

&

DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DS
DS
DS
DS
DS
R*
DS
DS
DS
DS

~~ <'

& See page 1-3 for ordering information.

1-8

3.2
2.7
2.7
3.9
3.3
3.3
4.5
3.9
3.9
5.4
4.7
4.7
6.4
5.6
5.6
8.0

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
6.0
5.0

6.8
6.8
3.9
3.3
4.5
3.9
5.4
4.7
6.4
5.6
S.O
6.8

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

5.0
1.0
5.0
1.0
5.0
1.0
5.0
1.0
5.0
1.0
5.0
1.0
5.0
5.0
5.0
5.0
5.0

200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
150M
200M

IN483A-IN568
ZENER DIODES

RECTIFIERS

.....

TYPE

"'"
15

I-

"'"

REPLACEMENT

REF.

:IE

:z:
C>
;::
c.o

VR
(volts)

"'"
..:
;::

PRY
(volts)

IN~05

IN506
IN507
1N508
IN509
IN510
1N51l
1N512
IN513
IN514
IN515
IN516
IN517
IN518
IN519
IN520
IN521
IN522
IN523
IN524
IN525
1N526
1N527
IN530
IN531
IN532
IN533
IN534
IN535
IN536
IN537
IN538
IN539
IN540
IN541
IN542
1N543
IN543A
IN544
IN544A
IN547
IN548
lN549
IN550
IN551
IN552
IN553
IN554
IN555
IN560
IN561
IN562
IN563
IN566
lN567
lN568

S
S
S
S
S
S
S
S
S
S
S
S

DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS

S
S

DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS

s

S
S

G
G
G
G
G
G
G

S
S
S
S
S
S
S
S
S
S
S
S

G
S
S
S
S
S
S
S
S
S
S
S

IN4002
IN4003
IN4004

IN4001
IN4001
lN4001

225
300
300
300
380
380
380
90
30
60
75
80
100
120
50
100
200
300
400
600
800
1000
50
100
200
300
400
600
800
1000
50
100
200
300
400
600
800
1000
10
100
200
300
400
500
600
50
100
200
300
400

IN4005
IN4005
IN4001
lN4002
IN4003
IN4004
lN4004

1N4001
IN4001
IN4001
IN4001
IN4001
IN4001
IN4001

R
R
R
R
R
R
R
R
R
R
R

G
G

DS
DS

R
R
R
R
R
R
R
R
R
R

G
G
G

225

DS

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

70

70
130
130
130
180
180
180
225

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R

S
S
S
S
S
S
S
S
S
S
S
S

IN4005

lN400l

. MR1l2l
MR1l22
MR1l23
MR1l24
MR1l25

MR1l20
MR1l2D
MR1l20
MR1l20
MR1l20

IN4006
IN4007
MR1l28
MR1l30

lN4001
lN400l
MR1l20
MR1l20

R
R
R
R
R
R
R
DS
DS
DS

IR
(rnA)

IFSM

I>

Vf
(\lOfts)

1.0
1.0
1.1

1.0
1.0
1.1
1.0
1.0
1.1

1.0

LO

1.1
1.0
1.0
1.1
l.0
1.0
1.0
1.0

LO

1.0
1.0
l.0
1.0
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
0.3
2.0
2.0
2.0
2.0
2.0
2.0
0.5
0.4
0.3
0.5
0.5

IF

@

100M
100M
100M
100M
100M
100M
100M
100M
100M
100M
100M
100M
100M
100M
100M
100M
100M
5.0M
100M
100M
100M
100M
100M
100M
0.33
0.33
0.33
0.33
0.33
0.33
0.33
0.33
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.25
1.25
1.25
1.25
1.25
1.25
1.25
1.25
LaM
0.3
0.3
0.3
0.3
0.3
0.3
0.25
0.25
0.25
0.25
0.25

45

2.2

10M

1000
1000
1000
1000
600
900
1200
100
200
300
400
500
600
800
1000
800
1000
. .220

10
8.0
10
10
1.1
1.1
1.1
1.5
1.5
1.5
1.5
1.5
1.5
1. 75
1. 75
1. 75
1. 75
.1.0
1.0
0.32

0.005
0.025
0.015
0.075
0.25
0.3
0.3
0.5
0.5
0.5
0.5
0.5
0.5
0.25
0.25
0.4
0.4

·lQO

7.0

20M
150M
5.0M

1-9

fR

t"
l}.Is)

25M

25N
0.25"

25N
25N
0.25"
25N
25N

0.25*
0.05*

0.05'~

0.25"
0.1"
0.1*
0.25*
0.1"

0.1t:

20*
25"

0.5

3D'\"

40 1'
40"

50"

0.5
0.5
0.5
0.5
0.25
0.25
0.25
0.25
0.5
0.5
0.5
0.5
0.25
0.25
0.25
0.25
0.5
0.5
0.5
0.5
0.25
0.25
0.25
0.25
50*
0.003
0.01
0.015
0.02
0.4
0.5
0.5
0.3
0.3
181,
0.1
0.1
0.1
0.1
0.35
0.35
0.35
0.001

0.005
0.015
0.02
0.015
0.02
·O.ZM
O.lSM

Vz(nom)

I ZT
rnA

Tal
VZ±%

PD

REFERENCE DIODES

SIGNAL DIODES

15
5!

IN483A
IN483B
IN484
IN484A
1N484B
1N485
IN485A
1N485B
IN486
IN486A
IN486B
IN487
1N487A
1N487B
1N488
IN488A
1N488B
1N490
IN497
1N498
1N499
1N500
IN501
IN502
IN503
IN504

10
(Amps)

VF
(volts)

3.0
3.0
3.0
3.0
3.0
3.0
15
15
15
15
15

15
15
15
4.0
4.0
4.0
4.0
4.0
4.0

2.0
2.0
3.0
3.0
0;3

O.IM 0.08

Vz(nom)

TC

IZT

%I"C

rnA

Temp

Range

•

1N569-1N660
RECTIFIERS

ZENER DIODES

:z:
0

...J

:$

TYPE

•

1N569
1N570
1N571
1N573
1N574
1N575
1N575A
1N576A
1N581
1N582
1N583
1N584
1N588
1N589
1N590
1N591
1N596
1N597
1N598
1N599
1N599A
1N600
1N600A
1N601
1N601A
1N602
1N602A
1N603
1N603A
1N604
1N604A
1N605
1N605A
1N606
1N606A
1N607
1N607A
1N608
1N608A
1N609
1N609A
1N610
IN610A
1N611
1N61lA
1N612
1N612A
1N613
1N613A
1N614
1N614A
1N615
1N616
1N617
1N618
1N619
IN622
1N625
1N626
1N627
1N628
1N629
1N630
1N631
1N632
1N633
1N634
1N635
1N636
1N643
1N643A
1N645
1N645A
1N646
1N647
1N648
1N649
1N658
1N658A
1N659
IN659A
1N660

ffi

!C
::E

REPLACEMENT

REF.

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
G
G
G

DS
R

QS
R
R
R
R
R
R
R
R
R

MR990A

1N4005
1N4006
1N4007
1N4001
1N4001
1N4002
1N4002
1N4003
1N4003
1N4003
1N4003
1N4004
1N4004
1N4004
1N4004
1N4005
1N4005
1N4005
1N4005

1N4001
IN4001
1N4001
1N4001
1N4001
IN4001
1N4001
1N4001
1N4001
1N4001
1N4001
1N4001
1N4001
1N4001
1N4001
1N4001
IN4001
1N4001
IN4001

MR1120

MR1120 R

MR1121

MR1l20

MR1122

MR1l20

MR1l22

MR1l20

MR1l23

MR1l20

MR1124

}!R1120

MR1125

MR1l20

MR1126

MR1l20

G

S
S
S
S
S
S
S
S
S
S

R
R
R
R
R
R
R
R
R
R
R

1250

~;;~;;~ilif:~:ti

380
380
380
380
380
380
380
380
380
1500
1500
1500
1500
600
800
1000
50
50
100
100
150
150
200
200
300
300
400
400
500
500
600
600
50
50
100
100
150
150
200
200
300
300
400
400
500

R
R
R
R
DS
DS
DS
DS
DS
DS
DS
DS

10

;:Jlit"lJlc, . •
0.15
0.15
0.3
0.15
0.15
0.15
0.15
0.15
0.15
1. 75
1. 75
8.0
8.0
3.0
3.0
3.0
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

•.;.?ldF

0.1
0.25
0.075
0.075
0.125
0.125
0.125
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.8
0.8
0.8
0.8
0.8
0.8
0.8
0.8
0.8
0.8
0.8
0.8
0.8

DS
DS

Microwave L-X-band

G
G
G
G

G
S
S
S

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R

MR991A

G
S
S
S
S
S
S
S
S

~

...;:

z:
52

G
S
G
G
G
G
G
G
G
G
G
G

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

~

1N4003
IN4003
1N4004
1N4004
1N4005
1N4005

1N400'l
1N4001
1N4001
1N4001
1N4001
1N4001

Detecto~

DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS

1-10

0.1
0.1
0.1
0.1
0.025
0.025
0.025
0.025
0.001
0.025
0.001
0.025
0.001
0.025
0.001
0.025
0.001
0.025
0.025
0.002
0.025
0.025
0.001
0.025
0.001
0.025
0.001
0.025
0.001
0.025
0.001
0.025
1.5
0.025

5.0
10

1.0
1.0
1.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
25

lN660A-1N721A
RECTIFIERS
:z
c::>
;:

....

...""

;:;::

TYPE

!c

REPLACEMENT

REF.

~

""
~

VA
(volts)

;;:

;:

is

e

VF
(volts)

S
S
S
S
S
S
S
S

S
S
S
S
S
S

S
S

S
S
S
S
S
S
S

S
S
S
S

IN5237A
IN5242A
IN5245B
IN5248A
IN5251A
IN5254A
IN5266B
IN5271A
IN5276A
IN5230A
IN5234B
IN4002
IN4002
IN4003
IN4003
IN4004
IN4004
IN4004
IN4004
IN4005
IN4005
IN4005
IN4005

1N5221
IN5221
IN5221
IN5221
IN5221
IN5221
IN5221
IN5221
IN5221
IN5221
IN5221
IN5221
IN4001
IN4001
IN4001
IN4001
1N4001
IN4001
IN4001
1N4001
1N4001
IN4001
IN4001
IN4001

S

S
S
S
G
G

S
S
G
G
S

S
S

S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S

S
S
S
S

S
S
S
S
S

S
S

S
S
S
S
S
S

IN5240B ,.
IN5223A *
IN5223B *
IN5227A"
IN5227B"
IN5229A"
IN5229B"
IN5230A"
IN5230B"
IN5232A"
IN5232B"
IN5236A"
1N5236B *
1N5232A 1,
IN5232B"
IN5234A *
IN5234B"
IN5235A *
IN5235B"
IN5236A"
IN5236B"

t~m~~;;

IN5239A"
IN5239B *
IN5240A"
IN5240B"
IN5241A *
IN5241B *
IN5242A *
IN5242B *
IN5243A *
IN5243B *
IN5245A"
IN5245B"
IN5246A *
IN5246B *
IN524BA *
IN5248B *
IN5250A *
IN5250B *

1N5221
1N5221
IN5221
IN5221
IN5221
1N5221
1N5221
IN5221
IN5221
IN5221
IN5221
1N5221
IN5221
1N5221
IN5221
IN5221
IN5221
IN5221
IN5221
IN5221
IN5221
IN5221
IN5221
IN5221
IN5221
IN5221
IN5221
1N5221
1N5221
IN5221
IN5221
IN5221
IN5221
1N5221
1N5221
1N5221
1N5221
1N5221
lN5221
1N5221
1N5221

IFSM

Vp @
(volts)

Vz(nom}

IZT
rnA

Tol
Vz±.%

Po

REFERENCE DIODES
IZT

Temp

Vz{noml

TC
%IOC

rnA

Range

8.2
12
15
18
22
27
68
100
150

10
10
5.0
5.0
5.0
5.0
1.0
1.0
1.0

10
10
5.0
10
10
10
5.0
10
10

250M
0.25W
0.25W
0.25W
0.25W
0.25W
250M
0.25W
0.25W

4.7
6.2

20
20

10
5.0

250M
250M

10.5
3.2
2.9
3.9
3.67
4.5
4.3
5.4
5.12
6.4
6.1
B.O
7.55

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

10
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
25
25
25
25
25
25
25
25
25
25
12
12
12
12
12
12
12
12

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

12
12
12
12
12
12
12
12
4.0
4.0

os
os
os
os
os

S

s
S
s

IA
(mA)

SIGNAL DIODES
PRY
(volt.)

IN660A
IN661
IN661A
IN662
IN662A
IN663
IN663A
IN664
IN665
IN666
IN667
IN668
IN669
IN670
IN671
IN672
IN673
IN674
IN675
IN676
IN677
IN678
IN679
IN681
IN682
IN683
IN684
IN685
IN686
IN687
IN689
IN690
IN691
IN692
IN693
IN695
1N695A
IN696
IN697
IN698
IN699
IN701
IN702
IN702A
IN703
IN703A
IN704
IN704A
IN705
IN705A
IN706
IN706A
IN707
1N707A
IN70B
IN70BA
IN709
IN709A
IN710
IN710A
IN711
IN711A
IN712
IN712A
IN7l3
IN7l3A
IN714
IN714A
IN715
IN7l5A
IN716
IN716A
IN717
IN717A
IN71B
IN7l8A
IN7l9
IN7l9A
IN720
IN720A
IN721
IN721A

10
(Amps)

ZENER DIODES

DS
DS
OZ
OZ
OZ
OZ
OZ
OZ
OZ
DZ
OZ

os

DZ
DZ
R
R
R
R
R
R
R
R
R
R
R
R
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

IF

IA

t"
fps}

0.3
0.3
0.3
0.5
0.3
0.5

120
200
240
80
80
80
80

1.0
1.0
1.0
1.0
1.0
1.0
1.0

10M
6.GM
10M
10M
100M
100M
100M

25N
10*
25N
1.0*
1.0*
5.0*
0.1*

350

1.0

250M

1.0*

100
100
200
200
300
300
400
400
500
500
600
600
36
70
100
130

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
0.5
l.0
1.0
0.65

0.075
0.15
0.075
0.15
0.075
0.15
0.075
0.15
0.075
0.15
0.075
0.15
400M
400M
400M
400M
100M
10M
10M
0.25A
30M
100M

0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.25*
0.25*
0.25*
0.25*
2.0*
2.0*
15N
1.0*
160*

20

25

30
25
80

1.0

Replacement * denotes exact deVice type replacement aVailable on request.

1-11

0.3

3.0
5.0
3.0
5.0
3.0
5.0
3.0
5.0
3.0
5.0
3.0
5.0
0.8
0.8
0.8
0.8
0.3
0.3
5.0
0.5

D.S

10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0

250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250~1

250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M

•

lN722-1N766
RECTIFIERS

ZENER DIODES

:z
C>

-'

...""
S

TYPE

l-

cC

REPLACEMENT

REF.

::E

•

~

c.:>

ii:

;:::
:z
5!

...

VA
(volts)

Replacement

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

IN5251A *
IN5251B "
IN5252A "
IN5252B "
IN5254A "
IN5254B *
IN5256A *
1N5256B *
IN5257A *
IN5257B "
IN5258A "
IN5258B "
IN5259A *
1N5259B *
IN5260A *
1N5260B "
1N5261A "
1N5261B "
IN5262A "
IN5262B *
IN5263A "
IN5263B *
1N5265A *
1N5265B "
IN5266A "
IN5266B *
IN5267A *
IN5267B "
IN5268A "
IN5268B *
IN5270A "
1N5270B "
IN5271A *
IN5271B *
IN5272A "
IN5272B "
IN5273A "
IN5273B "
IN5274A "
IN5274B "
IN5276A "
IN5276B *
IN5277A "
IN5277B "
IN5279A *
IN5279B *
IN5281A "
IN5281B "

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

.

S
S
S
S
S
S
S
S
S
S

1N5230A "
IN5232B *
1N5238B "
1N5238A *
1N5240A "
1N5243A *

IN5221
IN5221
IN5221
IN5221
IN5221
IN5221
IN5221
1N5221
1N5221
1N5221
IN5221
1.N5221
IN5221
IN5221
IN5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
IN5221
1N5221
1N5221
1N5221
1N5221
IN5221
IN5221
·lN5221
1N5221
1N5221
IN5221
1N5221
1N5221
IN702
1N702
IN702
IN702
1N702
IN702
IN702
IN702
IN702
1N702
1N702
1N702
1N702
1N702
1N702
;[N702
lN702
1N702
1N702
1N702
IN702
1N702
1N702
IN702
1N702
1N702
1N702
IN702
1N5221
IN5221
1N5221
IN5221
1N5221
1N5221

10

(Amps)

IA
(rnA)

IFSM

'<';'; ';SIG,I'II~t:.PIQDES.

,"'R\(

(volU).

IN722
IN722A
1N723
IN723A
IN724
IN724A
IN725
IN725A
IN726
IN726A
1N727
IN727A
1N728
IN728A
IN729
IN729A
IN730
IN730A
1N731
IN731A
IN732
IN732A
IN733
IN733A
IN734
1N734A
IN735
1N735A
IN736
IN736A
IN737
IN737A
1N738
IN738A
1N739
IN739A
IN740
IN740A
IN741
IN741A
IN742
IN742A
IN743
IN743A
IN744
IN744A
IN745
IN745A
IN746
IN746A
IN747
IN747A
1N748
1N748A
IN749
IN749A
IN750
IN750A
IN751
IN751A
1N752
1N752A
IN753
IN753A
1N754
IN754A
1N755
1N755A
1N756
IN756A
IN757
IN757A
IN758
1N758A
IN759
IN759A
IN761
IN762
IN763
1N764
1N765
1N766

VF
(volts)

1/;(~l':r;I~'

II~

Vz(nom)

tft!1

Vz(nom)

91
91

100
100
llO
llO
120
120
130
130
150
150
160
160
180
180
200
200
3.3
3.3
3.6
3.6
3.9
3.9
4.3
4.3
4.7
4.7
5.1
5.1
5.6
5.6
6.2
6.2
6.8
6.8
7.5
7.5
8.2
8.2
9.1
9.1
10.0
10.0
12.0
12.0
5.4
6.4
8.0
10.0
12.0
14.5

denotes exact deVice type replacement available on request .

1-12

VZ±%

Po

REFERENCE'DIODES
tn'

22
22
24
24
27
27
30
30
33
33
36
36
39
39
43
43
47
47
51
51
56
56
62
62
68
68
75
75
82
82

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

Tol

IZT
rnA

%1&c r
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
2.0
2.0
2.0 '
2.0
2.0
2.0
2.0
2.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
10
10
10
10
5.0
5.0

'

IZT

Temp

mA

Range

10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0

250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
250M
250M
250M
250M
250M
250M

10

5.0
10
5.0
10
5.0
0
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0

lN767-1N841
RECTIFIERS
z:

.....

......
==

C>

:$

TYPE

lN767
lN76B
lN769
lN770
lN77l
lN771A
lN771B
1N772
lN772A
lN773
lN773A
lN774
1N774A
1N775
lN776
1N777
1N77B
lN779
1N7B1
1N781A
lN7B9
lN790
1N791
lN792
1N793
1N794
lN795
lN796
1N797
lN79B
lN799
lNBOO
lN80l
lNB02
lN803
lN804
lNB05
lNB06
lNB07
lN808
lN809
lNBlO
lNBll
lN8l2
lNBl3
lNB14
lNB15
lN816
lN8l7
lNB1B
lNB19
lNB2l
lNB21A
1NB22
lNB23
lNB23A
1NB24
lNB25
1NB25A
1NB26
lN827
lN827A
lNB2B
1NB29
lNB30
lNB30A
lNB3l
lNB31A
lNB31B
lNB32
lN832A
1NB32B
IN8320
lN833
lNB33A
1NB35
lN836
lNB37
1NB37A
1N838
lNB39
lN840
lNB4l

a:

s

S
S

REPLACEMENT

lN5246A *
1N5249A *
lN5252A *

G
G
G
G
G
G
G
G
G

REF.

lN522l
lN522l
1N5221

G
G
G
G

S

<.:0

...53

OZ
OZ
OZ
OS
OS
OS
OS
OS
OS
OS
OS
OS
OS
OS
OS
OS
OS

OS
OS
OS
OS
OS
OS
OS

G
G

s
s
S
s
S

s

S
S
S

s

S
S
S
S
S
S

G

S
S
S
S

s

S
S
S

s
s
s
s

S
S
S
S
S
S
S
S
S
S

1N821
INB2l
lNB21
1NB21

1NB25

1NB21
1NB21
1N821
1NB21
1NB21
1N821
INB21

VR
(volts)

~
z:

os

s

s
s

51

os
OS
os
OS
os
os
os
os
os
os
os
os
os
os
os
os
os
os
os
os
OS
os
os
os
os
os

OR
OR
OR
OR
OR
OR
OR
OR
OR
OR
OR
OR
OR

VI'

(volts)

(WlItsI

20
80
80
80
70

70

65

65
60
60
60
20
60
100
175
40

40

40
100
180
100
200
50
20

SO

S
S

Microwave X-band Detector
Microwave X-band Detector

Micro-min. UHF Detector
Micro-min. UHF Detector
Microwave S-band Mixer; NF
Microwave S-band Mixer; NF
Microwave S-band Mixer; NF

Microwave Mixer - to 12, 000

S
S
S
S
S

s

OS
OS
OS
OS
OS
OS
OS
OS

0.42
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
l.0
1.0
0.45
0.45
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
l.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.5
1.0
1.5

15
40
15
6.0
200
80
80

= B.3
= B.3
= B.3
Microwave X-band Mixer; NF = 9.5
Microwave X-band Mixer; NF = 9.5
Microwave X-band Mixer; NF = 9.5

G
G

IR
(mA)

IFSM

Vz(nom)

t"

Vz!nom)

MHz;

1.5
1.0

to
to
to
to
to
to
NF

30
!i.0

IF

@

S.OM
100M
200M
400M
100M
200M
100M
200M
100M
200M
100M
50M
100M
10M
10M
10M
10M
10M
10M
50M
100M
10M
10M
SOM
100M
10M
10M
SOM
100M
10M
50M
10M
SOM
3.OM
4.0M
4.0M
100M
100M
10M
1. OM
1. OM
S.OM
2.OM
100M
100M
6.0M
30M
200M

IR

40*
25*
2S*
25*
50*
50*
10*
10*
15*
15*
20*
200*
25*
0.5"
0.5*
5.0*
5.0*
1.0*
5.0*
5.0*
5.0*
1.0*
5.0*
5.0*
5.0*
1.0*
5.0*
5.0*
5.0*
1.0*
5.0*
5.0*
10*
100*
0.5*
0.5*
1.0*
1.0*
1.0*
1.0*
0,1*

u:
u:
~~

0.2~;
25

, (psI

0.5

5.0M
150M
150M
150M
150M
150M'
150M

Replacement * denotes exact deVice type replacement available on request.

1-13

Te

%I"C

lB
21
27

5.0
5.0
5.0

6.2
6.2
6.2
6.2
6.2
6.2
6.2
6.2
6.5
6.2
6.2
6.5
6.2

0.01
0.01
0.01
0.005
0.005
0.005
0.002
0.002
0.002
0.001
0.001,
0.001
0.0005

Izr
mA

Temp

Range
250M
250M
250M

0.5

0.3
0.3
0,3
0.3
50
0.25
0.25
0.25
0.25
0.25
1.0

O.S

20~

0.5

0.1'

0.5
0.3
0.5

O'i:

0.3

0.1

PD

3.5
0.3
0.3
0.5
0.5
0.5
0.25
0.5
0.5
0.5
0.25
0.5
0.5
0.5
0.25
0.5
0.5
0.5
0.5
0.5

0.1~

O·t:
0.1

Tal
VZ±%

0.35

6.5 dB
6.5 dB
6.5 dB
7.0 dB
7.0 dB
7.0 dB
= 6:0 dB

1.0
1.0
1.0
1.0
1.0
1.0

IZT
mA

REFERENCE DIODES

SIGNAL DIODES
PRV

S
S
S
S
S
S
S
S
S
S
S

1NB27

10
(Amps)

VF
(volts)

ZENER DIODES

0.5

0.3

7.5 -55/100
7.$ -55/100
7.5 -55/100
7.5 -55/100
7.5 -55/100
7.5 -55/100
7.5 -55/100
7.5 -55/100
1.$ -55/100

7.5

-5?~100

7.5 -55/100
7.5 -55/100
7.5 -55/100

•

lN842-1N914A
RECTIFIERS

....S

TYPE

...""

I-

"'"

C>

REPLACEMENT

REF.

:IE

•

~
;:;:

VR
(yolts)

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
G
G
G

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
G
G
G

S
S
S
S
S
S

IN4001
lN4002
lN4003
lN4004
lN4004
lN4005
lN4005
lN4006
IN4006
lN4007
lN4007
lN400l
lN4002
lN4003
lN4004
IN4004
lN4005
IN4005
IN4006
IN4006
IN4007
IN4007
IN4001
IN4002
IN4003
lN4004
IN4004
IN4005
IN4005
IN4006
IN4006
IN4007
IN4007
IN4001
IN4002
IN4003
IN4004
IN4004
lN4005
lN4005
lN4006
IN4006
IN4007
lN4007

lN400l
lN400l
lN400l
lN400l
lN400l
lN400l
lN4001
IN4001
lN400l
lN400l
lN4001
lN400l
lN400l
lN400l
lN400l
lN4001
lN400l
IN4001
lN4001
lN400l
IN4001
lN400l
IN4001
lN400l
lN400l
lN4001
lN400l
lN4001
IN4001
lN400l
IN4001
IN4001
IN4001
1N4001
lN4001
lN4001
1N4001
IN4001
lN400l
lN400l
lN400l
IN4001
lN400l
lN400l

DS
DS
DS
DS
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R

R
R
R
R
R
R
R

R
R

DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS

VF
(volts)

10
(Amps)

IR
(rnA)

Vz(nom)

IFSM

, SlGr,II,AL:, DIODES

;:::

...5!z:

.'.PRV

, (volts,

IN842
IN843
IN844
IN845
IN846
lN847
lN848
lN849
lN8S0
lN8Sl
lN8S2
lN8S3
lN854
lN855
lN856
lN857
lN858
IN8S9
lN860
IN861
IN862
IN863
IN864
lN865
IN866
IN867
lN868
lN869
IN870
IN871
IN872
IN873
IN874
IN875
IN876
IN877
IN878
IN879
IN880
IN881
IN882
IN883
IN884
lN885
lN886
lN887
IN888
lN889
lN890
lN89l
IN892
IN893
IN894
lN89S
IN896
IN897
IN898
IN899
IN900
IN901
IN902
IN903
IN903A
IN904
IN904A
lN905
lN905A
lN906
lN906A
IN907
lN907A
lN908
lN908A
lN909
lN910
lN911
lN9l2
lN912A
lN913
lN913A
IN914
lN914A

ZENER DIODES

:z

r~·i';,IF

IR

.. ,;~

15.0M
0.1* .0.;3"
'1~'0
"150M'
0.1* 0.3' .
'200M
1.0
9.1* · ·0:5'
200M
1.0
b.110;5:.'
0.6
200M
20*
200M
0.6
20*
0.6
200M
20*
0.6
200M
20*
200M
0.6
20*
0.6
200M
20*
0.6
200M
20*
200M
0.6
20*
0.6
200M
20*
200M
0.6
20*
0.6
200M
20*
0.6
150M
20*
0.6
150M
20*
0.6
150M
20*
150M
0.6
20*
0.6
150M
20*
0.6
150M
20*
0.6
150M
20*
150M
0.6
20*
150M
0.6
20*
0.6
150M
20*
150M
0.6
20*
0.6
100M
20*
0.6
100M
20*
100M
0.6
20*
0.6
100M
20*
0.6
100M
20*
100M
0.6
20*
0.6
100M
20*
100M
0.6
20*
0.6
100M
20*
0.6
100M
20*
0.6
100M
20*
0.6
50M
20*
0.6
50M
20*
0.6
SOM
20*
0.6
50M
20*
0.6
50M
20*
0.6
50M
20*
0.6
50M
20*
0.6
50M
20*
0.6
50M
20*
0.6
SOM
20*
0.6
SOM
20*
1.0,
20M
2SN
,.·LO,
'0.1*
SUM.
0.3
50M
1.'0 '.
0:1* 0.3
LO
0.-1*
SCOM
0.3

'1.0 '

50
100
200
300
400
500
600
700
800
900
1. OK
50
100
200
300
400
500
600
700
800
900
1. OK
50
100
200
300
400
500
600
700
800
900
1.0K
50
100
200
300
400
500
600
700
800
900
1. OK
,60

5.0
5.0
5.0
2.5

2.5

85'

85
85
170

. AO

40
:,10
30

20
20
20
20

'30

30

.40

40
, SO
30
20
'10
, 10

10

'.,

10,

'75

75

1.0 ,5.OM· I
1.0
100M ,
1.0 '
1.0
1.0

0;1* · 1.0
5.0* 0.3
0.1* · '0.3
0.1* 0 • .3
0.5* 0.3
.. 1'.0*. 0.3
1.0
1.0
0.1* 4.0
,20M'
0,1* 4.0
1.0
1.0
10M
·0.1*
4.0
1.0
. 20M
0.1* '·4.0
" 10M
1.0
,0.1*. 4.0
20M' 0:1* .4.0
1'.0 '
10M
4.0
20M·'
4.0
10M' 0.1*
.0
4.0
aOM
4.0.
0.1*
. 10M
0.1* · ;4.0
20M
0;1*' 4.0
100M
10*
100M,
,1:0
10*
1.0
100M
10*
,1.0*
0.62'
l.OM
0.62 . ,l.OM., ,1.0*
,
0.62. ' LaM
5~0*:
b.62
l.OM
'LO'
"4'.0
10M
1.0
ZOM
5:6* 4.0'

'U

'eU:

.§··gZ:

1-14

I

IZT
rnA

Tol
VZ±%

PD

REFEREbl9E 'DIODES ,
Temp
'IZT
Vzlnoml
Ran,..
,lilA

·'ltc'i"

lN914B-1N962B
ZENER DIODES

RECTIFIERS
TYPE

....
...!;;:S""

:z:

c::>

REPLACEMENT

REF .

::IE

IN914B
IN9l5
IN916
IN916A
IN9l6B
IN917
IN918
IN919
IN920
IN921
IN922
IN923
1N925
IN926
IN927
IN928
IN929
IN930
IN93I
IN932
IN933
IN934
IN935
IN935A
IN935B
IN936
IN936A
IN936B
IN937
IN937A
IN937B
IN938
IN938A
IN938B
IN939
IN939A
IN939B
IN940
IN940A
1N940B
IN941
1N941A
1N941B
1N942
IN942A
IN942B
IN943
IN943A
IN943B
lN944

IN944A
1N944B
IN945
IN945A
IN945B
1N946
1N946A
1N946B
1N947
1N948
1N949
IN950
thru

1N956
IN957
1N957A
IN957B
IN958
IN958A
IN958B
IN959
IN959A
IN959B
IN960
1N960A
1N960B
1N961
IN961A
1N961B
IN962
1N962A
IN962B

S
S
S
S
S
S
S
S
S
S
S
S

Microwave Ku- band Mixer
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
OS
OS

G

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

5
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

IN935
IN935
IN935
IN935
IN935
IN935
IN935
IN935
1N935
IN935
IN935
1N935
1N935
1N935
1N935
IN941
1N941
1N941
1N941
IN941
1N941
IN941
1N941
1N941
1N941
1N941
1N941
1N941
1N941
1N941

G
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S

...
;::
:z:
5!

DS
DS
DS
DS
DS
DS

S
S
S
S
S
S
S
S
S
S
S

...;::~

os

VA
(volts)

10
(Amps)

VF
(volts)

IA
(rnA)

IFSM

Vz(nom)

t"

Vz(nom)

VF

IF

III

30

1.0
1.0
1.0
1.0
l.0
La

100M
SOM
10M
20M
30M

5.0*.
5.0*
5.0*
5.0*
5.0*
0.05*

150

1.0

70

1.0
1.0
1.0
l.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

100M
500M
SOOM
300M
500M
5.0M
3.0M
10M
10M
20M
20M
20M
20M
14M
30M

0.5*
0.25*
0.25*
0.25*
0.25*
1.0*
0.1*
0.1*
0.1*
0.1*
0.1*
0.1*
0.1*
10*

75
50
75

75
75

36

100
130

32

32
52
96
20
50
100
200
100
60

(wits)

Ii'

1.0

tOM

25N

(pSI
4.0
10
4.0
4.0
4.0
3.0

0.4
1.0

9.0
9.0
9.0
9.0

DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR

9.0

9.0
9.0
9.0
9.0
9.0
9.0
9.0
9.0
9.0
9.0
9.0
9.0
. 9.0

DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR

DS

. 11.7

11.7
., 11.7
11.7
11.7
'.' 11.7
11.7
.11.7
'11.7
11.7

·n.7

11. 7
11.7

>
.";

36
50

1.0

1.5
0.39

4.00M
100M
10M

'2.0*

0.25*

PD

%I"C

mA

Ral1\lll

0.3
0.3
0.3
0.3
0.3
0.15
0.15
0.15
0.15

DR
DR

DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DS
DS

Tal
VZ±%

REFERENCE DIODES ..
Temp
IZT
Tc

SIGNAL·. DIODES
PRV
(volts)

IZT
rnA

11.7
11.7
11.7

'11.7

11.7

0.01
7.5
0.01 . 7.5
0.01
7.5
0.005
7.5
0.005
7.5
0.005
7.S
0.002 7.5
0.002
7.5
0.002
7.5
7.5
0.001
0.001
7.5
0.001
7.5
0.0005 7.5
0.0005 7.5
0.0005 7.5
0.0002
7.5
7.5
0.0002
7.5
0.0002
0.01 7.5
0.01 7.5
0.01
7.5
0.005
7.5
0.005
7.5
0.005
7.5
7.5
0.002
0,002
7.5
0.002
7.5
0.001
7.5
0.001
7.5
0.001
7.5
0.0005 7.5
0.0005
7.5
0.0005 7~5
0.0002 7.5
0.0002 7.5
0.0002 7.5

0/75
-55/100
-55/1SO
0/75
-55/100
-55/150
0/75
-55/100
-55/150
0/75
-55/100
-55/150
0/75

-55/100

7

-5 {150
0/ 5

-55/100

-55/1SO
0/75
-55/100
-55/150

0/75
-55/100

-55/150
0/75

-55/100

-57'150
o 75
-55/100
-55/150
0/75
-55/100
-55/150
0/75

-55/100
-55/150

1,0'

10*

Varactor Diodes, see Table on Page 1-94

1N957
1N957
1N957
1N957
1N957
1N957
IN957
1N957
IN957
1N957
1N957
IN957
1N957
1N957
1N957
1N957
1N957
IN957

DZ
DZ
DZ'
DZ
DZ
DZ
DZ
DZ

6.8
6.8
6.8
7.5
7.5
7.5
8.2

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

8.2
9.1
9.1
9.1
10
10
10
11
11
11

8.2

1-15

18.5
18.5
18.5
16.5
16.5
16.5
15
15
15
14
14
14
12.5
12.5
12.5
11.5
11.5
11.5

20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0

400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M

lN963-1N990
RECTIFIERS

TYPE

...!C~

•

s

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

...~
...;::5!
C>

;::

REPLACEMENT

REF.

::E

1N963
1N963A
IN963B
1N964
lN964A
lN964B
lN965
IN965A
1N965B
1N966
1N966A
IN966B
1N967
1N967A
IN967B
1N968
IN968A
1N968B
1N969
IN969A
IN969B
1N970
IN970A
1N970B
IN971
1N971A
1N971B
IN972
1N972A
IN972B
1N973
1N973A
IN973B
1N974
1N974A
1N974B
1N975
1N975A
IN975B.
1N976
IN976A
1N976B
IN977
IN977A
1N977B
1N978
1N978A
IN978B
IN979
IN979A
1N979B
1N980
1N980A
IN980B
IN981
IN981A
1N981B
1N982
IN982A
1N982B
IN983
1N983A
IN983B
IN984
IN984A
IN984B
IN985
IN985A
IN985B
1N986
IN986A
IN986B
1N987
IN987A
IN987B
1N988
IN988A
IN988B
IN989
IN989A
1N989B
1N990

ZENER DIODES

:z:

....

z:

lN957
lN957
lN957
lN957
lN957
lN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
1N957
IN957
IN957
IN957
IN957
1N957
IN957
IN957
IN957
1N957
IN957
1N957
IN957
IN957
1N957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
1N957
1N957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957
IN957

VR
(voltsl

VF
(voltsl

10

(Ampsl

IR
(mAl

IFSM

~Imo;~~ •. }P~I·

Vz(noml

12
12
12
13

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

39
39
39
43
43
43
47
47
47
51
51
51
56
56
56
62
62
62
68
68
68
75
75
75
82
82
82
91
91
91
100
100
100
110
110

13

13
15
15
15
16
16
16
18
18
18
20
20
20
22
22
22
24
24
24
27
27
27
30
30
30
33
33
33
36
36
36

no

120
120
120
130
130
130
150
150
150
160

1-16

Tol
VZ±%

Po

.R~RENC{;;'DIOC>ES
VZ{ndm}

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

IZT
mA

I\:'%~'i ,~r'
10.5
10.5
10.5
9.5
9.5
9.5
8.5
8.5
8.5
7.8
7.8
7.8
7.0
7.0
7.0
6.2
6.2
6.2
5.6
5.6
5.6
5.2
5.2
5.2
4.6
4.6
4.6
4.2
4.2
4.2
3.8
3.8
3.8
3.4
3.4
3.4
3.2
3.2
3.2
3.0
3.0
3.0
2.7
2.7
2.7
2.5
2.5
2.5
2.2
2.2
2.2
2.0
2.0
2.0
1.8
1.8
1.8
1.7
1.7
1.7
1.5
1.5
1.5
1.4
1.4
1.4
1.3
1.3
1.3
1.1
1.1
1.1
1.0
1.0
1.0
0.95
0.95
0.95
0.85
0.85
0.85
0.80

20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20

Temp'
Range··...
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M

400M
400M
400M
400M
400M

~gg~
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
4001-1

400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M

lN990A-1Nl085
RECTIFIERS

z:

C>

-'

TYPE

...~
!C

REPLACEMENT

REF.

:IE

IN990A
IN990B
1N991
IN991A
IN991B
IN992
IN992A
1N992B
1N993
IN994
1N995
IN996
1N997
1N998
1N999
1NI005
IN1007
IN1008
INI013
INI016
INI021
1N1022
1N1023
1N1024
1N1028
1N1029
1N1030
1N1031
1N1032
1N1033
1N1034
1N1035
1NI036
1N1037
1N1038
1N1039
IN1040
1N1041
1N1042
1N1043
1N1044
IN1045
1N1046
1N1047
INI048
1N1049
1N1050
1N1051
1N1052
1N1053
1NI054
1N1055
1N1056
INI057
INI058
INI059
IN1060
IN1061
INI062
INI063
INI064
INI065
INI066
INI067
INI068
IN1069
INI070
IN1071
1NlO72
1N1073
1N1074
1N1075
IN1076
1N1077
1NI078
IN1079
1N1080
IN1081
IN1082
INI083
1N1084
INI085

IN957
1N957
1N957
1N957
1N957
1N957
1N957
1N957

S
S
S
S
S
S
S
S
S
G
G
G

51

'""
;::

...ez:
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
OS

os

OS
OS

s

os

S
S
G
G
S
G
G
G
G
G
G

OS
OS

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R

s
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R

S
S
S
S
S
S
S
S
S
S
S
S

R
R
R
R
R
R
R
R
RR
R
R

S
S
S
S
S
S
S
S
S
S

R
R
R
R
R
R
R
R
R
R

S
S
S
S
S
S
S
S
S
S
S
S

IN4002
IN4003
IN4004
IN4004

1N4001
1N4001
1N4001
1N4001

VR
(volts)

i:i:

VF
(volts)

10
(Amps)

ZENER DIODES

IR
(mA)

IFSM

Vz(nom)

tr,

V;z(nOlll)

SIGNAL· DIODES
PRV

(volU)

20

6.5

15
20
35

150
100

380
380
380
380
380
380
380
380
380
50
100
150
200
300
400
50
100
150
200
300
400
50
100
150
200
300
400
50
100
150
200
300
400
50
100
150
200
300
400
50
100
150
200
300
400
50
100
150
200
300
400
50
100
150
200
300
400
50
100
150
200
300
100
200
300
400
100

VF

(!lOllS)

1.2

1.0

0.5

0.8

1.0
1..0
1.0
0.15
0.3
0.3
0.15
0.15
0.15
0.15
0.15
0.15
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

!i'~.IF

10M

10M
10M
40M
10M
200M
50M
0.25
0.35
0.4
0.25
0.4
0.25
0.3
0.35
0.4
0.25
0.25
0.25
0.25
0.25
0.25
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
5.0
5.0
5.0
5.0
5.0
0.25
0.25
0.25
0.25
0.6

1-17

In

1.0*

30*
10*
15*

2511
1.011

1.ON

IZT
mA

Tal
VZ±%

Po

REFERENCE DIODES
IPs!

4.0

2.0

6.0

0.3
0.15
4.0

15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
50
50
50
50
50
15
15
15
15
24

160
160
180
180
180
200
200
200

In

%JOC

mA

Temp
Rfnge

'0.80
0.80
0.68
0.68
0.68
0.65
0.65
0.65

10
5.0
20
10
5.0
20
10
5.0

400M
400M
400M
400M
400M
400M
400M
400M

Tc

•

lNl086-1N1176
RECTIFIERS
z
;:::

.....

"'...;;:"

TYPE

I-

c

CI

REPLACEMENT

REF.

:IE

•

"'"
c..>

;:;:

;:::
z:
53

...

VR
(volts)

1N1l68
1N1l69
IN1l69A
IN1l70
1Nll71
1Nll72
1Nll73
1N1l74
IN1l75
1N1l76
Replacement

S
S
S
S
S
S
S

G
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

1N4005
1N4005
1N4002
1N4003
1N4004
1N4004
1N4005
1N4005

1N4001
IN4001
IN4001
IN4001
1N4001
IN4001
IN4001
IN4001

MRll21 *
MR1l22 *
MR1l23 *
MR1l24 ;,
MR1l25 *
MR1126 *

MR1l20
MR1l20
MR1l20
MR1l20
MR1120
MR1120

~'(

1N1124 "

~'(

1N1125 ;,
.,'(

1N1126
1N1l26

*
*
;,
.,~

1N1l26 ;,
Microwave S-X-band

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

.

G
S
S
S
S
S
S

1N4004
lN4004

lN400l
lN400l

R
R
R
R
R
R
R
DS
R
R
R
R

10
(Amps)

,W',@;l""
.+'OItsI...

200
300
400
100
200
300
400

1.5
1.5
1.5
1.5
1.5
1.5
1.5

0.6
0.6
0.6
2.0
2.0
2.0
2.0

0;4

' S.OM

500
0.5
600
0.5
100
1.2
1.2
200
R
300
1.2
400
1.2
R
500
1.2
R
R
600
1.2
R
800
3.0
1200
4.5
R
R
1600
6.0
R
2000
7.5
2400
R
9.0
2800
R
10.5
100
0.65
R
R
200
0.65
R
300
0.65
R
400
0.65
R
500
0.65
R
600
0.65
R
200
1.1
R
200
R
1.1
300
R
300
R
400
1.1
R
400
R
500
1.1
R
500
R
600
1.1
R
600
R
1500
15
R
1500
15
Mixer; NF ~ 9.5 dB
R
1500
15
R
1500
7.5
18
R
1800
R
1800
9.0
R
2400
24
2400
12
R
3600
27
R
R
3600
18
R
4800
36
R
4800
24
R
45
6000
R
6000
30
R
7200
54
R
7200
36
R
8000
60
R
12K
60
R
14K
52
R
16K
60
R
1600
1600
6.5
R
50
R
100
R
200
R
300
R
50
R
100
R
200
R
300
R
R
50
100
R
200
R

0.425
0.4
0.25
0.25
0.25
0.25
0.25
0.25
0.225
0.212
0.2
0.187
0.175
0.162
0.6
0.6
0.6
0.6
0.6
0.6

R
R
R
DS
R
R
R
R
R
R

ZENER DIODES

IR
(rnA)

IFSM

....•.... ·SIG~L.DIOOESi," ....
,,"·PRV.'

(voltsl

1N1086
1N1087
1N1088
1N1089
1N1090
1N1091
1N1092
1N1093
1N1095
1N1096
1NllOO
INll01
1Nll02
1Nll03
1Nll04
1Nll05
1Nll08
1Nll09
1N1110
1Nllll
1N1112
1Nll13
1Nl115
1Nll16
1Nll17
1N1118
1N1119
1N1120
1N1124
1N1124A
1N1l25
1N1l25A
1N1l26
1N1l26A
1N1l27
1N1l27 A
1N1l28
1N1l28A
1N1l30
1N1l31
1N1l32
1N1l33
1N1l34
1N1l35
1N1l36
1N1l37
1N1l38
1N1l39
1N1l40
1N1l41
1N1l42
1N1l43
1N1l43A
1N1l44
1N1l45
1N1l46
1N1l47
1N1l48
1N1l49
1N1l50
1N1l50A
1N1l57
lN1l58
1N1l59
1N1l60
1N1l61
1N1l62
1N1l63
1N1l64
1N1l65
1N1l66
1N1l67

VF
(volts)

15

300
400

SO

50
100
200
300
50
100

LO

3.3
3.3
1.0
3.3
1.0
3.3
1.0
3.3
0.3
0.3
0.085
0.115
0.075
0.095
0.057
0.070
0.075
0.075
0.070
0.057
0.057
0.075
0.057
0.070
0.050
0.050
0.057
0.050
0.75
0.375
20
20
20
20
35
35
35
35
100
100
100
100
0.5
0.5
4'.OM

denotes exact device type replacement available on request.

1-18

20
20
20
20
35
35

I.'" ~

25*

0.3
0.3
0.2
0.2
0.2
0.2
0.2
0.2

24
24
24
24
24
24
24

0:'5

15
15
15
15
15
15
15
15
13.5
12.7
12
11.2
10.5

0.4
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.05
0.05
0.025
0.025
0.025
0.025
0.025
0.025
0.025
0.025
0.025
0.025
0.025
0.025
0.025
0.025
0.025
0.025
0.025
0.025
1.0

9.7
15
15
15
15
15
15
25
25
25
25
25
25
25
25
25
25

3.5
3.5
3.5
3.5
3.5
3.5
3.5
3.5
3.5
3.5
3.5
3.5
3.5
3.5
3.5
3.5
3.5
3.5
8.0
10
200

350
350
350
350
1000
1000
1000
1000
3.5
S.D"

350
350

Vz(nom)

IZT
mA

Tol
VZ±%

PD

. .REFERENCE£:)IQDES
\k(nom)

~.
<
'

SffR",RanlJll
.

Temp

1Nl177 -1 N1223A
RECTIFIERS

ZENER DIODES

z:

C>

-'
:$

TYPE

ffi
....

"'::E"

!;i
REPLACEMENT

REF.

'-"
;;:

;::::
z:
5!

...

VR
(volts)

S
S
S
S
S
S
S

1N1l84

S

IR
(rnA)

IFSM

VF

@

If

(wIIs)

IR

to.

{usl

1.7

35
35
100
100
100
100
35

10

350
350
1000
1000
1000
1000
500

1.7

35

10

500

150

1.7

35

10

500

INll83

R
R
R
R
R
R
R

200
300
50
100
200
300
50

INn83

R

100

1N1l85

S

1Nn83

R

1N1l86

S

1Nn83

R

200

1.7

35

10

500

1N1l87

S

1Nll83

R

300

1.7

35

10

500

1N1l88

S

R

400

1.7

35

10

500

1N1l89
1N1l89A
1N1l90
1N1l90A
1N1l91
1N1l91A
1Nll92
1N1l92A
1N1l93
1N1l93A
1N1l94
1N1l94A
1N1l95
1N1l95A
1N1l96
1N1l96A
1N1l97
1N1l97A
1N1l98
1N1l98A
1N1l99
1N1l99A
1N1l99B
1N1200
1N1200A
1N1200B
1N1201
1N1201A
1N1201B
1N1202
1N1202A
1N1202B
1N1203
1N1203A
1N1203B
1N1204
1N1204A
1N1204B
1N1205
1N1205A
1N1205B
IN1206
1N1206A
1N1206B
1N1217
1N1217A
1N1217B
1N1218
1N1218A
1N1218B
1N1219
1N1219A
1N1219B
1N1220
1N1220A
1N1220B
1N1221
1N1221A
1N1221B
1N1222
1N1222A
1N1222B
1N1223
1N1223A

S
S
S
S
S
S

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R

500
500
600
600
50
50
100
100
150
150
200
200
300
300
400
400
500
500
600
600
50
50
50
100
100
100
150
150
150
200
200
200
300
300
300
400
400
400
500
500
500
600
600
600
50
50
50
100
100
100
150
150
150
200
200
200
300
300
300
400
400
400
500
500

1.7
1.2
1.7
1.2
2.35
_2.0
2.35
2.0
2.35
2.0
2.35
2.0
2.35
0.6
2.35
0.6
2.35
0.6
2.35
0.6

35
40
35
40
18
22
18
22
18
22
18
22
18
20
18
20
18
20
18
20
12
12
12
12
50
12
12
50
12
12
50
12
12
50
12
12
50
12
12
50
12
12
50
12
1.6
1.6
1. 35
1.6
1.6
1.35
1.6
1.6
1.35
1.6
1.6
1. 35
1.6
1.6
1.35
1.6
1.6
1.35
1.6
1.6

10
2.0
10
1.8
5.0
2.5
5.0
2.5
5.0
2.5
5.0
2.5
5.0
3.2
5.0
2.5
5.0
2.2
5.0
1.5
10
3.0
0.9
10
2.5
0.9

500
800
500
800
220
500
220
500
220
SOD
220
500
220
350
220
350
220
350
220
350

1Nll83
1Nll83
1Nll83

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

1N1199
1N1200
IN1201

S
S
S
S
S
S
S
S
S
S
S
S

1N1202

S
S
S
S
S
S
S
S
S
S
S
S

IN1206

S
S
S
S
S
S
S
S
S
S

IN1203
1N1204

**
**
*
*
*
*
*
*
**

IN1205 "*

*
*

1N4001
1N4001

IN4001
1N4001

1N4002
1N4002

1N4001
1N4001

1N4003
1N4003

IN4001
IN4001

1N4003
1N4003

1N4001

IN4004
1N4004

1N4001
IN4001

1N4004
1N4004

IN4001
IN4001

1N4005
1N4005

IN4001
IN4001

1N4001

R
R
R
R
R
R
R
R
R
R

1. 35
1.2
1. 35
1.2
1.35
1.2
1. 35
1.2
1.35
1.2
1. 35
1.2
1.35
1.2
1.35
1.2
1.0
1.5
1.7
1.0
1.5
1.7
1.0
1.5
1.7
1.0
1.5
1.7
1.0
1.5
1.7
1.0
1.5
1.7
1.0
1.5

Replacement * denotes exact deVice type replacement available on request.

1-19

10

2.25
0.9
10
2.0
0.9
10
1. 75
0.9
10
1.5
0.9
10
1.25
0.9
10
1.0
0.9
1.5
0.05
0.3
1.5
0.05
0.3
1.5
0.05
0.3
1.5
0.05
0.3
1.5
0.05
0.3
1.5
0.05
0.3
1.5
0.05

Vz(nom)

IZT
rnA

Tol
Vz±-%

PD

REFERENCE DIODES

SIGNAl.. DIODES
PRV
(volts)

1Nll77
1N1l78
1N1l79
1N1l80
1N1l81
1N1l82
1N1l83

10
(Amps)

VF
(volts)

240
250
240
250
240
250
240
250
240
250
240
250
240
250
240
250
20
25
20
25
20
25
20
25
20
25
20
25
20

VZ(nom!

TC

%I"C

IZT

mA

Temp

Range

•

lN223B-1N1284
RECTIFIERS
TYPE

..........
...
il2

I-

,~

REPLACEMENT

REF.

:IE

~

VR
(volts)

;:;:

...

;:

z

52

S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

1N4005
1N4005

IN4001
1N4001

1N4006
1N4006

1N4001
IN4001

1N4006
IN4006

IN4001
IN4001

MR1l20

MR1l20

MR1l21

MR1l20

MR1l22

MR1l20

MR1l22

MR1l20

MR1l23

MR1l20

MR1l24

MR1l20

MR1l25

MR1l20

MR1l26

MR1l20

s

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
BR
R
R
R
R
R
R
R

IN4001
1N4002
IN4003
1N4004
1N4004

R

IN4001
1N4001
1N4001
1N4001
IN4001

R

R
R
R
R
R
R
R
R
R

R
R
R
R
R
R
R
R

S
S
S
S
S
S
S
S
S
S
S
S

R
R
R
R
R

S
S
S
S
S
S
S
S
S
S

R
R

R

R
R
R
R
R

R

R
R
R
R
R

R

R
R

VF
(volts)

10
(Amps)

"SIG!'I~

cC,'

PRV
c(voltsi

INl223B
1N1224
1N1224A
1N1224B
1N1225
1N1225A
1N1225B
1N1226
IN1226A
1N1226B
1N1227
IN1227A
IN122S
1N122SA
1N1229
1N1229A
1N1230
1N1230A
1N1231
1N1231A
1N1232
1N1232A
IN1233
1N1233A
1N1234
1N1234A
1N1235
1N1236
1N1237
1N123S
1N1239
1N1240
1N1241
1N1242
1N1243
1N1244
1N1244A
1N1245
1N1246
IN1247
1N1248
IN1249
1N1250
1N1251
1N1252
1N1253
1N1254
1N1255
1N1255A
1N1256
1N1257
IN125S
1N1259
1N1260
IN1261
1N1262
IN1263
IN1263A
IN1264
IN1264A
1N1265
IN1265A
IN1266
IN1266A
IN1267
IN1267A
1N126S
1N126SA
1N1269
IN1269A
IN1270
1N1270A
1N1271
1N1272
1N1273
1N1274
1N1275
INl276
1N1277
1N12S1
IN1282
1N1283
IN1284

ZENER DIODES

z

c::>

500
600
600
600
700
700
700
SOO
SOO
SOO
50
50
100
100
150
150
200
200
300
300
400
400
500
500
600
600
700
SOO
1600
1600
2S00
50
100
200
300
400
400

500
600
700
SOO
900
1000
50
100
200
300
400
400
500
600
700
SOO
900
1000
4500
50
50
100
100
200
200
300
300
50
50
100
100
200
200
300
300
50
100
150
200
300
400
500
50
100
150
200

c

I

IR
(rnA)

IFSM

DEcSc.c"c'c;'....cc

W'

~l
1.7
1.0
1.5
1.62
1.0
1. 55
1. 62
1.0
1.50
1.5S
1.0
1.5
1.0
1.5
1.0
1.5
1.0'
1.5
1.0
1.5
1.0
1.5
1.0
1.5
1.0
1.5
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

1. 35
1.6
1.6
1. 25
1.6
1.1
1.25
1.6
1.1
1.15
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
0.75
0.75
0.5
0.25
0.25
0.25
0.2
0.15
0.2
0.13
0.115
0.1
O.OS
0.065
0.05
0.25
0.25
0.25
0.2
0.15
0.2
0.13
0.115
0.1
O.OS
0.065
0.05
0.25
150
200
150
200
150
200
150
200
150
200
150
200
150
200
150
200
160
160
160
160
160
160
160
160
160
160
160

1-20

0.3
1.5
0.05
0.3
1.5
0.5
0.3
1.5
0.5
0.3
1.5
0.05
1.5
0.05
1.5
0.05
1.5
0.05
1.5
0.05
1.5
0.05
1.5
0.05
1.5
0.05
1.5
1.5
0.5
0.5
0.5
0.5
0.5
0.5
0.4
0.3
0.2
0.1
0.1
0.1
0.5
0.5
0.5
0.5
0.5
0.5

25
20
25
20
25
25
20
25
25
20
20
20
20
20
20
20
20
20
20
S.O
S.O
5.0
5.0
5.0
5.0
5.0
5.0

5.0
5.0
5.0
5.0
5.0

0.4

0.3
0.2
0.1
0.1
0.1

40
40
40
40
40
40
40
40
40
40
40

2.5
1500
2000
1500
2000
1500
2000
1500
2000
1500
2000
1500
2000
1500
2000
1500
2000

~

V""_'. mA

VU•.•• "

i'i~EFE
c
ODes ..
.'c,. ' c c c c c c T e m p '
c Vzjnomi
Range:
:.:·c c.c .'_
c c.

1N1285-1N1355A
RECTIFIERS

ZENER DIODES

:z
CI

-'

...!c
~

a:

TYPE

REPLACE MENT

REF.

::IE

~

VR
(volts)

...:z5!

PRY

;;:
""
;::

VF
(volts)

Replacement

s

R
R
R
R
R
R
R
R
R
R
R
R
R
R

S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

MZ9Z-Z.4,A,B
MZ92-Z.4,A,B
MZ9Z-Z.4,A,B
MZ92-2.4,A,B
MZ92-2.4,A,B
MZ92-2.4,A,B
MZ92-2.4,A,B
MZ92-2.4,A,B
MZ92-Z.4,A,B
MZ92-2.4,A,B
MZ92-2.4,A,B
MZ92-Z.4,A,B
MZ92-2.4,A,B
MZ92-2.4,A,B
MZ92-2.4,A,B
MZ92-2.4,A,B
MZ92-2.4,A,B
MZ92-2.4,A,B
MZ92-2.4,A,B
MZ92-2.4,A,B
NZ92-2.4,A,B
MZ92-2.4,A,B
MZ92-2.4,A,B
MZ92-2.4,A,B
MZ92-2.4,A,B
MZ92-2.4,A,B
R
R
R
R
R
R
R
R

*
MR1120*

MRU20

*
MR1121*

MRU20

*
MR1122 *

MRn20

*
MR1122 *

MRUZO

S
S
S
S
S
S
S
S
S
S
S
S

*
MR11Z3*

MRU20

*
MR1124 *

MRll20

*
MR11Z5*

MRUZO

*
MR1126*

MRU20

S
S
S
S
S
S
S
S
S
S

1NZ974A *
1NZ974B *
1NZ975A *
1NZ975B ;;
1N2976A *
1NZ976B *
iNZ977A *
IN2977B *
IN2979A *
1NZ979B *

1NZ970
1NZ970
1NZ970
1NZ970
IN2970
1NZ970
IN2970
IN2970
1N2970
1NZ970

S
S

S
S
S
S
S
S
S
S
S
S

.

MZ92-8.8A
MZ92-8.8B
MZ9Z-10.5A
MZ9Z-10.5B
MZ92-12.8A
MZ92-12.8B
MZ92-1S.8A
MZ92-1S.8B
MZ92-19A
MZ92-19B
MZ92-23.SA
MZ92-23.SB
MZ92-28.SA
MZ92-28.SB
MZ92-34.SA
MZ92-34.SB
MZ92-41A
MZ92-41B
MZ92-48.SA
MZ9Z-48.SB
MZ9Z-S8A
MZ92-S8B
MZ92-71A
MZ92-87.SA
MZ92-10SA
MZ9Z-127.SA

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R

IR
(mA)

VF

300
400
500
50
100
150
200
300
400
500
50
100
200
300

IIjl

IF

(lIOItsl

0.63
0.63
0.63
0.63

lS00
50
100
ISO
200
300
400
500
50
SO
50
100
100
100
150
150
150
200
ZOO
200
300
300
300
400
400
400

sao

500
SOO
600
600
600

1.3

1.6
1.4
1.2
1.6
1.4
1.2
1.6
1.4
1.2
1.6
1.4
1.Z
1.6
1.4
1.Z
1.6
1.4
1.Z
1.6
1.4
1.2
1.6
1.4
1.2

IR

160
160
160
160
160
160
160
160
160
160
17.5
17.5
17.5
17.5

40
40
40
40
40
40
40
40
40
40
15
5.0
5.0
5.0

0.1
240
240
240
240
240
240
240
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0

0.02
SO
SO
SO
SO
SO
50
SO
4.0
3.0
0.45
4.0
2.5
0.45
4.0
2.25
0.45
4.0
2.0
0.45
4.0
1. 75
0.45
4.0
1.5
0.45
4.0
1.Z5
0.45
4.0
1.0
0.45

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

1-21

Tal
V2±.%

Vz(nom)

t"

Vz(nomj

IZT

Temp

%IOC

mA

Range

8.8
8.8
10.5
10.5
12.8
12.8
lS.8
lS.8
19
19
23
23
28
28
34.S
34.S
41
41
48.S
48.S
S8
S8
71
89.5
lOS
127

0.2
O.Z
0.2
O.Z
0.2
0.2
0.2
O.Z
O.Z
0.2
0.2
0.2
0.2
0.2
O.Z
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2

10
5.0
10
5.0
10
S.O
10
S.O
10
S.O
10
S.O
10
5.0
10
S.O
10
S.O
10
S.O
10
S.O
10
10
10
10

150M
150M
150M
150M
150M
lS0M
lS0M
lS0M
150M
lS0M
150M
lS0M
lS0M
lS0M
lS0M
150M
lS0M
lS0M
lS0M
lS0M
lS0M
lS0M
lS0M
lS0M
lS0M
lS0M

10

500
500
SOO
500
SOO
SOO
SOO
500
SOO
500

10

lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

PD

REFERENCE DIODES
4ps)

300
300
300
300

TC

2.0

150
150
160
150
150
160
150
150
160
lS0
150
160
150
150
160
150
150
160
150
150
160
150
150
160
10
11
11
1Z
1Z
13
13
15
15

denotes exact deVice type replacement available on request.

IZT
mA

IFSM

SIGNAL DIODES
(volts)

1N1285
INIZ86
IN1287
IN1291
1N1292
IN1293
1N1294
IN1295
IN1296
1N1297
1N1301
IN130Z
1N1304
1N1306
1Nl3l3
1Nl313A
1Nl314
1N1314A
IN131S
IN131SA
INl316
1N1316A
INl317
IN1317A
INl318
INl318A
IN1319
IN1319A
IN13Z0
IN1320A
IN1321
1N1321A
IN1322
IN132ZA
IN1323
IN1323A
IN1324
IN132S
INl326
IN1327
IN1329
IN1330
IN1331
IN1332
IN1333
IN1334
IN133S
1N1336
IN1341
IN1341A
1N1341B
1N1342
IN1342A
1N1342B
1N1343
1N1343A
1N1343B
IN1344
1N1344A
1N1344B
1N1345
1N1345A
IN1345B
1N1346
1N1346A
1N1346B
1N1347
1N1347A
IN1347B
1N1348
1N1348A
1N1348B
1N1351
1N1351A
1N13SZ
1N1352A
IN1353
1N1353A
IN13S4
1N1354A
IN135S
IN135SA

10

(Amps)

5.0

10

5.0

10

5.0
10
5.0
10

5.0

•

lN1356-1N1432
RECTIFIERS
z:
Q

.....

S

TYPE

.....
""
.....

""

REPLACEMENT

REF .

to:
~

~

•

~
...,
z:
.....
e

VR
(volts)

" 'Oc",

VF
(volts)

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

1N2980A *
1N2980B *
1N2982A "
1N2982B "
1N2984A "
1N2984B *
1N2985A *
1N2985B *
1N2986A "
1N2986B "
1N2988A *
1N2988B *
1N2989A *
1N2989B *
1N2990A ;,
1N2990B "
1N2991A ;,
1N2991B *
IN2992A *
lN2992B *
1N2993A ,',
1N2993B *
1N2995A "
1N2995B ;,
1N2997 A *
1N2997B "
1N2999A ;,
1N2999B "
1N3000A "
1N3000B "
1N3001A "
1N3001B "
1N3002A "
1N3002B *
1N3003A *
1N3003B "
1N3004A "
1N3004B "
1N3005A "
1N3005B *

1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
IN2970
IN2970
IN2970
IN2970
IN2970
1N2970
1N2970
IN2970
IN2970
IN2970
1N2970
IN2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
lN9270
1N9270
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970

MR1810SB
MR1811SB
MR1812SB
MR1813SB
MR1815SB
MR1817SB
MR18l8SB
MR18l9SB
1N4005
1N4006
1N4007
MR991A
MR991A
MR992A
MR992A
MR993A

HR1210
MR1210
MRl210
MR1210
MR1210
MR1210
MR1210
MR1210
1N4001
1N4001
1N4001
MR990A
MR990A
MR990A
MR990A
MR990A

1N2972B
1N2976B
1N2979B
1N2982B
1N2985B
1N2988B
1N3001B
1N3005B
1N3011B
1N4738A
1N4742A
1N4744A
1N4746A
1N4748A
1N4750A
1N4760A
1N4764A

1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2070
1N2070
1N2070

5

S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S

S
S

S
S
S
S

S
S

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
R
R
R
R
R
R
R
R
R
R
R
R
R
R

R
R
R
R

R
R
R
R
R
R

DS
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

ZENER DIODES

IR
(rnA)

SIGNAL:D.lODES '

':;PRV
(voltsl

1N1356
1N1356A
1N1357
1N1357A
1N1358
1N1358A
1N1359
1N1359A
1N1360
1N1360A
1N1361
IN1361A
IN1362
IN1362A
1N1363
IN1363A
IN1364
1N1364A
IN1365
lN1365A
1N1366
1N1366A
1N1367
1N1367A
1N1368
1N1368A
1N1369
1N1369A
1N1370
1N1370A
1N1371
1N1371A
lN1372
1N1372A
1N1373
lN1373A
1N1374
IN1374A
1N1375
1N1375A
1N1376
1N1377
1N1378
1N1379
1N1380
1N1381
1N1382
1N1396
1N1397
1N1398
lN1399
1N1400
1N1401
1N1402
1N1403
1N1406
IN1407
1N1408
1N1409
1N1410
1N1411
1N1412
1N14 13
1N1414
1N1415
1N1416
1N1417
1N1418
1N1419
1N1420
1N1421
1N1422
1N1423
1N1424
1N1425
1N1426
1N1427
1N1428
1N1429
1N1430
IN1431
1N1432

10
(Amps)

50
100
150
200
300
400
500
50
100
150
200
300
400
500
600
600
800
1000
1200
1500
1800
2000
2400
400

W'@"IF
1~,,;,

1.55
1. 55
1. 55
1. 55
1. 55
1. 55
1. 55
1.55
5.0
5.0
5.0
5.0
6.25
7.5
6.25
7.5
1. 25

1.1

Replacement'" denotes exact deVice type replacement available on request.

1-22

240
240
240
240
240
240
240
70
70
70
70
70
70
70
70
0.1
1.0
1.0
0.1
0.1
0.1
0.1
0.1
10

l.0

IR

50
50
50
50
50
50
50
15

IFSM
,

"

~;l

Vz(nom)

IZT
rnA

Tol

Vz±%

Po

REFERENCE DIODES "
Te': iir
Temp

Vz(nomj

mA

Range

10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0

lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

200
200
100
100
100
50
20

5.0
5.0
5.0
5.0
5.0
5.0
5.0

lOW
lOW
lOW
lOW
lOW
lOW
lOW

20
10
20
20
10
10
10
5.0
2.0
2.0

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

16
16
18
18
20
20
22
22
24
24
27
27
30
30
33
33
36
36
39
39
43
43
47
47
51
51
56
56
62
62
68
68
75
75
82
82
91
91
100
100

%I"C:'
500
500
150
150
150
150
150
150
150
150
150
150
150
150
150
150
150
150
150
150
150
150
150
150
150
150
150
150
50
50
50
50
50
50
50
50
50
50
50
50

8.2
12
15
18
22
27
68
100
150
8.2
12
15
18
22
27
68
100

1200

~;

g~~

15
15
15
15
15
0.1
0.1
0.1
0.1
0.1
0,1
0.1
0.1
1.0
1.0*.

1200
1200
1200
1200
1200
3.5
3.5
3.5
3.5
3.5
3.5
3.5
3.5
100

lOW
lOW
1. OW

LOW
LOW
LOW
LOW
LOW
LOW
1. OW

lN1433-1N1517
RECTIFIERS

ZENER DIODES

:z

c::>

-'
:$

TYPE

...""
!:i

REPLACEMENT

REF.

:E

lNl4-33
IN1434

S
S

lN1435
lNl4-36
lNl4-37
1N1438
1N1440

S

IN1441

S

lN1442
IN1443
1N1443A
IN1443B
IN1444
1N1445
1N1446
lN1447
1N1448
lN1449
lN1450
1N1451
lN1452
1N1453
1N1454
lN1455
lN1456
lN1457
lN1458
lN1459
lN1460
lN146l
lN1462
IN1463
lN1464
IN1465
lN1466
lN1467
lN1468
lN1469
lN1470
lN1471
lN1472
lN1473
lN1474
lN1475
lN1476
lN1477
lN1478
1N1479
lN1480
lN148l
lN1482
lN1483
lN1484
lN1485
lN1486
lN1487
lN1488
1N1489
lN1490
lN149l
IN1492
IN1507
lN1507A
lN1508
lN1508A
lN1509
lN1509A
lN15l0
lN15l0A
lN1511
lN1511A
lN1512
lN1512A
lN1513
1N1513A
1N1514
1N1514A
IN1515
1N1515A
IN1516
1N1516A
lN1517

S

S
S
S

5
S

IM150ZS5
1N1l83
1N1184
1N1186
lN1l88
1N1190

IN1183
1N1183
1N1183
1N1183
IN1183

IN4007

IN4001

MR1130

MR1120

S
S
S
S
S
S

S
S
S

S
S
S

S
S
S
S
S
S
S

S
S
S

S
S
S
S

S
S

MR1221FB
MR1223FB
MR1225FB
MR1227FB

MR1220
MR1220
MR1220
MR1220

MR124lFB
MR1243FB
MR1245FB
MR1247FB
lN3995A
lN3998A
IN4732A
IN4735A
lN4005
lN4002
lN4003
lN4004
lN4004
lN4005
1N4005
lN4730
lN4730A "*
1N4732 *
IN4732A *
1N4734 *
IN4734A *
1N4736 *
IN4736A *
IN4738 *
IN4738A *
1N4740 *
1N4740A *
1N4742 *
1N4742A *
1N4744 *
IN4744A *
IN4746 *
1N4746A *
1N4748 *
1N4748A *
1N4750 *

MR1240
MR1240
MR1240
MR1240
lN3993
lN3993
lN4728
lN4728
lN400l
1N400l
IN4001
lN400l
IN4001
IN4001
1N4001
IN4728
1N4728
IN4728
IN4728
IN4728
lN4728
IN4728
INt,]28
IN4728
IN4728
1N4728
IN4728
1N4728
IN4728
1N4728
IN4728
1N4728
IN4728
IN4728
1N4728
IN4728

S
S
S

S

s
S
S
S

S
S

S
S
S
S

S
S

S
S
S
S
S
S
S

s

S

5
S
S
S

S
S

S
S
S
S

S
S
S
S
S
S
S
S

S

~
~

VR
(volts)

;:::
:z

...e

DZ
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
-R
R
R
DZ
DZ
DZ
DZ
R
R
R

R
R
R
R
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

10
(Amps)

VF
(volts)

IR
(mA)

IFSM

Vz(nom)

1"

Vz(nom)

SIGNAL DIODES
PRV
(volts)

VF

@

IF

(1IOIts)

IR

1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.0
1.45
1. 55
1.0
2.0
2.0
2.0

500
100
200
300
400
500
600

30
30
30
30
30
0.75
0.75
0.75
1.6
1.1
1.1
1.6
0.2

1.4

2.0
1.4
1.4

1.4
1.4
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

0.55
0.55
0.55
0.55
0.55
0.55

25
25
25
25
35
35
35
35
50
50
50
50
75
75
75
75
100
100
100
100
150
150
150
150
200
200
200
200

0.5
0.25
0.25
0.25
0.25

Replacement * denotes exact deVice type replacement available on request.

1-23

5.0
5.0
5.0
5.0
5.0
0.5
0.5
0.5
1.5
0.5
0.3
1.5
4.0
2.0
2.0
2.0
2.0
5.0
5.0
5.0
5.0
25
25
25
25
25
25
25
25
50
50
50
50
50
50
50
50
100
100
100
100
100
100
100
100
100
100
100
100

3.5
0.4
0.3
0.3
0.3
0.3
0.3

Tol
VZ±%

PD

REFERENCE OIOOES
(1l$1

150
50
100
200
400
600
200
300
400
1000
1000
1000
1000
360
100
200
300
400
100
200
300
400
100
200
300
400
100
200
300
400
100
200
300
400
100
200
300
400
100
200
300
400
100
200
300
400
100
200
300
400

IZT
mA

Izr

%IOC

mA

Temp
Range

1.0

5.0

10·.

TC

30
30
30
20
25
25
20

4.7
6.2
4.7
6.2

200
200
50
20

5.0
5.0
5.0
5.0

lOW
lOW
LOW
1. OW

3.9
3.9
4.7
4.7
5.6
5.6
6.8
6.8
8.2
8.2
10
10
12
12
15
15
18
18
22
22
27

35
35
30
30
25
25
22
22
18
18
15
15
12
12
10
10
8.0
8.0
6.0
6.0
5.0

10
5.0

750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M

15
15
15
15
15
15

10

5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10

•

lN1517A-1N1590A

TYPE

ffi

!;;:

c::o

REPLACEMENT

REF.

:E

•

IN1517A
IN1518
IN1518A
IN1519
IN1519A
IN1520
IN1520A
IN1521
IN152lA
IN1522
IN1522A
IN1523
IN1523A
IN1524
IN1524A
IN1525
IN1525A
IN1526
IN1526A
INI527
IN1527 A
IN1528
IN1528A
IN1530
IN1530A
IN1537
lN1538
lN1539
IN1540
lN1541
lN1542
lN1543
lN1544
IN155l
IN1552
IN1553
IN1554
IN1555
IN1556
lN1557
lN1558
lN1559
lN1560
lN1561
IN1562
IN1563
lN1563A
lN1564
lN1564A
lN1565
lN1565A
IN1566
lN1566A
lN1567
IN1567A
IN1568
lN1568A
lN1569
IN1570
lN1571
lN1572
lN1573
lN1574
lN1575
IN1576
INI577
lN1578
lN1579
IN1580
IN1581
IN1582
IN1583
IN1584
IN1585
IN1586
IN1587
lN1588
lN1588A
IN1589
IN1589A
IN1590
lN1590A

S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S

s
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S

IN4750A
IN4730
IN4730A
IN4732
IN4732A
IN4734
IN4734A
IN4736
lN4736A
IN4738
IN4738A
IN4740
IN4740A
IN4742
IN4742A
IN4744
IN4744A
IN4746
IN4746A
IN4748
IN4748A
IN4750
IN4750A
IN3156
IN3l57
MR1l20
MR1l21
MR1l22
MRl122
MR1l23
MR1l24
MR1l25
MR1l26
MRl12l
MR1l22
MR1l23
MR1l24
MR1l25

;,
~.(

"

;,

"
~'(

;,
~'(

;,
~'(

"

"

*
i.

"

;,

*"it(

;,
;,

"

;,

"

"

'k

;,
'k

,',
;,

,'*,
"k
,,(

IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
tN4728
IN4728
IN4728
IN4728
lN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN3154
IN3154
MR1l20
MR1l20
MRl120
MR1l20
MRl120
MRl120
MR1l20
MR1l20
MR1l20
MRl120
MRl120
MRl120
MR1l20

G
G
S

S
S
S
S
S
S
S
S
S
S
S

S
S
S

S
S
S

S
S
S
S
S
S

S
S
S

S
S
S
S
S
S

S
S
S
S

RECTIFIERS

z

....
:!

MR1120
MR1121
MR1122
MR1123
MR1124
MRl125
MR1l26
IN3993 i(
IN3993A "
IN3995 ,,(
IN3995A ;,
IN3997
IN3997A *
*

MR1120
MR1120
MR1120
MR1120
MRl120
MR1120
MRl120
IN3993
IN3993
IN3993
IN3993
IN3993
IN3993

~

Co>

VR
(volts)

;:;:

...e
;::
z

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DR
DR
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
DS
DS
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
DZ
DZ
DZ
DZ
DZ
DZ

VF
(volts)

10
(Amps)

ZENER DIODES

IR
(rnA)

Vz(nom)

IFSM

50
100
150
200
300
400
500
600
100
200
300
400

500
100
200
300
400
500

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.4
1.4
1.4
1.4
1.4
1.4

1.4
1.4
1.4
1.4

25

0.4

100
100
200
200
300
300

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.2
1.5
1.2
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

25

400

400
500
500
600
600
100
200
300
400

500
600
100
200
300
400
500
600
50
100
200
300
400
500
600

1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6

0.4

12M
8.0M

'.
fR

t"

25*
25*

0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.005
0.003
0.005
0.003
0.005
0.005
0.005

1.0
1.0
1.0
3.5
3.5
3.5
3.5
3.5
3.5
3.0
3.0
3.0
3.0
3.0
3.0
3.0

0.005
0.005
0.005
0.005
0.005
0.005
0.005
0.005
0.005
5.0
5.0
5.0
5.0
5.0
5.0
5.0

\'z(noml

Ips}

Tc

%IOC.

Izr.
mil'

Temp

Range

5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10 -55/100

0~OO2

10 -55/100

5.0
10
5.0
10

750M
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1.0W
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW

,
70
70
70
70
70
70
70
70
70
70
70
70
70
70
70
70
70
70
70
70
70
70
70
70
40
40
40
40
40
40
40
3.9
3.9

4.7

4.7
5.6
5.6

1-24

Po

27
5.0
3.9
50
3.9
50
4.7
40
4.7
40
5.6
35
5.6
35
6.8
30
6.8
30
8.2
25
8.2
25
10
20
10
20
12
15
12
15
15
13
15
13
18
10
18
10
22
9.0
22
9.0
27
7.0
27
7.0
•..... $\4, 6.002

8-4:

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

1.0
1.5
1.0
1.5
1.0
1.5
1.0
1.5
1.0
1.5
1.0
1.5
1.0
1.0
1.0

Replacement * denotes exact deVice type replacement available on request.

Tal
VZ±%

•,., .' REF~Re:NCe: .DIODES

SIGNAL.. DIODES
PRY
Vf·,@,·'F
1,(vofU) • I. ' (\IO!tsI._

IZT
rnA

150
150
125
125
llO
110

10
5.0
10

5.0
10
5.0

3.5W
3.5W
3.5W
3.5W
3.5W
3.5W

lN1591-1N16S0
RECTIFIERS
:z

.....

TYPE

.......""
:E
""
iii:

C>

REPLACEMENT

fi
REF.

~

VA
(volts)

u:::

;:::

...:z
E

VF
(volts)

5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5e
5e
5e
5e
5e
5e
5e
5e
5e
5e
5e
5e
5e
5e
5e
5e
5e
Se
5e
5e
5
5
5
5
5
5
5

IN2970RA *
IN2970RB *
IN2972RA ,>
IN2972RB ,>
IN2974RA *
1N2974RB *
IN2976RA *
1N2976RB *
IN2979RA *
IN2979RB ,>
1N2982RA "
1N2982RB *
IN2985RA 1,
IN2985RB *
IN2988RA "
·lN2988RB "
IN3993
1N3993A *
IN3995
**
IN3995A *
1N3997
IN3997A **
1N2970RA *
1N2970RB *
IN2972RA *
IN2972RB "
IN2974RA *
IN2974RB 1,
IN2976RA *
1N2976RB *
1N2979RA *
1N2979RB *
IN2982RA *
IN2982RB *
IN2985RA *
IN2985RB *
IN2988RA *
IN2988RB 1,
Microwave
Microwave
Microwave
Microwave

MR1l20 *
MRll21*
MR1l22 *
MR1l24 *
MR1l26 *
IN4002
1N4003
IN4004
1N4004

IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
1N2970
IN2970
IN2970
IN2970
IN2970
1N2970
1N2970
1N3993
IN3993
IN3993
IN3993
IN3993
IN3993
1N2970
1N2970
.lN2970
IN2970
IN2970
IN2970
IN2970
IN2970
1N2970
IN2970
1N2970
1N2970
1N2970
IN2970
IN2970
IN2970

S-X-band
C-X-band
C-X-band
C-X-band

MR1l20
MR1l20
MR1l20
MR1l20
MR1l20
1N4001
IN4001
IN4001
IN4001

10
(Amps)

IA
(mA)

IFSM

SIGNAL DIODES

PRV

(volts)

IN1591
IN1591A
IN1592
IN1592A
IN1593
IN1593A
IN1594
IN1594A
IN1595
IN1595A
IN1596
IN1596A
IN1597
IN1597A
IN1598
IN1598A
IN1599
IN1599A
IN1600
IN1600A
IN1601
IN1601A
IN1602
1N1602A
1N1603
IN1603A
IN1604
IN1604A
IN1605
IN1605A
IN1606
IN1606A
IN1607
IN1607A
IN1608
IN1608A
IN1609
IN1609A
IN1610
IN1611
IN1611A
IN1611B
IN1612
IN1613
IN1614
IN1615
IN1616
IN1617
IN1618
IN1619
IN1620
IN1621
IN1622
IN1623
IN1624
IN1625
IN1625A
IN1626
IN1626A
IN1627
IN1628
IN1629
IN1630
IN1631
IN1632
1N1633
IN1634
IN1635
IN1636
IN1637
IN1638
IN1639
IN1640
IN1641
IN1642
IN1644
IN1645
IN1646.
1N1647
IN1648
IN1649
IN1650

ZENER DIODES

VF I;>
(volts)

IF

IR

II'SI

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
Detector
Detector
Detector
Detector

6.8
6.8
8.2
8.2
10
10
12

100
100
80
80
70
70
50
50
40
40
35
35
30
30
25
25
500
500
400
400
350
350
300
300
250
250
200
200
170
170
140
140
110
110
90
90
70
70

10
5.0
10
5.0

22

10

10
12
12
15
15
18
18
22

22
27
27

1.0
1.0
1.0
1.0
1.0

10

10
10

0.028
0.028
0.028
0.25
0.25
0.25
0.25
0.25
0.25
0.25

Replacement" denotes exact deVice type replacement available on request.

1-25

0.015
0.015
0.015
0.015
0.027
0.027
0.027
0.027
0.027
0.027
0.027
0.027
0.108
0.108
0.108
0.108
0.108
0.240
0.240
0.240
0.4
0.4
0.3
0.3
0.3
0.3
0.3

60
60
60
60
80
80
80
80
0.005
0.01
0.005
0.01
0.08
0.08
0.08
0.08
0.08
0.08
0.08
0.08
0.25
0.25
0.25
0.25
0.25
0.55
0.55
0.55
15
15
15
15
15
15
15

Izr

mA

22
27
27
3.9
3.9
4.7
4.7
5.6
5.6
6.8
6.8
8.2
8.2

5.0
5.0
5.0
5.0
5.0
1.5
1.5
1.5
1.5
10

Tc

%I"C

12

1.5
1.5
1.5
1.5
1.5
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.0
1.0
2.0
2.0
1.0
2.0
3.0
4.0
5.0
6.0
7.0
8.0
1.0
2.0
3.0
4.0
5.0
1.0
2.0
3.0
0.5
0.5
0.5
0.5
0.5
0.5
0.5

Tal
VZ±-%

lIz(nom)

15
15
18
18

50
100
200
400
600
100
200
300
400
100
200
300
400
48
48
96
96
48
96
144
192
240
288
336
384
48
96
144
192
240
48
96
144
50
100
150
200
250
300
350

IZT
mA

Po

REFERENCE DIODES

1"

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R

Vz(nom)

10

5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10

5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10

5.0
10
5.0
10
5.0
10

5.0
10
5.0

Temp

Ral1ge
3.5W
3.5W
3.5W
3.5W
3.5W
3.5W
3.5W
3.5W
3.5W
3.5W
3.5W
3.5W
3.5W
3.5W
3.5W
3.5W
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

lN1651-1N1749
RECTIFIERS
:z
CI
;:::

.....
co:

TYPE

...ffi
co:

REPLACEMENT

REF.

:E

•

1N1651
IN1652
1N1653
1N1660
IN1661
IN1662
1N1663
IN1664
IN1665
IN1666
IN1670
1N1671
1N1672
1N1673
1N1674
1N1675
1N1676
1N1680
1N1681
IN1682
IN1683
1N1684
1N1685
IN1686
IN1687
IN1688
1N1689
1N1690
IN1691
1N1692
IN1693
1N1694
IN1695
1N1696
1N1697
1N1698
IN1699
1N1700
1N1701
1N1702
1N1703
1N1704
IN1705
IN1706
1N1707
IN1708
IN1709
INl710
IN1711
1Nl712
1Nl730
INl730A
1Nl731
1Nl731A
1N1732
INl732A
1N1733
INl733A
1Nl734
1Nl734A
1Nl735
1N1736
INl736A
1Nl737
1N17 3 7A
1Nl738
IN1738A
1Nl739
1N1739A
1N1740
1N1740A
1N1741
1N1741A
1N1742
1N1742A
1N1743
1N1744
1N1745
1N1746
1N1747
IN1748
IN1749

S
S
S
S
S
S
S
S
S

S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S

S
S
S

MRl221SB
MR1222SB
MR1223SB
MR1225SB
MR1227SB
MR1228SB

IN4002
1N4003
IN4004
IN4004
IN4005
1N4005

MR1220
MR1220
MR1220
MR1220
MR1220
NR1220

1N4001
1N4001
IN4001
IN4001
1N4001
1N4001

IN4001
IN4001
1N4001
IN4001
1N4001
1N4001
IN4001
1N4001
1N4001
1N4001
1N4001
1N4001
IN4001

MR991A

MR990A

MR992A

MR990A

HR994A

MR990A

S
S

MR996A

MR990A

S

1N821
1N941A
1N942A
1N4060
IN4060A
1N4062
1N4062A
IN4064
1N4064A
1N4066
1N4066A
1N4067
IN4067A
IN4069
IN4069A
1N2974A
1N4740

S

S
S
S
S
S
S
S

S
S

S
S

S

s
s
s

S

s
S
s
S

s

S
S
S
S
S

s

S
S

S
S
S

S

;:::

...

z:
5!

1N4001
1N4002
1N4003
1N4004
1N4004
1N4005
IN4001
1N4002
1N4003
IN4004
IN4004
IN4005
1N4007

S

S
S
S
S
S
S

co:
'-'
ii:

~~
~'(

""0:

".,,'(
i(

.

~

.,,'-:

.
.

~
~

.,,'(
i(

*
"*

1N821
1N941
1N941
1N4Z9
1N429
1N429
1N429
1N429
1N429
1N429
1N429
IN429
1N429
IN429
1N429
1N2970
1N4728

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R

R
R

R
R
R
R
R
R
R
R
R
R
R
R
R
R

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DZ
DZ
R
R
R
R
R

VA
(voltsl
.

VF
(voltsl

10

(Amps)

ZENER DIODES

IA
(rnA)

IFSM

Vz(noml

IA

t"
illS!

VzlnomJ

0.3
0.3
0.3
40
40
40
40
40
40
40
50
50
50
50
50
50
50
25
25
25
25
25
25
25
25
25
25
25
25
0.5
0.5
0.5
0.5
0.5
0.5

15
15
15

SIGNAL· DIODES

PRV
{vo11:l1

400
500
600
50
100
150
200
300
400
500
50
100
150
200
300
400
500
150
250
300
350
400
450
500
600
700
800
900
1000
100
200
300
400
500
600
6600
10K
12K
50
100
200
300
400
500
50
100
200
300
400
500
1000
1000
1500
1500
2000
2000
3000
3000
5000
5000

VF@IF

('lQltsl·
0.5
0.5
0.5

1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
0.6
0.6
0.6
0.6
0.6
0.6
33
37
45
1.3
1.3
1.3
1.3
1.3
1.3
1.15
1.15
1.15
1.15
1.15
1.15
5.0

0.25
0.25
0.25
160
160
160
160
160
160
160
240
240
240
240
240
240
240
50
50
50
50
50
50
50
50
50
50
50
50
0.25
0.25
0.25
0.25
0.062
0.058
0.05
0.3
0.3
0.3
0.3
0.3
0.3
0.5
0.5
0.5
0.5
0.5
0.5

0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.1

0.35
5.0

9.0
12
18

0.35
0.35
0.35

0.1
0.1
0.1
0.1

0.35

31
31

37.2

37.2

43.4
43.4

49.6
49.6

Replacement" denotes exact deVice type replacement available on request,

1-26

10
10

0.2
0.2
0.2
0.2
0.2

Te · I z r n =
·mA
%I"C

8.0
8.0
8.0
8.0
8.0
8.0
10
10
10
10
10
10
2.5
6.0
2.5
6.0
2.5
6.0
2.5
6.0
2.5
6.0

24.8

0.32
0.5
0.31
0.38
0.37

Po

700
700
700
700
700
700
700
700
700
700
700
700
20
20
20
20
20
20

18.6
18.6
24.8

15
7.5
18
9.0
24

Tol
VZ±%

REFERENCE DIODES

6.2
12.4
12.4

1500
1500
1800
1800
2400

IZT
rnA

3.5
3.5
3.5
3.5
3.5

0.8

7.5 -55/150

0.4
0.8
0/4
0.8
0.4
0.8
0.4
0.8

-55{150
7.5 -55(1:50
1.5 -55/150

0.11

!l~4

0.8
0.4
0.8 •

7.5
7.5

-55/150

7.S -551150
7.5 -55/150
1.. 5 -55/150
7.5 -55/150
7.5 -55{150
-55/150

Ji -55/150

0.4

U
7.5

250
25

10
10

-55/150
-55{150
-55/150
lOW
LOW

lN17S0-1N1797

...
......""

RECTIFIERS
:z:

c::>

:s
TYPE

t-

!;i
REPLACEMENT

REF.

:E

IN1750
INl751
IN1752
IN1753
IN1754
IN1755
IN1756
IN1757
IN1758
1N1759
IN1760
1N1761
IN1762
IN1763
IN1763A
IN1764
1N1764A
1N1765
IN1765A
1N1766
IN1766A
1N1767
IN1767A
IN1768
1Nl768A
1N1769
IN1769A
INl770
1Nl770A
IN1771
1Nl771A
INl772
1Nl772A
INI773
INl773A
1Nl774
1N1174A
INI775
1Nl775A
1NI776
1Nl776A
1NI777
1N1777A
1NI778
1Nl778A
1NI779
INl779A
1N1780
1N1780A
IN1781
1N1781A
IN1782
1N1782A
1N1783
IN1783A
1N1784
IN1784A
1N1785
IN1785A
IN1786
1N1786A
1N1787
1N1787A
IN1788
IN1788A
1Nl789
IN1789A
1N1790
1N1790A
IN1791
1N1791A
1N1792
INI792A
IN1793
IN1793A
1N1794
1N1794A
IN1795
IN1795A
IN1796
1N1796A
IN1797

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S

S
S
S
S

S

S
S
S
S
S

S

S

S
S

S
S

S
S

S
S
S

S
S
S
S

S
S
S
S

S
S

ZENER DIODES

~

;:::
:z:
5!

...

R
R

R
R
R
R
R
R
R
R
R
R
R
R
R

IN4004

1N4001

IN4005

IN4001

R

1N4734
1N4734A *
*
1N4735 *
1N4735A *
1N4736 *
1N4736A *
1N4737 *
1N4737A "
1N4738
IN4738A *
*
IN4739 *
1N4739A *
1N4740 *
1N4740A *
1N4741 *
1N4741A *
1N4742 *
1N4742A "
IN4743
*
1N4743A *
1N4744 *
1N4744A *
1N4745
1N4745A *
*
1N4746
1N4746A *
*
1N4747
IN4747A *
*
1N4748 *
1N4748A *
1N4749
*
IN4749A *
IN4750 *
IN4750A *
IN4751 *
IN4751A *
1N4752 *
1N4752A *
1N4753 *
1N4753A *
1N4754 *
IN4754A *
IN4755 *
1N4755A *
1N4756
1N4756A *
*
1N4757 *
1N4757A *
1N4758 *
1N4758A *
1N4759 *
1N4759A "
1N4760 *
1N4760A *
1N4761 *
IN4761A *
1N4762 *
IN4762A *
IN4763
*
IN4763A *
1N4764 *
IN4764A *
IMllOZS10*
IMllOZS5 *
1M120ZS10*

1N4728
IN4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
IN4728
IN4728
IN4728
IN4728
IN4728
1N4728
1N4728
1N4728
1N4728
IN4728
1N4728
1N4728
IN4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
IN4728
1N4728
1N4728
1N4728
1N4728
IN4728
IN4728
IN4728
IN4728
IN4728
1N4728
1N4728

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

R

VR
(volts)

10
(Amps)

VF
(volts)

IR
(rnA)

IFSM

SIGNAL DIODES
Vp

PRV
(volu)

(ds)

2400
3600
3600
4800
4800
6000
6000
7200
7200
8000
12K
14K
16K
400
400
500
500

12
27
18
36
24
45
30
54
36
60
60
52
60
3.0
l.2
3.0
l.2

IF

@

0.32
0.42
0.41
0.38
0.37
0.33
0.41
0.33
0.38
0.29
0.29
0.34
0.29
0.5
l.0
0.5
l.0

Vz(nom)

IR

0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.1
0.5
0.1
0.5

3.5
3.5
3.5
3.5
3.5
3.5
3.5
3.5
3.5
3.5
3.5
3.5
3.5
35
25
35
25

VZ(nom)

10

10
11
11
12
12
13
13

15
15
16
16
18
18
20
20
22
22
24
24
27
27
30
30
33
33
36
36
39
39
43
43
47
47
51
51
56
56
62
62
68
68
75
7.1
82
82
91
91
100
100

no

110
120

1-27

Tal
VZ±%

Po

REFERENCE DIODES
tf(
(pSI

5.6
5.6
6.2
6.2
6.8
6.8
7.5
7.5
8.2
8.2
9.1
9.1

Replacement * denotes exact deVice type replacement available on request.

I ZT
rnA

TC

%I"C

100
100
100
100
100
100
100
100
100
100
50
50
50
50
50
50
50
50
50
50
50
50
50
50
50
50
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

IZT
rnA

Temp
Range

10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0

l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
1. OW
1. OW
l.OW
1. OW
l.OW
l.OW
1. OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
1. OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
1. OW
l.OW
1. OW
1. OW
1. OW
1. OW
1. OW

10

5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10

•

lN1797 A-1N1840

REPLACEMENT

TYPE

REF.

I
;:::

ffi

RECTIFIERS

ZENER

(V~I~S) (v~tts) (A~PS) (~FJ,,)

IFSM

.z(nom)
:;1 VTZ~%

:

eA~'t,(~t'f~(r\

fR

.:.~~I

~-::7l'!~~=~)~C:-Al-::S-+-"lM:'::1:-:2:-=0=-ZS::-:5:---:;'+:~1-::l'!N""4~':!7:":~2"'~8+=-DD=-ZZ+:';;";;''-+:';;'';;':';;'';;''r-'':';;'';;':';;'';;'+--'-I~''':''''''';
S
S
S
S
S
S
S
S
S
S
S

lM130ZSl0 ;,
lM130ZS5;'
lM150ZSl0;'
lM150ZS5;'
lM160ZSl0;'
lM160ZS5 *
lMlSOZSlO *
lMlSOZS5"
lM200ZSl0',
lM200ZS5',
IN3997R
*

lN4728
lN472S
lN472S
lN472S
lN472S
lN472S
lN4728
IN4728
IN4728
lN3993

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

120
130
130
150
150
160
160
ISO
180
200
200
5.6

5.
5.
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10

S

lN3997RA ;,
IN3998R *
lN3998RA *
lN2970A *
lN2970B;,
lN2971A"
lN2971B;,
lN2972A"
lN2972B"
lN2973A"
IN2973B;,
IN3007A *

~l'!~~~~

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

5. §
6: 2
6.2
6.8
6.8
7.5
7.5
S. 2
S.2
9.1
9.1
110

1000

lN3993
lN3993
lN2970
lN2970
IN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970

5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10

1. OW
1. OW
LOW
LOW
1. OW
LOW
LOW
LOW
1. OW
LOW
1. OW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

1-:1

lN1798
lN1798A
lN1799
lN1799A
lN1800
lN1800A
lNlSOl
lN180lA
IN1802
IN1802A
lN1803
IN1803A
lN1804
IN1804A
lN1S05
IN1S05A
lN1S06
1N1S06A
lN1807
1N1807A
1N180S
lN1808A
IN1809

S
S
S
S

S
S
S
S
S
S

S

i~t~nA

~

1N18l0A
lN1811
lN1811A
lN1812
lN18l2A
lNlS13
lN1813A
lN18l4
lN1Sl4A
lNlS15

S

mm

PD

... "

':"

S

S
S
S
S
S
S
S
S

i~~8m ::

IN3008B
lN3009A
IN3009B
IN3011A
IN3011B
lN30l2A
IN30l2B
lN30l4A
lN30l4B
lN30l5A

"
"

*

"
"
"

*

"
;,
;,

m

i~~m g~
lN2970
lN2970
lN2970
lN2970
IN2970
IN2970
IN2970
lN2970
lN2970
lN2970

~ggg

1000
1000
1000
1000
1000
1000
1000
1000
500
50

~g

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

120
130
130
150
150
160
160
180
180
200

50
50
50
50
50
50
50
50
50
50

~

m~m ~

i~mg

g~

2~g

568

1NlS16A
lNlS17
lNlS17A
lN18l8
lN18l8A
lN18l9
lN18l9A
lN1820
lN1820A
lN1821

S

lN2977B
lN2979A "
lN2979B;'
lN29S0A *
lN2980B ;,
IN2982A ;,
lN2982B "
lN2984A ;,
lN2984B "
lN2985A "

IN2970
IN2970
lN2970
lN2970
1N2970
1N2970
lN2970
lN2970
lN2970
lN2970

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

13
15
15
16
16
18
18
20
20
22

500
500
500
500
500
500
500
250
250
250

5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10

lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

IN1822A
lN1823
1NlS23A
1N1824
lN1824A
lN1825
lN1825A
lN1826
lN1826A
lN1827

S
S

250
250
250
250
250
150
150
150
150
150

5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10

lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0

lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

A

S

S
S
S
S

S
S
S
S

imw

~
S
S

S
S
S
S
S

S

i~t~~~A

~

lN1828A
lN1829
IN1829A
lN1830
IN1830A
lN183l
lNlS3lA
lNlS32
lNlS32A
lNlS33
lN1833A
lNlS34
lNlS34A
INlS35
lNlS35A
lNlS36
lNlS36A
lNlS3S
lN1839
lNlS40

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

Replacement

G
S
S
it

*

i~~m~ ~

lN2986B"
lN2988A *
lN2988B;'
lN2989A *
lN2989B"
lN2990A"
lN2990B"
lN299lA *
lN299lB"
lN2992A"

i~~§§~~ ~
IN2993B *

*

lN2995A
lN2995B"
lN2997A;'
lN2997B *
lN2999A;'
lN2999B;'
lN3000A;'
IN3000B"
IN3001A *
lN300lB
IN3002A *
IN3002B *
IN3003A *
lN3003B *
IN3004A *
lN3004B"

*

Microwave

i~mg g~

~t

lN2970
lN2970
lN2970
IN2970
IN2970
IN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
IN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
IN2970
IN2970

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

39
43
43
47
47
51
51
56
56
62
62
68

lN2970
IN2970
IN2970
IN2970
lN2970
lN2970
lN2970

DZ
DZ
DZ
DZ
DZ
DZ
DZ

6S
75
75
82
82
91
91

XI !(;':banCI t e r ; 6~!

24
27
27
30
30
33
33
36
36
39

~ (2 dB

0.OS5
0.077

denotes exact deVIce type replacement available on request.

1-28

0.26
0.23

ng

m
150
150
150
150
150
150
50
50
50

50
50
50
50
50
50
50

lOW
lOW
lOW
lOW
lOW
lOW
lOW

lN1841-1N1922
RECTIFIERS

ZENER DIODES

z:

co

-'

...""
!;c

:!

TYPE

REPLACE MENT

REF.

:IE

1N1841
1N1842
1N1843
1N1844
1N1845
1N1846
1N1847
1N1848
1N1849
1N1850
1N1851
1N1852
1N1853
1N1854
1N1855
1N1856
1N1857
1N1858
1N1859
1N1860
1N1861
1N1862
1N1863
1N1864
1N1865
1N1866
1N1867
1N1868
1N1869
1N1870
1N1871
1N1872
1N1873
1N1874
1N1875
1N1876
1N1877
1N1878
1N1879
1N1880
1N1881
1N1882
1N1883
1N1884
1N1885
IN1886
1N1887
1N188,8
IN1889
1N1890
1N1891
1N1892
1N1893
1N1894
1N1895
1N1896
1N1897
1N1898
1N1899
1N1900
1N1901
1N1902
1N1903
IN1904
1N1905
IN1906
1N1907
1N1908
IN1909
1N1910
1N1911
1N1912
1N1913
1N1914
1N1915
1N1916
1N1917
1N1918
1N1919
1N1920
1N1921
1N1922

S
S
S
S
S
S
S
S

S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S

S

S
S

S
S
S

S
S

S
S
S

S
S
S
S

S
S
S

S
S
S
S

S
S

S
S

1N4738
1N4740
1N4742
1N4744
1N4746
1N4748
1N4750
1N4752
1N4754
1N4756
1N4758
1N4760
1N4762
1N4764
1M120ZSlO
1M150ZS10
1N2972A
1N2974A
1N2976A
1N2979A
1N2982A
1N2985A
1N2988A
1N2990A
1N2992A
1N2995A
IN2999A
IN3001A
IN3003A
IN3005A
IN3008A
1N3011A
1N4001
1N4002
IN4003

1N4728
1N4728
IN4728
1N4728
1N4728
1N4728
1N4728
IN4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
IN4728
IN4728
IN2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
IN2970

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

IN2970
1N2970
1N2970
1N2970
IN2970
IN2970
1N4001
IN4001
IN4001

DZ
DZ
DZ
DZ
DZ
DZ

S

S
S
S
S

S
S
S
S
S
S

S
S

...e

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R

S

1N4004
1N4005
1N4005
1N4006
1N4006
1N4007

1N4001
IN4001
IN4001
IN4001
IN4001
1N4001

(volts)

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R

VF

(volts)

10
(Amps)

IA

(rnA)

PRV

Ivolts}

VF 'iii>

(\IOh$l

15
22
33
47
68
100
150
220
330
470
6.8
10
15
22
33
47
68
100
150
220
330
470
6.8
10
15
22
33
47
68
100
150
220
330
470

IF
0.063
0.05
0.04
0.03
0.023
0.016
0.011
0.009
0.006
0.085
0.077
0.063
0.05
0.04
0.03
0.023
0.016
0.011
0.009
0.006
0.085
0.077
0.063
0.05
0.04
0.03
0.023
0.016
0.011
0.009
0.006

IR

0.001
0.001
0.003
0.005
0.005
0.005

0.001
0.001
0.003
0.005
0.005
0.005

0.001
0.001
0.003
0.005
0.005
0.005

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

1-29

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
4.0
4.0
4.0
4.0
4.0
4.0

0.01
0.01
0.01
0.01
0.01
0.01
0.01
0.01
0.01
0.01
0.01
0.01
0.01
0.01
0.01
0.01

Tol
VZ±%

frr

Vzfnoml

Tc
'IIJ'>C

Izr
mA

Temp
Range

8.2
10

25
25
25
25
25
8.0
8.0
8.0
8.0
8.0
8.0
3.0
3.0
3.0
3.0
3.0
25
25
25
25
25
8.0
8.0
8.0
8.0
8.0
8.0
3.0
3.0
3.0
3.0
3.0

10
10

l.OW
l.OW

10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10

l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
LOW
LOW
LOW
LOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

mA

Po

REFERENCE DIODES
\Ill)

0.19
0.15
0.12
0.095
0.072
0.050
0.035
0.028
0.024
0.020
0.26
0.23
0.190
0.150
0.120
0.095
0.072
0.050
0.035
0.028
0.024
0.020
0.260
0.230
0.190
0.150
0.120
0.095
0.072
0.050
0.035
0.028
0.024
0.020
12
15
18
22
27
33
39
47
56
68
82
100
120
150
8.2
10
10
15
18
22
27
33
39
47
56
68
82
100
120
150

50
100
200
300
400
500
600
700
800
900
50
100
200
300
400
500

IZT

IFSM

Vz(nom)

SIGNAL;, DIODES

;:::
z:

R

S

S

~

R
R
R

S
S
S
S

S
S
S
S
S
S
S
S
S

5l

VA

30
30
30
30
30
30
30
30
30
30
30
30
30
30
30
30

10

10
10
10
10
10

10
10
10

lOW
lOW
lOW
lOW
lOW
lOW

lN1923-1N2004

...

z:

.....

TYPE

•

...~

to-

~

REPLACEMENT

REF.

~

u

&;:

;::

is
5!

1N1923
1Nl924
1N1925
1N1926
1N1927
1N1928
1N1929
1N1930
1N1931
1N1932
IN1933
IN1934
1N1935
IN1936
1N1937
IN1938
1N1939
1N1940
IN1941
IN1942
1N1943
IN1944
IN1945
IN1946
IN1947
IN1948
IN1949
IN1950
1N1951
IN1952
1N1953
1N1954
IN1955
IN1956
IN1957
IN1958
1N1959
1N1960
1N1961
1N1962
IN1963
1N1964
1N1965
1N1966
1N1967
IN1968
1N1969
1N1970
1N1971
1N1972
1N1973
1N1974
1N1975
1N1976
IN1977
IN1978
1N1979
1N1980
1N1981
1N1982
1N1983
1N1984
1N1985
IN1986
1N1987
1N1988
1N1989
1N1990
IN1991
1N1992
1N1993
1N1994
1N1995
1N1996
IN1997
1N1998
1N1999
IN2000
IN2001
IN2002
1N2003
1N2004

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

1N5228A
1N5230A
IN5232A
1N5235A
1N5237A
IN5240A
IN5242A
1N5245A
IN5248A
IN5251A
1N5254A
IN5257A
IN5259A
1N5261A
IN5263A
IN5266A
1N5268A
1N5271A
1N5273A
IN5276A
1N5279A
.5MllOZSB10
.5M135ZSB10
.5M165ZSBI0
5 .5M195ZSB10
S .5M155ZSCI0
5 .5M185ZSClO
S
IN5228A
5
IN5230A
5
IN5232A
S
IN5235A
5
1N5237A
S
IN5240A
5
1N5242A
S 1N5245A
S 1N5248A
S 1N5251A
5
1N5254A
S 1N5257A
S 1N5259A
S 1N5261A
5
IN5263A
5
IN5266A
S 1N5268A
5
1N5271A
S
1N5273A
5
1N5276A
S
IN5279A
5 .5MllOZSB10
S .5M135ZSB10
S .5M165ZSB10
S .5M195ZSB10
S .5M155ZSC10
S .5M185ZSC10
S 1N5228A
S 1N5230A
S 1N5232A
S 1N5235A
S 1N5237A
S
IN5240A
S
IN5242A
S 1N5245A
S
1N5248A
S
IN5251A
S
IN5254A
S 1N5257A
S 1N5259A
S 1N5261A
S
1N5263A
S
1N5266A
S
1N5268A
S
1N5271A
S
1N5273A
S
1N5276A
S
IN5279A
S .5MllOZSB10&
S .5M135ZSB10&
S .5M165ZSB10&

1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
IN5221
1N5221
1N5221
1N5221
1N5221
IN5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221

IN5221
IN5221
1N5221
IN5221
IN5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
IN5221
&
&
&
&
&
&
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
IN5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221

R
R
R
R

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ,
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

RECTIFIERS

&'"

,'~"'~;' 'A~~'

',J"

600
700
800
900

»,

' ;"

1.0
1.0
1.0
1.0

4.0
4.0
4.0
4.0

,a"

0.01
0.01
0.01
0.01

ZENER DIODES
IFSM

Vz(norn)

:

REPLACEMENT

REF .

~
...,
;::
:z

...5!

R
600
R
50
R
100
R
200
R
300
R
400
R
500
R
600
Microwave L-S-band Detector
1N4001
50
1N4001
R
1N4001
1N4002
100
R
1N4001
200
1N4003
R
1N4004
1N4001
R
300
1N4004
R
400
1N4001
1N4005
R
1N4001
500
R
50
R
100
R
200
R
300
R
400
R
500
R
365
IN4004
1N4001
R
400
R
1N4001
720
1N4006
Microwave L-X-band Detector
Microwave L-X-band Detector
R
MR1200FL
MRI200
50
R
MR1200
MR1200FL
50
R
MR1201FL
MRI200
100
R
MR1201FL
MRI200
100
R
MRI200
MR1202FL
150
R
MR1202FL
MR1200
150
R
MR1203FL
MRI200
200
R
MR1200
MR1203FL
200
R
MR1200
MR1204FL
250
R
MR1200
MR1204FL
250
MR1200
R
MR1205FL
300
MRI200
R
MR1205FL
300
MR1200
R
MR1206FL
350
MRI200
R
MR1206FL
350
MRI200 R
MR1207FL
400
MRI200 R
MR1207FL
400
R
450
R
450
R
500
R
500
R
600
R
600
R
20K
DS r;"ii~l~
R
R
50
R
100
R
100
R
200
R
200
R
300
R
300
R
400
R
400
R
500
R
500
R
600
R
600
1N1l83
IN1183 R
50
1N1184
1N1183 R
100
1N1l86
200
IN1183 R
1N1187
IN1183 R
300
1N1l88
IN1183 R
400
1N1l89
1N1183 R
500
1N1190
1N1183 R
600
1N2163 DR
1N2163
1N2163
1N2163
1N2163
IN2163
IN2163
IN2163
1N21.63
1N2163

PD

i&:

.

1.2
0.5
0.5
0.5
0.5
0.5
0.5
0.5

0.5
0.25
0.25
0.25
0.25
0.25
0.25
0.25

1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
0.8
1.4
1.3

0.75
0.75
0.75
0.75
0.75
0.75
2.0
2.0
2.0
2.0
2.0
2.0
0.2
0.5
0.75

0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.25
0.4
0.010

10
10
10
10
10
10
10
10
10
10
10
10
10
15
15

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
60

60
60
60
60
60
60
60
60
60
60
60
60
60
60
60
60
60
60
60
60
60
60
0.052

10
10
10
10

10
10
10
10
10
10
10
10
10
10
10
10
10
10
0.2

700
900
700
900
700
900
700
900
700
900
700
900
700
900
700
900
700
900
700
900
700
900
3.5

1.0
1.2
1.0
1.2
1.0
1.2
1.0
1.2
1.0
1.2
1.0
1.2
1.0
0.6
0.6
0.6
0.6
0.6
0.6
0.6

6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
25
25
25
25
25
25
25

0.1
0.5
0.1
0.5
0.1
0.5
0.1
0.5
0.1
0.5
0.1
0.5
0.1
5.0
4.5
4.0
3.5
3.0
2.5
2.0

150
150
150
150
150
150
150
150
150
150
150
150
150
300
300
300
300
300
300
300

10

10
10
10

i:11'if ':1~t~yr; ~J:1.'3~~ 7¥~f!1

DR
DR
DR
DR
DR
DR
DR
DR
DR

1-32

fillip.;'

.~

lN2168-1N2234A
RECTIFIERS
z:

.....

...""
!C

c:::J

!;l

:$

TYPE

REPLACEMENT

REF.

:s

1N2168
1N2168A
1N2169
1N2169A
1N2170
1N2170A
1N2l71
1N2171A
1N2172
1N2173
1N2174
1N2175
1N2176
1N2177
1N2178
1N2179
1N2180
1N2181
1N2182
1N2183
1N2184
1N2185
1N2186
1N2187
1N2188
1N2189
1N2190
1N2191
1N2192
1N2193
1N2194
1N2195
1N2196
1N2197
1N2198
1N2199
1N2200
1N2201
1N2202
IN2203
1N2204
1N2205
1N2206
1N2207
IN2208
IN2209
1N221O
1N22U
1N2212
IN2213
1N2214
1N2217
1N2218
IN2219
IN2220
1N2221
IN2222
lN2222A
1N2223
IN2223A
1N2224
1N2224A
IN2225
1N222SA
lN2226
1N2226A
IN2227
lN2227A
1N2228
1N2228A
IN2229
1N2229A
1N2230
1N2230A
1N2231
1N2231A
1N2232
1N2232A
IN2233
1N2233A
1N2234
1N2234A

S
S
S
S
S
S
S
S
S
S
S

IN2163
1N2l63
1N2l63
1N2l63
IN2l63
IN2l63
1N2l63
1N2l63

S

S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S

S
S
S
S
S

IM5.5ZS1
MRU20
MRU2S

MRU20
MR1l20

MRU26

MRU20

MRU28

MR1120

MRU30

MR1l20

MRU20
MR1120

&

MR1120
MR1120

MR1122
MRl122

MR1120
MRU20

MRU23
MR1123

MR1120
MR1120

MR1124
MR1124

MR1120
MRU20

VR
{volts}

;::
z:

...e
DR
DR
DR
DR
DR
DR
DR
DR
R
R
R

Photosensitive Device;

S
S
S

S
S
S
S
S
S
S
S
S
S
S

~

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
DZ
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R

R
R

R
R
R
R
R
R
R
R
R
R
R

VF
{volts}

ZENER DIODES
IR

10
{Amps}

(mA)

Isurge

{Amps}

'SIGNAL DIODES

PRY
(volts)

Yf @ IF

IR

{volts}

V (min)

z

(~

%/"C

50
500
500
600
600
800
800
800
800
1000
1000
1000
1000
1200
1200
1200
1200
50
50
50
50
200
200
200
200
300
300
300
300
400
400

50
50
50
@ 50
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
12
12
12
12
12
12
12
12
12
12

1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2

& See page 1-3fofordenng mformatlon.

1-33

1.5
0.4
1.5
0.4
1.5
0.3
0.3
1.0
1.0
0.3
0.3
1.0
1.0
0.3
0.3
1.0
1.0
1.0
1.6
5.0
5.0
1.0
1.6
5.0
5.0
1.0
1.6
5.0
5.0
1.0
1.6

v,

0.25 525
0.25 525
0.25 525
Sensitivity
0.3
15
15
0.3
15
0.3
15
0.3
15
0.3
0.3
15
0.3
15
15
0.3
40
5.0
40
5.0
40
5.0
40
5.0
40
5.0
5.0
40
5.0
40
5.0
40
5.0
40
40
5.0
10 100
10 100
10 100
10 100
10 100
10 100
10 100
10 100
10 100
10 100
'10 200
10 200
10 200
10 200
10 200
10 200
10 200
10 200
10 200
10 200

0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003

20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
100
100
100
100
100
100
100
100
100
100
100
100
100
100

Yz

9.4

0.001
0.001
0.0005
0.0005
0.0005
0.0005
0.0005
0.0005

= 0.22

I'A/mW/cm2

9.4

1.5
1.5
1.5
= 0.5 I'A
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.25
1.25
1.25
1.25
1.25
1.25
1.25
1.25
1. 25
1.25
1.25
1.25
1.25
1.25
1.25
1.25
1.25
1.25
1.25
1.25

Tol

Po

REFERENCE DIODES

TC

9.4
9.4
9.4
9.4
9.4
9.4

50
100
200
IR(dark)
50
100
150
200
300
400
500
600
50
100
150
200
300
400
500
600
800
1000
50
100
150
200
300
400
500
600
800
1000
50
100
150
200
300
400
500
600
800
1000

Vz (nom) *

I Vz{max} I Vz %

5.6

35

T{mln)

·C

T{max)

·C

10 -55/+18
10 -55/+185
10
0/+70
10

0/+7~

10 -55/+125
10 55/+125
10 -55/+185
10 55/+185

LOW

lN2235-1N2285
RECTIFIERS

TYPE

•

""

~
:IE

""'

c:>
~

REPLACEMENT

REF.

""';:;:
c..>

VA
(volts)

,.

~

:z
.....
!:

s
S

s
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

MR1l25
MR1l25

MR1l20
MR1l20

MR1l26
MR1l26

MR1l20
MR1l20

MR1128
MR1128

MR1l20
MR1l20

MR1l30
MR1l30

MR1l20
MR1l20

s
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S

R

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R

S
S
S
S
S
S
S
S
S
S

s
S

s

S
S

s
S
s
s
S
S

s
S
s

S

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R

R
R
R
R
R
R
R
R

S
S

s

S
S
S
S
S
S
S
S
S

R
R
R
R
R
R
R
R
R
R
R
R

MR1l20

MR1l20

MR1l25

MR1l20

MR1l26

MR1l20

MR1l20
MR1l21
MR1l22
MR1l23
MR1l24
MR1l25
MR1l26
MR1l28

MR1l20
MR1l20
MR1l20
MR1l20
MR1l20
MR1120
MR1l20
MR1l20

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R

10

VF
(volts)

PRV

(volts)'

IN2235
1N2235A
1N2236
IN2236A
IN2237
IN2237A
IN2238
IN2238A
IN2239
IN2239A
IN2240
IN2240A
1N2241
IN2241A
IN2242
1N2242A
IN2243
IN2243A
IN2244
1N2244A
IN2245
IN2245A
IN2246
IN2246A
1N2247
1N2247A
IN2248
IN2248A
1N2249
IN2249A
1N2250
IN2250A
IN2251
1N2251A
IN2252
IN2252A
IN2253
IN2253A
1N2254
IN2254A
IN2255
IN2255A
1N2256
IN2256A
IN2257
IN2257A
IN2258
IN2258A
1N2259
1N2259A
IN2260
IN2260A
1N2261
1N2261A
IN2262
IN2262A
IN2263
IN2263A
IN2264
IN2264A
IN2265
IN2265A
1N2266
1N2267
IN2268
IN2269
1N2270
1N2271
IN2272
IN2273
IN2274
IN2275
1N2276
IN2277
IN2278
1N2279
1N2280
IN2281
IN2282
IN2283
IN2284
IN2285

ZENER DIODES

:z

.....

~

(Amps)

(rnA)

IFSM

SIGN~L.ql()pES
.Vf,E;> "}' "
(\IOIt!I~.

,

400

400
500
500
500
500
600
600
600
600
800
800
800
800
1000
1000
1000
1000
1200
1200
1200
1200
50
50
50
50
100
100
100
100
200
200
200
200
300
300
300
300
400
400
400
400
500
500
500
500
600
600
600
600
800
800
800
800
1000
1000
1000
1000
1200
1200
1200
1200
50
50
500
500
600
600
50
100
200
300
400
500
600
800
1000
1200
300
400
500
600

IA

1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.5
1.5
1.5
1.5

1-34

5.0
5.0
1.0
1.6
5.0
5.0
1.0
1.6
5.0
5.0
1.5
1.5
5.0
5.0
1.5
1.6
5.0
5.0
1.5
1.6
5.0
5.0
3.0
3.0
10
10
3.0
3.0
10
10
3.0
3.0
5.0
10
3.0
3.0
10
10
3.0
3.0
10
10
3.0
3.0
10
10
3.0
3.0
10
10
3.0
3.0
10
10
3.0
3.0
10
10
3.0
3.0
10
10
0.3
1.0
0.3
1.0
0.3
1.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
20
20
20

20

II!

0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.005
0.003
0.005
0.003
0.005
0.003
0.005
0.003
0.005
0.003
0.005
0.003
0.005
0.003
0.005
0.003
0.005
0.003
0.005
0.003
0.005
0.003
0.005
0.005
0.005
0.003
0.005
0.003
0.003
0.005
0.01
0.005
0.010
0.005
0.01
0.005
0.010
0.005
0.01
0.005
0.003
0.003
0.003
0.003
0.003
0.003
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
5.0
5.0
5.0
5.0

Vz(nom)

IZT

rnA

Tol
VZ±%

PD

REFERENc:E D,IOOES ....

:,t~
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
20
20
20
20
20
20
400
400
400
400
400
400
400
400
400
400
400
400

400
400

'~IZr
'fZ.rnA

Temp
~nge

lN2286-1N2370A

...
......""

RECTIFIERS
z:
c::>

:s

TYPE

I-

!;il
REPLACEMENT

REF.

:IE

lN2286
lN2287
lN2288
lN2289
lN2289A
lN2290
lN2290A
lN2291
lN2291A
lN2292
lN2292A
lN2293
lN2293A
lN2294
lN2295
lN2296
lN2297
lN2298
lN2299
lN2300
lN2301
lN2302
lN2303
lN2304
lN2305
lN2306
lN2307
lN2308
lN2309
lN2310
lN2311
lN2312
lN2313
lN2314
1N2315
lN2316
lN2317
lN2318
lN2319
lN2320
1N2321
lN2322
lN2323
lN2324
lN2325
lN2326
lN2327
lN2328
lN2348
lN2349
lN2350
lN2357
lN2358
lN2359
lN2360
lN2361
lN2362
lN2362A
lN2362B
lN2363
lN2363A
lN2363B
lN2364
lN2364A
lN2364B
lN2365
lN2365A
lN2365B
lN2366
lN2366A
lN2366B
lN2367
lN2367A
lN2367B
lN2368
lN2368A
lN2368B
lN2369
lN2369A
lN2369B
lN2370
lN2370A

s

R
R
R
R
R
R
R
R
R
R
R
R

s

S
S

R
R
R
R
R
R
R
R
R
R
R

S
S
S
S
S
S
S
S
S
S
S
S

R
R
R
R
R
R
R
R
R
R

S
S

s

S

s

S
S

s
S

OS

G

S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

...e
R
R
R
R
R
R
R
R
R
R
R
R

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

s
s
s

'"'

os
os
MR1l20
MRll21
MR1l22

MR1l20
NR1l20
MR1l20

VA
(volt.1

r;:
;::
z:

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R

10

VF
(voltsl

(Ampsl

ZENER DIODES

IA
(mAl

IFSM

Vz(noml

t"

Vz(noml

SIGNAL DIODES
PRV
(volt.)

800
1000
1200
100
100
100
100
200
200
300
300
400
400
50
100
150
200
250
300
350
400
50
100
150
200
250
300
350
400
50
100
150
200
250
300
350
400
50
100
150
200
250
300
350
400
1.0
300
300
50
100
150
1400
1500
1600
1800
2000
1400
1400
1400
1400
1400
1400
1500
1500
1500
1500
1500
1500
1600
1600
1600
1600
1600
1600
1800
1800
1800
1800
1800
1800
2000
2000

VF

IF

@

(volts)

1.5
1.5
1.5

1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
0.150

3;3
3.3

1.1
1.1
1.1

20
20
20
1.5
1.5
5.0
5.0
1.5
1.5
1.5
1.5
1.5
1.5
22
22
22
22
22
22
22
22
22
22
22
22
22
22
22
.22
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
2.0M
400M
400M
3.0
3.0
3.0
0.4
0.4
0.4
0.4
0.4
1.0
5.0
10
1.0
5.0
10
1.0
5.0
10
1.0
5.0
10
1.0
5.0
10
1.0
5.0
10
1.0
5.0
10
1.0
5.0
10
1.0
5.0

1-35

IR

5.0
5.0
5.0
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20

Tol
VZ±%

PD

REFERENCE DIODES
(pSI

400
400
400
20
20
100
100
20
20
20
20
20
20
160
160
160
160
160
160
160
160
160
160
160
160
160
160
160
160
300
300
300
300
300
300
300
300
300
300
300
300
300
300
300
300

1.5*
1.5*
0.3
0.3
0.3
0.001
0.001
0.001
0.001
0.001
0.001
0.001
0.001
0.001
0.001
0.001
0.001
0.001
0.001
0.001
0.001
0.001
0.001
0.001
0.001
0.001
0.001
0.001
0.001
0.001
0.001
0.001
0.001
0.001
0.001
0.001

IZT
mA

15
15
15
15
15
15
15
15
15
20
25
15
20
25
15
20
25
15
20
25
15
20
25
15
20
25
15
20
25
15
20
25
15
20

TC
%I"C

IZT
mA

Temp

Range

•

1N2370B-1N2500
RECTIFIERS
C>

:$

TYPE

ffi
.....

...

REPLACEMENT

REF.

::E

fi
....

;;:
;::
z:
E

...

VR
(volts)

VF
(volts)

IN2414

1N2415
1N2416
1N2417
1N2418
IN2419
1N2420
1N2421
1N2422
1N2423
1N2424
1N2425
1N2482
1N2483
1N2484
1N2485
1N2486
1N2487
1N2488
1N2489
1N2490
1N2491
1N2492
1N2493
1N2494
1N2495
1N2496
IN2497
IN2498
IN2498A
1N2499
IN2499A
IN2500

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
G
S

1N4005
1N4007
MR991A
MR992A
MR993A
MR994A
MR995A
1N2383
1N2385

1N4001
1N4001
MR990A
MR990A
MR990A
MR990A
MR990A
1N1730
1N1730
1Nl730
1N1730
1N1730

1N4751 *

1N4728

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
DS
DZ

S
S
S
S
S
S
S
S
S
S
S
S

R
R
R
R
R
R
R
R
R
R
R
R

S
S
S
S
S
S
S
S
S
S
S
S

R
R
R
R
R
R
R
R
R
R
R
R

S
S
S
S
S
S
S
S
S
S
S
S

R
R
R
R
R
R
R
R
R
R
R
R

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

1N4003
1N4004
1N4005
1N4003
1N4004
IN4004
1N4005
1N4005

1N400l
1N4001
1N4001
IN4001
1N400l
1N400l
IN4001
1N4001

MR1120
MR1l21
MR1l22
MR1l23
MR1l24
MR1l25
MR1l26
1N2974A *
lN2974B
1N2975A "*
IN2975B 'k
lN2976A *

MR1120
MR1l20
MR1120
MR1120
MR1l20
MR1l20
MR1l20
1N2970
IN2970
IN2970
lN2970
IN2970

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
DZ
DZ
DZ
DZ
DZ

10
(Amps)

IR
(rnA)

SIGNAL
DIODES .
,
.C __ ._" "'_':',,:_,A
VF·@·'I!i'

PRY

(wlttl,"

{voltsl

1N2370B
1N2371
1N2371A
1N2371B
1N2372
1N2373
1N2374
1N2375
1N2376
1N2377
1N2378
1N2379
1N2380
1N2381
1N2382
1N2382A
1N2383
1N2383A
1N2384
1N2384A
1N2385
1N2385A
1N2386
1N2387
1N2389
1N2390
1N2391
1N2392
1N2393
1N2394
1N2395
1N2396
1N2397
1N2398
1N2399
1N2400
1N2401
1N2402
1N2403
IN2404
1N2405
1N2406
1N2407
1N2408
1N2409
1N2410
1N2411
1N2412
1N2413

ZENER DIODES

z:

.....

2000
2000
2000
2000
1000
600
1000
1500
2000
2400
3000
4000
6000
10K
4000
4000
6000
6000
8000
8000
10K
10K
5.0

•,.

Ii!

IFSM

,

!"

II':"l

7.5
9.0
9.0
15.0
22.5
37.5
18
6.0
27
9. a
27
12
39
15

10
1.0
5.0
10
0.2
0.1
0.1
0.1
0.1
0.075
0.075
0.05
0.05
0.025
0.15
0.35
0.1
0.35
0.07
0.275
0.07
0.2

0.001
0.001
0.001
0.001
0.5
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2

25
15
20
25
12
12
12
12
12
12
12
12
12
12
6.0
6.0
6.0
6. a
6.0
6. a
6.0
6.0

4.8
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
l.2
l.2
l.2
l.2
1.2
1.2
1.2
l.2
l.2
l.2
l.2
1.2
l.2
1.2
1.2
l.2
l.2
1.2
1.2
1.2
l.2
l.2
l.2
l.2
l.2
l.2
l.2
l.2
4.8
l.5
l.5
l.5
1.5
1.5
1.5
l.5

0.6
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
l.5
1.5
1.5
l.5
l.5
1.5
1.5
l.5
l.5
1.5
1.5
1.5
l.5
l.5
1.5
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.5
6.0
6.0
6.0
6.0
6.0
6.0
6.0

0.5
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
2.0
2.0
2.0
2.0
2.0
2.0
2.0

15
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
30
30
30
30
30
30
30
30
15
150
150
150
150
150
150
150

2.0
3.0
3.0
4.5

1600
50
100
200
300
400
500
600
700
800
50
100
200
300
400
500
600
700
800
50
100
200
300
400
500
600
700
800
50
100
200
300
400
500
600
700
800
200
400

500
200
300
400
500
600
1600
50
100
200
300
400

500
600

Z ..•. '..

.

.,

.,

Po

". ,Temp

:.:.mRange,

30

8.0

10

10
10

500
500
500
500
500

5.0
10
5.0
10

11
12

1-36

Vz±%

8-~~·
I~ni}

11

Replacement * denotes exact deVice type replacement available on request.

Tal

IZT
rnA

Vz{nom)

10

LOW

lOW
lOW
lOW
lOW
lOW

lN2500A-1N2582
RECTIFIERS
z:

.....

TYPE

...iii!C

0

REPLACEMENT

REF .

::E

!;il
u

VR

(volts)

~
z:

...5!

VF

(volts)

s
S
S
S
S
S
S
S
S
S
S
S
S

s
S
s
S
S
S
S
S
S
S
S
S
S
S
S

s
S
S
S
S

s
S
S
S
S
S

s

S
S

s
s
S
S
S
S
S
S
S
S

s

S
S

s

1N2976B
1N4006
1N4007
1N4006
1N4006

*

1N2970
1N4001
1N4001
1N4001
1N4001

Microwave C-band Mixer;
Microwave X-band Mixer;
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R

R
R
R
R

R
R

R
R
R
R

S
S

R

S

R

S
S
S
S
S
S
S
S
S
S
S
S

R

s

S

R
R

R
R
R
R

R
R

R
R
R
R
R

s

R
R

S
S
S
S

R

s
s
S
s

~') i';'"
,
.'

DZ
R
R
R
R
R
R
R
R

R
R
R

R
R
R

10
(Amps)

IR
(rnA)

800
1.7
1000
1.7
1200
1.7
1500
1.7
800
1.7
1000
1.7
1200
1.7
1500
1.7
NF - 10 dB
NF - 9.5 dB
1.1
100
200
1.1
1.1
300
400
1.1
1.1
500
600
1.1
100
1.1
1.1
200
300
1.1
400
1.1
1.1
500
600
1.1
50
1.2
100
1.2
200
1.2
300
1.2
400
1.2
500
1.2
1.2
600
1.2
700
800
1.2
900
1.2
1000
1.2
50
1.0
100
1.0
200
1.0
300
1.0
400
1.0
500
1.0
600
1.0
700
1.0
800
1.0
900
1.0
1000
1.0
50
1.5
100
1.5
200
1.5
300
1.5
400
1.5
500
1.5
600
1.5
700
1.5
800
1.5
900
1.5
1000
1.5
700
1.2
800
1.2
900
1.2
1000
1.2
700
1.0
800
1.0
900
1.0
1000
1.0
50
1.5
100
1.5
200
1.5
300
1.5
400
1.5
500
1.5
600
1.5
700
1.5
800
1.5
900
1.5
1000
1.5
50
1.2
100
1.2
200
1.2
300
1.2
400
1.2
500
1.2
600
1.2

IR

t"

Vzlnoml

%/"C

IZl
mA

Range

12

500

5.0

lOW

Vz±%

PD

REFERENCE DIOOES
Ips)

0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2

2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5

2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
12
12
12
12
12
12
12

0.002
0.002
0.002
0.002
0.002
0.002
0.002
0.002
0.002
0.002
0.002
0.002
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
0.5
0.5
0.5
0.5
0.1
0.1
0.1
0.1
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

30
30
30
30
30
30
30
30
30
30
30
30
50
50
50
50
50
50
50
50
50
50
50
50
50
50
50
50
50
50
50
50
50
50
50
50
50
50
50
50
50
50
50
50
50
150
150
150
150
150
150
150
150
150
150
150
150
150
150
150
150
150
150
150
250
250
250
250
250
250
250

1-37

Tol

Vz(nom)

0.15
0.15
0.15
0.15
0.3
0.3
0.3
0.3

R
Replacement * denotes exact deVIce type replacement available on request.

I ZT
rnA

IFSM

SIQ.NAL DIODES

PRV

(volts)

1N2500A
1N2501
1N2502
IN2503
1N2504
IN2505
1N2506
1N2507
IN2508
1N2509
1N2510
1N2512
1N2513
1N2514
1N2515
1N2516
1N2517
1N2518
1N2519
1N2520
IN2521
1N2522
1N2523
IN2524
1N2525
1N2526
1N2527
1N2528
1N2529
1N2530
IN2531
1N2532
1N2533
1N2534
1N2535
1N2536
IN2537
1N2538
1N2539
1N2540
IN2541
IN2542
1N2543
IN2544
1N2545
IN2546
IN2547
1N2548
IN2549
1N2550
IN2551
IN2552
IN2553
IN2554
1N2555
1N2556
1N2557
1N2558
IN2559
IN2560
1N2561
1N2562
IN2563
1N2564
IN2565
IN2566
1N2567
IN2568
IN2569
1N2570
IN2571
IN2572
1N2573
IN2574
IN2575
1N2576
1N2577
IN2578
1N2579
1N2580
1N2581
IN2582

ZENER DIODES

Te

Temp

•

lN2583-1N2667
RECTIFIERS

TYPE

....
......:$....""

z

C>

REPLACEMENT

REF .

::E

•

1N2583
1N2584
1N2585
1N2586
1N2587
1N2588
1N2589
1N2590
1N2591
1N2592
1N2593
1N2594
1N2595
1N2596
1N2597
1N2598
1N2599
1N2600
1N2601
1N2602
1N2603
1N2604
1N2605
1N2606
1N2607
1N2608
1N2609
1N2610
1N2611
1N2612
1N2613
1N2614
1N2615
1N2616
1N2617
1N2618
1N2619
1N2620
1N2620A
1N2620B
1N2621
1N2621A
1N2621B
1N2622
1N2622A
1N2622B
1N2623
1N2623A
IN2623B
1N2624
IN2624A
IN2624B
IN2625
IN2625A
IN2625B
1N2626
IN2626A
1N2626B
IN2627 }
1N2628
1N2629
IN2630
IN263I
IN2632
IN2633
1N2634
1N2635
1N2636
1N2637
1N2638
1N2641
1N2644
1N2647
1N2650
1N2653
1N2656
1N2659
1N2662
1N2664
1N2666
1N2667

~
..,
;:;:

;:::

...e
:z:

S
S
S
S
S
S
S
S
S
S
S
S

R
R
R
R
R
R
R
R
R
R
R
R

S
S
S
S
S
S
S
S
S
S
S
S

R
R
R
R
R
R
R
R
R
R
R
R

S
S
S
5
S
5
S
5
S
5
5
S

1N4001
IN4002
1N4003
1N4004
1N4004
1N4005
1N4005
1N4006
1N4007

1N4001
1N4001
1N4001
1N4001
1N4001
1N4001
1N4001
1N4001
1N4001

1N937
IN937A
IN937B
IN938
1N938A
IN938B

1N2620
1N2620
1N2620
IN2620
IN2620
1N2620
IN2620
1N2620
1N2620
1N2620
IN2620
IN2620
IN2620
IN2620
IN2620
IN935
1N935
1N935
IN935
IN935
IN935

S
5

s
s
5
5

s
5

s
s
s
s

s

s
S

s

s
s
s

s
s
S

R
R
R

R

R
R
R
R
R
R
R
R
R
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR

~
(volts)

(volts)

(Amps)

(rnA)

ZENER DIODES

700
800
900
1000
50
100
200
300
400
500
600
700
800
900
1000
50
100
200
300
400
500
600
700
800
900
1000
50
100
200
300
400
500
600
800
1000
1200
1500

1.2
1.2
1.2
1.2
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1

12
12

12

12
12
12
12
12

12
12
12
12
12
12
12
12
12
12
12
12
12
12

12
12
12
12
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75

1.0
1.0
1.0
1.0
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3

S

DS
R
R
R
R
R
R
R
R
R
R

S
S
S
S
S
S
S
S
S
S

R
R
R
R
R
R
R
R
R
R

S
S
S

S
S

5
S
S

5

t(nOlnll,T~."
Vz%~

250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
30
30
30
30
30
30
30
30
30
30
30

9;.7
" ..7
9.1
,9.,7'
'9.7'
9.7
't.7,

I

j

I. .·
.5:0' ..
1500
1600
2800
1600
1600
1500
1500

100
200
300
400
600
800
1200
1600
2000
2400
3200
4000

2.'25
3.0
6.0
3.0
3.0
2.25
2.25
28.0
1.3
1.3
1.3
1.3
2.6
2.6
3.9
5.2
6.5
7.8
10.4
13

0.085
0.6
0.2
0.6
0.6
0.085
0.085
0.25
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

1-38

'0.5'
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.3
0.3
0.3
0.3
0.3
0.3
0.8
0.8
0.8
0.8
0.8
0.8

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
15
15
15
15
15
15
15
15
15
15
15
15

Tal

Vz±%

mA

0;0]'; 10·
0.01:
10
0.01 1'10
0.005
0.0,05 I 10
10
10
0.005
0;002
10
"'0;002
10
0.002
10
0.001
'Vi
9.• 7 . 0.001
9';1. 0'.001
la,
9;(
10
0.0005
'9.7 0.0005' 10.
10
9:7 0.0005
9.7 0.0002 I ..10
9.4 0.0002' 1;10
,9.. 4 ();OOO2 I' 10
10
9.4 ,0;0001
10
9.4 '0.0001
9.4, ,0,0001
.10

Il ;:$

DR
DR
DR
DR
DR
DR
DR
DR
DR
DR

IZT
rnA

PD

R,EF!ERI;l'i(~,PI.a I;)ES"
• 'izt
Tenip

ES·".

PRV·I,~.
(!/bltsr
••.

Varactor Diodes, see Table on Page 1-94
G

Vz(nom)

IFSM

1

j'ig.,

Aaryge

0/15
-55/100

~55/150

0/75

-5S/lOn
-55/150
.<:.0/75

~551100
~55115.0

/' 0/75

~55/100

r55/}50;
o 75,
-55/10.0
·55/150
0/75
"55/100.
-55/150
0175
-55/100
-55/10$0

lN2668-1N2793
ZENER DIODES

RECTIFIERS
:z
C>

-'
:$

TYPE

..........""

!;l
REPLACEMENT

REF.

::IE

u
ii:

VR
(volts)

;:::
:z

...e

S
S
S
S
S
S
S
S
S
S
S
S

R
R
R
R
R
R
R
R
R
R
R
R

S
S
S
S
S
S
S
S
S
S
S
S

R
R
R
R
R
R
R
R
R
R
R
R

S
S
S
S
S
S
S
S
S
S
S
S

R
R
R
R
R
R
R
R
R
R
R

1N2766
1N2766A
1N2767
1N2767A
1N2768
1N2768A
IN2769
IN2769A
IN2770
IN2770A

S
S
S
S
S
S
S
S
S
S
S

IN2772
IN2773
IN2774
IN2775
1N2776
IN2777
IN2778
IN2779
IN2780
IN2781
IN2782
IN2783
IN2784
1N2785
1N2786
IN2787
IN2788
IN2789
1N2790
IN2791

S
S
S
S
S
S
S
S
S
S
S
S

1N2793

S

S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S

R

R
R
R
R
R
R
R
R
R

R

1N823A
1N825A

1N821
1N821

DR
DR

1N1736A
1N1736A
1N4061
1N4061A
1N4063
1N4063A
IN4065
IN4065A
IN4067
IN4067A

1N429
1N429
1N429
1N429
iN4Z-9
IN429
IN429
IN429
IN429
IN429

DR
DR
DR
DR
DR
DR
DR
DR
DR
DR

IN2970

R
R
R
R
R
R
R
R
R
R
DS
DZ·

IN3000A

1N3156

1N3154

1N1183

INn83

R
R
R
R
R
R
DR
OS
R

10

(Amps)

IR
(mA)

IFSM

Vz(nom)

t.,

Vzlnoml

4800
100
200
300
400
600
800
900
1200
1600
100
200
300
400
600
800
100
200
300
400
600
800
1200
1600
2000
2400
100
200
300
400
600
800
1200
100
200
300
400
600
800
100
200
300
400
600
800
1200

"\iF . @I IF

(\dI$l

15.6
1.3
1.3
1.3
1.3
2.6
2.6
3.9
3.9
5.2
1.3
1.3
1.3
1.3
2.6
2.6
1.3
1.3
1.3
1.3
2.6
2.6
3.9
5.2
6.5
7.8
1.3
1.3
1.3
1.3
2.6
2.6
3.9
1.3
1.3
1.3
1.3
2.6
2.6
1.3
1.3
1.3
1.3
2.6
2.6
3.9

1.5
3.6
3.6
3.6
3.6
3.6
3.6
3.6
3.6
3.6
7.2
7.2
7.2
7.2
7.2
7.2
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.6
3.6
3.6
3.6
3.6
3.6
3.0
3.0
3.0
3.0
3.0
3.0
3.0

IZT
mA

IR

(sA$)

0.8
0.3
0.3
0.3
0.3
0.3
0.3
0.8
0.8
0.8
0.3
0.3
0.3
0.3
0.3
0.3
0.2
0.2
0.2
0.2
0.2
0.2
0.8
0.8
0.8
0.8
0.3
0.3
0.3
0.3
0.3
0.3
0.8
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.8

15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15

%fOe

Tc

In
mA

6.B

6.8

0.005
0.0025

7.5
7.5

13.6

0.005

20.4
20.4

0.005
0.0025
0.005
0.002.5
0.005
0.0025
0.005
. 0.0025

7.5
7.5
7.5
7.5
7.5
7 • .5
7.5
7.5
7.5
7,5

13.6 0.0025
27.2
27.2

34.0
34.0
40.8
.L,.Q.8.
1.8
1.8
1.8
1.8
1.8
1.8
1.8
1.8
1.8
1.8

0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5

200
400
200
400
200
400

1.5
1.5
1.2
1.2
1.3
1.3

8.0
8.0
10
10
12.5
12.5

5.0
5.0
10.0
10.0
5.0
5.0

200
200
180
180
340
340

1.3

50M

0.05*

4.0

1.25

5.0

5.0

75

50

1-39

PD

Temp

Range

~55/100
~55/100

-55/100
-55/100
..SS!l(jO
-55/100
.. 55/100
-55/100
.. 55/100

15
15
15
15
15
15
15
15
15
15

700
800
900
1000
1100
1200
1300
1400
1500
1600
5.0

2.0*

Tol
VZ±%

REFERENCE DIODES

SIGNAL. DIODES
PRV
(volts)

1N2668
1N2669
1N2673
1N2677
1N2681
1N2685
1N2687
1N2689
1N2690
1N2691
1N2692
1N2694
1N2696
1N2698
1N2700
1N2701
1N2702
1N2705
1N2708
1N2711
1N2714
1N2717
1N2720
1N2722
1N2723
1N2724
1N2725
1N2728
1N2731
1N2734
1N2737
1N2738
1N2739
1N2740
1N2742
1N2744
1N2746
1N2748
1N2749
1N2750
1N2753
1N2756
1N2759
1N2762
IN2763
1N2764
1N2765
1N2765A

VF
(volts)

62

8.5

0.002

10

6.0W

10

-55/100

•

lN2794-1N2827B

..
..

RECTIFIERS
:z:

.....

TYPE

ffi

I-

C>

REPLACEMENT

REF.

:::IE

•

IN2794
IN279S
1N2796
1N2797
1N2798
1N2799
1N28DD
lN28D1
1N28D2
1N28D3
1N28D4
1N28D4A
1N28D4B
1N28DS
1N28DSA
1N28DSB
1N28D6
1N2806A
lN2806B
IN2807
1N2807A
1N2807B
1N28D8
1N2808A
IN28D8B
1N2809
1N28D9A
1N2809B
1N28l0
lN2810A
IN281DB
IN2811
IN2811A
IN2811B
IN2812
1N2812A
IN2812B
1N2813
IN2813A
1N2813B
IN2814
IN2814A
1N2814B
IN281S
IN281SA
IN281SB
IN2816
lN2816A
lN2816B
IN2817
IN2817A
IN2817B
IN2818
IN2818A
IN2818B
IN2819
IN2819A
IN2819B
IN2820
IN2820A
lN2820B
IN2821
IN282lA
IN2821B
lN2822
lN2822A
IN2822B
lN2823
1N282,3A
lN2823B
IN2824
IN2824A
IN2824B
IN2825
IN2825A
IN2825B
IN2826
IN2826A
IN2826B
IN2827
IN2827A
IN2827B

s
S

S
S
S
S
S
G

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S

S
S
S
S

s

S
S

S
S
S

S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

5i

~

;:::

...52
:z:

IN1l84
lNll8S
1Nll86
lNll87
lNll87
lN1188
1N1188

~
(volts)

", , /

(volts)

(Amps)

R
R
R
R
R
R
R

(mA)

'.

.,I.R·:

10.
1.25
5.0.
ISO.
1.25
5.0.
20.0.
l.25
5.0.
250.
l.2S
5.0.
30.0.
l. 25
5.0.
350.
l. 25
5.0.
5.0.
40.0. ,,1.)5
DS
,2.0,
o.$.o .5}O!'1
Microwave X-band Mixer; NF = 7. S dB
l.2
2SD
R
40.0.
DZ
lN28D4
DZ
1N28D4
DZ
1N28D4
DZ
1N28D4
DZ
lN28D4
DZ
lN28D4
DZ
1N28D4
DZ
1N2804
DZ
IN2804
DZ
1N28D4
DZ
1N2804
DZ
1N2804
DZ
1N2804
DZ
1N2804
1N2804
DZ
1N28D4
DZ
1N28D4
DZ
lN2804
DZ
lN2804
DZ
lN28D4
DZ
lN2804
DZ
1N2804
DZ
lN2804
DZ
lN2804
DZ
lN2804
DZ
lN2804
DZ
lN28D4
DZ
lN2804
DZ
1N28D4
DZ
lN28D4
DZ
lN28D4
DZ
lN2804
DZ
lN28D4
DZ
lN28D4
DZ
lN28D4
DZ
IN2804
DZ
lN2804
DZ
IN2804
DZ
IN2804 DZ
DZ
IN2804
DZ
lN2804
1N28D4 DZ
IN28D4 DZ
IN28D4
DZ
IN2804 DZ
IN2804 DZ
lN2804 DZ
IN2804 DZ
lN2804 DZ
lN2804 DZ
lN2804 DZ
lN2804 DZ
lN2804 DZ
lN2804 DZ
lN2804 DZ
lN2804 DZ
lN2804 DZ
1N2804 DZ
IN2804 DZ
IN2804 DZ
IN2804 DZ
IN2804 DZ
IN2804 DZ
IN2804 DZ
1N2804 DZ
IN2804 DZ
IN2804 DZ
IN2804 DZ
IN2804 DZ
IN2804 DZ
IN2804 DZ
IN2804 DZ
lN1l83
lN1l83
lNll83
lNll83
lN1l83
lN1l83
IN1183

ZENER DIODES

1-40

IFSM

:c,,;'

',' t;,.
(~sl

5.0.
5.0.
5.0.
5.0.
5.0.
5.0.
S.D
2..0*

'pOO

36

350.0.

Vz(nom)

IZT
mA

Tol
Vz±%

Po

~~~-

::
•.. ' T C

VZ(IlOt:l\)

%/"C,

6.8
6.8
6.8
7.5
7.5
7.5
8.2
8.2
8.2
9.1
9.1
9.1
10
10.
10
11

18S0
18SD
18SD
170.0
170.0
170.0.
150.0.
150.0.
lSOO
1370.
1370
1370
120.0.
120.0.
1200.
lIDO
1100
lIDO
1000
100.0
100.0
960.
960.
960
890.
890.
890.
830.
830
830
780
780
780
740.
740
740
700
700
700
660
660.
660.
630.
630
630
570
570
570
520
520
520
500
500
500
460
460
460
420
420
420
380
380
380
350
350
350
320
320
320
290
290
290

Temp
Range

75
75
75
75
75
75
75

11

11
12
12
12
13
13
13
14
14
14
15
15
15
16
16
16
17
17
17
18
18
18
19
19
19
20.
20
20
22

22
22
24
24
24
25
2S
25
27
27
27
30
30
30
33
33
33
36
36

36
39
39
39
43
43
43

20.
10.
S.D
20
10.
5.0.
20.
10
5.0
20
10
5.0
20
10.
5.0.
20
10
S.O
20
10.
5.0.
20
10
5.0.
20.
10.
5.0.
20.
10.
5.0.
20.
10.
5.0.
20.
10
5.0.
20
10
S.O
20
10
5.0
20.
10
S.O
20
10
S.O
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10

5.0
20
10
5.0
20
10
5.0
20
10
5.0

SO\,
SDW
SDW
SOW
5DW
SDW
SOW
SOW
SOW
SOW
SOW
SDW
SDW
SOW
SOW
SDW
SDW
SOW
SOW
5DW
5DW
SOW
5DW
5DW
5DW
5DW
5DW
50W
5DW
5DW
5DW
5DW
SDW
SDW
SOW
SOW
SOW
50W
SOW
SOW
SOW
SOW
SOW
50W
SOW
SOW
SOW
SOW
SOW
50W
SOW
SOW
SOW
SOW
SOW
50W
SOW
50W
SOW
SOW
50W
50W
50W
SOW
SOW
50W
SOW
SOW
SOW
SOW
SOW
SOW

lN2828-1N2866

TYPE

;...
!;;;

z:
Q

Ei]
REPLACEMENT

REF.

::E

IN2828
IN2828A
IN2828B
IN2829
IN2829A
IN2829B
IN2830
IN2830A
IN2830B
IN2831
IN2831A
IN2831B
IN2832
IN2832A
IN2832B
IN2833
IN2833A
IN2833B
IN2834
IN2834A
IN2834B
IN283S
IN2835A
IN2835B
IN2836
IN2836A
IN2836B
IN2837
IN2837A
IN2837B
IN2838
IN2838A
IN2838B
IN2839
IN2839A
IN2839B
IN2840
IN2840A
IN2840B
IN2841
IN2841A
IN2841B
IN2842
IN2842A
IN2842B
IN2843
IN2843A
IN2843B
1N2844
1N2844A
1N2844B
IN284S
1N284SA
IN2845B
1N2846
1N2846A
1N2846B
IN2847
IN2848
1N2849
1N28S0
IN2851
1N28S2
1N28SS
IN28S6
IN2857
IN2858
IN2858A
1N28S9
IN2859A
IN2860
IN2860A
IN2861
IN2861A
IN2862
1N2862A
1N2863
IN2863A
IN2864
1N2864A
1N2865
1N2866

1N4001

IN4001

IN4002

IN4001

IN4003

IN4001

S
S
S
S
S
S
S
S
S
S
S

s
S
S

s

S
S
S
S
S
S
S
S

s
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

...e

R
R
R
R
R
R
R
R
R
R
R
R

s

s

;::
z:

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

S
S
S
S
S
S
S
S
S

IN4004

IN4001

1N4004

1N4001

1N400S

1N4001

IN400S

IN4001

VR
(voltsl

;:;:

IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
1N2804
1N2804
1N2804
1N2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S

t.>

RECTIFIERS

R
R
R

R
R
R
R
R
R
R
R
R
R

VF
(voltsl

10
(Ampsl

ZENER DIODES

IR
(mAl

Vz(noml

trr

Vz(nom)

Tc
%JOC

45
45
45
47
47
47
50
50
50
51
51
51
56
56
56
62
62
62
68
68
68
75
75
75
82
82
82
91
91
91
100
100
100
105
105
105
110
110
110
120
120
120
130
130
130
140
140
140
160
160
160
180
180
180
200
200
200

280
280
280
270
270
270
250
250
250
245
245
245
220
220
220
200
200
200
180
180
180
170
170
170
150
150
150
140
140
140
120
120
120
120
120
120
110
110
110
100
100
100
95
95
95
90
90
90
80
80
80
68
68
68
65
65
65

SIGNAL DIODES
PRV
(volts'

100
200
300
400
500
600
600
800
1000
50
50
100
100
200
200
300
300
400
400
500
500
600
600
1000
1500

VF· I!O

·IF'

lllOlU)

2.0
2.0
2.0
2.0
2.0
2.0
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
2.5
2.5

1.5
1.5
1.5
1.5
1.5
1.5
250
250
250
0.75
1.0
0.75
1.0
0.75
1.0
0.75
1.0
0.75
1.0
0.75
1.0
0.75
1.0
0.7
0.7

1-41

IR

0.3
0.2
0.2
0.2
0.2
0.2
25
20
15
0.3
0.3
0.3
0.3
0.3
0.3
0.2
0.3
0.2
0.3
0.2
0.3
0.2
0.3
0.1
0.1

IZT
rnA

IFSM

Tal
Vz±%

PD

REFERENCE DIODES
tp$l

15
15
15
15
15
15
3500
4500
4500
40
25
40
25
40
25
40
25
40
25
40
25
40
25
7.0
7.0

IZT

mA
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0

Temp
Rang&

SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW

'sow

SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
50W
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW

•

lN2867 -lN2976A
RECTIFIERS

VR

(volts)

TYPE

•

REPLACEMENT

REF.

(volts!.

lN2867
lN2868
lN2878
lN2879
lN2880
lN2881
lN2882

S
S
S
S
S
S
S

llNN22888865
IN2887
lN2888
IN2889
lN2890
lN2891
IN2892
lN2893
lN2894
lN2895
lN2896
lN2897
lN2898
IN2899
lN2900
lN2901
IN2902
lN2903
lN2904
lN2905

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

W~~~~

;

g~

m

t~~m
t~m~

~
~

g~
g~

\g~
6:g~ ,

m~~2

i~m~

~

10
(Amps)

ZENER DIODES

IR
(rnA)

Vz(nom)

IFSM

SIGNAL,.'DIODES
PRV

R

1000
1500
700
700
loOK
loOK
500

R

lN4006
lN4006
lN4007
lN4007
lN4007

VF
(volts)

~~~~~

lN400l
lN400l
lN400l
lN400l
lN400l

DS
DS
DS
DS
DS

i::~~~~ g~

MR991A
MR991A
MR991A

MR990A
MR990A
MR990A

MR992A
}1R992A
MR992A
MR993A
}1R993A
MR994A
}1R994A
MR994A
MR994A
}1R995A
MR995A
MR995A
MR995A

MR990A
MR990A
MR990A
MR990A
MR990A
MR990A
MR990A
MR990A
MR990A
MR990A
MR990A
MR990A
MR990A

DS
oS
DS
DS
DS
oS
DS
DS
DS
OS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS

~

,2.0
2.0

750

750,
2.0K
2.0K
100
100
450
450
500
500
800
800
3.0K
3.0K

g~

8.0

S.O

6.0
6.0,

9.0

150

9.0
7.0

500

~gg

I

4,0.
3,0,
3.0_
5.0
5.0
4.0
4.0
6,0
6.0
7.0
7.0
5.0
5.0

150
500

250M
250M
250M

3:0

tgg 2:g

400
500
500

0.7
0.7
2
50M
25
0M

7.0

I

~~g~

250M,·
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M '.
250M

ig· ~;g~

rnA

Tal
Vz±%

Po

REFERENCE ,DlO,DES

'V,,~. ,@:IF
('dis),

2.5
2.5
22'.'00.

IZT

Izr

Vzlnoml
0.1
0.1
0.5*
0.5*'
0.5*
0.5*
0.5*

.mA

Temp

·Rang&'

7.0
7.0

,'.,

g:~t

!

00,.5
5 " I ' ,;
0.5*
",
0.5*,
0.5*
0.51,
0.5*

0;5*

,

0.5*,
0.5*.
0.5*
0.5*
0.5*
0.51<
0,5*
0,.51<
lh5*
0.51<,
0,51<
0.51<
0.5 1'

it][J g:Jt:

, ,,'

g:~:,
I '

lN2910
S
DS
4.0K
8.0
0,5*
lN2911
S
DS
4.0K
8,0
2
0,51<
~1~N~2~91~2~+-S--~--------~----~D~S~~2-0~0~~~12~~2S0M-4~0~.5~*~~~~------t-----i----4----~
lN2913
S
DS
200
12
250M
0.5* '
lN2914
S
DS
500
9.0
250M
0.5:*
IN2915
S
DS
500
9.0
250M
0.5*
IN2916
S
DS
550
13
250M
0.5*
lN2917
S
DS
550
13
250M
0.5*
lN2918
S
DS
5.,OK,
10
250M
0.5*

lN2923

S

i~~§~~

S

DS

6.0K

i~

Ii12

~~g~ '. g:~: f.
.H~~ g:;t
~Jvn

.0."

rl~N~2~9~24'-~S~r---------r-----~D~S~~5~.0~0~
•••-r'~1~3-4-,~~~~~~'-~g~:
Microwave X-K band

De~!ctor 500'..

IN2926A
lN2927,A

Microwave X-K band Detector

thru

::::::ADiOTl~2sge7eO ra;;e, on

I

lN2934,A
IN2937
lN2938
lN2939,A
thru

lN2941,A
IN2969
lN2969A
lN2970
lN2970A
IN2970B
lN2971
lN2971A
lN2971B
lN2972
lN2972A
lN2972B
lN2973
lN2973A
IN2973B
lN2974
lN2974A
lN2974B
lN2975
lN2975A
lN2975B
lN2976
lN2976A

I

"

','

r-~-t------T------r----r---~

13

I

page, 1-104

45
0.9

25
100

5.0
15

lOW
2.0W

6.8
6.8
6.8
7.5
7.5
7.5
8.2
8.2
8.2
9.1

370
370
370
335
335
335
305
305
305
275
275
275
250
250
250
230
230
230
210
210

20
10
5.0
20
10
5.0
20
10
5.0
20

lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

10
5.0
20
10
5.0
20
10
5.0
20
10

lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

Tunnel Diad,s, see ,able1on pagel 1-104

S
S
S
S
S
S
S
S
S

S

Tunnel Diode. see Table on Page 1-104
Tunnel Diode, see Table on Page 1-104
lN2970
DZ
lN2970
DZ
IN2970
DZ
IN2970
DZ
lN2970
DZ
IN2970
DZ
lN2970
DZ
IN2970
DZ
lN2970
DZ
IN2970
DZ
IN2970
DZ
IN2970
DZ
IN2970
DZ
IN2970
DZ
IN2970
DZ
IN2970
DZ
IN2970
DZ
IN2970
OZ
IN2970
DZ
IN2970
DZ

1-42

9.1
9.1
10
10
10
11
11
11
12
12

lN2976B-1N3003B
RECTIFIERS

TYPE

.......""
LLI

CI

...
...

!;i
REPLACEMENT

REF.

:E

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

VR
(volts)

;:;:
;::

IN2970
lN2970
IN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
1N2970
lN2970
1N2970
lN2970
1N2970
lN2970
lN2970
lN2970
lN2970
1N2970
1N2970
1N2970
1N2970
lN2970
lN2970
lN2970
lN2970
1N2970
1N2970
1N2970
lN2970
1N2970
1N2970
lN2970
1N2970
lN2970
1N2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
1N2970
lN2970
1N2970
lN2970
1N2970
1N2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
1N2970
lN2970
lN2970
lN2970
lN2970
1N2970
lNf970
lN2970
1N2970
1N2970
1N2970
1N2970
lN2970
1N2970
IN2970
lN2970
IN2970
lN2970
IN2970
IN2970
1N2970
lN2970
lN2970
1N2970

10

VF
(volts)

(Amps)

IR
(rnA)

IFSM

SIGNAL DIODES

:z:

5!

1N2976B
lN2977
lN2977A
lN2977B
lN2978
lN2978A
1N2978B
lN2979
lN2979A
lN2979B
lN2980
lN2980A
lN2980B
lN298l
lN298lA
lN2981B
lN2982
lN2982A
1N2982B
lN2983
lN2983A
lN2983B
lN2984
lN2984A
lN2984B
lN2985
lN2985A
lN2985B
lN2986
lN2986A
lN2986B
lN2987
lN2987A
lN2987B
lN2988
lN2988A
lN2988B
lN2989
lN2989A
lN2989B
lN2990
lN2990A
1N2990B
lN2991
1N299lA
lN299lB
IN2992
lN2992A
lN2992B
lN2993
1N2993A
lN2993B
lN2994
1N2994A
lN2994B
lN2995
lN2995A
lN2995B
lN2996
lN2996A
1N2996B
lN2997
lN2997A
1N2997B
lN2998
lN2998A
1N2998B
lN2999
lN2999A
1N2999B
lN3000
lN3000A
lN3000B
lN3001
IN300lA
IN300lB
lN3002
lN3002A
lN3002B
lN3003
lN3003A
lN3003B

ZENER DIODES

:z:

.....

:$

PRV
(volts)

VF

@

IF

(volts)

IR

Vz(nom)

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

1-43

Tol
VZ±%

PD

REFERENCE DIODES
I"

IPs)

lIz(noml

12
13
13
13
14
14
14
15
IS
IS
16
16
16
17
17
17
18
18
18
19
19
19
20
20
20
22
22
22
24
24
24
25
25
25
27
27
27
30
30
30
33
33
33
36
36
36
39
39
39
43
43
43
45
45
45
47
47
47
SO
SO
SO
51
51
51
52
52
52
56
56
56
62
62
62
68
68
68
75
75
75
82
82
82

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

IZT
rnA

TC
%foe

210
190
190
190
180
180
180
170
170
170
ISS
ISS
ISS
145
145
145
140
140
140
130
130
130
125
125
125
115
115
115
105
105
105
100
100
100
95
95
95
85
85
85
75
75
75
70
70
70
65
65
65
60
60
60
55
55
55
55
55
55
SO
SO
SO
SO
SO
SO
SO
50
SO
45
45
45
40
40
40
37
37
37
33
33
33
30
30
30

Temp

I;aT
rnA

Range

5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0

lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

•

lN3004-1N3031

TYPE

....
:s
....
""
l-

""

;::

REPLACE MENT

REF.

::E

•

1N3004
1N3004A
1N3004B
1N3005
1N3005A
1N3005B
1N3006
1N3006A
1N3006B
1N3007
1N3007A
1N3007B
1N3008
1N300SA
1N300SB
1N3009
1N3009A
1N3009B
1N3010
1N3010A
1N3010B
1N3011
1N3011A
1N3011B
1N3012
1N3012A
1N3012B
1N3013
1N3013A
1N3013B
1N3014
1N3014A
1N3014B
1N3015
1N3015A
1N3015B
1N3016
1N3016A
1N3016B
1N3017
1N3017A
1N3017B
1N301S
1N301SA
1N301SB
1N3019
1N3019A
1N3019B
1N3020
1N3020A
1N3020B
1N3021
1N3021A
1N3021B
1N3022
IN3022A
1N3022B
1N3023
IN3023A
1N3023B
1N3024
1N3024A
1N3024B
1N3025
IN3025A
1N3025B
IN3026
IN3026A
1N3026B
1N3027
IN3027A
IN3027B
1N3028
IN3028A
1N3028B
1N3029
1N3029A
1N3029B
1N3030
1N3030A
1N3030B
1N3031

S
S
S
S
S
S
S
S
S
S
5
5

S
5
5
S
S
S
S
S
S
5
S
S
S
S
S
S
5
S
S
5
S
S
S
S
S
S
S
5
S
S
S
S
S
5
5
S
S
S
S

S
S

5
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
5
S
S
S
S
S
S
S
S
S
S

RECTIFIERS

z:

c:>

1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
IN2970
1N2970
1N2970
1N2970
1N2970
IN2970
IN2970
IN2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N3016
IN3016
1N3016
IN3016
1N3016
1N3016
1N3016
IN3016
1N3016
1N3016
1N3016
1N3016
1N3016
1N3016
1N3016
1N3016
1N3016
1N3016
1N3016
1N3016
1N3016
1N3016
1N3016
1N3016
1N3016
1N3016
1N3016
1N3016
IN3016
IN3016
1N3016
IN3016
IN3016
IN3016
IN3016
1N3016
1N3016
1N3016
IN3016
IN3016
IN3016
IN3016
1N3016
1N3016
1N3016
1N3016

....
""
ii:
;::
z:
....
e

VA
(volts)

VF
(volts)

10
(Amps)

IA
(rnA)

ZENER DIODES

,)" . fSIGlN~I,;o,.OIOOE;S
•
PAV. • )V~C.@'IF
IR • • 't"
(~h
"
(voltsL
.lpsl

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

VZ(nom)

IFSM

•

Vz(nom)

%1&c::

91
91
91
100
100
100
105
105
105
110
110
110
120
120
120
130
130
130

28
28
28
25
25
25
25
25
25
23
23
23
20
20
20
19
19
19
18
IS
IS
17
17

140

10
10
10
11
11
11

12
12
12
13
13
13
15
15
15
16
16
16
IS
18
IS
20
20
20
22

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

22

22
24
24
24
27
27

27
30

1-44

Tol
VZ±%

Po

REFERE;N<::E;OIOOES

140
140
150
150
150
160
160
160
175
175
175
-ISO
ISO
ISO
200
200
200
6.8
6.8
6.S
7.5
7.5
7.5
8.2
8.2
8.2
9.1
9.1
9.1

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

I ZT
rnA

17

16
16
16
14
14
14
14
14
14
12
12
12
37
37
37
34
34
34
31
31
31
2S
28
2S
25
25
25
23
23
23
21
21
21

19
19
19
17

17
17
15.5
15.5
15.5
14
14
14
12.5
12.5
12.5
11.5
11.5
11.5
10.5
10.5
10.5
9.5
9.5
9.5
8.5

It1

Temp

~A

Range

20

10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10

5.0
20
10
5.0
20

10,"
10,"
10,"
10,"
10,"
10,"
10,"
10,"

10,"
10'"
10,"
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
10,"
lOW
lOW
10,"

lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.0'"
l.OW
l.OW
l.OW
l.OW
l.0'"
l.OW
l.OW
l.OW
l.OW
l.0,"
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1.0W
1. OW
1. OW
1. OW
1. OW
1. OW
1.0'"
1.0,"
1. OW
1. OW
l.OW
l.OW
1.0,"
1. OW
l.OW
l.OW
l.OW

lN3031A-1N3071
ZENER DIODES

RECTIFIERS
:z:
C>
;:::

.....

TYPE

""
15
""

I-

REPLACEMENT

REF.

~

""
..::
;:::
is
~

E!

VA
(volts)

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S

S
S
S
S

S
S
S
S
S

S
S

S
S
S
S

S
S
S

S
S
S
S

S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

1N3016
1N3016
1N3016
1N3016
lN30l6
lN30l6
lN3016
lN30l6
lN30l6
lN3016
lN30l6
lN30l6
lN30l6
lN30l6
lN3016
lN30l6
IN3016
lN30l6
lN30l6
lN30l6
IN3016
lN3016
lN3016
lN3016
lN30l6
IN3016
lN30l6
lN3016
IN3016
lN30l6
IN3016
lN3016
IN3016
IN3016
IN3016
lN3016
IN3016
1N3016
lN30l6
lN30l6
IN3016
lN30l6
lN3016
lN30l6
1N3016
lN3016
lN3016
lN30l6
lN3016
lN30l6
lN30l6
lN30l6
lN30l6
1N3016
lN30l6
lN30l6
lN30l6
lN30l6
lN30l6
IN3016
1N30l6
1N3016

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
R
R
R
R
R
R
R
R
R
R

DS
DS
DS
DS
DS
DS
DS
DS
DS
DS

(Amps)

IA
(rnA)

Vp
(volts)

12K
14K
16K
18K
20K
22K
24K
26K
28K
30K
75

75

75
75
75
30
30
65

200
200

70
75
80
85
90
95
100
105
120
125
0

1.0

1.0
1.0
1.0
l.0

1.0

IF

@

fA

ttr

Vz(noml

%/oC

Te

IZT
rnA

Range

30
30
33
33
33
36
36
36
39
39
39
43
43
43
47
47
47
51
51
51
56
56
56
62
62
62
68
68
68
75
75
75
82
82
82
91
91
91
100
100
100
110
110
110
120
120
120
130
130
130
150
150
150
160
160
160
180
180
180
200

8.5
8.5
7.5
7.5
7.5
7.0
7.0
7.0
6.5
6.5
6.5
6.0
6.0
6.0
5.5
5.5
5.5
5.0
5.0
5.0
4.5
4.5
4.5
4.0
4.0
4.0
3.7
3.7
3.7
3.3
3.3
3.3
3.0
3.0
3.0
2.8
2.8
2.8
2.5
2.5
2.5
2.3
2.3
2.3
2.0
2.0
2.0
1.9
1.9
1.9
1.7
1.7
1.7
l.6
1.6
1.6
l.4
1.4
1.4
l.2
l.2
l.2

10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20

1. 0\0/
1.01 7
LOW
1. 0\0/
1. 0\0/
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
1. 0\0/
LOW
LOW
1. 0\0/
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW

10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0

LOW
LOW
LOW
LOW
LOW
LOW
LOW
1.0W
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
l.OW
l. 0\0/
l.01-l
LOW
l.OW
l. 0\0/
l.OW
l.OW
l.OW
l.OW

I~sl

20M
10M
10M
20M

0.1*
0.1*
0.1*

2.0
4.0

10M

0.1*
0.1*
0.1*
0.1*
0.1*
0.1*

2.0

SOM

100M
100M

1-45

Po

REFERENCE DIODES

0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2

S.OM
S.OM

Tol
VZ±.%

VZ(nom)

0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1

0.1*

IZT
rnA

IFSM

SIGNAL DIODES
PRV
(volts)

1N3031A
1N3031B
1N3032
1N3032A
1N3032B
1N3033
lN3033A
lN3033B
lN3034
1N3034A
lN3034B
1N3035
lN3035A
IN3035B
IN3036
IN3036A
lN3036B
IN3037
IN3037A
lN3037B
lN3038
lN3038A
lN3038B
lN3039
lN3039A
IN3039B
lN3040
lN3040A
lN3040B
lN304l
1N304lA
IN3041B
1N3042
IN3042A
IN3042B
IN3043
IN3043A
1N3043B
lN3044
lN3044A
IN3044B
1N3045
IN3045A
IN3045B
IN3046
lN3046A
lN3046B
1N3047
1N3047A
lN3047B
lN3048
1N3048A
lN3048B
1N3049
lN3049A
1N3049B
lN3050
lN3050A
1N3050B
1N3051
1N3051A
1N3051B
1N3052
1N3053
1N3054
1N3055
1N3056
1N3057
1N3058
1N3059
1N3060
1N3061
1N3062
1N3063
1N3064
1N3065
1N3066
1N3067
1N3068
1N3069
1N3070
1N3071

10

VF
(volts)

6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
2.0
2,0

2.0
50
50
50
50

Temp

•

lN3072 -lN3168
RECTIFIERS
TYPE

•

..,.....
..,::IEffi

I-

..,

VR
Ivolts}

REPLACEMENT

~

REF.

1N30n

1N3078
1N3079
1N3080
1N3081
1N3082
1N3083
1N3084
1N3085
1N3086
1N3087
1N3088
1N3089
1N3090
1N3091
1N3092
1N3093
1N3097
1N3098,A
1N3099,A
1N3100,A
1N3101,A
1N3102,A
1N3103,A
1N3104,A
1N3105,A
1N3106
1N3107
1N3108
1N3109
1N3110
1N3111
1N3112
1N3113

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

;::

G
G

X-band Switch

S
S
S
S
S
S
S
S
S
S
S
S

1N3046A
1N3048A
1N3050A
1N3051A
1N3008A
1N3011A
1N3014A
1N3015A

PRy

S
S

400

R
R
R
R
R
DS
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
R
R
R
R
DS
R
DZ

1N2970
1N2970
1N2970
1N2970

MR1220
1N4728

IR
1m A}

IFSM

Vz(nom}

Vf'@

IF

tn

Vz(nom]

('IOIts)

IR

()is)

500
600
200
400
600
100
200
300
400
500
600
800
1000

0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.5
0.5
0.5
150
150
150
150
150
150
150
150

0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.2
0.2
0.2
40
40
40
40
40
40
40
40

10
10
10
10
10
10
10
10
10
10
15
15
15
1500
1500
1500
1500
1500
1500
1500
1500

30

0.5

10M

'4.01'

0.5

0.3
0.3
0.3
0.3
20*
40

30
15
30
15

1.6
0.75
3.2
0.5
1.6
1.5
3.2
0.7
0.45 . S.OM
1.1
150

Tol
VZ±.%

Po

REFERENCE· DIODES

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1. 25
1. 25
1. 25
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1

800
1200
800
1200
S.O
50

IZT
rnA

1500

TC
%(VC

IZT
rnA

Temp
Range

120
150
180
220
120
150
180
220

3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0

20/10
20/10
20/10
20/10
20/10

LOW
LOW
LOW
LOW
lOW
lOW
lOW
lOW

7.4

120

5.0

LOW

8.5

0.005

10

-55/100

8.8

0.01
0.01
0.005
0.005
0.002
0.002
0.001
0,001

10
10
10
10

-55/100
-55 1100
-55/100
-55/100

Tunnel Diodes, see Table on Page 1-104

50

DS
DS
DS
DS
DS

G
G

S
S
G

thru

1N3130
IN3138
IN3139
1N3140
1N3141
1N3142
1N3143
1N3144
1N3145
1N3146
1N3147
1N3148
1N3149
1N3149A
1N3150
IN3151
1N3154
1N3154A
1N3155
1N3155A
1N3156
1N3156A
1N3157
1N3157A
1N3159
1N3160
1N3161
1N3162
1N3163
IN3164
IN3165
1N3166
1N3167
1N3168

R

R
R
R
R
R
R
R
R

1N3016

MRl220SB
1N4737A

R
R
R
R
R

{voltsl
50
100
150
200
250
300
350

R
R

G

thru

1N3120
1N3121
IN3122
IN3123
IN3124
IN3125
1N3128

1N4001
1N4001
1N4001
1N4001
1N4001
1N4001
1N4001
1N4001
1N4001
1N4001
1N4001
1N4001
1N4001
MR1220
MR1220
MR1200
MR1200
MR1200
MR1220

10
(Amps}

SIGNAL DIODES

is
1N4001
1N4002
1N4003
1N4003
1N4004
1N4004
1N4004
1N4004
1N4005
1N4005
1N4003
1N4004
1N4005
MR1221SB
MRl223SB
MR1225SB
MR1227SB
MRl228SB
MR1229SIl

VF
(volts}

;:;:

52

1N3072
1N3073
1N3074
1N3075
1N3076

ZENER DIODES

z:

c::>

;::

0.25
0.3
1.5
.l.0
0.4

20

AU
40
40

O.IM
1. OM
10M
20M
S.OM

3.5*
4.5*

0.1 ;,
100"

4.0

70
70
70
70

15
15
15
15

1200
1200
1200
1200

20*
25'"

0.5

a.l'\'

0.5

4.0
0.3

Tunnel Diodes, see Table on Page 1-104

I

S
S
S
S
G
G
G
G

S
S

S
S
S
S
S
S
S
S
S
G
G

S
S
S
S
S
S
S
S

I

I

Tunnel Diode, see Table on Page
50
R
100
R
150
R
200
R
Microwave C-X-band Detector
DS
20
DS
65
DS
20
DS
45
1N3155A
DR
IN3154
Tunnel Diode, see Table on Page
Tunnel Diode~ see Table on Page
Tunnel Diode, see Table on Page
7200
R
1N3154 DR
1N3154 DR
1N3154 DR
1N3154 DR
1N3154 DR
1N3154 DR
1N3154 DR
1N3154 DR
DS
15
DS
60
MR1230SB
MR1230 R
50
MR1231SB
MR1230 R
100
MR1232SB
MR1230 R
150
MR1233SB
MR1230 R
200
MR1234SB
MR1230 R
250
MR1235SB
MR1230 R
300
MR1236SB
MR1230 R
350
MR1237SB
MR1230 R
400

I

I

I

I
1-104
1. 55
1.55
1. 55
1. 55
0.3
0.45
1.0
1.0

l.OM

10M

SOM
100M

1-104
1-104
1-104
27

0.1

100"'1'"

2.0
[. 1.0

250

12

8.8

8.8

8.8
8.8
8,8

3.8
8.S
0.45
1.0
1. 30
1. 30
1. 30
1.30
1. 30
1. 30
1. 30
1.30

10M
S,OM
240
240
240
240
240
240
240
240

1-46

12*
16
16
16
16
16
16
16
16

0.3
3000
3000
3000
3000
3000
3000
3000
3000

10 -55/100
10 -55/100
10 -55/100
10 -55/100

lN3169-1N3255
RECTIFIERS

TYPE

...=
0-

e

0

REPLACEMENT

REF.

::E

1N3169
1N3170
1N3171
1N3171A
1N3172
1N3172A
1N3173
1N3173A
1N3174
1N3174A
1N3175
1N3176
1N3177
1N3179
1N3180
1N3181
1N3182
1N3183
1N3184
1N3185
1N3186
1N3187
1N3188
1N3189
1N3190
1N3191
1N3192
1N3193
1N3194
1N3195
1N3196
1N3197
1N3198
1N3199
1N3200
1N3201
1N3202
1N3203
1N3206
1N3207
1N3208
1N3209
1N3210
1N3211
1N3212
1N3213
1N3214
1N3215
1N3217

S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

MR1230
MR1230

~
~

;:::
:z
S!

...

R
R
R
R
R
R
R
R
R
R
R
R
R

1N5237A

1N5221

DS
DS
DZ

VR
(volts)

VF
(volts)

1N4003
1N4004
1N4005

1N4001
1N4001
1N4001

1N4003
1N4004
1N4005
1N4006

1N4001
1N4001
1N4001
1N4001

1N5221B
1N3155
1N3156
1N3156
1N3157

1N5221
1N3154
1N3154
1N3154
1N3154

R
R
R
R
R
R
R
R
R

DS

G

1N3189
1N3189
1N3189
1N3189
1N3189
1N248B
1N248B

R
R
R
R

DS
DZ
DR
DR
DR
DR
DS
DS
DS
R
R
R
R
R
R
R

DS

10
(Amps)

IR
(rnA)

IFSM

SIGNAL DIODES
PRV
(voits)

500
600
700
700
800
800
900
900
1000
1000
1200
1400
1600
200

110

VF @'.'
(volts)

1.30
1.30
1.92
1.9
1.92
1.9
1.92
1.9
1.92
1.9
1.4
1.4
1.4

1.0
1.5

Varactor Diode, see Table on Page 1-94

S
S
S
S
S
S
S
S
S
S

350
500
700
1000
1500
2000
200
400
600
200
200
400
600
800
30

1.0
1.0
2.0
2.0
3.0
4.0
1.1
1.1
1.1
1.0
1.2
1.2
1.2
1.2
1.0

IF

IR

240
240
240
240
240
240
240
240
240
240
240
240
240
100M
500M

illS)

16 3000
16 3000
16 3000
16 3000
16 3000
16 3000
16 3000
16 3000
16 3000
16 3000
15 3000
15 3000
15 3000
10*
5.0*

0.5
0.5
0.5
0.5
0.5
0.5
1.0
1.0
1.0
_.l00M.
0.75
0.75
0.75
0.75
150M

0.2
0.2
0.2
10*
0:2
0.2
0.2
0.2
50*

S
G

DS
DS

S

R

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S

R
R
R
R
R
R
R
R
R

R
R
R
R
R
R
R
R
R

1N4003
1N4004
1N4005

1N4001
1N4001
1N4001

R
R
R
R
R
R
R
R
R
R

Vz(nom)

0.5
1.0
1.0
1.5
1.5
1.5
1.5
1.5
1.5
1.5
0.7.

35M
10M

150M

15
15
15
15
15
15
15
LOM.

50*
,5.0*
0 •.05*
10
10
10
10
10
10
10
io*

150
40
100
200
400
600
800
1000
1200
1500
1800
2000
50
100
200
400
600
800
1000
1200
1500
50
100
200
400
600
800
1000
200
400
600

1.5
..1. 0
3.3
3.3
3.3
3.3
3.3
3.3
3.3
3.3
3.3
3.3
2.2
2.2
2.2
2.2
2.2
2.2
2.2
2.2
2.2
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.2
1.2
1.2

·t8r
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75
1.0
1.0
1.0
1.0
1.0
1.0
1.0
0.75
0.75
0.75

1-47

',.

20*

,".':;3*
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.2
0.2
0.2

Tal
VZ±%

Po

Izr

Temp

rnA

Range

14

10

0.6

10
0.005
0.003
0.002
0.001

2.0
10
10
10
10

0.4
SO 1100
50/100
50fl00
50/100

TC

\tz(nom)

%I"C

7.7

2.25
8.8

4.0
4.0
4.0
4.0
4.0
4.0
30
30
30
40
40
40
40
0.3

8.8
8.8

25
80
50
50
100
200
300
400
500
600
80

IZT
rnA

REFERENCE DIODES
t,.,

0.3
4.0
6.0
250
250
250
250
250
250
250

0.25

Tunnel Diodes,see Table on Page 1-104

thru

1N3222
1N3223
1N3225
1N3227
1N3228
1N3229
1N3230
1N3231
1N3232
1N3233
1N3234
1N3235
1N3236
1N3237
1N3238
1N3239
1N3240
1N3241
1N3242
1N3243
1N3244
1N3245
1N3246
1N3247
1N3248
1N3249
1N3250
1N3251
1N3252
1N3253
1N3254
1N3255

MR1238SB
MR1239SB

G

S
S
S
S
S

ZENER DIODES

:z

.....
e

800
0.5
12.5
12.5
12.5
12.5
12.5
12.5
12.5
12.5
12.5
12.5
15.0
15.0
15.0
15.0
15.0
15.0
15.0
15.0
15.0
20.0
20.0
20.0
20.0
20.0
20.0
20.0
40
40
40

8.8

•

lN3256·1N3313B
RECTIFIERS

......
...

z:
c:::o

-'

TYPE

<2

I-

REF .

:;:

•

1N3256
S
S
1N3257
S
1N325S
1N3260
S
1N3261
S
1N3262
S
1N3263
S
1N3264
S
1N326s
S
1N3266
S
1N3267
S
1N326S
S
1N3269
S
1N3270
S
1N3271
S
1N3272
S
1N3273
S
1N3274
S
1N327s
S
1N3276
S
1N3277
S
1N327S
S
1N3279
S
1N3280
S
1N3281
S
1N32S2
S
1N3283
S
1N3284
S
1N32Ss
S
1N3286
S
1N3287
G
1N328S
S
1N32SSA
S
1N3289
S
1N3289A
S
1N3290
S
1N3290A
S
1N3291
S
1N3291A
S
1N3292
S
1N3292A
S
1N3292B
S
1N3293
S
1N3293A
S
1N3294
S
1N3294A
S
1N329s
S
1N329sA
S
1N3296
S
1N3296A
S
1N3297
S
1N3297A
S
1N329S
S
1N329SA
S
1N3299
thru
1N3304,A
1N330s
S
1N330sA
S
1N3305B
S
1N3306
S
1N3306A
S
1N3306B
S
1N3307
S
1N3307A
S
1N3307B
S
1N330S
S
1N330SA
S
1N330SB
S
1N3309
S
1N3309A
S
1N3309B
S
1N3310
S
1N3310A
S
1N3310B
S
1N3311
S
1N3311A
S
1N3311B
S
1N3312
S
1N3312A
S
1N3312B
S
1N3313
S
1N3313A
S
1N3313B
S

...
...
;:::

REPLACEMENT

1N4006'

1N4001

MRl220SB
MR1221SB
MR1222SB
MRl223SB
MR1224SB
MR122sSB
MR1226SB
MR1227SB
MR122SSB
MR1229SB

MR1220
MR1220
MRl220
MR1220
MR1220
MR1220
MR1220
MR1220
MR1220
MRl220

1N3213
1N3213
1N3213
1N3213
1N3213
MR1S11R
MR1S13R
MR1S1sR
MR1S17R
MR1S1SSB
MR1S19SB

c..>

VA
(volts)

;:;:

;:::
z:
52

R
DS
DS
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
DS
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
DS
DS

.
!'AV····
(volts)

VF
(volts)

10
(Amps)

ZENER DIODES

IR
(mA)

IFSM

'.' SI(ilNAI;:,DIODES

;~~)@Tlf

1.2
1.0
1.0
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.4
1.4
1.4
1.3
1.3
1.3
1.3
1.3
3.7
3.7
3.7
3.7
3.7
,6;0 0.312. '
100
1.5
100
1.5
200
1.5
200
1.5
300
1.5
300
1.5
400
1.5
400
1.5
500
1.5
500
1.5
500
1.5
600
1.5
600
1.5
SOO
1.5
SOO
1.5
1000
1.5
1000
1.5
1200
1.5
1200
1.5
1400
1.5
1400
1.5
70 . 0.9
70' 0.9,
SOO
SO
SO
50
100
150
200
250
300
350
400
500
600
700
SOO
900
1000
1200
1400
1600
200
400
600
SOO
1000
1000
1500
2000
2500
3000

0.5
30M
100M
160
160
160
160
160
160
160
160
160
160
160
160
160
160
160
160
160
0.75
0.75
0.75
0.75
0.75
0.1
0.1
0.1
0.1
0.1

l,()M
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100

500~

.o.SA

IR

0.2
0.025"
0.025*
12
12
12
12
12
12
12
12
12
12
12
12
12
12
12
12
12

:
t"

, (pSf

Vz(nom)

I ZT
mA

Tal
VZ±%

Po

. REFERENCE DIODeS
Vz(nom)

TC

Temp

%IOC

I;n
mA

Range

lSs0
lSs0
lSs0
1700
1700
1700
1500
1500
1500
1370
1370
1370
1200
1200
1200
1100
1100
llOO
1000
1000
1000
960
960
960
890
S90
S90

20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0

50101
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW

40
300
400
2000
2000
2000
2000
2000
2000
2000
2000
2000
2000
2000
2000
2000
2000
2000
2000
2000
25
25
25
25
25
2.5
2.5
2.5
2.5
2.5

15"
200 . 1600
24
2300
300
1600
24
2300
400
1600
24
2300
525
1600
24
2300
650
1600
21
1600
21
2300
SOO
1600
17
2300
1050
1600
13
2300
1300
1600
11
2300
1600
1600
9.0
2300
lS00
1600
7.0
2300
20
0.2*
0.2*

4-Layer Diodes, see Table on Page I-lOS

1N2S04
1N2S04
1N2S04
1N2S04
1N2S04
1N2S04
1N2S04
1N2S04
1N2S04
1N2S04
1N2S04
1N2S04
1N2S04
1N2804
1N2804
IN2804
1N2804
1N2804
1N2S04
1N2804
IN2804
IN2804
1N2804
1N2804
1N2804
1N2804
1N2S04

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

6.S
6.S
6.S
7.5
7.5
7.5
S.2
S.2
S.2
9.1
9.1
9.1
10
10
10
11
11
11

12
12
12
13
13
13
14
14
14

1-48

lN3314-1N3341

TYPE

...!C

RECTIFIERS

z:

....

:!
a::

Cl

REPLACEMENT

REF.

::E

~
~

;:
z:
5!

...

VA
(volts)

S
S
S
S
S
S

s

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
IN2804
IN2804
1N8204
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
1N2804
1N2804
1N2804
IN2804
IN2804
IN2804
1N2804
IN2804
1N2804
1N2804
1N2804
1N2804
IN2804
1N2804
1N2804
1N2804
IN2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
IN2804
1N2804
1N2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
'1N2804
IN2804
IN2804
IN2804
IN2804
IN2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
IN2804
1N2804
IN2804
IN2804
IN2804

ZENER DIODES

IA
(rnA)

IFSM

SIGNAL 'DIODES
PRV
(valli)

1N3314
1N3314A
1N3314B
1N3315
1N3315A
1N3315B
1N3316
1N3316A
1N3316B
IN3317
IN3317A
IN3317B
1N3318
IN3318A
1N3318B
IN3319
1N3319A
1N3319B
IN3320
IN3320A
IN3320B
IN3321
IN3321A
IN3321B
IN3322
IN3322A
IN3322B
IN3323
IN3323A
IN3323B
IN3324
IN3324A
IN3324B
IN3325
IN3325A
IN3325B
IN3326
1N3326A
1N3326B
1N3327
1N3327A
IN3327B
IN3328
1N3328A
1N3328B
IN3329
1N3329A
IN3329B
1N3330
IN3330A
1N3330B
IN3331
IN3331A
1N3331B
1N3332
IN3332A
IN3332B
IN3333
IN3333A
IN3333B
IN3334
IN3334A
IN3334B
IN3335
IN3335A
1N3335B
IN3336
IN3336A
1N3336B
IN3337
IN3337A
IN3337B
1N3338
1N3338A
1N3338B
1N3339
IN3339A
IN3339B
IN3340
IN3340A
IN3340B
IN3341

10
(Amps)

VF
(volts)

VF,

1jI'

(WlItsI

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

,IF

fR

Vz(nom)

Tol
VZ±%

Po

REFERENCE OIODES
t.r
(psI

'Ill
15
15
15
16
16
16
17
17
17
18
18
18
19
19
19
20
20
20
22
22
22
24
24
24
25
25
25
27
27
27
30
30
30
33
33
33
36
36
36
39
39
39
43
43
43
45
45
45
47
47
47
50
50
50
51
51
51
52
52
52
56
56
56
62
62
62
68
68
68
75
75
75
82
82
82
91
91
91
100
100
100
105

1-49

IZT
rnA

Tc

Izr
rnA

Temp
Range

830
830
830
780
780
780
740
740
740
700
700
700
660
660
660
630
630
630
570
570
570
520
520
520
500
500
500
460
460
460
420
420
420
380
380
380
350
350
350
320
320
320
290
290
290
280
280
280
270
270
270
250
250
250
245
245
245
240
240
240
220
220
220
200
200
200
180
180
180
170
170
170
150
150
150
140
140
140
120
120
120
120

20
10
5.0
20
10
5.0
20

SOW
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
SOW
50W
50W
SOW
SOW
SOW
50W
50W
SOW
50W
50W
SOW
50W
SOW
SOW
SOW
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W

%I"C

10

5.0
20
10

5.0
20
10
5.0
20
10
5.0
20
10

5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10

5.0
20
10

5.0
20
10

5.0
20

10

5.0
20
10
5.0
20
10

5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10

5.0
20
10

5.0
20
10
5.0
20
10

5.0
20
10
5.0
20

•

lN3341A-1N3405
RECTIFIERS
Q

S

TYPE

...co:ffi

REPLACEMENT

REF.

::E

•

VA
(volts)

5i
u

...e .......
'.pAV.
;;:

;:
:z::

(yp~l,

1N3341A
1N3341B
1N3342
1N3342A
1N3342B
1N3343
1N3343A
1N3343B
IN3344
1N3344A
1N3344B
1N3345
1N3345A
1N3345B
1N3346
1N3346A
1N3346B
1N3347
1N3347A
1N3347B
1N3348
1N3348A
1N3348B
1N3349
1N3349A
1N3349B
1N3350
1N3350A
1N3350B
IN3353
1N3354
IN3355
1N3356
1N3357
1N3358
1N3359
1N3360
IN3361
1N3362
1N3363
1N3364
1N3365
1N3366
1N3367
1N3368
1N3369
1N3370
1N3371
1N3372
1N3373
1N3374
1N3375
1N3376
IN3377
IN3378
IN3379
IN3380
IN3381
IN3382
IN3383
IN3384
IN3385
IN3386
IN3387
IN3388
IN3389
1N3390
IN3391
IN3392
1N3393
IN3394
IN3395
IN3396
1N3397
IN3398
IN3399
IN3400
1N3401
IN3402
1N3403
1N3404
IN3405

1N2804
1N2804
1N2804
1N2804
1N2804
1NZ804
1N2804
1N2804
1N2804
1N2804
1N2804
1NZ804
1N2804
1N2804
1N2804
1NZ804
1NZ804
1N2804
1N2804
1NZ804
1N2804
1N2804
1NZ804
1N2804
IN2804
IN2804
IN2804
IN2804
IN2804

5
5
5
5
S
5
S
S
S
S
S
S
S
S
S
S
S
5
S
S
S
S
S
S
S
S
S
S
S
G

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R

S

R
R
R
R
R
R
R
R
R

&
&
&
&
&
&
&
&
&
&
&
&
&

IA
(rnA)

10
(Amps)

~IGJ1l~L,'~I()OES

1·:·I~lj,·.I~,

,.

IR

IFSM

....
r t(-r
(I'$i

Vz(nom)

V.z!nomi

140

140
140

150
150
150
160
160
160
175
175
175
180
180
180
200
200
200
10
15
25
50
7S
100
150
200
300
400
500
600
700
800
90d
1000
1200
1S00
10
25
50
100
150
200
300
400
500

1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
2.0
2.0
2.5
2.5
2.5
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

DS
15
DS
15
.Lo
D5
50
1.0
D5
75 ... 1.0 '
DS
100'.' 1.0
D5 1150
1.0
DS
200
1.0
DS
'250
1.0
D5
LO
·300
DS
400' >1;0
D5
500
1.6
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
20
20
20
20
20
20
20
20
20
",500M
500M

500M

~
500M

sOOM

.50QI11

& See page 1-3 for ordering mformatioll.

1-50

I

0.020
0.020
0.010
0.010
0.010
0.010
0.010
0.010
0.010
0.010
0.010
0.010
0.010
0.010
0.010
0.025
0.02S
0.025
0.315
0.315
0.315
0.315
0.315
0.315
0.315
0.315
0.315
10*
10*
LO*
15*
20 1<

I ZT
rnA

Tal
VZ±%

Po

,., •• REFERENCEOIOOES

105
105
110
110
110
120
120
120
130
130
130

I:

· 5Nl. 8ZZ810
.5N2.2ZZS10
· 5M2. 7ZZS 10
.5M3.3ZZ510
.SM3.9ZZS10
· SN4. 7ZZSlO
· SMS .6ZZ510
.SM6.8ZZS10
.5N8.2ZZS10
.SNI0ZZSI0
.5M12ZZSlO
.5M15AASI0
.SM18ZZS10

VF
(volts)

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

Backward Diode

S

5
S
S
S
S
5
S
S
S
S
S
S
S
5
S
5
5
S
S
S
S
S
S
S
5
S
S
S
S
S
S
S
S
S
5
5
S
S
S
S
5
5
5
S
5
S
S
S
S
S

ZENER DIODES

:z::

.....

Temp'

%10(;

In
rnA

120
120
110
110
110
100
100
100
95
95
95
90
90
90
85
85
85
80
80
80
70
70
70
68
68
68
65
65
65

10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
ZO
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0

SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW

50
50
50
50
30
30
30
20
20
10

10
10
10
10
10
10
10
10
10
10
10
10
10
10

500M
500M
500M
500M
500M
500M
SOOM
SOOM
SOOM
SO OM
500M
SOOM
500M
SOOM

TC

Rall!le',

30
30
30
30
30
30
30
30
30
30
30
30
30
30
30
30
30
30
200
200
200
200
200
200
200
200
200

20*.
20"
25"
25*
25*
25*

1.5
1.8
2.2
2.7
3.3
3.9
4.7

5.6
6.8
8.2
10
12
IS
18

10

10
10
10

lN3406-1N3487
RECTIFIERS

ZENER DIODES

:z:

c::J

--'

TYPE

""
ffi
::;;
""

...

>=

REPLACEMENT

""
'-'
;:;:

REF.

>=
:z:

IN3412

IN3413
IN3414
IN3415

IN%16
IN3417
IN3418
IN3419
IN3420
IN3421
IN3422
IN3423
IN3!-f24

IN3425
IN3426
IN3427

IN3428
IN3!-t29

IN34JO
IN3431
IN3432
IN3433
IN3434
IN3435
IN3436
IN3437
IN3438
IN3439

IN3440

IN3441
IN3442
IN3443
IN3444

IN3445

IN3446
IN3447
IN3448
IN3449
IN3450
1N3451
IN3452
1N3453
IN3454
IN3455
IN3456
IN3457
IN3458
IN3459
1N3460
IN3461
IN3462
1N3463
1N3464
IN3465
IN3466
IN3467
IN3468
IN3469
IN3470
IN3471
IN3473
IN3474
IN3475
IN3476
1N3477
1N3477A
1N3478
1N3479
1N3480
IN3481
1N3482
IN3483
IN3484
1N3485
1N3486
1N3487

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

.5H22ZZS10
.5N27ZZS10
.5H33ZZSlO
.5H39ZZSlO
.5H47ZZSlO
IN5234A
IN5235A
IN5236A
IN5237A
IN5240A
IN5242A
INS24SA
INS248A
IN52S1A
INS2S4A
IN5256A
INS2S7A
IN52S9A
1N5261A
IN5263A
INS266A
INS268A
IN5271A
INS273A
IN5276A
INS279A
INS281A
IN4738
IN4740
IN4742
IN4744
IN4746
IN4748
IN47S0
IN47 S2
IN4754
IN4756
IN4735
IN4736
. 1N4738

IN4740

1N4742

IN4744

1N4746
IN4748
1N4750
IN4751
IN4752
1N4754
1N4756
1N4758
1N4760
1N4762
1N4764
1N120ZS10
1N150ZS10
IH180ZS10
1H200ZS5

&
&
&
&
&

IN5221
IN5221
IN5221
IN5221
IN5221
IN5221
INS221
IN5221
INS221
INS221
INS221
INS221
IN5221
1N5221
INS221
INS222
IN5221
IN5221
INS221
IN5221
INS221
IN5221
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
1N4728
IN4728
1N4728
IN4728
1N4728
IN4728
IN4728
IN4728
1N4728
1N4728
IN4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
IN4728
1N4728
1N4728

G
G
G
G
G

S
S
S
S
S
S
S

S
S
S
G

G
G
G

R

R
R
R
R

IN5221A
IN5221B

1NS221
IN5221

RF Power Switch:
RF Power Switch:

S
S
S

10
(Amps)

IR
(rnA)

IFSM

Vz(nom)

PRV
(volts)

VF

@

IF

t"

VZ(nom)

(wits)

IR

I~SI

DZ
DZ
DS
DS
DS

40
15
15

35

35
40
200
400
600
800

1.4

1.4

0.1
200H
200H
20M
20H
600M
600H
10M
0.7S
0.7S
0.75
0.5

0.001
20"\<
1S*
IS"
60"
15*
30*
20N
0.5
0.5
0.5
0.5

& See page '·3 for ordering information.

1-51

TC
%/OC

IZT
rnA

Temp

30
33
39
47
56
68
82
100
120
150
180
220
8.2
10.0
12.0
15.0
18.0
22.0
27.0
33.0
39.0
47.0
6.2
6.8
8.2
10
12
lS
18
22
27
30
33
39
47
56
68
82
100
120
150
180
220

10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10

2.2
2.2

5.0
5.0

10
5.0

250N
250N

2.0
2.0
2.0
2.0
20
20
20
20

200

SOOM
1.0
10"
400
LO SOOM
10"
600
1.0
SOON
10*
Pi (max) ~ 10 mW, f ~ 9,000 NHz
Pi (max) = 10 mW, f ~ 9,000 MHz
8.0
0.6
10H
30"
DS
0.45
75
10M
DS
4.0*
175
ION
1.0
25N
DS
1000
2.0
R
0.4
0.05
1200
2.0
R
0.4
o .OS

Po

3.0
3.0
3.0
1.5
1.5
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
2S
25
25
2S
2S
7.5
7.S
7.S
7.S
7.5
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

27

30.0
1.0
1.0
0.5
0.5
0.5
0.5
1.0
1.4
1.4-

Tol

Vz±%

Range
500'1
SOON
SOON
SOON
500M
SOON
500M
SOON
500M
SOON
SOON
SOON
SOOM
SOON
SOON
SOON
SOOM
SOON
SOON
SOON
SOOM
SOOM
SOOM
SOON
SOON
SOON
SOON
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W

22
27
33
39
47
6.2
6.8
7.5
8.2
10
12
15
18
22

8500
60

IZT
rnA

REFERENCE DIODES

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DS
DS
DS
DS
DS
DS
DS

G

VF

(volts)

SIGNAL DIODES

.....

!:!

IN3406
IN3407
IN3408
IN3409
IN3UO
IN31,l1

VR
(volts)

0.05
10
10

a

IN3488 -lN3566
RECTIFIERS

15
....
c

TYPE

c:>

;::
c

REPLACEMENT

~

REF.

•

VR
(volts)

e

VF
(volts)

PRV.

i"9IW

(voltsl

S
S
S
S
S
S
S
S
S
S
S
S
S
S

s
S
S
S
S
S

1N3512
1N3513
1N3514
1N3515
1N3516
1N3517
1N3518
1N3519
1N3520
1N3521
1N3522
IN3523
1N3524
IN3525
IN3526
1N3527
IN3528
1N3529
1N3530
1N3531
1N3532
1N3533
1N3534
1N3535
1N3536
1N3537
1N3538
1N3539
1N3539A
1N3540
1N3540A
1N3541
1N3541A
1N3542
1N3542A
1N3543
1N3543A
1N3544
1N3545
IN3546
1N3547
lN3548
IN3549
IN3550
IN3551 }

S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S

S
S
S
S
S
S
S
S
S
S

S
S

S
S
S
S
S
S
S
S
S
S
S
S
S

(Amps)

'

I

, .. R .,'

J

1N821
1N821
1N821
1N821
1N821
MZ600
MZ600
MZ600
MZ600
1N5221
1N5221
IN5221
1N5221
1N5221
IN5221
IN5221
IN5221
IN5221
1N5221
IN5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
IN5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
IN5221

1N823 ~':
1N825 *
1N827 "
1N829 *
1N821 "
MZ640 *
MZ620 *
MZ610 "
MZ605 "
IN5226B "
1N5227B *
1N5228B "
1N5229B "
1N5230B "
1N5231B *
1N5232B *
1N5234B "
1N5235B "
1N5236B "
1N5237B *
1N5239B "
1N5240B "
1N5241B *
1N5242B "
1N5243B "
1N5245B "
1N5246B "
1N5248B"
1N5250B*
1N5251B*
1N5252B*
IN5254B*
1N5256B"
1N5257B*
1N5258B*
1N5259B*
1N5260B"
1N5261B"
IM12ZZS10

R
R
R
R
R
DR
DR
DR
DR
DR
DR
DR
DR
DR
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DS
DS
DZ
DS

&

50
100
200
300
400

1.0
1.0
1.0
1.0
1.0

300
300
300
300
300

Vz(~Oml

I,,;I

I
150

:1

..

I

I'.

0.01
0.01

7. 5 ~551100
7.5 .-55/100
-MOo

".'
I',",OS
0.01
7.5
to,0057.5

3.
3
3.9
4.3
4.7
5.1
5.6
6.2
6.S
7.5
S .2
9.1
10
11
12
13
15
16
18
20
22
24
27
30
33
36
39
43
47

,

IC;'
'

Po

I)~
I'

,

Tol
VZ±%

"11Im

::(~:

I,ft'r! I

Backward Diode
Backward Diode

Backward
Backward
Backward
Backward
Backward
Backward
Backward
Backward
1N4002
1N4003
1N4004
IN4004
IN4005
1N4005

18
18
18
18
18

1.7
1.7
1.7
1.7
1.7

IZT
rnA

Vz(nom)

IFSM

0.002
20
20
20
20
20
20
20
20
20
10
10
10
10
10
10
10
5.0
5.0
5.0
5.0
5.0
5.0
4.0
4.0
3.0
3.0
3.0
2.0
2.0

-55/100
-55/100

1.5
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

'~5511(jo

8.3

LOW

20
20
20
20
20
20
20
20
20
10
10
10
10
10
10
10
5.0
5.0
5.0
5.0
5.0
5.0
4.0
4.0
3.0
3.0
3.0
2.0
2.0

..

·.··2·:5M . .

Z;O*

0.6
0.6
0.6
0.6
0.6
0.6

0.2
0.2
0.2
0.2
0.2
0.2

.1

12

25

.6.3

6:6i

Diode
Diode
Diode
Diode
Diode
Diode

Diode
Diode
1N4001
1N4001
1N4001
IN4001
1N4001
IN4001

R
R
R
R
R
R
DS

100
200
300
400
500
600

1.5
1.5
1.5
1.5
1.5

U

i80'

SOM

15
15
15
15
15
15

'1.5

Varactor Diodes, see Table on Page 1-94

1N3552
IN3553
1N3554
thru
1N3557

S

1N821 "

11N821

I DR I

I

I

Varactor DijdeS, See Tabi e on pare 1-94
1

I

IN3558
IN3559
IN3560

S
G

thru

Replacement

IR
(rnA)

Varactor Diode, see Table on pagf 1-94
I
I
I
4-Layer Diodes, see Table on Page 1-108

1N3490
IN3491
1N3492
1N3493
1N3494
1N3495
IN3496
1N3497
1N3498
1N3499
1N3500
1N3501
1N3502
1N3503
1N3504
IN3506
1N3507
1N3508
1N3509
1N3510
1N3511

1N3562
IN3563
IN3564
IN3565
IN3566

10

;::
z: ,:

!;ii
REPLACEMENT

REF.

~

VR
(volts)

ii:

;:::
z:
5!

...

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

1N4003
1N4004
1N4005
1N4006

MR1l28
MR1l30

1N4001
1N4001
1N4001
1N4001

MRIl20
MaIl 20

s
S
S

S
S
S
S

S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S

DS
DS
DS
DS
DS
R
R
R

DS
DS

Microwave S- band Mixer:
Microwave S-band Mixer:
Microwave S- band Mixer:

DS
DS
DS
R
R
R
R
R
R
R

DS

G

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

R
R
R
R

R

MaIl 28
Ma1128
Ma1128
Ma1128
Ma1130
Ma1l30
Mal130
Ma1130
IN4736 *
IN4736
*
IN4736A *
IN4737
IN4737 *
IN4737A *
*
IN4738
1N4738 *
1N4738A *
*
IN4739 *
IN4739 *
1N4739A *
1N4740 "
IN4740 -.'(
IN4740A *
IN4741 *
IN4741
IN4741A *
*
IN4742 *
IN4742 *
IN4742A *
IN4743 *
IN4743 *
IN4743A *
IN4744 *
IN4744 *
1N4744A *
1N4745 *
1N4745
1N4745A *
*
IN4746 *
IN4746
IN4746A *
*
IN4747 *
IN4747 *
IN4747A *
IN4748 *
IN4748 *
1N4748A *
IN4749 *
IN4749
IN4749A *
*
IN4750 *

Ma1120
Ma1120
Mal120
Mal120
Mal120
MRl120
Mal120
Ma1120
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
1N4728
1N4728
IN4728
1N4728
IN4728
IN4728
1N4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
1N4728
1N4728
1N4728
1N4728
1N4728
IN4728
IN4728
1N4728
1N4728
IN4728
1N4728
IN4728
1N4728
1N4728
IN4728
IN4728
1N4728

DS
DS
R
R
R
R
R
R
R
R

VF
(volts)

10
(Amps)

IR
(mA)

IFSM

SIGNAL DIODES

VF@

, PRV,
(VOIU) ,

1N3639
1N3640
1N3641
1N3642
1N3643
1N3644
1N3645
1N3646
1N3647
1N3648
1N3649
1N3650
1N3653
1N3654
1N3655
1N3655A
1N3655B
1N3656
1N3657
1N3658
1N3659
1N3660
1N3661
IN3662
IN3663
IN3664
IN3665
IN3666
IN3667
IN3668
IN3669
IN3670
IN3670A
IN3671
IN3671A
IN3672
IN3672A
IN3673
IN3673A
IN3675
IN3675A
IN3675B
IN3676
IN3676A
IN3676B
IN3677
1N3677A
1N3677B
1N3678
1N3678A
IN3678B
1N3679
IN3679A
IN3679B
IN3680
'IN3680A
IN3680B
IN3681
IN3681A
IN3681B
IN3682
IN3682A
IN3682B
IN3683
IN3683A
IN3683B
1N3684
1N3684A
IN3684B
1N3685
IN3685A
IN3685B
IN3686
IN3686A
IN3686B
IN3687
IN3687A
IN3687B
IN3688
IN3688A
IN3688B
IN3689

ZENER DIODES

z:

200
400
600
800
1000 :
1500
1000
2500
3000
10K
800
1000
90
90
NF = 8.3
NF = 8.3
NF = 8.3
200
400
600
50
100
200
300
400
500
600

,(\/OIIs1
1.2
1.2
1.2
1. 2,
5.0,
5.0
5.0
5.0
5.0
23
1.1
1.1

IF' '

0.75
0.75
0.75
0.75
250M
250M

500

1.2
1.4
1.4
1.4
1.4
1.4
1.4
1.4
' 1.0'
1.2

250M
250M
,250M
0.35
1.0
1.0
AOOM
SaM
dB
dB
dB
SOOM
SOOM
SOOM
25
25
25
25
25
25
25
200M
1.5

10
700
700
800
800
900
900
1000
1000

1.1
2.05
1.5
2.05
1.3
2.05
1.15
2.05
1.0

400M
12
12
12
12
12
12
12
12

80

30

1.0
1.0
to
to
to

6.0
6.0
6.0

1.2

1.2

LO

5.0M'

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

IR

0.2
0.2
0.2
0.2
5.0*
5.0*
5.0*

Vz(nom)

{/lSI

, Vz(noml

PD

,.Ie,'

%f'C,

Iv

Temp

rnA

Range

19
19
19
17
17
17
15
15
15
14
14
14
13
13
13
12
12
12
11
11

20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20

40
40
40
40

5.0*

5.0*
0.5
0.005
0.005

25N

25N

O.OlM
O.OlM
O.OlM
5.0
4.5
4.0
3.5
3.0
2.5
2.0
25*
1.2
0.1*
0.2$*
3.0
0.9
2.0
0.8
2.0
0.7
1.0
0.6

30
25
25
4.0
4.0'

400
400
400
400
400
400
400

0.3

30
0.15

0.2
200
240
200
240
200
240
200
240

6.8
6.8
6.8
7.5
7.5
7.5
8.2
8.2
8.2
9.1
9.1
9.1
10
10
10
11

1-54

Tal
VZ±%

REFERENCE DIODES
,t"

11
11
12
12
12
13
13
13
15
15
15
16
16
16
18
18
18
20
20
20
22
22
22
24
24
24
27

Replacement * denotes exact device type replacement available on request.

I ZT
mA

11

9.5
9.5
9.5
8.5
8.5
8.5
7.8
7.8
7.8
7.0
7.0
7.0
6.2
6.2
6.2
5.6
5.6
5.6
5.2
5.2
5.2
4.6

750M
750M
750M
750M
750M
750M
750M
750M
75Ol1
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M

lN3689A-1N3734
RECTIFIERS

.....

:z:

f5
I-

u
io::

CI

~

::$

TYPE

cc

REPLACEMENT

REF.

:E

VA
(volts)

;::

...e

PRV

VF
(1IOIt.)

(volts)

1N3689A
1N3689B
1N3690
1N3690A
1N3690B
1N3691
1N3691A
1N3691B
1N3692
1N3692A
IN3692B
1N3693
IN3693A
IN3693B
IN3694
IN3694A
1N3694B
IN3695
IN3695A
IN3695B
1N3696
IN3696A
IN3696B
1N3697
IN3697A
IN3697B
1N3698
1N3698A
IN3698B
IN3699
IN3699A
IN3699B
1N3700
1N3700A
1N3700B
1N3701
IN3701A
IN3701B
1N3702
1N3702A
1N3702B
1N3703
1N3703A
1N3703B
IN3704
1N3704A
1N3704B
1N3705
1N3705A
1N3705B
1N3706
1N3706A
1N3706B
1N3707
1N3707A
1N3707B
1N3708
1N3708A
1N3708B
1N3709
1N3709A
1N3709B
1N3710
1N3710A
1N3710B
1N3711
1N3712

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

,.

1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
IN4728
1N4728
1N4728
IN4728
IN4728
1N4728
1N4728
1N4728
1N4728
IN4728
1N4782
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
IN4728
1N4728
1N4728
IN4728
1N4728
1N4728
1N4728
IN4728
IN4728
1N4728
1N4728
1N4728
IN4728
1N4728

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
R

6000

II

@

IF

IA

IZT
mA

Tal
Vz±.%

IFSM

Vz(nam)

t"

Vz(nom)

%l°e

TC

IZT
mA

27
27
30
30
30
33
33
33
36
36
36
39
39
39
43
43
43
47
47
47
51
51
51
56
56
56
62
62
62
68
68
68
75
75
75
82
82
82
91
91
91
100
100
100
llO
llO
llO
120
120
120
130
130
130
150
150
150
160
160
160
180

10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20

750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M

180
180
200
200
200

4.6
4.6
4.2
4.2
4.2
3.8
3.8
3.8
3.4
3.4
3.4
3.2
3.2
3.2
3.0
3.0
3.0
2.7
2.7
2.7
2.5
2.5
2.5
2.2
2.2
2.2
2.0
2.0
2.0
1.8
1.8
1.8
1.7
1.7
1.7
1.5
1.5
1.5
1.4
1.4
1.4
1.3
1.3
1.3
1.1
1.1
1.1
1.0
1.0
1.0
0.95
0.95
0.95
0.85
0.85
0.85
0.80
0.80
0.80
0.68
0.68
0.68
0.65
0.65
0.65

10
5.0
20
10
5.0

750M
750M
750M
750M
750M

5.1

40

5.0

l.OW

Po

REFERENCE DIODES
(ps)

0.15

0.025

5.0

20M
0.75
0.75
0.75
0.75
0.75
400M
5.0M

0.1*
0.005
0.005
0.005
0.005
0.005
0.1*
0.1*
0.1*
5.0*

10

Temp

Range

Tunnel Diodes, see Table on Page 1-104

thru

1N3721
1N3722
1N3723
1N3724
1N3725
1N3726
1N3727
1N3728
1N3729
1N3730
1N3731
1N3732
1N3733
IN3734

1N4750
1N4750A ,~
1N4751 ..-:
1N4751 -.',
1N4751A *
1N4752
1N4752 *
1N4752A *
*
1N4753 1,
1N4753
1N4753A *
*
IN4754 7(
-1:
1N4754
1N4754A *
1N4755
1N4755 *
1N4755A *
*
1N4756 ...,:
1N4756
~':
1N4756A *
1N4757
*
1N4757 *
IN4757A *
IN4758
*
IN4758 ...,:
1N4758A *
IN4759 *
1N4759 *
1N4759A *
1N4760
1N4760 *
IN4760A 1,*
1N4761 1,
IN4761 1,
1N4761A 1,
1N4762 ,';
IN4762 *
IN4762A *
1N4763
IN4763 *
*
IN4763A *
1N4764 1,
1N4764
IN4764A *
*
lMllOZS10 1,
1MllOZS10 *
lMllOZS5
1M120ZSlO 1,
lM120ZS10 *
1M120ZS5
1M130ZS10 *
*
1M130ZS10 *
1M130ZS5 *
1Ml50ZS10 *
IM150ZS10 *
1M150ZS5
1M160ZS10 *
*
1M160ZSlO *
1M160ZS5 *
1M180ZS10 *
lM180ZS10 *
1M180ZSs *
1M200ZS10 *
1M200ZS10 *
1M200ZS5 *

IA
(mA)

SIGNAL DIODES

:z:

1:

10
(Amps)

VF
(volts)

ZENER DIODES

S
S
S
S
S
S
S
S
S
S
S
S
S

DS
R
R
R
R
R

IN4728

DS
DS
DS
DS
DZ

Microwave S-band Mixer
Photosensitive Device; BV

50

1000
1200
1400
1600
1800

550
600
80
80

= 100

1.0
2.2
2.2
2.2
2.2
2.2
1.2
1.0
1.0

1.0

750M

100M

V, Sensitivity

Replacement * denotes exact device type replacement available on request.

1-55

=

12
12
12
12
12

0.5
15
3.0

0.05 "A/fc

lN3735 -lN3795
RECTIFIERS
TYPE

.....
""I 1:5

""

:z
0
;::

REPLACEMENT

REF.

::E

•

1N3735
IN3736
IN3737
1N3738
1N3739
1N3740
1N3741
IN3742
1N3743
1N3744
1N3745
1N3746
1N3747
1N3748
1N3749
1N3750
1N3751
1N3752
1N3753
1N3754
1N3755
1N3756
1N3757
1N3758
1N3759
1N3760
1N3761
1N3762
1N3763
1N3764
1N3765
1N3766
1N3767
1N3768
1N3769
1N3770
1N3771i
1N3772
IN3773
1N3774
1N3775
1N3776
1N3777
IN3778
IN3779
1N3780
1N3781
1N3782
1N3783
1N3784
1N3785
1N3785A
1N3785B
1N3786
1N3786A
1N3786B
1N3787
1N3787A
1N3787B
1N3788
1N3788A
1N3788B
1N3789
1N3789A
IN3789B
IN3790
1N3790A
1N3790B
1N3791
1N3791A
1N3791B
1N3792
1N3792A
1N3792B
1N3793
IN3793A
1N3793B
1N3794
1N3794A
1N3794B
1N3795

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

<;)

;::

Microwave X-band
Microwave X-band
Microwave X-band

1N2767A

G

Varactor Diode,

I'RV

Vf/i!>

: {volt."
(lOlls'
100
l.3
200
l.3
300
l.3
l.3
400
500
l.3
l.3
600
800
l.3
1000
l.3
1200
l.3
1400
l.3
NF ~ 9.5 dB
NF ~ 8.5 dB
NF - 7.5 dB
200
l.5
400
l.5
600
l.5
800
l.5
1000
l.5
55
1'.0
100
l.2
200
l.2
400
l.2
200
l.0
400
l.0
600
l.0
800
l.0
1000
l.0
12
5300

MR1230
MR1230
MR1230
MR1230
MR1230
MR1230

10

(Amps)

ZENER DIODES

IR
(rnA)

IFSM

VZ(nom)

trr

Vz(nom)

SJGNAL ,DIODES

,i

....

MR1231SB
MR1233SB
MR1235SB
MR1237SB
MR1238SB
MR1239SB

VF
(volts)

;:;:

:z
5!

G

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

""

VR
(volts)

R
R
R
R
R
R
R
R
R
R
Mixer;
Mixer;
Mixer;
R
R
R
R
R
DS
R
R
R
R
R
R
R
R
R
DR
3000
R
700
R
800
R
900
R
1000
R
90'
DS
see Table on Page

IF;:
"
250
250
250
250
250
250
250
250
250
250

0.5
0.5
0.5
0.5
0.5
150M

0.15
0.15
0.15
l.0
l.0
l.0
l.0
l.0
0.065

6.5
l.8
l.8
l.8
l.8

9.5

0.2
35
35
35
35
25K.

'IA
16
16
16
16
13
12
9.0
7.0
7.0
7.0

5.0\~

ills!
4500
4500
4500
4500
4500
4500
4500
4500
4500
4500

Po

Tt.,'

''!IiIOC

IZT
.rnA

Temp
Range

20
20
20
20
20

0.005
0.1
5.0
4.0
3.0
2.0

8.0
400
400
400
, ,400

510*'

Tal
VZ±%

REFERENCE DIQDES

15
15
15
30
30
30
30
30
15

0.3
0.3
0.3

I ZT
rnA

I'

20', :

;0:002

l1J, "55/iOo

1-94

I

4-Layer DioLs, seeiTabll on Page 1-108
G
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S

S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S

DS
DZ
R
DZ
DS

:is
1500

Microwave CoX-band Detector
IN821A
1N821
DR
1N821A
DR
1N821
1N823A
DR
1N821
1N825A
DR
1N821
1N827A
DR
1N821
IN829A
DR
1N821
1N3785 DZ
1N3785 DZ
1N3785 DZ
1N3785 DZ
1N3785 DZ
1N3785 DZ
IN3785 DZ
1N3785 DZ
IN3785 DZ
1N3785 DZ
1N3785 DZ
1N3785 DZ
1N3785 DZ
1N3785 DZ
1N3785 DZ
1N3785 DZ
1N3785 DZ
1N3785 DZ
1N3785 DZ
1N3785 DZ
1N3785 DZ
1N3785 DZ
1N3785 DZ
1N3785 DZ
1N3785 DZ
1N3785 DZ
IN3785 DZ
1N3785 DZ
1N3785 DZ
1N3785 DZ
1N3785 DZ

40

0,35 I LOM
2.2

l:i

3.3
10M

40
0.1

O.t*

15

"'4.!J '

l.15

10

2.0

0.34W

10

25

10

6.0W

I']J
I:
p,' 6.5
,'6
6.5

6;5

,

6.8
6.8
6.8
7.5
7.5
7.5
8.2
8.2
8.2
9.1
9.1
9.1
10
10
10
11
11
11

12
12
12
13
13
13

15
15
15
16
16
16
18

1-56

0:015
0.01
0.005
0.002
0.,001
0.0005
55
55
55
50
50
50
46
46
46
41
41
41
37
37
37
34
34
34
31
31
31
29
29
29
25
25
25
23
23
23
21

7.5 -55/100
7.5 ·55!100
7.5

7.'5

7•.5

,7.5

20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20

-55/100
-55/1(l0
-55/100

-55!lOO
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l. 5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l. 5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W

lN3795A-1N3823
RECTIFIERS
z:
Q
;::

-'
:$

TYPE

...!C
a:

REPLACEMENT

REF.

::IE

1N3795A
1N3795B
1N3796
1N3796A
1N3796B
1N3797
1N3797A
1N3797B
1N3798
IN3798A
lN3798B
1N3799
lN3799A
lN3799B
lN3800
lN3800A
IN3800B
1N3801
1N380lA
1N380lB
1N3802
1N3802A
1N3802B
1N3803
1N3803A
1N3803B
IN3804
1N3804A
IN3804B
1N3805
1N3805A
IN3805B
1N3806
1N3806A
IN3806B
IN3807
1N3807A
1N3807B
lN3808
1N3808A
lN3808B
lN3809
lN3809A
1N3809B
1N381O
1N381OA
IN3810B
1N3811
1N3811A
1N3811B
1N38l2
1N38l2A
1N3812B
1N3813
IN3813A
1N3813B
1N3814
1N3814A
1N3814B
1N3815
1N3815A
1N3815B
IN3816
1N3816A
1N3816B
1N3817
1N3817A
1N3817B
1N3818
1N3818A
IN3818B
1N3819
1N3819A
1N3819B
1N3820
1N3820A
lN3820B
1N3821
lN3821A
1N3822
1N3822A
1N3823

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
5
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

s
S
S
S
S
S
S
S
S
S
S
S
S
S

s
S
S
S
S

1N3785
1N3785
1N3785
1N3785
1N3785
1N3785
1N3785
1N3785
1N3785
1N3785
IN3785
1N3785
1N3785
1N3785
1N3785
1N3785
1N3785
1N3785
1N3785
1N3785
1N3785
1N3785
IN3785
1N3785
1N3785
1N3785
IN3785
IN3785
IN3785
1N3785
IN3785
IN3785
IN3785
IN3785
IN3785
IN3785
IN3785
IN3785
IN3785
IN3785
IN3785
1N3785
IN3785
1N3785
IN3785
IN3785
1N3785
1N3785
IN3785
1N3785
IN3785
1N3785
IN3785
1N3785
1N3785
1N3785
1N3785
1N3785
1N3785
1N3785
1N3785
1N3785
1N3785
1N3785
1N3785
1N3785
IN3785
1N3785
1N3785
1N3785
IN3785
1N3785
1N3785
1N3785
IN3785
1N3785
1N3785
1N3821
1N3821
1N3821
1N3821
1N3821

...
...5!z:
c.;)

VR

VF

(volts)

(volts)

;:;:
;::

10
(Amps)

ZENER DIODES
IR

(rnA)

IFSM

SIGNAL DIODES
PRV

VF

{volts)

(volts!

@

If

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

IR

Vz(nom)

Tol

rnA

VZ±%

Po

REFERENCE DIODES
Irr

[,...1

• ~(noml

18
18
20
20
20
22
22
22
24
24
24
27
27
27
30
30
30
33
33
33
36
36
36
39
39
39
43
43
43
47
47
47
51
51
51
56
56
56
62
62
62
68
68
68
75
75
75
82
82
82
91
91

91
100
100
100
110
110
110
120
120
120
130
130
130
150
150
150
160
160
160
180
180
180
200
200
200
3.3
3.3
3.6
3.6
3.9

1-57

IZT

TC

IZT

%I"C
21

rnA

21

19
19
19
17
17
17

16
16
16
14
14
14
12
12
12
11
11
11
10

10
10
10
10
10

9.0
9.0
9.0
8.0
8.0
8.0
7.4
7.4
7.4
6.7
6.7
6.7
6.0
6.0
6.0
5.5
5.5
5.5
5.0
5.0
5.0
4.5
4.5
4.5
4.1
4.1
4.1
3.7
3.7
3.7
3.4
3.4
3.4
3.1
3.1
3.1
2.9
2.9
2.9
2.5
2.5
2.5
2.3
2.3
2.3
2.1
2.1
2.1
1.9
1.9
1.9
76
76
69
69
64

10

5.0
20

10

5.0
20

10

5.0
20
10
5.0
20
10

5.0
20
10

5.0
20
10

5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10

5.0
20
10

5.0
20

10

5.0
20

10

5.0
20
10
5.0
20
10

5.0
20
10
5.0
20
10
5.0
20
10

5.0
20
10
5.0
20

10

5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20

10

5.0

10

5.0
10
5.0
10

Temp

Range

1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1:5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
LOW
LOW
LOW
1. OW
1. OW

•

lN3823A-1N3921
RECTIFIERS
CI

15

TYPE

cc

'u:::""

REF.

....
e

S
S
S

VF'

(Volts)

If .

,@

IR

t"

II'SI

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

I

G
G
G

Vz(nom)

I ZT
rnA

Tol
VZ±%

PD

REFERENCE DIODES
Temp
lZT
TC
Vzlnomj
%~C

rnA

Range

3.9
4.3
4.3
4.7
4.7
5.1
5.1
5.6
5.6
6.2
6.2
6.8
6.8
7.5
7.5

64
58
58
53
53
49
49
45
45
41
41
37
37
34
34

5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0

LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
1. OW
LOW

0.77
1.5
2.0

50
30
20

5.0
5.0
5.0

250M
250M
250M

LOW
LOW
LOW

I

I

Tunnel Rectifier
Tunnel Rectifier
Tunnel Rectifier

DS
DS

125

R
R
R
R
R
R

200
400
600
1000
1500
2500
90

S
S
S
S
S
S
S
S
S
S
S
S

R
R
R

DS
DS

R
R

1N3879
1N3879
1N3879
1N3879
IN3879

.R
.R
.R
.R
.R
R
R
R

R

1N3889
1N3889
1N3889
1N3889
1N3889

1N5221B

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
@

I

S
G
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S

1N3900
1N3901
1N3902
1N3903
1N3904
1N3905
1N3906
1N3907
1N3908
1N3909
1N3910
1N3911
1N3912
1N3913
1N3914
1N3915
1N3916
1N3917
1N3918
1N3919
IN3920
1N3921

I

Tunnel Diodes, see Table on Page 1-104
I

thru

1N3860
1N3861
1N3862
1N3863
1N3864
1N3865
1N3866
1N3867
1N3868
1N3869
1N3870
1N3871
1N3872
1N3873
1N3874
1N3875
1N3876
1N3877
1N3878
1N3879
1N3880
1N3881
1N3882
1N3883
1N3884
1N3885
1N3886
1N3887
1N3888
1N3889
1N3890
1N3891
1N3892
1N3893
1N3894
1N3895
1N3896
1N3897
1N3898
1N3899

rr

IFSM

4-Layer Diodes, see Table on Page 1-108

thru

1N3846
1N3847

.R t

IR
(rnA)

SIGNAL· DIODES

PRY
(volts)

1N3821
1N3821
1N3821
1N3821
1N3821
IN3821
1N3821
IN3821
1N3821
1N3821
1N3821
1N3821
1N3821
1N3821
1N3821

S
S
S
S
S
S
S
S
S
S
S
S

10
(Amps)

VF
(volts)

;

i=
:z:

==

•

VR
(volts)

~

REPLACEMENT

I-

1N3823A
1N3824
1N3824A
1N3825
1N3825A
1N3826
1N3826A
1N3827
1N3827A
1N3828
1N3828A
1N3829
1N3829A
1N3830
1N3830A
1N3831

ZENER DIODES

:z:

.....
cc

1N5221
1N3899
1N3899
1N3899
1N3899
1N3899

R
.R
.R
.R
.R
.R
DS
DS
DZ
DZ
DZ
.R
.R

.R
.R
.R
R
R
R

R
R

1N3909
1N3909
1N3909
1N3909
1N3909

.R
.R

.R
.R
.R
R
R
R
R
R

R
R
R

80

50

50
100
200
300
400

50
150
200
300
400

50
100
200
300
400
50
100
200
300
400

400
350

1.5
1.5
1.5
1.5
3.0
3.0
6.0

1.0

1.14
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

1.0
1.0

200M
100M
1.0
1.0
1.0
0.5
0.5
0.25
150M
0.2A
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
12
12
12
12
12
12
12
12
12
12
400M
200M

1. ON
15*
0.05
0.05
0.05
0.05
0.05
0.05
0.11'
0.1"
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
0.2*
0.5*

50

1.5

20

6.0

100
200
300
400
50
100
200
300
400
50
100
200
300
400
50
100
200
300
400
1000
1500
2000

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
2.0
2.0
2.0

20
20
20
20
20
20
20
20
20
30
30
30
30
30
30
30
30
30
30
5.0
5.0
5.0

6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
10
10
10
10
10
10
10
10
10
10
0.5
0.5
0.5

200 ns

1-58

0.9
0.5
25
25
25
10
10
5.0

15

4.0
75
75
75
75
75
150
150
150
150
150
150
150
150
150
150
200
200
200
200
200

250
250
250
250
250
225
225
225
225
225
300
300
300
300
300
300
300
300
300
300
100
100
100

1N3922 -1 N3998A
z
C>
;::

.....

...!;;;
:$

TYPE

co::

REPLACE MENT

REF.

::E

1N3922
1N3923
1N3924
1N3925
1N3926
1N3927
1N3928
1N3929
1N3930
1N3931
1N3932
1N3933
1N3934
1N3935

S
S
S
S
S
S
S
S
S
S
S
S
S

1N3983
1N3984
1N3985
1N3986
1N3987
1N3988
1N3989
1N3990
1N3991
1N3992
1N3993
1N3993A
1N3994
1N3994A
1N3995
1N3995A
1N3996
1N3996A
1N3997
1N3997A
1N3998
1N3998A

'""
;::

...ez

R
R
R
R
R
R
R

DS
DS
DS
DS
DS
R

VR
(volts)

10

VF
(volts)

(Amps)

ZENER DIODES

IR
(rnA)

VF

(voltsl

(\IOIt$)

2500
3000
1000
1500
2000
2500
3000
1000
1500
2000
1500
3000
1200

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.5

IF

@

IR

Tal
VZ±%

Vz(nom)

t"

Vz(nom)

TC
%IOC

rnA

Range

20
20
25

250
19
15

5.0
5.0
5.0

lOW
1.5W
1.5W

5.5
6.0
6.2

1000
1000
805

5.0
5.0
5.0

lOW
lOW
lOW

3.9
3.9
4.3
4.3
4.7
4.7
5.1
5.1
5.6
5.6
6.2
6.2

640
640
580
580
530
530
490
490
445
445
405
405

10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0

lOW
lOW

SIGNAL DIODES
PRV

I ZT
rnA

IFSM

PD

REFERENCE DIODES
IpS)

5.0
5.0
10
10
10
10
10
l.OA
1.QA
l.OA
1.0A
LOA
1.0

0.5
0.5
0.5
0.5
0.5
0.5
0.5
10*
10*
10<'
10*
10*
0.4

100
100
100
100
100
100
100

2.0
2.0
2.0
2.0
2.0
300M
10M

0.4
0.2
0.2
0.2
0.2
100*
2.5*

30
30
30
30
30

IZT

Temp

50

4-Layer Diodes, see Table on Page 1-108

thru

1N3937
1N3938
1N3939
1N3940
1N3941
1N3942
1N3943
1N3944
1N3945
thru
1N3947
1N3948
1N3949
1N3950
1N3951
1N3952
1N3953
1N3954
1N3955
1N3956
1N3957
1N3958
1N3959
1N3960
1N3961
1N3962
1N3963
1N3964
1N3965
1N3966
1N3967
1N3968
1N3969
1N3970
1N3971
1N3972
1N3973
1N3974
1N3975
IN3976
1N3977
1N3978
1N3979
1N3981
1N3982

co:
;:;:

RECTIFIERS

S
S
S
S
S
S

R
R
R
R
R

DS
DS

G

200
400
600
800
1000
3.0

15

1.1
1.1
1.1
1.5
1.5
2.5
0.75

12

Varactor Diodes, see Table on Page 1-94

S
S
S
S
G

S
S
S
S
S
S
S
S
S
S
S
S
5
5
5

S
5

S
S
5

S
5

S
5
5

S
S
5

S
5

S
S
S
5

S
S

Tunnel Diode, see Table on Page 1-104
OZ
1N2984B
1N2970
DZ
1N3796B
1N3785
OZ
1.5M25Z5&
DS
130
0.74
DS
0.5
40
DS
50
1.0
R
100
1.3
DS
40
0.55
DS
1000
1.0
1N3880
100
1.3
1N4933 R
200
1N3881
1.3
1N4933 R
300
1.3
1N3882
1N4933 R
400
1.3
1N3883
1N4933 R
500
1.3
MR1366
1N4933 R
600
1.3
MR1366
1N4933 R
200
1.6
R
400
1.6
R
600
1.6
R
800
1.6
R
200
1.6
R
400
1.6
R
R
600
1.6
800
1.6
R
200
R
1.5
400
1.5
R
600
R
1.5
800
1.5
R
200
1.5
R
400
1.5
R
600
1.5
R
800
1.5
R
DS
200
1.0
OS
400
1.0

10M
35M
200M
70
100*
400M
3.5
3.5
3.5
3.5
3.5
3.5
22
22
22
22
50
50
50
50
104
104
104
104
250
250
250
250
900M
900M

0.4
0.4
0.4
0.4
0.4
0.4
1.0
1.0
1.0
1.0
2.0
2.0
2.0
2.0
5.0
5.0
5.0
5.0
10
10
10
10
O.OIM
O.OIM

1,0

900M

a.alM

OS
DZ
DZ
OZ

1N3997A
IN3998A
1N3998A

IN3993
IN3993
IN3993

MR1l28
MR1l30
MR1l30

MR1l20
MR1l20
MR1120

R
R
R
R

1N3993
1N3993
1N3993
1N3993
1N3993
1N3993
1N3993
1N3993
1N3993
1N3993
1N3993
1N3993

DS
DS
DZ
DZ
DZ
DZ
OZ
DZ
DZ
OZ
DZ
DZ
DZ
DZ

G

S
S
S
S
S
S
S
S
5
5
5
5

S

600

700
800
900
1000
35
4000

1.4
1.4
1.4
1.4
0.55
5.0

6.0
6.0
6.0
6.0
30M
250M

&See page 1-3 for ordering mformatlon.

1-59

25N
50*
0.1*
15
0.05*

10*

0.9
0.8
0.7
0.6
1. OM
5.0*

300
4.0
1200
2.0
35
35
35
35
35
35
200
200
200
200
600
600
600
600
1500
1500
1500
1500
4000
4000
4000
4000

150
150
150
150
1.0

lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

lN3999-1N40368
RECTIFIERS
TYPE

......
iii:

l-

co

REPLACEMENT

REF.

::E

•

...

~

VR
(volts)

VF
(volts)

10
(Amps)

IN4029B
IN4030
IN4030A
1N4030B
IN4031
IN4031A
1N4031B
IN4032
IN4032A
lN4032B
IN4033
IN4033A
IN4033B
IN4034
IN4034A
IN4034B
IN4035
IN4035A
1N4035B
lN4036
lN4036A
IN4036B

lN3993
IN3993
IN3993
lN3993
1N4001
1N4001
lN4001
IN4001
lN4001
1N4001
lN400l

S
S
S
S
S
3
S
S
S
S
S
G
S

s

S
S
S
S
S
S

S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S

S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S

S
S
S
S

S
S

IN821

1N821

1N2972
1N2972A
1N2972B
1N2973
1N2973A
1N2973B
1N2974
1N2974A
1N2974B
1N2975
IN2975A
1N2975B
IN2976
1N2976A
1N2976B
1N2977
1N2977A
1N2977B
1N2979
1N2979A
1N2979B
1N2980
1N2980A
1N2980B
1N2982
IN2982A
IN2982B
1N2984
1N2984A
IN2984B
IN2985
IN2985A
IN2985B
IN2986
IN2986A
IN2986B
IN2988
IN2988A
1N2988B
IN2989
IN2989A
IN2989B
IN2990
IN2990A
IN2990B
IN2991
IN2991A
IN2992B
1N2992
IN2992A
IN2992B
IN2993
IN2993A
IN2993B
IN2995
IN2995A
IN2995B
IN2997
lN2997A
lN2997B
lN2999
IN2999A
IN2999B

IN2970
IN2970
IN2970
lN2970
IN2970
1N2970
1N2970
lN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
.lN2970
IN2970
IN2970
IN2970
IN2970
IN2970
lN2970
IN2970
IN2970

IR
(rnA)

IFSM

i I ('f :AL.9l

:s

TYPE

REPLACEMENT

REF.

::E

8u:::
;:::
z:
5!

...

VR
(volts)

S
S
5

S
S
S
5

S
S
S
5
5

S
S
S
S
5
5
5

S
S
S
5

S
5

S
5
5
5
5

1N30oo
IN3000A
IN3000B
IN300l
IN3001A
1N3001B
1N3002
1N3002A
1N3002B
1N3oo3
1N3oo3A
IN3003B
IN3004
1N3004A
1N3004B
1N3005
1N3005A
1N3005B

1N2970
1N297o
1N2970
1N297o
IN2970
1N2970
IN2970
1N2970
1N2970
IN2970
1N2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970

MR1230SB
MR1231SB
MR1232SB
MR1233SB
MR1234SB
MR1235SB
MR1237SB
MR1238SB
MR1239SB

MR1230
MR1230
MR1230
MR1230
MR1230
MR1230
MR1230
MR1230
MR1230

S
5

S
S
S
5

S
5

S
S
5

S
S
5
5

S
5
5
5
5
5
5
5
5
5

S
S
5

S
S
S
5

S
S
5

S
5
5

S
5
5

S
5
5
5

S
5
5

S
S
S
S

IN429
IN429
IN429
IN429
IN429
IN429
IN429
IN429
IN429
IN429
IN429
IN429
1N429
IN429
IN429
IN429
IN429
IN429
IN429
IN429
IN429
IN429
1N429
1N429
IN429
IN429
IN429
IN429
IN429
IN429
IN429
IN429
IN429
IN429
1N429
1N429
IN429
IN429
IN429
IN429
IN429
1N429
IN429
IN429
IN429
IN429
IN429
IN429
IN429
IN429

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DS
R
R
R
R
R
R
R
R
R
R
R
R
R
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR

10
(Amps)

VF
Ivolts)

IR
(mA)

Vz(nom)

IFSM

VF

IWlIb)

~

IF'

IA

t"

Vzlnom)

(PSI

62
62
62
68
68
68
75
75
75
82
82
82
91
91
91

100
100
100
25
50
100
150
200
250
300
400
500
600
700
800
900
1000

1.0
1. 35
1. 35
1.35
1.35
1.35
1.35
1.35
1. 35
1.35
1.35
1.35
1.35
1. 35

275
275
275
275
275
275
275
275
275
275
275
275
275
275

0.1*
15
15
15
15
15
15
15
15
15
15
15
15
15

IZT
mA

2..0
5000
5000
5000
5000
5000
5000
5000
5000
5000
5000
5000
5000
5000
'.'

12.4
12.4
' 14.6
.14 •6

Te

%I"C

20
20
20
18
18
18
17
17

17
15
15
15
14
14
14
13
13
13

0.005
0.002
0.005
0.002

:n
21

:...... .23·
..

DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR

23
. ".. 21

'21

'30
30
3.3

.';<

\:'

:".::

J3
'.' .37
37
~.

,"

i '

43
43
47

::'...... .. ,. A7
,

','.C'
:':'

..

51

,51
56
56
.62
62'

0.001
0.005
0.002
0.005
0.002
0.005,
. 0.002
0.005
0.002
. 0.005
0.002
\).005
0.002
0.005
0.002
0.005
0.002
0.005

O.OOZ

0.005
0.002
0.005
0.002
'_ ..
0;005
>68
0.002
68
'75
0.005
0.002
.75
::.,
0.005
'62
,'82.
0.002
0.005
~:~::;' .,87
87'
0.002
0.005
i:, . 91'
'.91" 0.002
100
0.002
100
0.002
lOS
0.005
.
105 , 0.002
110·
0.005
:._,

:';; ...
:.,<:

~:

liO

Iv
mA

PD

O.OOZ

Temp
Range

20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0

S.OW
S.OW
5.0W
5.OW
5.0W
5.ow
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W

10
10
10
10

-55/100
-55/100
-55/100
-55/100

ig

16.3

16.8
18.5
.' ., Ill; 5

DR

Tol
Vz±%

REFERENCE DIODES

SIGNAL DIODES
PRV

(volts)

1N4037
1N4037A
1N4037B
1N4038
1N4038A
1N4038B
1N4039
1N4039A
1N4039B
IN4040
1N4040A
1N4040B
1N4041
1N4041A
1N4041B
1N4042
1N4042A
IN4042B
1N4043
1N4044
1N4045
1N4046
IN4047
1N4048
IN4049
IN4050
IN40s1
IN4052
IN4053
IN4054
IN4055
IN4056
IN4057
IN4057A
IN4058
IN40s8A
IN4059
IN40s9A
IN4060
IN4060A
IN4061
IN4061A
IN4062
IN4062A
IN4063
1N4063A
IN4064
IN4064A
IN406s
IN406sA
1N4066
1N4066A
IN4067
1N4067A
IN4068
IN4068A
IN4069
1N4069A
IN4070
IN4070A
1N4071
IN4071A
IN4072
IN4072A
1N4073
1N4073A
1N4074
1N4074A
IN407s
1N4075A
IN4076
IN4076A
IN4077
IN4077A
IN4078
IN4078A
IN4079
IN4079A
IN4080
IN4080A
IN4081
IN4081A

ZENER DIODES

RECTIFIERS

10
10
10
10
10
10
10.
10

-5~~100

-55/100
-55{100
-55/100
-55/100
-55/100
-55/100
-55/100

-55/100

-55/100

10 -55/100

-55/100
-55/100
-55/100
-55/100
-55/100
-55/100
7.5 -55/100
7.5 .. 55/100
7.5 -55/100
7.5 -55/100
7.5. -55/100
7.5 -55/100
7.5 -55/100
1.5 -55/100
7.5 -55/100
5.0 -55/100
5.0 -55/100
5.0 -55/100
5.0 ·55/100
5.0 -55/100
5.0 -551100
5.0 -55/100
5.0 -55/100
5.0 -55/100
5.0 -55/100
5.0 -5~~~00
5.0 -55/100
2.5 -55/100
.2.5 -55{100
2.5 -55/100
2.5 -55/100
,.2.5 -55/100
10
. 10
10.'
7.5
7.5
7.5

120 " ,,0.005
120',', >'0:.002 .. ·.2.5·· -55/100
2.5· '-5S/100
130
130 .
2.5, -55/100

,g:ggi

1-61

•

lN4082-1N4158A
RECTIFIERS

....

TYPE

IS
.....

""

Q

;::

REPLACEMENT

REF .

::E

•

lN4082
lN4082A
lN4083
lN4083A
lN4084
lN4084A
lN4085
lN4085A
lN4086
lN4087
lN4088
lN4089
lN4090
lN4091
lN4092
lN4093
lN4094
lN4095
lN4096
lN4097
lN4098
lN4099
lN4l00
lN410l
lN4l02
lN4l03
lN4l04
IN4l05
IN4l06
lN4l07
lN4108
lN4l09
1N4110
lN4111
lN4112
lN4113
lN4114
lN4115
lN4116
lN4117
lN4118
lN4119
1N4l20
lN4l21
lN4l22
lN4l23
lN4124
lN4l25
lN4l26
lN4l27
lN4l28
lN4l29
lN4130
lN413l
IN4132
lN4133
lN4134
lN4l35
lN4136
1N4137
lN4138
lN4139
lN4l40
lN4l4l
lN4l42
lN4l43
lN4144
lN4l45
lN4l46
lN4l47
lN4l48
lN4l49
lN4l50
lN4l5l
lN4152
lN4l53
lN4l54
lN4l55
lN4l56
lN4l57
lN4l58
lN4l58A

1N429
lN429
1N429
1N429
lN429
lN429
1N429
1N429

G

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

lN2624B
lN5231A
lN4763A
lN4764A
lM150ZS5

S
S
S

S
S
S
S

S
S
S
S
S

S
S
S

S
S

S
S
S
S

S
S
S
S
S
S

lN2620
1N5221
lN4728
lN4728
1N4728
1N4099
lN4099
lN4099
lN4099
lN4099
lN4099
1N4099
lN4099
lN4099
lN4099
1N4099
lN4099
lN4099
1N4099
1N4099
lN4099
lN4099
lN4099
1N4099
1N4099
lN4099
lN4099
1N4099
1N4099
lN4099
lN4099
lN4099
1N4099
1N4099
lN4099
1N4099
1N4099
lN4099
lN4099
1N4099
1N4099
1N4099

S
S

S
S
S

S
S
S
S

DS
DS
DR
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
R
R

1N4719
lN4720
lN472l
lN4722
lN4723
lN4724
lN4725

1N4719
lN4719
lN4719
1N4719
lN4719
1N4719
1N47l9

S

S
S
S
S

S
S
S
S
S
S
S
S

DR
DR
DR
DR
DR
DR
DR
DR
DS
DS
DS
R*

Backward Diode
Varactor Diode, see Table

S

S
S

""~

...;::52
:z:

S
S
S
S
S
S
S
S
S
S
G

ZENER DIODES

:z:

:$

lN4736
lN4736

lN4728
1N4728

50

9:6

Y

5.0
90
100
150
6.8
7.5
8.2
8.7
9.1
10
11

200
400

1.6
1.6

70
70

16
12

750
750

12
13
14
15
16
17
18
19
20
22
24
25
27
28
30
33
36
39
43
47
51
56
60
62
68
75
82
87
91
100

"

5.0
8.0
5.0
5.0
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25

10
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

37
37

20
10

:33011 .
3.0W
3.0W
3.0W
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M

R

R
R
R
R

R
R
R

R
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DZ
DZ

6.8
6.8

1-62

LOW
l.OW

lN4158B-1N4185B

..

RECTIFIERS

z:

-'

TYPE

~
....

!C

REPLACEMENT

REF.

:IE

~
<.>

;:;:
;::
z:
53

....

VR
(volts)

s
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

IN4736A
IN4737
IN4737
IN4737A
IN4738
IN4738
IN4738A
IN4739
IN4739
IN4739A
IN4740
IN4740
IN4740A
IN4741
IN4741
IN4741A
IN4742
IN4742
IN4742A
IN4743
IN4743
IN4743A
1N4744
IN4744
1N4744A
IN4745
IN4745
IN4745A
IN4746
IN4746
1N4746A
IN4747
1N4747
IN4747A
IN4748
IN4748
IN4748A
IN4749
IN4749
IN4749A
IN4750
IN4750
IN4750A
IN4751
IN4751
1N4751A
IN4752
IN4752
IN4752A
IN4753
IN4753
IN4753A
IN4754
IN4754
IN4754A
IN4755
IN4755
IN4755A
IN4756
IN4756
IN4756A
IN4757
IN4757
IN4757A
IN4758
IN4758
IN4758A
IN4759
IN4759
IN4759A
IN4760
IN4760
IN4760A
IN4761
IN4761
IN4761A
IN4762
IN4762
IN4762A
IN4763
IN4763
IN4763A

IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
1N4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
1N4728
IN4728
1N4728
IN4728
1N4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
1N4728
1N4728
IN4728
1N4728
IN4728
IN4728
1N4728
IN4728
1N4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
1N4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
1N4728
IN4728
IN4728
1N4728
IN4728
IN4728
IN4728
1N4728
IN4728
1N4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728

10
(Amps)

ZENER DIODES

IR
(mA)

IFSM

Vz(nom)

tr,

Vz(nom>

SIGNAL DIODES
PRY
(volts)

IN4158B
IN4159
IN4159A
IN4159B
IN4160
IN4160A
IN4160B
IN4161
IN4161A
IN4161B
IN4162
IN4162A
IN4162B
IN4163
IN4163A
IN4163B
IN4164
IN4164A
IN4164B
IN4165
IN4165A
1N4165B
IN4166
IN4166A
IN4166B
IN4167
IN4167A
IN4167B
1N4168
1N4168A
IN4168B
IN4169
IN4169A
IN4169B
IN4170
1N4170A
IN4170B
IN4171
IN4171A
IN4171B
IN4172
IN4172A
1N4172B
IN4173
IN4173A
IN4173B
IN4174
IN4174A
IN4174B
IN4175
IN4175A
IN4175B
IN4176
IN4176A
IN4176B
IN4177
IN4177A
IN4177B
IN4178
IN4178A
IN4178B
IN4179
IN4179A
IN4179B
IN4180
IN4180A
IN4180B
IN4181
IN4181A
IN4181B
IN4182
IN4182A
IN4182B
IN4183
IN4183A
IN4183B
IN4184
IN4184A
IN4184B
IN4185
IN4185A
IN4185B

VF
(volts)

VF. Ii\\
(VQ/\s)

IF

IA

{~.)

11
11
11

12
12
12
13

13

13

15
15
15
16
16
16
18
18
18
20
20
20
22
22
22
24
24
24
27
27
27
30
30
30
33
33
33
36
36
36
39
39
39
43
43
43
47
47
47
51
51
51
56
56
56
62
62
62
68
68
68
75
75
75
82
82
82
91
91
91

1-63

Tol
VZ±%

Po

REFERENCE DIODES

6.8
7.5
7.5
7.5
8.2
8.2
8.2
9.1
9.1
9.1
10
10
10

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

IZT
mA

Tc
%loC

37
34
34
34
31
31
31
28
28
28
25
25
25
23
23
23
21
21
21
19
19
19
17
17
17
16
16
16
14
14
14
13
13
13

12
12
12
11

11
11

9.5
9.5
9.5
8.5
8.5
8.5
7.5
7.5
7.5
7.0
7.0
7.0
6.5
6.5
6.5
6.0
6.0
6.0
5.5
5.5
5.5
5.0
5.0
5.0
4.5
4.5
4.5
4.0
4.0
4.0
3.7
3.7
3.7
3.3
3.3
3.3
3.0
3.0
3.0
2.8
2.8
2.8

IZT

Temp

mA

Range

5.0
20
10
5.0
20

1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW

10

5.0
20

10

5.0
20
10
5.0
20
10
5.0
20
10

5.0
20

10

5.0
20
10
5.0
20
10

5.0
20

10

5.0
20

10

5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10

5.0
20
10

5.0
20
10
5.0
20
10

5.0
20

10

5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10

5.0
20
10
5.0
20
10
5.0
20
10

5.0

1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW

•

lN4186-1N4213
z:
Q
;:::

....

~

TYPE

ffi
.....

"'"

REPLACEMENT

REF.

•

S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

;:::

z:
....
5!

::E

lN4l86
lN4l86A
1N4l86B
1N4187
1N4l87A
1N4187B
1N4l88
1N4188A
lN4188B
1N4189
lN4l89A
1N4189B
1N4190
1N4l90A
1N4190B
1N4l91
1N4191A
1N4191B
1N4192
1N4192A
1N4l92B
lN4193
1N4193A
1N4l93B
lN4194
lN4194A
1N4194B
lN4195
1N4195A
lN4l95B
lN4196
1N4196A
1N4196B
1N4197
1N4l97A
1N4197B
1N4198
1N4198A
1N4198B
1N4199
1N4199A
1N4l99B
1N4200
1N4200A
1N4200B
1N4201
1N4201A
1N4201B
1N4202
1N4202A
1N4202B
1N4203
1N4203A
lN4203B
1N4204
1N4204A
lN4204B
lN4205
1N4205A
1N4205B
1N4206
1N4206A
1N4206B
lN4207
1N4207A
1N4207B
1N4208
1N4208A
1N4208B
1N4209
1N4209A
lN4209B
1N42 10
1N421OA
1N4210B
1N4211
1N4211A
1N4211B
1N4212
1N4212A
1N4212B
1N4213

"'~"

lN4764
1N4764
1N4764A
1M110ZS10 &
1M110ZSlO &
1M110ZS5 &
1M120ZS10 &
1M120ZSlO &
1M120ZS5 &
1M130ZS10 &
lM130ZS10 &
1M130ZS5 &
1M150ZSlO &
lM150ZSl0 &
1M150ZS5 &
lM160ZS10 &
lM160ZS10 &
lM160ZS5 &
IM180ZS10 &
lM180ZS10 &
lM180ZS5 &
lM200ZSl0 &
1M200ZSl0 &
1M200ZS5 &
lN2970
lN2970A
1N2970B
1N2971
1N2971A
1N2971B
1N2972
1N2972A
1N2972B
1N2973
1N2973A
1N2973B
lN2974
1N2974A
lN2974B
1N2975
1N2975A
1N2975B
1N2976
1N2976A
lN2976B
1N2977
IN2977"A
1N2977B
1N2978
lN2978A
1N2978B
1N2979
1N2979A
1N2979B
lN2980
1N2980A
lN2980B
lN298l
1N2981A
1N2981B
1N2982
1N2982A
lN2982B
1N2983
1N2983A
1N2983B
1N2984
1N2984A
1N2984B
1N2985
1N2985A
lN2985B
1N2986
1N2986A
1N2986B
1N2987
1N2987A
1N2987B
lN2988
IN2988A
1N2988B
1N2989

lN4728
IN4728
IN4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
IN4728
1N4728
1N4728
1N4728
1N4728
1N4728
lN4728
IN4728
1N4728
1N4728
1N4728
1N4728
1N4728
lN2970
lN2970
lN2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
lN2970
1N2970
1N2970
1N2970
1N2970
1N2970
lN2970
1N2970
lN2970
1N2970
lN2970
1N2970
1N2970
1N2970
1N2970
lN2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
IN2970
1N2970
lN2970
1N2970
1N2970
lN2970
1N2970
1N2970
1N2970
lN2970
1N2970
1N2970
lN2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
IN2970
1N2970

RECTIFIERS

ZENER DIODES

~I
,~""

'~fu'

,__,

'mA'

,

,,".

IFSM

ie'",' ;

ii,

, ' I>IR' 11,',',~,.•,. ,:<'%l9C,

,,(vb.

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

100
100
100
110
110
110
120
120
120
130
130
130
150
150
150
160
160
160
180
180
180
200
200
200

6.8
6.8
6.8
7.5
7.5
7.5
8.2
8.2
8.2
9.1
9.1
9.1
10
10
10
II
II
II

12
12
12
13
13
13
14
14
14
15
15
15
16
16
16
17
17
17
18
18
18
19
19
19
20
20
20
22
22
22

24
24
24
25
25
25
27
27
27
30

&See page 1 3 forordermg Information

1-64

2.5
2.5
2.5
2.3
2.3
2.3
2.0
2.0
2.0
1.9
1.9
1.9
1.7
1.7
l.7
1.6
1.6
1.6
1.4
1.4
1.4
1.2
1.2
1.2
370
370
370
335
335
335
305
305
305
275
275
275
250
250
250
230
230
230
210
210
210
190
190
190
180
180
180
170
170
170
155
155
155
145
145
145
140
140
140
130
130
130
125
125
125
115
115
115
105
105
105
100
100
100
95
95
95
85

Tol

Vz±%

PD

,;,

IzT I

mA

20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.,0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10

5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20

'Temp,
Range
l.OW
LOW
LOW
l.OW
l.OW
LOW
l.OW
LOW
l.OW
l.OW
l.OW
l.OW

l.OW
l.OW
LOW
l.OW
LOW
l.OW
l.OW
l.OW
l.OW
LOW
1. 01,
LOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
101,
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

lN4213A-1N4241
RECTIFIERS
:z:

.....

TYPE

..."'iii:"
!c

CI

REPLACEMENT

REF .

::E

lN42l3A
S
lN4213B
S
lN42l4
S
lN42l4A
S
lN42l4B
S
lN42l5
S
lN42l5A
S
lN42l5B
S
lN42l6
S
lN42l6A
S
lN42l6B
S
lN42l7
S
lN42l7A
S
lN42l7B
S
lN4218
S
lN42l8A
S
lN42l8B
S
lN42 19
S
lN42l9A
S
lN4219B
S
lN4220
S
lN4220A
S
lN4220B
S
lN4221
S
lN422lA
S
lN422lB
S
lN4222
S
lN4222A
S
lN4222B
S
lN4223
S
lN4223A
S
1N4223B
S
IN4224
S
lN4224A "S
S
lN4224B
lN4225
S
lN4225A
S
lN4225B
S
lN4226
S
lN4226A
S
lN4226B
S
lN4227
S
lN4227A
S
lN4227B
S
lN4228
S
lN4228A
S
lN4228B
S
lN4229
S
lN4229A
S
lN4229B
S
lN4230
S
lN4230A
S
lN4230B
S
lN423l
S
lN423lA
S
lN423lB
S
lN4232
S
lN4232A
S
lN4232B
S
lN4233
S
lN4233A
S
lN4233B
S
lN4234
S
lN4234A
S
lN4234B
S
lN4235
S
lN4235A
S
lN4235B
S
lN4236
S
lN4236A
S
lN4236B
S
lN4237
S
lN4237A
S
lN4237B
S
lN4238
S
lN4238A
S
lN4238B
S
lN4239
S
IN4239A
S
lN4239B
S
lN4240
S
lN424l
S

ZENER DIODES

lN2989A
lN2989B
lN2990
lN2990A
lN2990B
lN299l
lN299lA
lN299lB
lN2992
lN2992A
lN2992B
lN2993
lN2993A
lN2993B
lN2994
lN2994A
lN2994B
lN2995
lN2995A
lN2995B
lN2996
lN2996A
lN2996B
lN2997
lN2997A
lN2997B
lN2998
lN2998A
lN2998B
lN2999
lN2999A
lN2999B
lN3000
lN3000A
lN3000B
lN300l
lN300lA
lN300lB
lN3002
lN3002A
lN3002B
lN3003
lN3003A
lN3003B
lN3004
lN3004A
lN3004B
lN3005
lN3005A
lN3005B
lN3006
lN3006A
1N3006B
lN3007
lN3007A
lN3007B
lN3008
lN3008A
lN3008B
lN3009
lN3009A
lN3009B
lN3010
lN3010A
lN30l0B
lN3011
lN3011A
1N3011B
lN30l2
lN30l2A
lN30l2B
lN3013
lN30l3A
lN3013B
lN30l4
lN30l4A
lN30l4B
lN30l5
IN30l5A
lN30l5B
lOM5.0AZ2 &
lOM6.0AZI&

lN2970
lN2970
IN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
1N2970
lN2970
lN2970
lN2970
lN2970
lN2970
1N2970
lN2970
1N2970
1N2970
1N2970
1N2970
lN2970
IN2970
lN2970
lN2970
lN2970
lN2970
1N2970
1N2970
lN2970
1N2970
lN2970
1N2970
lN2970
lN2970
lN2970
lN2970
lN2970
1N2970
lN2970
lN2970
lN2970
lN2970
lN2970
1N2970
lN2970
IN2970
lN2970
IN2970
1N2970
IN2970
lN2970
lN2970
IN2970
lN2970
1N2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
1N2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
1N2970

~

VR
(volts)

;:;:
;:::
:z:

...e

10
(Amps)

VF
(volts)

IR
(rnA)

IFSM

SIGNAL DIODES
PRV
(volu)

VF

@>

(vol\$)

IF""

IR

Vz(nom)

& See page 1·3 for ordenng information.

1-65

Tol
Vz±.%

PD

REFERENCE DIODES
trr
\;

:$

TYPE

a::
t-

REPLACEMENT

REF.

:::E

~
<.>

VR
(volts)

o:;:
;::

...

PRV
(volts)

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

lN2999
lN2999A
lN2999B
lN3000
lN3000A
lN3000B
1N300l
lN300lA
1N300lB
lN3002
lN3002A
lN3002B
lN3003
lN3003A
lN3003B
lN3004
lN3004A
lN3004B
lN3005
lN3005A
lN3005B
lN3007
lN3007A
lN3007B
1N3008
lN3008A
lN3008B
1N3009
lN3009A
lN3009B
lN3011
lN3011A
lN3011B
1N30l2
lN30l2A
lN3012B
lN30l4
lN30l4A
lN30l4B
lN30l5
lN3015A
lN30l5B

lN2970
lN2970
1N2970
1N2970
lN2970
1N2970
1N2970
lN2970
lN2970
lN2970
1N2970
lN2970
1N2970
lN2970
lN2970
lN2970
1N2970
lN2970
lN2970
lN2970
1N2970
lN2970
lN2970
lN2970
lN2970
lN2970
1N2970
lN2970
lN2970
1N2970
1N2970
lN2970
lN2970
lN2970
1N2970
1N2970
1N2970
lN2970
1N2970
lN2970
1N2970
lN2970

10
(Amps)

VF
(volts)

IR
(rnA)

IFSM

Vz(nom)

trr

Vz{nom)

SIGNAL DIODES

:z:

5!

lN4280
lN4280A
lN4280B
1N428l
lN428lA
lN428lB
lN4282
lN4282A
lN4282B
lN4283
lN4283A
lN4283B
lN4284
lN4284A
lN4284B
lN4285
lN4285A
lN4285B
lN4286
lN4286A
lN4286B
1N4287
lN4287A
1N4287B
lN4288
lN4288A
lN4288B
1N4289
lN4289A
lN4289B
lN4290
lN4290A
lN4290B
lN4291
lN429lA
lN429lB
1N4292
lN4292A
lN4292B
1N4293
lN4293A
lN4293B
lN4294
lN4295
lN4295A
lN4296
lN4296A
lN4297
1N4297A
lN4297B
lN4298
lN4298A
lN4298B
IN4299
lN4299A
lN4299B
lN4300
IN4300A
lN4300B
lN430l
lN430lA
lN430lB
lN4302
lN4302A
lN4302B
lN4303
lN4303A
lN4303B
lN4304
lN4304A
lN4304B
lN430S
lN4306
IN4307
lN4308
lN4309
lN43 10
lN4311
lN4312
lN4313
1N43l4
lN43l5

ZENER DIODES

:z:

.....

VF
(vdW

@

IF

IR

Ips!

56
56
56
62
62
62
68
68
68
75
75
75
82
82
82

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

91
91

91
100
100
100
110
110
110
120
120
120
130
130
130
150
150
150
160
160
160
180
180
180
200
200
200

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

Microwave S- band Mi-xer
DR
DR
DR
DR
DR

10
10
.. - '10'
10

S
S
S
S
S
S
S
S
S
S
S
S

DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR

,8.8
·'8.8
8;S

S
S
S
S
S
S
S
S
S
S
S

DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DS

S
S
S
S
S
S
S
S
S
S

OS
DS
DS
OS
DS
DS
DS
DS
DS
OS

'. B.8

8.8

....8.8
11.3

11.3
11.3

n.3
11.3
11.3
8.S

, 11;8

8.8
"·S.S
8.8

8.8
11.3
11.3

n.3.

11 .. 3
0.575
1.0
1.0
1.0
1.0
1.0
1.0,
1.0
1.0
1.0
1.0

0,1*SON
SOM
SON
200M 0.1*
4OOM' . 0;1*
400M . 0.1*
300M 0.1*
200M 0.1*
100)J 0;1*.
200M 0.1*
400M 0,1*

0.25M
SaM

1-67

Tol
VZ±%

Po

REFERENCE DIODES

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

.. 75
50
50
80
40
60
80
120
.1l0'
80
40

IZT
rnA

2~-o

,2.0'
2.;0,
2.0, .
2.0 .
2.0 .. '
.2.0
2;0
4:0'
2.0 .
2.0

11;3
1,1.3

Tc

'111"<:

45
45
45
40
40
40
37
37
37
33
33
33
30
30
30
28
28
28
25
25
25
23
23
23
20
20
20
19
19
19
17
17
17

16
16
16
14
14
14
12
12
12
0.012
0.012
0.012
0.012
0.01
0.01
0.01
0.005
0.005
0.005
0.01
0.01
0.01
0.01
0.005
0.005
0.005
0.01
0.01
0.01
0.005
0.005
0.005
0.01
0.01
0.005
0.005
0.005

In
rnA

20
10

5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10

5.0
20
10
5.0
10
10
20
20
200
200
200
200
200
200
150
150
150
150
150
150
1000
1000
1000
1000
1000
1000
1000
750
750
750
750
750

Temp

Range
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

-55(150
-55/150
-55/150
-55/150
0/75
-55/100
-$5/150
0/75
-55/100

-55/1$0
0/75

-55/100

-55/150
0/75
-55/100
-55/150
0/.50
-55/50
-55/50
0/50
-55/100
-55/150
' 0/75
-55/50
-55/50
a/50
-55/50
-55/50

•

lN4316-1N4347B
RECTIFIERS

ZENER DIODES

:z:

0

-'

TYPE

...!Cii1

REPLACEMENT

REF.

:IE

Ei
c..>
i4:

...;:::
:z:

5!

IN4316
IN4317
IN4318
IN4319
IN4320
IN4321
IN4322
IN4323
IN4323A
IN4323B
IN4324
IN4324A
IN4324B
IN4325
IN4325A
IN4325B
IN4326
IN4326A
IN4326B
IN4327
IN4327A
IN4327B
IN4328
IN4328A
IN4328B
IN4329
IN4329A
IN4329B
IN4330
IN4330A
IN4330B
IN4331
IN4331A
IN4331B
IN4332
IN4332A
IN4332B
IN4333
IN4333A
IN4333B
IN4334
IN4334A
IN4334B
IN4335
IN4335A
IN4335B
IN4336
IN4336A
IN4336B
IN4337
IN4337A
IN4337B
IN4338
IN4338A
IN4338B
IN4339
IN4339A
IN4339B
IN4340
IN4340A
IN4340B
IN4341
IN4341A
IN4341B
IN4342
IN4342A
IN4342B
IN4343
IN4343A
IN4343B
1N4344
IN4344A
IN4344B
IN4345
IN4345A
IN4345B
IN4346
IN4346A
IN4346B
IN4347
IN4347A
IN4347B

S
S
S
S
S
S
S
S

S
S

S
S
S
S
S

S
S
S

S
S
S

S
S
S
S

S
S
S
S

S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

5M50ZS10 &

IN4728

IN4736
IN4736
IN4736A
IN4737
IN4737
IN4737A
IN4738
IN4738
IN4738A
IN4739
IN4739
IN4739A
IN4740
IN4740
IN4740A
IN4741
IN4741
IN4741A
IN4742
IN4742
IN4742A
IN4743
IN4743
IN4743A
IN4744
IN4744
IN4744A
IN4745
IN4745
IN4745A
IN4746
IN4746
IN4746A
IN4747
IN4 74 7
IN4747A
IN4748
IN4748
IN4748A
IN4749
IN4749
IN4749A
IN4750
IN4750
IN4750A
IN4751
IN4751
IN4751A
IN4752
IN4752
IN4752A
IN4753
IN4753
IN4753A
IN4754
1N4754
IN4754A
IN4755
IN4755
IN4755A
IN4756
IN4756
IN4756A
IN4757
IN4757
IN4757A
IN4758
IN4758
IN4758A
IN4759
IN4759
IN4759A
IN4760
IN4760
IN4760A

IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4782
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN472?
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
lN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728

DS
DS
DS
DS
DS
DZ
DS
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

6.8
6.8
6.8
7.5
7.5
7.5
8.2
8.2
8.2
9.1
9.1
9.1
10
10
10
11
11
11

12
12
12
13
13

13
15
15
15
16
16
16
18
18
18
20
20
20
22
22
22
24
24
24
27
27
27
30
30
30
33
33
33
36
36
36
39
39
39
43
43
43
47
47
47
51
51
51
56
56
56
62
62
62
68
68
68

& See page 1·3 for ordering information.

1-68

37
37
37
34
34
34
31
31
31
28
28
28
25
25
25
23
23
23

21

21
21

19
19
19
17
17
17
16
16
16
14
14
14
13
13
13

12
12
12

11
11
11

9.5
9.5
9.5
8.5
8.5
8.5
7.5
7.5
7.5
7.0
7.0
7.0
6.5
6.5
6.5
6.0
6.0
6.0
5.5
5.5
5.5
5.0
5.0
5.0
4.5
4.5
4.5
4.0
4.0
4.0
3.7
3.7
3.7

20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10

5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0

l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l. OW
l.OW
l. 01,
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
1. OW
l.OW
l.OW
l.OW
l.OW
l.OW
l. OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW

lN4348-1N4405

...
TYPE

iii
....

!C

RECTIFIERS

z

C)

VR
(volts)

!;i
REPLACEMENT

REF.

:IS

""
z
....
e

;:;:
;::

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

1N4370A*
IN4007

lN746
IN4001

1N4002
1N4003
1N4004
1N4004
1N4005
1N4005

1N4001
1N4001
1N4001
1N4001
1N400l
1N400l
lN746
1N746
1N746
1N746
1N746
1N746

R
R
R
R
R
R

MR990A

R

MR991A

VF·"

IwItsl

S
S

S

900

lOa

120.
100
200
300
400
500
600

.80.
1500
50
10
25K

Photosensitive Device; I(dark) =
Microwave S-band Detector

DS
DS
DS
lN4003
lN4004
lN4005

1N400l
1N4001
lN4001

1.3
0.9
I,D.
1.5
l.5
1.5
1.5
1.5
1.5

50
25
55
200
400
600

R
R
R

0.5
.0.lA

t"

t~s)

....

0.5

20

.. ·,'.10N' ,

O,2iL ·.O.lt.
0.75
0.75
0.75
0.75
0.75
0.75

0.1
0.1
0.1
0.1
0.1
0.1

..

IZT
mA

91
100
100
100
110
110
110
120
120
120
130
130
130
150
150
150
160
160
160
180
180
180
200
200
200

3.3
3.3
3.3
3.0
3.0
3.0
2.8
2.8
2.8
2.5
2.5
2.5
2.3
2.3
2.3
2.0
2.0
2.0
1.9
1.9
1.9
1.7
1.7
1.7
1.6
1.6
1.6
1.4
1.4
1.4
1.2
1.2
1.2

20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0

2.4

10

5.0

0.25W

2.4
2.4
2.7
2.7
3.0
3.0

20
20
20
20
20
20

10
5.0
10
5.0
10
5.0

0.4W
0.4w
0.4W
0.4W
0.4w
0.4w

Range
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
l.OW
LOW
l.OW
LOW
l.OW
LOW

20
20
20
20
20
20

.!jgg;,

'<,r:::

1.3
1.3
1.3

1.0
1.0
l.0

=

5.0 V, H

=

9.0 mW/cm2

j"s

\':

0.1,
.:6 ..5 '

0.35 ;
::~
1.0 ',"OJ3A;' }v

,.,[tL _JJi'"'

Tc

Temp

'lli1"C

Vzlrioml

0.75
0.1
15
1:0
t'\";10N :.6.0"
1.1'. ::
fO.<.tM'l?' ',0.15,
30
0.75
0.1
50
10 nA, Sensitivity = 9.0 rnA @ VeE

1.4

PD

40,

1.0 • ·.i'1J;lb( c':,S.Jl'!<' :1>.0

1. 75

Tal
VZ±%

I ZT
mA

REFERENCE DIOOES

IR

.':

200

DS
DS

R

·.Itc'

Vz(nom)

91

R

DZ
DZ
DZ
DZ
DZ
DZ
DS

IFSM

75
75
75
82
82
82
91

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DS
DZ

DS
DS

S

0.275
0.25
0.225

50
50
50

Varactor Diodes, see Table on Page 1-94

S

DS
DS
DS
DS

S

S
S

thru

lN4399,
A,B
lN4400
lN440l
lN4402
lN4403
lN4404
lN4405

lN4728
lN4728
lN4728
IN4728
1N4728
IN4728
1N4728
IN4728
IN4728
lN4728
IN4728
IN4728
IN4728
1N4728
IN4728
IN4728
IN4728
IN4728
1N4728
1N4728
1N4728
IN4728
1N4728
IN4728
1N4728
IN4728
IN4728
lN4728
IN4728
IN4728
IN4728
IN4728
IN4728

G

IN4387 }
lN4388
lN4389
lN4390
lN439l
lN4392
lN4393,
A,B

IN4761
IN4761
lN4761A
lN4762
IN4762
IN4762A
IN4763
1N4763
1N4763A
lN4764
lN4764
1N4764A
lM110ZS10 &
lM110ZS10 &
lM110ZS5 &
lM120ZS10 &
1M120ZS10 &
1M120ZS5 &
1M130ZS10 &
1M130ZS10 &
1M130ZS5 &
1M150ZS10 &
1M150ZS10 &
1M150ZS5 &
IM160ZS10 &
1M160ZS10 &
lM160ZS5 &
lM180ZS10 &
1M180ZS10 &
1M180ZS5 &
lMlOOZSlO &
1MlOOZS10 &
IM200ZS5 &

IR
(mA)

(Amps)

SIGt:(AL'DIODES

PRV

(volt,)

lN4348
IN4348A
IN4348B
1N4349
1N4349A
IN4349B
IN4350
IN4350A
IN4350B
lN435l
1N4351A
1N435lB
lN4352
lN4352A
1N4352B
lN4353
IN4353A
1N4343B
IN4354
1N4354A
1N4354B
1N4355
1N4355A
1N4355B
IN4356
IN4356A
lN4356B
1N4357
lN4357A
1N4357B
lN4358
lN4358A
1N4358B
lN4359
IN4360
lN436l
1N4362
1N4363
1N4364
1N4365
1N4366
1N4367
1N4368
1N4369
1N4370
1N4370A
1N4371
1N4371A
IN4372
1N4372A
1N4373
1N4374
1N4375
1N4376
U.4377
1N4378
lN4379
lN4380
lN438l
lN4382
lN4383
lN4384
lN4385

10

VF
(volts)

ZENER DIODES

20

-

1.0

.>.:~~

.(y~S

';"S~

;

~"'~

~;LQ~,5,;

',;2:

'D· .5

Tunnel Diodes, see Table on Page 1-104

S
S
S
S

S
S

lN4736
lN4737
lN4738
lN4739
IN4740
lN4741

lN4728
lN4728
lN4728
lN4728
lN4728
1N4728

DZ
DZ
DZ
DZ
DZ
DZ

6.8
7.5
8.2
9.1
10
11

Replacement * denotes exact deVice type replacement available on request.
& See page 1-3 for ordlring information.

1-69

37
34
31
28
25
23

20
20
20
20
20
20

LOW

1. OW

LOW
LOW
LOW
LOW

lN4406-1N4487
RECTIFIERS
VR

(volts)

TYPE

•

REPLACEMENT

REF.

VF
(volts)

10
(Amps)

ZENER DIODES

IR
(rnA)

SIGI'IAL.DIPOES

Vz(noml

IZT

rnA

Tal
VZ±%

PD

......

PRY.

{volnl,
1N4406
IN4407
IN4408
1N4409
1N4410
1N4411
1N4412
1N4413
1N4414
1N4415
IN4416
1N4417

S
S
S
S
S
S
S
S
S
S
S
S

1N4742
IN4743
1N4744
IN4745
1N4746
1N4747
1N4748
1N4749
1N4750
1N4751
1N4752
1N4753

1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

12
13
15
16
18
20
22
24
27
30
33
36

21
19
17
19
14
13
12
11
9.5
8.5
7.5
7.0

20
20
20
20
20
20
20
20
20
20
20
20

l.OW
l.OW
l.OW
l.OW
l.OW
LOW
l.OW
1. OW
1. OW
1. OW
1. OW
1. OW

1N4418
1N4419
1N4420
1N4421
1N4422
1N4423
1N4424
1N4425
1N4426
1N4427
1N4428
1N4429

S
S
S
S
S
S
S
S

1N4754
1N4755
1N4756
1N4757
1N47 58
1N4759
1N4760
1N4761
1N4762
1N4763
1N4764
1M110ZS10

1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

39
43
47
51
56
62
68
75
82
91
100
110

20
20
20
20
20
20
20
20
20
20
20
20

l.OW
1. OW
l.OW
1. OW
1. OW
1. OW
l.OW
1.0W
1. OW
1. OW
1. OW
1. OW

1N4430
1N4431
1N4432
1N4433
1N4434
1N4435
1N4436
1N4437
1N4438
1N4439
1N4440
1N4441

S
S
S
S
S
S
S
S
S
S
S
S

1M120ZS10 &
1M130ZS10 &
1M150ZS10 &
IM160ZS10 &
1M180ZS10 &
1M200ZS10 &

1N4728
1N4728
1N4728
1N4728
1N4728
1N4728

DZ
DZ
DZ
DZ
DZ
DZ

120
130
150
160
180
200

6.5
6.0
5.5
5.0
4.5
4.0
3.7
3.3
3.0
2.8
2.5
2.3
2.0
1.9
1.7
1.6
1.4
1.2

20
20
20
20
20
20

1. OW
1. OW
l.OW
l.OW
l.OW
1. OW

1N4442
1N4443
1N4444
1N4445
1N4446

S

DS3q

S
S
S
S

DS
I'
DS·,
DS

1N4455
1N4456
1N4457
1N4458
1N4459
1N4460
1N4461
1N4462
1N4463
1N4464
1N4465

S
S
S
S
S
S
S
S
S
S
S

1N4466
1N4467
1N4468
1N4469
1N4470
1N4471
1N4472
1N4473
1N4474
1N4475
1N4476
1N4477
1N4478
1N4479
1N4480
1N4481
1N4482
1N4483
1N4484
1N4485
IN4486
1N4487

S
S

S

S
S
S

R

R
R
R
R

R

200
400
600
800
1000
1500

DS~~" I

1. 2
1. 2
1. 0
1.2
1. 2
4.0

10
10
10
10
10
0.025

1. 0
1. a
1. 0
1.0
1. 0
0.001

100
100
100
100
100
3.0

'1.0
O.IA
Loll
1.0
~.0().lA2 •.0N 1,.°7','06 .
1,0

1.0
1 •. 0

O.lA
O.lA
·20M

SON
SON
25N

4;0
4.0

!
II Il Jllll~ll~J
r~~:~~7~~~~~~:~r---------r-----~~~:~L~;"~;~~$~,-r'_,O~!9~:~~.-tl ~'.A 1~" ~O~ ~ ~ "'~'-2~'.~0~r------+----~-----r-----i
Il!!ll

S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S

1N4735A
1N4736A
1N4737A
1N4738A
1N4739A
1N4740A

IN4728
1N4728
1N4728
1N4728
IN4728
1N4728

Ds50
DS
'35..
DS
50,
R
800
R
1000
DZ
DZ
DZ
DZ
DZ
DZ

1N4741A
1N4742A
IN4743A
1N4744A
1N4745A
1N4746A
1N4747A
1N4 748A
1N4749A
1N47 50A
1N4751A
1N4752A
1N4753A
1N4754A
1N4755A
1N47 56A
1N4757A
1N47 58A
1N47 59A
1N4760A
1N4761A
1N4762A

1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

0;7

0.1*
0.2*

LO.
1.•.0 .

• ,,0.2*

1.,5,
1.5

1.5
1. 5

5.0
5. a

150
150

0.5
0.5

6.2
6.8
7.5
8.2
9.1
10

40
37
34
31
28
25

5.0
5.0
5.0
5.0
5.0
5.0

1.5W
l.5W
1.5W
l.5W
1.5W
l.5W

11
12
13
15
16
18
20
22
24
27
30
33

23
21
19
17
16
14
13
12
11
9.5
8.5
7.5
7.0
6.5
6.0
5.5
5.0
4.5
4.0
3.7
3.3
3.0

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

l. 5W
1. 5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

1.5W
1.5W
1.5W
1. 5W
1. 5W
1.5W
1.5W
1.5W
1. 5W
1.5W

36
39
43
47
51
56
62
68
75
82

& See page 1-3 for ordennglnformatlon.

1-70

lN4488 -lN4558
RECTIFIERS
TYPE

.....
.............
iii:

:z

C>

REPLACEMENT

5
5
5
5
5
5
5
5
5
5
5
5
5
5
G

5
5
5
5
5
5
5
5
5
5
5
5
5

REF.

1N4763A
1N4764A
1M110Z55 &
1M120Z55 &
1M130Z55 &
1M150Z55 &
1M160Z55 &
1M180Z55 &
1M200Z55 &

1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
IN4728
1N4728

IN4735A

IN4728

1N4752
1N5388A

1N4728
1N5333

;::::
:z

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
R
R
DZ
D5
DR
D5
DZ
DZ
R
R
R
R
R
R

D5
R
R
R

D5
D5
R
R
R
R
R
R

D5
D5
D5
D5
DZ
D5

.5M3.4ZZ55

s

5
S
5
5
5
5
5
5
5
S
S
5

R

R
R
R
D5
D5
DS
D5
D5
R

1N2804
IN2804
IN2804
lN2804
1N2804
1N2804
IN2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
IN2804
IN2804
IN2804

VR
(volts)

;;:

R

G
G

5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
S
S
5
S
5
5
5
5
5
5
5
5
5
5
5
5

...
...5!

~

::IE

1N4488
1N4489
1N4490
1N4491
1N4492
1N4493
1N4494
1N4495
1N4496
1N4497
1N4498
1N4499
1N4500
1N4501
1N4502
1N4503
1N4504
1N4505
1N4506
1N4507
1N4508
1N4509
1N4510
1N4511
1N4512
1N4513
1N4514
1N4517
1N4523
1N4524
1N4525
1N4526
1N4527
1N4528
1N4529
1N4530
1N4531
1N4532
1N4533
1N4534
1N4535
1N4536
1N4537
1N4538
1N4539
1N4540
1N4541
1N4542
1N4543
1N4544
1N4545
1N4546
1N4547
1N4548
1N4549
1N4549A
1N4549B
1N4550
1N4550A
1N4550B
1N4551
1N4551A
1N4551B
1N4552
1N4552A
1N4552B
1N4553
1N4553A
1N4553B
1N4554
1N4554A
1N4554B
1N4555
1N4555A
1N4555B
1N4556
1N4556A
1N4556B
1N4557
1N4557A
1N4557B
1N4558

ZENER DIODES

D5
DS
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

VF
(volts)

10

(Amps)

IR
(rnA)

IFSM

SIGNAL DIODES
PRV
(volts)

. VF

(wtu)

IF

Ii>

IR

Vz(nom)

IZT
rnA

!}lsi

1600
3000

3.0
5.0

0.75
0.75

0.1
0.1

35
35

80

1..9

O.SA

0.1*

4.0

V:!(nom)

20

0.3

3.014

10*

TC

.. 10.

200
400
600
800
1000
1200
75
75

40

0.1
12
12
12
12
12
12
5.0M
0.25
1.1
2.0
O.lA
10M

35
35
35
35
35
35
10M
10M

20M

....5(1

0.• 88

.2014

25
1500
2000
2500
3000
225
400
600
800
1000
25K
25

.1.0
1.85
1.85
1. 85
1.85
'.1.0
1.0
1.0
1.0
1,.0
30
. ].;.0

~OM

25

.,

,,1..,(1,

3.0
3.0
3.0
3.0
0;4A
0.4A
0,4A
0.4A

0.1
2.5
2.5
2.5
2.0
1. 75
1.5
ION
0.1
0.1
0.1
30*
1.2*
3.5
3.5
3.5
3.0
2.5
2.0
25N
0.1*
SON
50N
0.1*
0.3
0.3
0.3
0.3

IZT
rnA

2.8
2.5
2.3
2.0
1.9
1.7
1.6
1.4
1.2

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

Range
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W

5.0

LOW

7.4

0.01

33
200

20
4.0

10
10

3.0W
3.0W

3.45

5.0

5.0

0.5W

3.9
3.9
3.9
4.3

3.2
3.2
3.2
2900
2900
2900
2600
2600
2600
2400
2400
2400
2200
2200
2200
2000
2000
2000
1800
1800
1800
1600
1600
1600
3200
3200
3200
2900

20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20

SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW

20
50
100
B.O
3.0
500
500
500
500
500
500
4.0
2.0

2.0
2.0

2.0

15
15
15
15

0.1,*.

50
.4.0

4.3

4.3
4.7
4.7
4.7
5.1
5.1
5.1
5.6
5.6
5.6
6.2
6.2
6.2
6.8
6.8
6.8
7.5
7.5
7.5
3.9
3.9
3.9
4.3

& See page 1·3 for ordermg mformatlOn.

1-71

-55/100

20N.

400M .0.02*
1.0
0.1
25M
ION
,31,})f

20
240
240
240
240
240
240

Temp

%I"C

6.2

8.5
1.4
1.4
1.4
1.4
1.4
1.4
0 • .777
4.5
1.0
1.2
1.0
0.65
1.4
1.4
1.4
1.4
1.4
1.4
1.0
1.0
0.88

PD

REFERENCE DIODES
tn

91
100
110
120
130
150
160
180
200

6000
200
400
600
800
1000
1200
.10
2000
800
200
15

Tal
VZ±%

lN4558A-1N4605
RECTIFIERS

z:

....

TYPE

=
........""
""

0

i=

REPLACEMENT

""
;:;:
(.)

REF.

i=

:::E

•

is
52

.7.

~',
~~ ~.

{'"~
·,S
Y.

lN2804
lN2804
lN2804
1N2804
lN2804
IN2804
lN2804
lN2804
1N2804
lN2804
IN2804
1N2804
IN2804
IN2804
IN2804
lN2804
1N2804
IN2804
1N2804
1N2804
1N4549
1N4549
IN4549
1N4549
1N4549
IN4549
1N4549
1N4549
IN4549
IN4549
IN4549
IN4549
IN4549
IN4549
IN4549
IN4549
IN4549
lN4549
lN4549
lN4549

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

s
S
s

S
S

s
s

S
S

S
S
S
S
S
S
S
S
S

s

S
S
S

S
S

S
S

S
S
S
S
S
S
S
S
S

S

MR1220
MR1220
MR1220
MR1220
MR1220
MR1220

S

MR1221SB
MR1223SB
MR1225SB
MR1227SB
MR1228SB
MR1229SB

S

Microwave Mixer
Microwave Mixer

S
S
S
S
S
S
S
S
S
S

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
R
R
R
R
R
R
R
R
R
R
R
R
R

PRV,·\V~

. I",

Microwave Mixer

Microwave Mixer
Microwave Mixer
Microwave Mixer

f
f
f
f
f
f

=
=
=
=
=
=

Vz(nom) * Tol
Vz(max) Vz %

Jp;s)

2900
2900
2800
2800
2800
2400
2400
2400
2200
2200
2200
2000
2000
2000
1800
1800
1800
1600
1600
1600
6.01

~.3

~.7

4.7

4.7
5.1
5.1
5.1
5.6
5.6
5.6
6.2
6.2
6.2
6.8
6.
6.
7.

"'llr·i,.
i',

I,

0~,O02

6.4
6.4
6.4

0)002
0;002

eli

4

6.4
6.4
6.4

6.4

6.4
6.4

6.4
6.4
6.4
6.4
6.4
6.4
.6.4

<

0.01

0.005
0.005

,,0 •.002
0.001
'0;001
0.'0005
O.GOOS.
OWl
0,;01'
'0.005
.0.005
'0;002
0.002
0.001
0.001
0.0005
0.0005
0.01
0.01
0.005
0.005
0,002
0.002
0.001
0.·001
0.0005,
0.0005
0.01
0,01
0.005

d

r

,. 6.4
fr.4

6.4
6:.4

6.4
6.4

0...005

Q,Ooat

0;0001
6 •.4' 0;0005
6.4 0.0005
800
1000
100
200
300
400
500
600
800
1000
1200
1400
5000

1.3
1.3
1. 35
1.35
1.35
1.35
1. 35
1. 35
1.35
1. 35
1.35
1. 35
5.0

1.0
1.0
150
150
150
150
150
150
150
150
150
150
0.025

13,300
13,300
13 ,300
16,000
16,000
16,000

MHz,
MHz,
MHz,
MHz,
MHz,
MHz,

NF
NF
NF
NF
NF
NF

=
=
=
=
=
=

9.5
8.8
8.0
9.5
8.8
8.0

1-72

dB
dB
dB
dB
dB
dB

0.2
0.2
9.5
9.5
9.0
9.0
8.0
6.5
5.5
4.5
4.0
3.5

50
50
3000
3000
3000
3000
3000
3000
3000
3000
3000
3000
1.0

PD

REFERENCE DIO[)ES '.'
T(min) T(max)
TC
'1z·
%rc
"C
"I:

. ".t,...

~.3

Varactor Diodes, see Table on Page 1-94

S
S
S
S
S

Vz(min)

(Amps)

DES

(volts), ,(vglts)
IN4558A
1N4558B
lN4559
1N4559A
IN4559B
1N4560
IN4560A
1N4560B
IN4561
1N4561A
IN4561B
1N4562
lN4562A
1N4562B
IN4563
IN4563A
1N4563B
lN4564
1N4564A
IN4564B
IN4565
1N4565A
IN4566
1N4566A
1N4567
1N4567A
IN4568
1N4568A
IN4569
IN4569A
1N4570
1N4570A
1N4571
IN4571A
IN4572
1N4572A
IN4573
lN4573A
1N4574
lN4574A
lN4575
lN4575A
IN4576
IN4576A
lN4577
1N4577A
1N4578
1N4578A
1N4579
IN4579A
IN4580
1N4580A
1N4581
lN4581A
IN4582
lN4582A
IN4583
lN4583A
lN4584
IN4584A
IN4585
lN4586
IN4587
IN4588
lN4589
IN4590
IN4591
1N4592
lN4593
1N4594
1N4595
lN4596
lN4597
IN4598 }
IN4599
IN4600
lN4601
lN4602
IN4603
lN4604
1N4605

ZENER DIODES
(surge

10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10

5.0
20
10
5.0
20
10
5.0
0.5

0.5

0.5

0.5

0.5
0.5
a.5

0.5

0.5
0,:;
'1;0
1.0
1.0
;1.;0
1.;0

LO

1.0
1.0
1.0

1.0
2.0
2:0
2.0
2.0

Z.O

:t,o

2;0
2;0
1.0
2.0.
4.0
4.0
4.0
4.0
4.0
4.0
4.0,
4.0
4.0
'4,0

SOW
SOW
50W
SOW
50W
SOW
50W
SOW
50W
50W

sow
sow
sow
sow
sow
sow
sow
sow
sow
sow

0/75
-55/100
0/7.5
-55/100
0/15

-55/100
a176

-55flOQ

0/75
-55/100
.0175
-S5flOO

. 0/75
"55/100
0/75'
-55/100
0/75
-55/100
0/75
-55/100
0/75
-55/100
0/75
-55/100
, .. .0/75
~55/100

,'0/75

~55fl00

0/75
-55/100
0/75
-55/100
0/75
-55/100
0/75

~55/100

0/75
-55/100
0/75
-55/100

lN4606-1N4677

...

TYPE

...
!C
~

:z
CI

!;i
REPLACEMENT

REF.

::!5

IN4606
IN4607
IN4608
IN4609
IN4610
IN4611
IN4611A
IN4611B
IN4611C
IN4612
IN4612A
IN4612B
IN4612C
IN4613
IN4613A
IN4613B
IN4613C
IN4614
IN4615
IN4616
IN4617
IN4618
IN4619
IN4620
IN4621
IN4622
IN4623
IN4624
1N4625
1N4626
1N4627
1N4628
1N4629
1N4630
1N4631
1N4632
IN4633
IN4634
1N4635
IN4636
1N4637
IN4638
IN4639
IN4640
IN4641
1N4642
1N4643
IN4644
IN4645
IN4646
IN4647
1N4648
1N4649
1N4650
IN4651
1N4652
1N4653
1N4654
1N4655
1N4656
IN4657
IN4658
IN4659
IN4660
1N4661
1N4662
IN4663
1N4664
1N4665
1N4666
1N4667
1N4668
IN4669
1N4670
1N4671
1N4672
IN4673
IN4674
1N4675
IN4b76
1N4677

S

S
S

S
S
S

s
S

S
S

s
s

S

s

S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S

S

S
S
S
S
S
S
S
S
S

S

s
S

S
S

s
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S

Varactor Diode, see

IN4576A *
IN4577A *
IN4578A *
IN4579A *
IN4581A *
IN4582A *
IN4583A *
IN4584A *
IN4581A *
IN4582A *
IN4583A *
IN4584A *
MZ46 14
MZ4615
MZ4616
MZ4617
MZ4618
MZ4619
MZ4620
MZ4621
MZ4622
MZ4623
MZ4624
Mz4625
MZ4626
MZ4627
1N4736A
1N4737A
1N4738A
IN4739A
IN4740A
IN4741A
IN4742A
IN4743A
IN4744A
IN4745A
IN4746A
IN4747A
IN4748A
IN4749A
IN4750A
IN4751A
IN4752A
IN4753A
IN4754A
IN4755A
1N4756A
1N4728A
1N4729A
1N4730A
1N4731A
1N4732A
IN4733A
1N4734A
1N4735A
IN4736A
IN4737A
IN4738A
1N4739A
1N4740A
1N4741A
1N4742A
1N4743A
1N4744A
IN4745A
1N4746A
IN4747A
IN4748A
1N4749A
IN4750A
IN4751A
IN4752A
IN4753A
1N4754A
1N4755A
1N4756A

IN4549
IN4549
IN4549
IN4549
IN4549
IN4549
IN4549
IN4549
IN4549
IN4549
IN4549
IN4549
IN4099
IN4099
IN4099
IN4099
IN4099
IN4099
IN4099
IN4099
IN4099
IN4099
IN4099
1N4099
1N4099
1N4099
IN4728
1N4728
IN4728
1N4728
1N4728
1N4728
IN4728
1N4728
1N4728
IN4728
1N4728
1N4728
1N4728
IN4728
IN4728
1N4728
IN4728
IN4728
IN4728
IN4728
1N4728
IN4728
IN4728
IN4728
1N4728
1N4728
1N4728
1N4728
1N4728
IN4728
IN4728
1N4728
1N4728
1N4728
1N4728
1N4728
IN4728
IN4728
1N4728
1N4728
1N4728
1N4728
IN4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
IN4728

c:.>

RECTIFIERS
VR
(volts)

ii:

...:z;::e
DS
DS
DS

VF
(volts)

10
(Amps)

ZENER DIODES

IR
(mA)

IFSM

SIQNA.L:. -DIODES
PRY
(volu)

70
70
70

Vr .. :I"
t.dts}
-- --

1.0
0.95
0.!l6
Table"on Page 1-94
55,
1.1
DS
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

IR

{~S}

0.25* 6.0
0.25* 10
0.,25* 10

0.3A

0.1* 2.0

250M

Replacement" denotes exact deVice type replacement available on request.

1-73

IZT
rnA

Tal
VZ±_%

Po

REFERENCE DIODES
ttl

350M

O~-2A

Vz(norn)

\Iz{noml

Tc
%IQC

6.6
6.6
6.6
6.6
6.6
6.6
6.6
6.6
6.6
6.6
6.6
6.6
l.8
2.0
2.2

0.005
0.002
0.001
0.0005
0.005
0.002
0.001
0.0005
0.005

2.4

2.7
3.0
3.3
3.6
3.9
4.3
4.7
5.1
5.6
6.2
6.8
7.5
8.2
9.1
10
11

12
13
15
16
18
20
22
24
27
30
33
36
39
43
47
3.3
3.6
3.9
4.3
4.7
5.1
5.6
6.2
6.8
7.5
8.2
9.1
10

11

12
13

15
16
18
20
22

24
27
30
33
36
39
43
47

O.OOZ

0.001
0.0005
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
19
17
15
14
13

12
11
9.5
8.5
7.8
7.0
6.2
6.0
5.2
4.6
4.2
3.8
3.4
3.2
3.0
2.7
10

10
64
58
53
49
45
41
37
34
31
28
25
23
21
19
17

16
14
13
12
11
9.5
8.5
7.5
7.0
6.5
6.0
5.5

IZT

Temp

rnA

Ranqe

2.0
2.0
2.0
2.0
5.0
5.0
5.0
5.0
10
10
10
10
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

-50!175
-50/175
-50/175
-50/175
-50/175
-50/175
-50/175
-50/175
-50/175
-50/175
-50/175
-50/175
0.25W
0.25W
0.25W
0.25W
0.25W
0.25W
0.25W
0.25W
0.25W
0.25W
0.25W
0.25W
0.25W
0.25W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
l.OW
l.OW
l.OW
1. OW
1. OW
l.OW
1. OW
1. OW
1. OW
l.OW
l.OW
1. OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
1. OW

IN4678·1N4733
RECTIFIERS
TYPE

......iii

REPLACE MENT

'"
~

REF.

lE

Co>

VR
(volts)

;:;:
;::
:z

...5!

S

s
s
s
s
s
s
S
S
S

s
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DS

MZ4678
Mz4679
MZ4680
MZ4681
,MZ4682
Mz4683
MZ4684
MZ4685
MZ4686
MZ4687
MZ4688
MZ4689
MZ4690
Mz469l
MZ4692
MZ4693
Mz4694
MZ4695
MZ4696
Mz4697
MZ4698
MZ4699
MZ4700
MZ4701
Mz4702
Mz4703
MZ4704
MZ4705
MZ4706
MZ4707
Mz4708
Mz4709
MZ4710
MZ4711
Mz4712
MZ4713
MZ4714
MZ4715
Mz4716
MZ4717

R
R
R
R
R
R
R

S
S

s

S
S

S
S

s

S
S
S
S
S
S
S
S
S

S

lN4728
1N4728
lN4728
1N4728
1N4728
IN4728
lN4728
1N4728
1N4728
1N4728
lN4728

DS
DS
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

VF
(volts)

10

(Amps)

IR
(rnA)

IFSM

SIGNAL QfODES
PRY
(voll$)

lN4678
lN4679
lN4680
lN468l
lN4682
lN4683
lN4684
lN4685
lN4686
lN4687
lN4688
lN4689
lN4690
lN469l
lN4692
lN4693
lN4694
lN4695
lN4696
lN4697
lN4698
lN4699
lN4700
lN470l
lN4702
lN4703
lN4704
1N4705
1N4706
lN4707
lN4708
lN4709
lN4710
IN4711
1N4712
IN4713
IN4714
IN4715
IN4716
IN4717
IN4718
1N4719
IN4720
1N4721
IN4722
IN4723
IN4724
IN4725
IN4726
1N4727
IN4728
IN4728A
IN4729
IN4729A
IN4730
1N4730A
1N4731
1N4731A
IN4732
1N4732A
1N4733

ZENER DIODES

:z

.....

,iff, @I',

'

;,11',

(\IIlItsI

I~

,

t..;

"

,1J4I

Vz(nom)

,ltJ"C

,mA "

18
19
20
22
24
25
27
28
30
33
36
39
40

105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

3.3
3.3
3.6
3.6
3.9
3.9
4.3
4.3
4.7
4.7
5.1

76
76
69
69
64
64
58
58
53
53
49

10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10

13

14
15
16
17

50
100
200
400
600
800
1000

20
20

1.2

1.0
1.0
1.0
1.0
1.0
1.0
1.0
0.85
0.85

0.75.\
3.0
3.0
3.0
3.0
3.0
3.0
3.0
10M

1-74

10M

So* 'iso
1.5
1.5
1.5
1.5
1.5
1.5
1.5

0.1*
0.1*

Tal
Vz±.%

Po

REFERSNCEDIODES "
Vz(noml, re ' Izr'
1.8
2.0
2.2
2.4
2.7
3.0
3.3
3.6
3.9
4.3
4.7
5.1
5.6
6.2
6.8
7.5
8.2
8.7
9.1
10
11
12

50

IZT
rnA

=:"
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250

300
300
300
300
300
300
300

LOW
l.OW
l.OW
LOW
l.OW
LOW
LOW
LOW
l.OW
l.OW
LOW

IN4733A·IN4764
RECTIFIERS

TYPE

IN4733A
IN4734
IN4734A
1N4735
IN4735A
IN4736
IN4736A
IN4737
IN4737A
IN4738
IN4738A
IN4739
IN4739A
IN4740
IN4740A
IN4741
IN4741A
IN4742
IN4742A
1N4743
1N4743A
IN4744
IN4744A
IN4745
IN4745A
IN4746
IN4746A
IN4747
IN4747A
IN4748
IN4748A
IN4749
IN4749A
IN4750
IN4750A
IN4751
IN4751A
IN4752
IN4752A
1N4753
IN4753A
IN4754
1N4754A
IN4755
IN4755A
IN4756
IN4756A
IN4757
IN4757A
IN4758
1N4758A
IN4759
IN4759A
IN4760
IN4760A
IN4761
IN4761A
IN4762
IN4762A
IN4763
IN4763A
IN4764

S
a:

.......
""':::IE

S

S
S
S
S
5
5
5
5
S
5
S
S
5
S
5
S

5
5
5
5
5
5
5
5
S
S
S
S
S

S
S
5
5
5
5
5
5
5
5

5
S
S
S
S
S

5
5
5
5
5
S

S
S
S

5
5
5
5
S
S

5

z:
C>
;:::

REPLACEMENT

REF.

IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
1N4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728

""'..,LL:
;:::
z:
!3

...

VR
(volts)

10

VF
(volts)

(Amps)

ZENER DIODES

IR
(rnA)

IFSM

SIGNAL DIODES
PRV
(voltsl

VF

(WlIts)



IF

If{

Vz(nom)

Tal
VZ±.%

PD

REFERENCE DIODES
trr
(~$1

Tc

Temp
Range

49
45
45
41
41
37
37
34
34
31
31
28
28
25
25
23

IZT
mA
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10

5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10

l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW

22
24
24
27
27
30
30
33
33
36

23
21
21
19
19
17
17
15.5
15.5
14
14
12.5
12.5
n.5
11.5
10.5
10.5
9.5
9.5
8.5
8.5
7.5
7.5
7.0

36
39
39
43
43
47
47
51
51
56
56
62

7.0
6.5
6.5
6.0
6.0
5.5
5.5
5.0
5.0
4.5
4.5
4.0

5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10

l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW

62
68
68
75
75
82
82
91
91
100

4.0
3.7
3.7
3.3
3.3
3.0
3.0
2.8
2.8
2.5

5.0
10
5.0
10
5.0
10
5.0
10
5.0
10

l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW

Vzlnoml

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

5.1
5.6
5.6
6.2
6.2
6.8
6.8
7.5
7.5
8.2
8.2
9.1
9.1
10
10
11
11
12

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

12

13
13
15
15
16
16
18
18
20
20
22

1-75

IZT
rnA

%fOC

l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW

l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW

•

lN4764A-1N4838
RECTIFIERS

ZENER DIODES

:z:

;:::
'"

:is
TYPE

..."".....

"'"

REPLACEMENT

REF.

::E

•

"'"
;:;:
<..'>

;:::

...
:z:

5!

VA
(volts)
-~ '<"'

,p~k!

iyq't)lt
IN4764A
IN4765
IN4765A
IN4766
IN4766A
IN4767
IN4767A'
IN4768
1N4768A
1N4769
1N4769A
IN4770
1N4770A
1N4771
1N4771A
IN4772
IN4772A
1N4773
1N4773A
1N4774
1N4774A
IN4775
1N4775A
1N4776
1N4776A
1N4777
1N4777A
1N4778
1N4778A
1N4779
IN4779A
1N4780
IN4780A
IN4781
IN4781A
IN4782
IN4782A
IN4783
1N4783A
1N4784
IN4784A

IN4728
IN4549
IN4549
IN4549
IN4549
IN4549
IN4549
1N4549
1N4549
1N4549
1N4549
1N4549
1N4549
IN4549
1N4549
IN4549
1N4549
1N4549
1N4549
IN4549
1N4549
1N4549
1N4549
IN4549
1N4549
IN4549
1N4549
IN4549
1N4549
IN4549
1N4549
1N4549
1N4549
1N4549
1N4549
IN4549
1N4549
1N4549
1N4549
1N4549
IN4549

S

5
5
S
S
S
S
S
S
S

5
S
S
S
S
S

5
S
S
S
S
S
S
S
S
S
S
S

s

S
S
S
S
S
S
S
S
S
S
S
S

VF
(volts)

10
(Amps)

IA
(rnA)

'~I~~AL·. OIQl;)l::~' .

I>(~

IF"

.•.• IR

IZT
rnA

Vz(nom)

IFSM

Tol
VZ±%

PD

.··········.··twREFERE~EDIODES
. ZT
%~~:;;l
. rnA

t"
(nom)
lPS!.·

100'

DZ
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR

2...4.

9;i'

'(LOI'

5.0

0;')'

.T Ornp
Range

11i~~

oS ,..55/100
0.01
r· 9.1
O..1}05· .(};s ." 0/75
0'~005,
9.1
g:,~., ... 55/100
.' 0/75
!L1
0.002
9.1
0:002 0;5 . • 5:>/100
9.1
0,001 '{l.5
'. 0/75
'9{1
0.001 as· ~55!100
9.. 1' '. 0,OG05
0.5' . ". 0/75
0;.'",' -S5fl(J0
'9,1·
(J.OO(J$
9.1......
1.(}
0/75
0.01
0.01
La c55/100
1.0
0.005
0175
1.0 -55/100
0.005
1.0 .
0.002
0/75
1.0 -55/100
0.002
1.0
0/75
1.0 -551100
1.0
0.0005
0/75
0.0005
1.0 -55/100
0.5
0/75
0.01
0.01 0;5 -5$/100
0.5
0.005
0/75
0'.5 -'55/100
0.005
'0/75'
0.5
0.002
0.002 tl'.5 -55/100
'0;001' '0,5
..0/75
-55/100
0.001
0;0005
0.5
0/75
O,OOOJi ·o:s -;;51100
0.01
1.0
0/75
0.01.' 'l.0 ~551100
o.OO!)! ··l.',O
0175
0 •.005. LO·. ~55/100
0.1)02 .1,0
0/75
.o.OOZ·· . L.o ~55/1.o()
OlOOL· '1.0
0/75
i·.
1'.0 -55/1.0.0
1·_o.001
.0/75
..... 0 . .0.005 ,'1.0
1,0. ~55/1()o
0.0005

9.1

DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR

Il~f

'Itggi

rt1······

DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR

I.~:~

.'

I

os

It

r

·~;t·

tl·

DR
DR
DR
DR
DR

1N4786
Varactor Diodes, see Table on Page 1-94

thru

1N4815
1N4816
1N4817
IN4818
1N4819
IN4820
IN4821
1N4822
1N4823
1N4824
1N4825
IN4826
IN4827
1N4828
IN4829
IN4830
IN4831
IN4831A
IN4831B
IN4832
IN4832A
IN4832B
1N4833
IN4833A
IN4833B
1N4834
IN4834A
IN4834B
1N4835
IN4835A
1N4835B
1N4836
1N4836A
1N4836B
1N4837
IN4837A
IN4837B
IN4838

S
S
S

5
5
S
S
S
S
S
S
G
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

IN4001
1N4002
IN4003
1N4004
1N4004
lN4005
1N4005

lN4739
IN4739
lN4739A
IN4740
IN4740
1N4740A
1N4741
1N4741
1N4741A
1N4742
IN4742
IN4742A
IN4743
1N4743
IN4743A
IN4744
IN4744
IN4744A
IN4745
lN4745
1N4745A
1N4746

IN4001
IN4001
1N4001
IN4001
lN4GOl
1N4001
1N4001

1l'l4728
lN4728
1N4728
lN4728
1N4728
IN4728
1N4728
1N4728
1N4728
1N4728
IN4728
IN4728
1N4728
1N4728
IN4728
1N4728
IN4728
IN4728
1N4728
1N4728
1N4728
1N4728

R
R
R
R
R
R
R
R
R
R
R
DS
DS
DS
DS

50
100
200
300
400
500
600
100
200
400
6.00

30
20
,·ZO'
····20

1.3
1.5
1.3
1.5
1.3
1.5
1.3
1.5
1.3
1.5
1.3
1.5
1.3
1.5
1.25
1.0
1. 25
1.0
1.25
1.0
1.25
1.0
1.0
401'1
'1;1 ..0.lA .
1.87. O.lA
2.69
O.lA.

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

0.25
50
0.25
50
0.25
50
0.25
5.0
0.25
50
0.25
50
0.25
50
1.0
35
1.0
35
1.0
35
1.0
35
15* '0,2
.0 •.1*

.0,1'\
0.1*

9.1
9.1
9.1
10
10
10
11
11
11

12
12
12
13

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
IlZ

13
13
15
15
15
16
16
16
18

1-76

28
28
28
25
25
25
23
23
23
21
21
21
19
19
19
17

17
17
16
16
16
14

20
10
5.0
20
10
5.0
20
10
5 . .0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20

1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W

lN4838A-1N4874
RECTIFIERS

......
...

z:

0-

REPLACEMENT

REF.

::E

1N4838A
1N4838B
1N4839
1N4839A
1N4839B
1N4840
1N4840A
IN4840B
IN4841
IN4841A
IN4841B
IN4842
IN4842A
IN4842B
IN4843
IN4843A
IN4843B
IN4844
IN4844A
1N4844B
IN4845
IN4845A
IN4845B
IN4846
IN4846A
IN4846B
IN4847
1N4847A
IN4847B
IN4848
IN4848A
IN4848B
IN4849
IN4849A
IN4849B
IN4850

S
S
S
S
S

IN4850A
IN4850B
IN4851
IN4851A
IN4851B
IN4852
IN4852A
IN4852B
IN4853
IN4853A
IN4853B
IN4854
IN4854A
IN4854B
IN4855
IN4855A
IN4855B
1N4856
1N4856A
1N4856B
IN4857
IN4857A
IN4857B
1N4858

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

IN4858A
IN4858B
IN4859
IN4859A
IN4859B
IN4860
IN4860A
IN4860B
IN4861
IN4862
IN4863
IN4864
IN4865
IN4866
IN4867
IN4868
IN4869
IN4870
IN4871
IN4872
IN4873
IN4874

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S

S
S
S

S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

...;::~
...:z5!
0

-'
ij2

TYPE

ZENER DIODES

1N4746
1N4746A
1N4747
1N4747
1N4747A
1N4748
1N4748
IN4748A
IN4749
IN4749
IN4749A
IN4750
IN4750
IN4750A
IN4751
IN4751
IN4751A
IN4752
1N4752
1N4752A
IN4753
1N4753
IN4753A
IN4754
IN4754
IN4754A
IN4755
1N4755
IN4755A
IN4756
1N4756
IN4756A
IN4757
IN4757
IN4757A
IN4758
IN4758
IN4758A
IN4759
IN4759
IN4759A
IN4760
IN4760
IN4760A
IN4761
IN4761
IN4761A
IN4762

1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
IN4728
IN4728
IN4728
IN4728
IN4728

IN4762
IN4762A
IN4763
IN4763
IN5763A
1N5764
IN5764
IN5764A
IM110ZSlO &
IMllOZSlO &
1MllOZS5 &
IM120ZS10 &

1N4728
IN4728
IN4728
IN4728
IN4728
IN4728
1N4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
1N4728
1N4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
1N4728
1N4728
1N4728
IN4728
1N4728
1N4728
1N4728
IN4728
IN4728
1N4728
IN4728
IN4728

1M120ZS10 &
IM120ZS5 &
IM130ZS10 &
IM130ZS10 &
IM130ZS5 &
IM150ZS10 &
IM150ZS10 &
IM150ZS5 &

IN4728
IN4728
IN4728
IN4728
1N4728
IN4728
IN4728
IN4728

VR
(volts)

;::

VF
(volts)

10
(Amps)

IR
(mA)

IFSM

SIGNAL DIODES
PRV
(vollll

IF

Vf '"
(Wlfts)

IR

t"
Ips)

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DS
DS
DS
DS
R
R
R
R
R
R
R
R
R
R

Vz(nom)

1.2
1.1
1.2

O.lA
O.lA

O.lA

1.1

a.lA

2.4
3.6
4.8
8.4
12
16
18
23
30
38

1.25
1. 25
1. 25
1.25
1.25
1.25
1.25
1.25
1.25
1.25

& See page 1·3 for ordering information.

1-77

2.0N
S.ON
50N
0.1*
0.6
0.6
0.6
0.6
0.6
0.6
0.6
0.6
0.6
0.6

1.0,
1.0
7.0

9.0

150
150
150
150
150
150
150
150
150
150

Tol
Vz±%

Po

~EFERENCE DIODES
I
Tc
IZT
Temp
om

%I.C

mA

Range

10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20

1. 2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W

27
27
30
30
30
33
33
33
36
36
36
39
39
39
43
43
43
47
47
47
51
51
51
56

14
14
19
19
19
11
11
11
11
11
11
9.3
9.3
9.3
8.3
8.3
8.3
7.5
7.5
7.5
7.0
7.0
7.0
6.5
6.5
6.5
5.8
5.8
5.8
5.3
5.3
5.3
5.0
5.0
5.0
4.5

10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20

1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W

56
56
62
62
62
68
68
68
75
75
75
82
82
82
91
91
91
100
100
100
llO
llO
llO
120

4.5
4.5
4.0
4.0
4.0
3.7
3.7
3.7
3.3
3.3
3.3
3.0
3.0
3.0
2.8
2.8
2.8
2.5
2.5
2.5
2.3
2.3
2.3
1.2

10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20

1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W

120
120
130
130
130
150
150
150

1.2
1.2
1.9
1.9
1.9
1.7
1.7
1.7

10
5.0
20
10
5.0
20
10
5.0

1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W

18
18
20
20
20
22
22
22
24
24
24
27

50
50
50
80 '
1500
2500
3000
5000
7500
10K
12K
15K
20K
25K

IZT
mA

•

lN487S-1N4922A
RECTIFIERS

ZENER DIODES

:z:

0

-'
~

15

TYPE

I-

c<

;::
c<

REPLACEMENT

REF.

:E

•

<.>

VR
(volts)

..:

;::
:z:

...

!2

S
S
S
S
S
S
S
S
S
S

IN4884

IN4885 }
IN4886
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

IN4914

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

Replacement

MR1220

IN4747
IN4753
IN4742A
1N4747A

1N4728
1N4728
IN4728
IN4728

IFSM

Vz(nom)

VF

30K
40K
50K
100
100
100

@

If

(volts)

46
60
76
l.3
l.3
l.2

1.25
1. 25
1. 25
100
160
250

IR

tn

VZ(nom)

0.6
0.6
0.6
5.0
10
10

IZT
rnA

Tol

Vz±%

PD

REFERENCE ·OlOOES
I~sl

TC
%jOC

IZT
mA

Temp

Range

150
150
150
1500
2200
4000
40
20
65
40

20
36
12
20

10
10
5.0
5.0

3.0W
3.0W
3.0W
3.0W

62
6.35

0.001

20

5.0

0.001
0.0005
0.0005
0.001
0.001
0.0005
0.0005

7.5

5.0W
25/100
-55/100
25/100
-55/100
25/100
-55/100
25/100
-55/100
25/100
-55/100
25/100
-55/100
25/100
-55/100
25/100
-55/100
23/100
-55(100
25/100
-55(100
25/100
-55/100
25(100
-55/100
25/100

Varactor Diodes, see Table on Page 1-94

1N4887
IN4888
IN4889
1N4890
1N4890A
1N4891
1N4891A
1N4892
1N4892A
IN4893
1N4893A
1N4894
1N4894A
1N4895
1N4895A
1N4896
1N4396A
1N4897
1N4897A
1N4398
1N4898A
1N4899
1N4899A
1N4900
1N4900A
1N4901
1N4901A
1N4902
1N4902A
1N4903
IN4903A
1N4904
1N4904A
1N4905
1N4905A
1N4906
1N4906A
1N4907
1N4907A
1N4908
1N4908A
IN4909
IN4909A
1N4910
1N4910A
1N4911
1N4911A
1N4912
1N4912A
IN4913
1N4913A
1N4914A
1N4915
1N4915A
1N4916
IN4916A
1N4917
1N4917A
1N4918
1N4918A
1N4919
IN4919A
1N4920
lN4920A
1N4921
1N4921A
1N4922
1N4922A

MRl221SB

R
R
R
R
R
R
DZ
DZ
DZ
DZ

IR
(rnA)

SIGNAL DIODES
PRV

(\/(lit,)

1N4875
1N4876
1N4877
IN4878
IN4879
IN4880
IN4881
IN4882
IN4883

10
(Amps)

VF
(volts)

S

S
S
S
S
S
S

s

s

.

S
S

IN3000B
MZ640 ;,
MZ640 ;,
MZ640 ;,
MZ640 ;,
MZ620 ;,
MZ620"
MZ620"
MZ620"
MZ610 ;,
MZ610 "
MZ610 ;,
MZ610 *

1N2970
MZ600
NZ600
NZ600
MZ600
NZ600
NZ600
MZ600
NZ600
NZ600
MZ600
NZ600
NZ600
1N4765
1N4765
1N4765
1N4765
1N4765
1N4765
1N4765
IN4765
IN4765
1N4765
1N4765
1N4765
1N4765
1N4765
1N4765
IN4765
1N4765
1N4765
1N4765
1N4765
1N4765
1N4765
1N4765
1N4765
1N4765
1N4765
IN4765
1N4765
1N4765
1N4765
1N4765
1N4765
1N4765
1N4765
1N4765
1N4765
1N4765
1N4765
1N4765
IN4765
IN4765
1N4765
1N4765
IN4765
1N4765
1N4765
1N4765
1N4765
1N4765
1N4765
1N4765
lN4765
1N4765
lN4765

R
DS
DZ
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR

75K
12

115
l.0

1. 25
20M

0.6

SON

150

6.35
6.35

6.35
6.35
6.35
6.35

6.35

6.35
6.35
6.35
6.35
12.3
12.8
12.8
12.3

12.8

12.3
12.8
12.8
12.8
12.8
12.8
12.8

12.8
12.8
12.8
12.8
12.8
12.8
]2.8
12.8
12.8
12.8
12.8
12,8
12.8
U.S
12.8
12.8
12.8
12.8
12.8
12.8
12.8
12.8
12.8
12.8
12.8
12.8
12.8
12.8
19.2
19.2
19.2
19.2

DR
DR
DR
DR
DR
DR
DR
DR
DR
DR

19.2
19,2
19.2
19.2

19.2

19.2
19.2
19.2
19.2
19.2

denotes exact deVice type replacement available on request.

1-78

0.001
0.001
0.0005
0.0005
0.01
0.01
0.005
0.005
0.002
0.002
0,001
O. Q(Jl

0.0]
0.01
0.005
0.005
0.002
0.002
0.001
0.001

O.OJ

0.01
0.005
0,005
0.002
0.002
0.001
0.001
0.01
0.01
0.005
0.005
0.002
0.002
0.001
0.001
0.01
0.01
0.005
0.005
0.002
0.002
0.001

O.OOl
0.01

0.01
0.005
0.005

0.002
0.002
0.01
0.01
0.005
0.005
0.002
0.002
0.01
0.01

7.5

7.5
7.5
7.5
7.5
7.5

7.5

7.5
7.5
7.5
7.5
0.5
0.5
0.5
0.5
0.5

0.5

0.5
0.5
1.0

1.0

1.0
1.0
1.0

1.0

1.0
1.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
4.0

-55/100

25/100
-55/100
25/100
-55/100
25/100
-55/100
25/100
-55/100
25/100
-55/100
25/100
L, .0 -55/100
4.0 25/100
4.0 -55/100
4~ 0
25/100
4~{)
-55/100
4.0 25/100
4.0 -55/100
7.5
25(100
7.5 -55/100
7.5 25(100
7.5 -55/100
7.5
25/100
7.5 -55/100
7.5
25/100
7.5 -55/100
0.5
25/100
0.5 -55/100
0.5
25/100
D.'} -55!100
0.5
25/100
0.5 -55/100
LO 25/100
l.0 -55/100
1.0
25/100
1.0 -55/100
1.0
25/100
1.0 -55/100
2.0 25/100
LO -55/100

lN4923-1N4996
RECTIFIERS
:z

.....

CI

...,.......""
:!!5

TYPE

REPLACEMENT

REF.

:E

!;i

VA
(volts)

;:;:
;::::
:z
5!

PRV

~

...

'R t rr

@

S
S
S

s
s
s
S
s
s
s
s
s

S
S

s

S
S
S
S
S
S
S
S
S
S
S
G
G

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S

S
S

S
S
S
S
S
S

S
S
S
S

S
S

S
S

S
S
S
S

1N4765
1N4765
1N4765
lN4765
lN4765
1N4765
lN4765
lN4765
IN4765
1N4765
lN4765
lN4765
1N4765
lN4765
1N4765
lN4765
lN4765
lN4765
1N4765
1N4765
1N4933
lN4933
lN4933
lN4933
lN4933

VF
(volts)

10

(Amps)

IA
(rnA)

Vf iii>
(volts)

DR
DR
DR
DR
DR
DR
DR
OR
OR
DR
OR
OR
OR
OR
OR
OR
OR
OR
OR
OR
.R
.R
.R
.R

IF

50
1.2
1.0
1.2
100
1.0
200
1.2
1.0
400
1.2
1.0
1.2
.R
600
1.0
O.LA
OS
200
1.0
Microwave Ka-band Mixer NF ~ 10.5 dB
Microwave Ka-band Mixer. f ~ 9,375 MHz, NF ~ 6.5
Varactor Diode,see Table on Page 1-94
200
3.0
1.5
OS
300
3.0
1.5
OS
400
3,0
OS
1.5
500
3.0
1.5
OS
600
3.0
1.5
OS
800
1.5
3.0
DS
1000
1.5
3.0
OS
OS
15
0.53
1. OM
OS
20
0.85
1. OM
OS
50
0.85
l.OM
1N5342B
IN5333 OZ
1N5343B
1N5333 OZ
IN5344B
1N5333 OZ
1N5346B
1N5333 OZ
IN5347B
1N5333 OZ
IN5348B
IN5333 OZ
IN5349B
IN5333 OZ
IN5350B
1N5333 DZ
IN5352B
1N5333 DZ
IN5353B
IN5333 OZ
IN5333 DZ
IN5355B
IN5333 DZ
1N5357B
1N5333 OZ
IN5358B
1N5333 OZ
lN5359B
1N5333 DZ
IN5361B
1N5333 OZ
1N5363B
lN5364B
IN5333 OZ
IN5333 OZ
1N5365B
IN5333 DZ
1N5366B
1N5333 OZ
1N5367B
IN5333 OZ
1N5368B
1N5369B
IN5333 OZ
IN5370B
IN5333 OZ
1N5372B
IN5333 DZ
IN5373B
lN5333 DZ
1N5374B
IN5333 DZ
IN5375B
IN5333 OZ
1N5377B
IN5333 OZ
1N5378B
IN5333 DZ
1N5379B
1N5333 OZ
1N5380B
IN5333 DZ
IN5381B
1N5333 OZ
1N5383B
IN5333 OZ
1N5333 OZ
1N5384B
lN5386B
lN5333 OZ
1N5333 OZ
1N5388B
OZ
5MllOZSB5
OZ
5M180ZSB5
OZ
5M135ZSB5
OZ
5M150ZSB5
OZ
5M165ZSB5
OZ
5M180ZSB5
OZ
5M195ZSB5

IR

0.3
0.3
0.3
0.3
0.3
0.1*

IZT
rnA

Tal
VZ±%

IFSM

Vz(nom)

t"

\Iz(nom}

Tc
%I"C

IZT
rnA

Temp
Range

19.2
19.2
19,2
19,2
19.2
19.2
19.2
19.2
19.2
19.2
19.2
19.2
19.2
19.2
19.2
19.2
19.2
19,2
19,2
19.2

0.005
0.005
0.002
0.002
0.01
0.01
0.005
0.005
0.002
0.002
0.001
0.001
0.01
0.01
0.005
0,005
0,002
O.OOZ
0.001
0.001

2.0
2.0
2.0
2.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
7.5

7.5

25/100
-55/100
25/100
-55/100
25/100
-55/100
25/lO0
-55/100
25/100
-55/100
25/100
-55/lO0
25/100
-55/100
25/100
-55/100
25/100
-55/100
25/100
-55/100

6.8
7.5
8.2
9.1
10

175
175
150
150
125
120
100
100
75
5.0
65
65
50
50
50
40
40
30
30
30
25
25
20
20
20
20
15
15
12
12
10
19
8.0
8.0
5.0
5.0
5.0
5.0
5.0
4.0
4.0
3.0
3.0

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W

Po

REFERENCE DIODES

SIGNAL DIODES
(volts)

1N4923
1N4923A
1N4924
1N4924A
lN4925
1N4925A
1N4926
1N4926A
1N4927
1N4927A
lN4928
lN4928A
IN4929
1N4929A
lN4930
lN4930A
1N4931
IN4931A
IN4932
1N4932A
lN4933
lN4934
lN4935
1N4936
1N4937
1N4938
IN4939
1N4940
1N4941
1N4942
1N4943
1N4944
1N4945
1N4946
1N4947
1N4948
1N4950
1N4951
IN4952
1N4954
1N4955
1N4956
1N4957
1N4958
1N4959
1N4960
1N4961
1N4962
1N4963
1N4964
1N4965
1N4966
1N4967
1N4968
1N4969
1N4970
1N4971
1N4972
1N4973
1N4974
1N4975
1N4976
1N4977
1N4978
1N4979
1N4980
1N4981
1N4982
1N4983
1N4984
1N4985
1N4986
1N4987
1N4988
1N4989
1N4990
IN4991
1N4992
1N4993
1N4994
1N4995
1N4996

ZENER DIODES

(11$)

30
30
30
30
30
50

7.5
7.5

7.5
7.5
7.5

7.5

J

200 ns

1-79

dB
O.SM
O.SM

O.SM
O.SM
0.5M

O.SM

0.5M
O.IM
0.1*
0.1*

ISON
ISDN
nON
lS0N
250M
300N
500N

11

12
13

15
16
18
20
22

24
27
30
33
36
39
43
47
51
56
62
68
75
82
91
100
110
120
130
150
160
180
200
220
240
270
300
330
360
390

lN4997 -lNS043
RECTIFIERS
0

S

TYPE

....
...,ffi

REPLACEMENT

REF .

:e

•

IN4997
IN4998
IN4999
lN5000
lN5001
IN5002
1N5003
IN5004
1N5005
IN5006
1N5007
1N5008
IN5008A
IN5009
1N5009A
1N5010
1N5010A
1N5011
1N5011A
IN5012
IN5012A
IN5013
1N5013A
IN5014
IN5014A
1N5015
1N5015A
IN5016
IN5016A
IN5017
INS017A
INS018
1NS018A
IN5019
1N5019A
IN5020
IN5020A
1N5021
INS021A
IN5022
IN5022A
INS023
IN5023A
INS024
IN5024A
1N502S
1N5025A
1NS026
1N5026A
1NS027
IN5027A
INS028
IN5028A
IN5029
1N5029A
1N5030
1NS030A
IN5031
IN5031A
IN5032
1NS032A
1N5033
1N5033A
INS034
1N5034A
INS035
1N503SA
1N5036
1NS036A
IN5037
1N5037A
1N5038
1N5038A
1N5039
1N5039A
1N5040
1NS040A
1N5041
IN5041A
IN5042
IN5042A
IN5043

IN4719
lN4719
lN4719
IN4719
IN4719
IN4719
lN47l9

S

IN4728
IN4728A
IN4729
IN4729A
IN4730
IN4730A
IN4731
IN4731A
IN4732
1N4732A
1N4733
IN4733A
IN4734
1N4734A
IN4735
IN4735A
IN4736
1N4736A
IN4737
IN4737A
1N4738
IN4738A
IN4739
1N4739A
IN4740
IN4740A
IN4741
1N4741A
IN4742
IN4742A
IN4743
IN4743A
IM14zS10
1M14ZS5

S

IN4744

S

S
S
S

S
S
S
S

S
S
S
S
S
S

S
S
S

S
S
S
S
S
S
S
S

S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S

S

~
~

;::

...e

z:

S
S
S
S
S

S
S
S
S
S
S
S

ZENER DIODES

z:

.....

IN4744A
1N4745
IN4745A
IM1lZS10
1MIlZS5
1N4746
1N4746A
IMl9ZS10
1M19ZS5
IN4747

IN4747A
IN4748
IN4748A
IN4749
1N4749A
IM25ZS10
1M25ZSS
IN4750
1N47S0A
1N4751
1N4751A
1N47S2
1N47S2A
IN4753
IN4753A
1N4754
IN4754A
1N4755
1N4755A
IM45ZS10
IM45ZS5
1N4756
IN4756A
IM50ZS10
IM50ZS5
IN4757

1N4728
1N4728
1N4728
1N4728
1N4728
1N47.28
1N4728
IN4728
1N4728
IN4728
IN4728
IN4728
IN4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
IN4728
IN4728
1N4728
IN4728
1N4728
1N4728
1N4728
IN4728
1N4728
1N4728
1N4728
1N4728
1N4728
IN4728
1N4728
IN4728
IN4728
1N4728
1N4728
1N4728
IN4728
IN4728
1N4728
1N4728
1N4728
1N4728
1N4728
IN4728
1N4728
1N4728
IN4728
1N4728
1N4728
1N4728
1N4728
1N4728
IN4728
IN4728
1N4728

R
R
R
R
R
R
R
R
R
R
R

VR
(volts)
"

..

"'jiRV'
(V

ii:

;::

...
:z:
5!

IN5221
IN5221
INS221
IN5221
IN5221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
1N5221
IN5221
1N5221
1N5221
1N5221
IN5221
INS221
1N5221
1NS221
1NS221
INS221
INS221
1N5221
1NS221
1N5221
IN5221
1NS221
INS221
1N5221
INS221
IN5221
1NS221
1NS221
IN5221
1NS221
INS221
IN5221
IN5221
INS221
INS221
IN5221
1N5221
INS221
INS221
INS221
INS221
INS221
1NS221
1N5221
INS221
INS221
1NS221
IN5221
IN5221
IN5221
INS221
1N5221
IN5221
INS221
IN5221
1N5221
IN5221
INS221
INS221
IN5221
IN5221
IN5221
IN5221
IN52) 1
IN5221
INS221
INS221
INS221
INS221
IN5221
IN5221
IN5221
iN5221
IN5221

.2
6.8
6.8
6.8
7.S
7.5
7.5
8.2
8.2
8.2
8.7
8.7
8.7
9.1
9.1
9.1

DZ
DZ
DZ
DZ
DZ
DZ
DZ.
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

10

10
10
11
11
11

12
12
12
13

13
13
14
14
14
IS
IS
15
16
16
16
17
17

17
18
18
18
19
19
19
20
20
20
22

22
22
24
24
24
25
25
2S
27
27
27
28
28
28
30
30
30
33
33
33
36
36
36
39
39
39
43
43
43
47
47
47

1-84

20
20
20
20
20
20
20
20
20
20

20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
9.5
9.S
9.S
9.0
9.0
9.0
8.5
8.5
8.5
7.8
7.8
7.8
7.4
7.4
7.4
7.0
7.0
7.0
6.6
6.6
6.6
6.2
6.2
6.2
5.6
5.6
5.6
S.2
S.2
5.2
5.0
5.0
5.0
4.6
4.6
4.6
4.S
4.5
4.5
4.2
4.2
4.2
3.8
3.8
3.8
3.4
3.4
3.4
3.2
3.2
3.2
3.0
3.0
3.0
2.7
2.7
2.7

5.0
10
10
5.0
10
10
S.O
10
10
S.O
10
10.
5.0
10
10
5.0
10
10
5.0
10
10
S.O
10
10
S.O
10
10
S.O
10
10
S.O
10
10
S.O
10
10
S.O
10
10
S.O
10
10
S.O
10
10
S.O
10
10
S.O
10
10
5.0
10
10
S.O
10
10
5.0
10

10
S.O
10
10
S.O
10

10

S.O
10
10

S.O
10
10
S.O
10
10
5.0
10
10
S.O
10
10
S.O

500M
SOOM
SOOM
SOOM
SOOM
500M
SOOM
SOOM
SOOM
SOOM
500M
50 OM
500M
500M
500M
500M
500M
500M
500M
SOOM
500M
SOOM
500M
SOOM
SOOM
SOOM
500M
500M
SOOM
SOOM
500M
500M
500M
SOOM
SOOM
SOOM
500M
SOOM
SOOM
SOOM
500M
SOOM
SOOM
SOOM
500M
SOOM
500M
500M
SOOM
SOOM
SOOM
SOOM
500M
SO OM
SOOM
500M
SOOM
500M
500M
SOOM
SOOM
SOOM
500M
SOOM
500M
500M
500M
SOOM
SOOM
SOOM
SOOM
500M
500M
500M
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM

lN5262-1N5326
zQ

....

TYPE

...~
~

REPLACEMENT

REF.

:IE

5l
Co>

S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S

S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S

S
S

S
S
S

thru

IN5314
IN5315
IN5316
IN5317
IN5318
IN5319
INS320
1NS324
1N5326

VR
(volts)

;;:

...

;::

INS221
INS221
IN5221
INS221
INS221
INS221
IN5221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
1NS221
INS221
INS221
IN5221
INS221
INS221
INS221
1NS221
1NS221
INS221
INS221
INS221
IN5221
IN5221
INS221
IN5221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
1NS221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
IN5221
INS221
1NS221
INS221
1NS221
IN5221
INS221
IN5221
INS221
INS221
1NS221

10
(Amps)

VF
(volts)

ZENER DIODES

IR
(rnA)

IFSM

PRV~

VR
(voltsl

;::

....

PRV
(volts)

IN5329
IN5330
lN5331
lN5332
IN5333
IN5333A
1N5333B
1N5334
1N5334A
lN5334B
1N5335
1NS335A
lN5335B
lN5336
1N5336A
1N5336B
lNS337
IN5337A
INS337B
lNS338
1NS338A
1N5338B
lNS339
1N5339A
lN5339B
lN5340
IN5340A
INS340B
INS341
INs341A
INs341B
IN5342
IN5342A
INs342B
INS343
IN5343A
IN5343B
IN5344
IN5344A
IN5344B
INS345
IN5345A
IN534SB
IN5346
IN5346A
INS346B
IN5347
IN5347A
IN5347B
IN5348
1N5348A
IN5348B
1N5349
INS349A
IN5349B
1N53S0
IN5350A
1NS350B
1N53S1
IN5351A
1N53S1B
IN5352
1N5352A
INS3S2B
INS3S3
IN5353A
1NS3S3B
INS3S4
IN5354A
1N5354B
INS355
IN5355A

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

R
R

R
R

1N5333
lN5333
lN5333
IN5333
lN5333
IN5333
INS333
lNS333
INS333
IN5333
1N5333
INS333
lNS333
lNS333
INS333
lN5333
lNS333
lNS333
INS333
1NS333
1N5333
lN5333
1N5333
lNS333
INS333
INS333
INs333
1N5333
INs333
INs333
IN5333
1N5333
IN5333
IN5333
INs333
INs333
IN5333
IN5333
IN5333
INS333
INS333
IN5333
lN5333
INS333
1N5333
IN5333
IN5333
IN5333
1N5333
1NS333
IN5333
1N5333
1N5333
IN5333
INS333
IN5333
lN5333
IN5333
IN5333
1NS333
1N5333
IN5333
INS333
1N5333
1NS333
INS333
IN5333
1NS333

10
(Amps)

ZENER DIODES

IR
(mA)

IFSM

SIGNAL. DIODES

:z

5:!

VF
(volts)

100
100
1200
1200

IF

VF @\

(IIOfts)

0.135
0.540
12
35

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

Vz(nom)

IA

1,.,
(psi

0.l50
0.l50

lO
15
240
500

Vz(nom)

Tc

In

%IOC

mA

3.3
3.3
3.3
3.6
3.6
3.6
3.9
3.9
3.9

380
380
380
350
350
350
320
320
320
290
290
290
260
260
260
240
240
240
220
220
220
200
200
200
200
200
200
175
175
175
175
175
175
150
150
150
150
150
150
150
150
150
125
125
125
125
125
125
100
100
100
100
100
100
100
100
100
75
75
75
75
75
75
70
70
70
65
65

20
10
5
20
10
5
20
10
5
20
10
5
20
10
5
20

4.3
4.7
4.7
4.7

5.1
5.1
5.1
5.6
5.6
5.6
6.0
6.0
6.0
6.2
6.2
6.2
6.8
6.8
6.8
7.5
7.5
7.5
8.2
8.2
8.2
8.7
8.7
8.7
9.1
9.1
9.1
10
10
10
11
11
11

12
12
12
13
13
13

14
14
14
15
15
15
16
16
16
17
17

17
18
18

1-86

Tal

Vz±%

PD

REFERENCE DIODES

4.3
4.3

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

I ZT
mA

10

5
20
10
5
20
10
S
20
10
5
20
10

5
20
10
5
20
10
5
20
10
5
20
10
5
20
10
5
20
10
5
20
10
5
20
10
5
20
10
5
20
10
5
20
10
5
20
10
5
20
10

Temp

Range

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
S.O
S.O
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5 :0
5.0
5.0
5.0

IN5355B·IN5382B
RECTIFIERS
z:

.....

..."'"

c::>

;::

;;;:

TYPE

I-

co:

REPLACEMENT

REF.

~

co:
~
;:;:

VR

(volts)

;::

...
z:
5!

S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S

..... T!::'l..,""I/I,
..L.U..J..J, .~.

S

INS377B
INS378
IN5378A
1NS378B
1N5379
IN5379A
IN5379B
IN5380
INS380A
IN5380B
IN5381
IN5381A
IN5381B
1N5382
INS382A
1NS382B

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

IN5333
INS333
INS333
1NS333
1NS333
1NS333
1NS333
INS333
1NS333
1NS333
INS333
1NS333
1NS333
1NS333
1NS333
1NS333
1NS333
1NS333
1N5333
1NS333
1NS333
1NS333
1N5333
1NS333
1NS333
1NS333
1N5333
1NS333
INS333
INS333
1NS333
1NS333
1NS333
INS333
1NS333
1NS333
1NS333
INS333
1NS333
1NS333
1NS333
1NS333
IN5333
IN5333
INS333
INS333
1NS333
INS333
1NS333
1NS333
1NS333
INS333
1NS333
1NS333
IN5333
1NS333
1NS333
1NS333
1NS333
1NS333
1NS333
1NS333
IN5333
INS333
1NS333
1NS333
1NS333
INS333
1N5333
1NS333
1N5333
1NS333
1NS333
INS333
INS333
1NS333
1N5333
1N5333
1NS333
1NS333
1NS333
1NS333

10
(Amps)

VF
(volts)

IR
(rnA)

VF

@

(1IOIts)

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

IF

IR

\!z(nom)

t"

Vz(nom)

%I"C

18
19
19
19
20
20
20
22
22
22
24
24
24
25
25
25
27
27
27
28
28
28
30
30
30
33
33
33
36
36
36
39
39
39
43
43
43
47
47
47
51
51
51
56
56
56
60
60
60
62
62
62
68
68
68
75
75
75
82
82
82
87
87
87

65
65
65
65
65
65
65
50
50
50
50
50
50
50
50
50
50
50
50
50
50
50
40
40
40
40
40
40
30
30
30
30
30
30
30
30
30
25
25
25
25
25
25
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
15
15
15
15
15
15
15
15
15
12
12
12
12
12
12

Tal
VZ±%

Po

REFERENCE DIODES
(pSI

91

91
91
100
100
100
110
110
110
120
120
120
130
130
130
140
140
140

1-87

IZT
rnA

IFSM

SIGNAL DIODES
PRV
(volts)

1N5355B
IN53S6
1NS3S6A
1NS3S6B
1NS3S]
1NS3S7A
1NS3S7B
1NS3S8
1NS3S8A
IN53S8B
INS3S9
1NS3S9A
1NS3S9B
1NS360
1NS360A
1NS360B
1NS361
1N5361A
1NS361B
1NS362
1NS362A
1N5362B
1NS363
1N5363A
1N5363B
1NS364
IN5364A
INS364B
1NS36S
1NS36SA
1N536SB
1NS366
1NS366A
1N5366B
1NS367
1N5367A
IN5367B
INS368
1N5368A
INS368B
INS369
INS369A
1NS369B
IN5370
1N5370A
INS370B
IN5371
INS371A
INS371B
INS372
INS372A
IN5372B
INS373
IN5373A
1NS373B
1N5374
1N5374A
1NS374B
INS37S
1NS37SA
INS37SB
1N5376
1N5376A
1NS376B
IN5377

ZENER DIODES

Tc

10

10
10
10
10
10
8.0
8.0
8.0

In
rnA

5
20
10
5
20
10
5
20
10
5
20
10
5
20
10

5
20
10
5
20
10

5
20
10

5
20
10

5
20
10
5
20
10
5
20
10

5
20
10
5
20
10
5
20
10
5
20
10
5
20
10

5
20
10
5
20
10

5
20
10
5
20
10
5
20
10

I

5
20
10
5
20
10

5
20
10
5
20
10

5
20
10
5

Temp

Range

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0'
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

lN5383·1N5432

TYPE

....
:s
...""
;

...

RECTIFIERS

z:
0

REPLACEMENT

REF.

VA
(volts)

!;;il
<.:I
Li:

;:::

...z:
5!

.t·

VF
(volts)

S
S

S
S

S
S
S
S
S
S

S
S

S
S
S
S
S
S
S

1N5333
1N5333
1N5333
1N5333
1N5333
1N5333
1N5333
1N5333
1N5333
1N5333
1N5333
1N5333
1N5333
1N5333
1N5333
1N5333
1N5333
1N5333

Hot Carrier Diode
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S Diac (Trigger Diode)
S
S
S
S
S
S
S
S
S

ZENER DIODES

IR
(mA)

Vz(nom)

IFSM

:~~~,",:tllI~DES .

~:~~'r.F,:;'::>h Iii

. PRY

,',jvotisJ
1N5383
1N5383A
1N5383B
1N5384
1N5384A
1N5384B
1N5385
1N5385A
1N5385B
1N5386
1N5386A
1N5386B
1N5387
1N5387A
1N5387B
1N5388
1N5388A
1N5388B
1N5389
1N5390
1N5391
IN5392
1N5393
1N5394
1N5395
1N5396
1N5397
1N5398
IN5399
1N5400
IN5401
IN5402
IN5403
IN5404
IN5405
IN5406
IN5407
1N5408
1N5409
1N5410
1N5411
1N5412
IN5413
IN5414
1N5415
1N5416
1N5417
1N5418
1N5419
1N5420
IN5421
thru
1N5425
1N5426
1N5427
IN5428
1N5429
1N5430
1N5431
IN5432

10
(Amps)

R

40,000

R
R
R
R

50
100
200
300
400
500
600
800
1000
50
100
200
300
400
500
600
800
1000
175
175

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R

80

0.100

'30 ji,500
.. 55. (li500
75. ,Q,;5,OO
50
100
200
400
500
600

DS
DS
DS
R
R
R
R
R
R

0.10

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
40
12

0.1M

O;lM
O.~

S
S
S
S
S
S

DS

DS
DS
DS
DS
DS
DS

10
50
50
50
50
50
50
50
50
50
200
200
200
200
200
200
200
200
200
1000
200

looN. O.oo:i

lOON g,~8g~·.

.,lOO~

200
200
200
200
200
200

.4O.M.

'.,r;O>t'

..1.0

100M.

'{;O

zOOM.

O?~~:

,.,1;0

",;,j:,;:' ' .,.1;0
."

:"':"::";'".

.,'

,

i:';',,\:,~~,

;":"

..

. . ,lOM,'. ·0.10.... 0.004,;

1.0 200M'.

O,H)l\'

O.q50 ..,
0.004'

:1:3 SOON, ' 0.,'10'" 0,004 '
..J,.3 .. 5<»1 O'.,05Q-" O.'75N.

1-88

Po

jj$\ " "

Varactor Diodes, see Table on Page 1-94
S

Tol
VZ±%

'.",:::~~~~

.t,.,.

150
150
l5'0
160
160
160
170
170
170
180
180
180
190
190
190
200
200
200

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

IZT
mA

8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

20
10
5
20
10
5
20
10
5
20
10
5
20
10
5
20
.10
5

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

.• ",

lN5433·1N5529B
z

.....

TYPE

C>

...""C2

REPLACEMENT

!;;:

fi
y

REF.

;:::

...z
E!

thru

1N5476
1N5477
1N5478
1N5479
1N5480
1N5481
1N5482
1N5483
1N5484
IN5485
1N5518
1N5518A
1N5518B
1N5518C

S
S
S

10
(Amps)

VF
(volts)

ZENER DIODES

IR
(mA)

IFSM

SIGNAL DIODES
~

IF

Vz(nom)

I ZT
mA

Tal
VZ±%

PD

REFERENCE DIODES
IZT

Temp

mA

Range

Vz(noml

Te
%loC

3.3
3.3
3.3
3.3

20
20
20
20

20
10
5.0
2.0

400M
400M
400M
400M

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

3.3
3.6
3.6
3.6
3.6
3.6
3.9
3.9
3.9
3.9
3.9
4.3
4.3
4.3
4.3
4.3
4.7
4.7
4.7
4.7
4.7
5.1
5.1

20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
10
10
10
10
10
5.0
5.0

1.0
20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0
20
10

400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
"400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

5.1
5.1
5.1
5.6
5.6
5.6
5.6
5.6
6.2
6.2
6.2

5.0
5.0
5.0
3.0
3.0
3.0
3.0
3.0
1.0
1.0
1.0

5.0
2.0
1.0
20
10
5.0
2.0
1.0
20
10
5.0

400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

6.2
6.2
6.8
6.8
6.8
6.8
6.8
7.5
7.5
7.5
7.5

1.0
1.0
1.0
1.0
1.0
1.0

2.0
1.0
20
10
5.0
2.0

400M
400M
400M
400M
400M
400M

1.0
1.0
1.0
1.0

20
10
5.0
2.0

400M
400M
400M
400M

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

7.5
8.2
8.2
8.2
8.2
8.2
9.1
9.1
9.1

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

1.0
20
10
5.0
2.0
1.0
20
10
5.0

400M
400M
400M
400M
400M
400M
400M
400M
400M

R
R
R

PRV

VF

(v(lln)

(\'DIts)

600
600
600

IR

t"
IpS!

25
60
200

2.0
2.0
12

} Microwave Mixers

I

I

Varactor Diodes, see Table on

Page 1-94

s

R

6000

0.6

0.350

80

S
S
S

R
R
R
R
R
R
R
R

7200
8400
9600
12000
2400
3600
4800
6000

0.6
0.6
0.6
0.6
1.0
1.0
1.0
1.0

0.350
0.350
0.350
0.350
0.350
0.350
0.350
0.350

80
80
80
80
80
80
80
80

s
S
S

s
S
S
S
S
S

1N5518D
1N5519
1N5519A
1N5519B
1N5519C
1N5519D
1N5520
1N5520A
1N5520B
1N5520C
1N5520D
1N5521
1N5521A
1N5521B
1N5521C
1N5521D
1N5522
1N5522A
1N5522B
1N5522C
1N5522D
1N5523
lN5523A

S
S
S
S
S
S
S
S
S
S
S

lN5523B
lN5523C
1N5523D
1N5524
lN5524A
IN5524B
1N5524C
lN5524D
1N5525
1N5525A
1N5525B

S
S
S
S
S
S
S
S
S
S
S

IN5525C
1N5525D
1N5526
1N5526A
1N5526C
1N5526D
1N5527
IN5527A
IN5527B
1N5527C

S
S
S
S
3
S
S
S
S
S
S

1N5527D
lN5528
1N5528A
lN5528B
lN5528C
1N5528D
1N5529
lN5529A
1N5529B

S
S
S
S
S
S
S
S
S

iNj520D

VR
(volts)

;;:

::E

1N5433
1N5434
1N5435
1N5436
1N5437
1N5438
1N5439

RECTIFIERS

S
S
S
S
S
S
S
S
S
S
S
S

1N5518
1N5518
1N5518

1N5518
1N5518
1N5518
1N5518
1N5518
1N5518
1N5518
1N5518
1N5518
1N5518
1N5518
1N55l8
1N5518
lN5518
1N5518
lN5518
IN5518
1N5518
lN5518
1N5518
1N5518

1N5518
1N55l8
IN';~'

R

lN5518
1N5518
1N5518

1N5518
1N5518
1N5518
1N5518
1N5518
1N5518

DZ
DZ
DZ
DZ

1-89

loU

l.v

I

"'n.. ~

lN5529C·1N5544B
RECTIFIERS

ZENER DIODES

z:

C>

...J

TYPE

•

""
""
""
::
ii:

I-

j::

REPLACEMENT

REF.

""
1E
~

S
S
S
S
S
S
S
S
S

S

IN5518
IN5518
IN5518
IN5518
IN5518
IN5518

S

IN5531D
IN5532
IN5532A
IN5532B
IN5532C
IN5532D
IN5533
IN5533A
IN5533B
IN5533C
IN5533D

S
S
S
S
S
S
S
S
S
S
S

IN5534
IN5534A
IN5534B,
IN5534C
IN5534D
IN5535
IN5535A
IN5535B
IN5535C
IN5535D
IN5536

S
S
S
S
S
S
S
S
S
S
S

IN5536A
IN5536B
IN5536C
IN5536D
IN5537
IN5537A
IN5537B
IN5537C
IN5537D
IN5538
IN5538A

S
S
S
S
S
S
S
S
S
S
S

IN5538B
IN5538C
IN5538D
IN5539
IN5539A
IN5539B
IN5539C
IN5539D
IN5540
IN5540A
IN5540B

S
S
S
S
S
S
S
S
S
S
S

IN5540C
IN5540D
IN5541
IN5541A
IN5541B
IN5541C
IN5541D
IN5542
IN5542A
IN5542B
IN5542C

S
S
S
S
S
S
S
S
S
S
S

IN5542D
IN5543
IN5543A
IN5543B
IN5543C
IN5543D
IN5544
IN5544A
IN5544B

S
S
S
S
S
S
S
S
S

IN5518
IN5518
IN5518
IN5518
IN5518
IN5518

IN5518
IN5518
IN5518
IN5518
IN5518
IN5518
IN5518
IN5518
IN5518
IN5518
IN5518
IN5518
IN5518
IN5518
IN5518
IN5518
IN5518
IN5518
IN5518
IN5518
IN5518

IN5518
IN5518
IN5518
IN5518
IN5518
IN5518

IN5518
IN5518
IN5518
IN5518
IN5518
IN5518

10
(Amps)

VF
(volts)

IR
(rnA)

IFSM

SIGNAL DIODES

j::

e
IN5529C
IN5529D
IN5530
IN5530A
IN5530B
IN5530C
IN5530D
IN5531
IN5531A
IN5531B
IN5531C

VR
(volts)

PRY

VF

(volts)

(lIOIts)

@

IF

Vz(nom)

IZT
rnA

Tal
VZ±%

PD

REFERENCE DIODES
Temp

TC
%!OC

iZT
rnA

Range

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

2.0
1.0
20
10
5.0
2.0
1.0
20
10
5.0
2.0

400B
400B
400B
400B
400B
400H
400B
400B
400B
400B
400B

13
13
13
13

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

1.0
20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

400H
400B
400N
400H
400H
400B
400H
400B
40ml
400H
400N

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

14
14
14
14
14
15
15
15
15
15
16

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0
20

400H
400N
400B
400H
400H
400B
400B
400N
400B
400H
400H

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

16
16
16
16
17
17
17
17
17
18
18

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

10
5.0
2.0
1.0
20
10
5.0
2.0
1.0
20
10

400B
400B
400B
400H
400H
400B
400B
400B
400H
400B
400B

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

18
18
18
19
19
19
19
19
20
20
20

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

5.0
2.0
1.0
20
10
5.0
2.0
1.0
20
10
5.0

400B
400B
400B
400B
400B
400N
400H
400N
400B
400B
400B

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

20
20
22
22
22
22
24
24
24
24

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
l.0

2.0
1.0
20
10
5.0
2.0
1.0
20
10
5.0
2.0

400B
400B
400H
400B
4001'1
400B
400B
400N
400N
400N
400N

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

24
25
25
25
25
25
28
28
28

1.0
1.0
1.0
1.0
1.0
l.0
1.0
1.0
1.0

l.0
20
10
5.0
2.0
l.0
20
10
5.0

400B
400N
400N
400B
400B
400B
400B
400B
400N

IR

t"

l~sl

Vz(noml

9.1
9.1
10
10
10
10
10

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

11
11
11
11

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

11

12
12
12
12
12

13

22

1-90

lN5544C-1N5578
ZENER DIODES

RECTIFIERS
z:

.......
TYPE

......
ii:

l-

C>

REPLACEMENT

REF.

:IE

...~
...e

VR
(voltsl

t;:

;:
z:

J:Ns55o1N5551
1N5552
1N5553
1N5554
1N5555
thru

1N5558
1N5559
1N5559A
1N5559B
1N5560
1N5560A
1N5560B
1N5561
1N5561A
1N5561B
1N5562
1N5562A
1N5562B
IN5563
1N5563A
1N5563B
1N5564
1N5564A
1N5564B
1N5565
1N5565A
1N5565B
1N5566
1N5566A
1N5566B
1N5567
1N5567A
1N5567B
1N5568
1N5568A
1N5568B
1N5569
1N5569A
1N5569B
1N5570
1N5570A
1N5570B
1N5571
1N5571A
1N5571B

I~@ IF

fR

IZT
rnA

Itt
(~sl

Vz(norn)

Tc
%I"C

6.8
6.8
6.8
7.5
7.5
7.5
8.2
8.2
8.2
9.1
9.1
9.1
10
10
10

37
37
37
34
34
34
31
31
31
28
28
28
25
25
25
23
23
23
21
21

S
S
S
S

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

S
S
S
S
S
S
S
S

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

1N5518
1N5518
1N5518

Transient Suppressors,

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

s

S
S

s

~

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
R
R
R
R
R

200
400
600
800
1000

l.0
l.0
l.0
l.1
l.1

3.0
3.0
3.0
3.0
3.0

0.025
0.025
0.025
0.025
0.025

Tal
Vz±%

Po

REFERENCE DIODES

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

1N5518
1N5518
1N5518

S
S
S
S
S

IN557"·

1N5575B
1N5576
1N5576A
1N5576B
1N5577
1N5577A
1N5577B
1N5578

IFSM

Vz(nornl

1.0
1.0
1.0
1.0
1.0
1.0
1.0
l.0
l.0
l.0
1.0
l.0

S
S
S
S
S

'NE;E;7~'R

(Ampsl

IR
(mAl

28
28
30
30
30
30
30
33
33
33
33
33

s

S
S
S
S
S
S
S
S
S
S
S

1N5572
1N5572A
1N5572B
1N5573
1N5573A
1N5574A
1N5574B
1N5575
1N5575A

10

SIGNAL DIODES
PRV

(voh,)

1N5544C
1N5544D
1N5545
1N5545A
1N5545B
1N5545C
1N5545D
IN5546
1N5546A
1N5546B
1N5546C
1N5546D

VF
(voltsl

IZl

rnA

2.0
1.0
20
10

5.0
2.0
l.0
20
10
5.0
2.0
l.0

Temp
Range

400N
400N
400N
400N
400N
400N
400N
400N
400N
400N
400N
400N

150
150
150
150
15.0

see Table on Page 1-ll0

II
II
II

12
12
12
13
13
13

15
15
15
16
16
16
18
18
18
20
20
20
22
22
22

1-91

21

19
19
19
17
17
17
15
15
15
14
14
14
12
12
12

20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10

5.0
20
10
5.0
20
10

5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10

5.0
20
10

II
II
II

5.0
20
10
5.0

24
24
24
27
27
27
30
30
30
33
33

10
10
10
9.5
9.5
9.5

20
10
5.0
20
10
5.0

8.5
8.5
7.5
7.5

10
5.0
20
10

33
36
36
36
39
39
39
43

7.5
7.0
7.0
7.0
6.5
6.5
6.5
6.0

5.0
20
10
5.0
20
10
5.0
20

~.~

20

l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
1. OW
l.OW
1. OW
l.OW
1. OW
l.OW
l.OW

1. OW

1. OW
1. OW
1. OW
l.OW
l.OW
1. OW
l.OW
1. OW
l.OW
l.OW

1. OW

l.OW

1. OW
1. OW

l.OW
l.OW
1. OW

•

1N5578A-1N5623
RECTIFIERS
C>

;::::

::!:

TYPE

ffi

I-

cc

::E

•

REPLACEMENT

PAGE
NUMBER

cc

<:i:
""
;::::

...z

VR
(volts)

".

IN5586
1N5586A
1N5586B
IN5587
IN5587A
IN5587B
1N5588
1N5588A
1N5588B
IN5589
IN5589A
IN5589B
IN5590
1N5590A
IN5590B
1N5591
1N5591A
IN5591B
IN5592
1N5592A
IN5592B
1N5593
1N5593A
1N5593B
1N5594
1N5594A
1N5594B
1N5595
IN5596
1N5597
1N5598
IN5599
1N5600
IN5601
IN5602
IN5603
1N5604
IN5605
1N5606
1N5607
IN5608
1N5609
IN5610
thru
IN5613
IN5614
1N5615
1N5616
IN5617
IN5618
1N5619
1N5620
1N5621
IN5622
1N5623

10

(Amps)

SIGNAl.

IR
(mA)

QIOOI!$

Vz(nom)

IFSM

t,,..

IZT
mA

Tal

vz±.%

PD

.·.ReFE:ReNcE olooES .'.'
;;

T~l1lfl 'r

, . l~¢r

IV
m~

Ra"ge:

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

43
43
47
47
47
51
51
51
56
56
56

6.0
6.0
5.5
5.5
5.5
5.0
5.0
5.0
4.5
4.5
4.5

10
5.0
20
10
5.0
20
10
5.0
20
10
5.0

l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

62
62
62
68
68
68
75
75
75
82
82
82

4.0
4.0
4.0
3.7
3.7
3.7
3.3
3.3
3.3
3.0
3.0
3.0

20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0

l.OW
l.OW
l.OW
l.OW
LOW
LOW
l.OW
l.OW
l.OW
l.OW
l.OW
LOW

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

91
91
100
100
100
110
110
110
120
120

2.8
2.8
2.8
2.5
2.5
2.5
2.3
2.3
2.3
2.0
2.0

20
10
5.0
20
10
5.0
20
10
5.0
20
10

l.OW
l.OW
LOW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

120
130
130
130
150
150
150
160
160
160

2.0
1.9
l.9
1.9
l.7
l.7
l.7
l.6
l.6
l.6

5.0
20
10
5.0
20
10
5.0
20
10
5.0

LOW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OH
l.OW

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

DZ
DZ
DZ
DZ
DZ
DZ

180
180
180
200
200
200

l.4
l.4
l.4
1.2
1.2
l.2

20
10
5.0
20
10
5.0

l.OW
l.OW
l.OW
LOW
l.OW
l.OW

S

DS
DS
DS
DS
DS

PRY

Nolts)

1N5582
1N5582A
1N5582B
1N5583
1N5583A
IN5583B
1N5584
IN5584A
IN5584B
1N5585
IN5585A
IN5585B

VF
(volts)

Vi (noml

5!

IN5578A
IN5578B
IN5579
IN5579A
1N5579B
1N5580
IN5580A
IN5580B
IN5581
IN5581A
1N5581B

ZENER DIODES

z

.....

S
S
S

S
S
S
S
S
S
S

S
S
S
S

S
S

S
S

S
S

S
S

S
S

S
S

S
S
S
S
S

S
S

S
S

S

S
S
S
S

S
S
S

S

S
S
S
S

R
R
R
R
R
R
R
R
R
R

'itF@> "IF

{wksl

.{jI$)

91

5000
7500
10,000
15,000
2500
5000
7500
2500
5000
7500
70.
150
200

120
1.20

7.4
11

14.5
23
3.7
7.4
11
5.0
9.0
12
"LO

r.1.0
1.0
LO
1.0

l.15
0.87
0.70
0.47
2.1
1.4
0.92
4.6
3.5

2.,.~ .
20M,
.7,.OM

;r,OM

100M
6 •.oM.

0.30
0.30
0.30
0.30
0.75
0.75
0.75
1.0
1.0
1.0
2St<
2St<

2~Nl
.sON

5.0*

30
30
30
30
100
100
100
200
200
200

'"

.. r

"

Transient Suppressors, See Table on Page 1-110

S
S
S
S
S
S
S
S
S
S

R
R
R
R
R
R

R
R
R
R

200
200
400
400
600
600
800
800
1000
1000

1.2
l.2
1.2
1.2
1.2
l.2
1.2
1.2
1.2
1.2

1-92

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

0.0025
0.0025
0.0025
0.0025
0.0025
0.0025
0.0025
0.0025
0.0025
0.0025

50
50
50
50
50
50
50
50
50
50

1N5624-1 N5767
RECTIFIERS

ZENER DIODES

z

<:>

--'

TYPE

;:::

""ffi
....
::;;
""

""...,

REF.

REPLACEMENT

VR
(volts)

0:;:

;:::
z

'"
e

thru

thru

1N5680
1N57ll }
thru
1N5713
1N5720
1N5721
1N5726
1N5727
1N5728B,C,D
1Ns729B,C,D
1Ns730B,C,D
1N5731B,C,D
1N5732B,C,D
1N5733B,C,D
1N5734B,C,D
1N5735B,C,D
1N5736B,C,D
1N5737B,C,D
1N5738B,C,D
1N5739B,C,O
1N5740B,C,D
1N5741B,C,D
1N5742B,C,D
1N5743B,C,D
1N5744B,C,D
1N5745B,C,D
1N5746B,C,D
1N5747B,C,D
1N5748B,C,D
1N5749B,C,D
IN5750B,C,D
1N5751B,C,D
1N5752B,C,D
IN5753B,C,D
1N5754B,C,D
1N5755B,C,D
1N5756B,C,D
1N5757B,C,D
IN5763
1N5767

IR
(rnA)

IFSM

PRY
200
400
600
800

VF @
IlIIllts)

IF

3.0

0.95

3.0

0.95

0.95
0.95

3,0

3.0

IR

Vz(nom}

I ZT
rnA

Tal
VZ±.%

Po

REFERENCE DIODES
t"

I~')

0.3
0.3
0,3
0.3

125
125

Vdnoml

T~mp

Tc

In

%IOC

mA

Range

-1.0
-0.2
1.2
2.3
3.0
4.0
5.0
6.0
7.0
8.0
9.0
10.5
12.5
13
15
17
19
21
23.5
26
29
31
34
37
40
44
47
51
56
60

-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65

+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200

125

125

Transient Suppressors, see Table on Page 1-ll0

1Ns66s,A
1N5666A
1N5678A
1N5679

R
R
R
R

S
S
S
S

(volts)

10
(Amps)

SIGNAL DIODES
(voltsl

'lN5624
1N5625
1N5626
1N5627
i1N5629,A

VF

I

I

I

Voltage Regulator Diodes

S

R

50

1.1

1.0

0.01

SO

S

R

100

1.1

1.0

0.01

SO

OS
OS
DS
DS
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
OR
DR
DR
DR
DR
DR
R
DS

30
15
60
50

1.0
1.0
1.1
1.1

sOM
sOM
sOOM
500H

Hot Carrier Diodes
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
5
5
S

5
S

5
S
S

5

S
5
5
5
5
5
5
5
5

SOON
SOON
200N
lOON

0.01
0.01
0.01
0.01
4.7
5.1
5.6
6.2
6.8
7.5
8.2
9.1
10
II

12

13
15
16
18
20
22
24
27
30
33
36
39
43
47
51
56

62
68
75
33

1.2

Lo

1-93

300

.100Ji!.

10
1;{j1'i

4500

VARACTOR DIODES
INDEX AND SHORT-FORM SPECIFICATIONS

The following table provides a numerical index and short-form specifications for varactor diodes with
EIA-registered type numbers.

KEY

CAPACITANCE

TYPE

REF.

CJ
C
CT· Tol
pF %

C (max)
C (min)

Numerical Listing
of Registered
Type Numbers
Reference device number
indicates specific Data Sheet on
which device is
characterized
Nominal Capacitance usually
CJ (junction capacitance)
With *. specified value is CT
(total capacitance)
CT=CJ+CC
Tolerance of capacitance listed
in preceding column
Effective tuning Ratio (Capacitance at Voltage
V, divided by capacitance at Voltage V,)
Voltage range over which the tuning range is measured
Reverse Breakdown Voltage
Figure of Merit at this specified frequency
Power Dissipation at 25'C

1-94

Voltage
Range

BV.

Visl V,
Volts Volts Volts

Q @

f

PD
@

25'C
GHz Watts

VARACTOR DIODES INDEX
1N950-1N47930

CAPACITANCE
I

TYPE

REF.

CJ
CTpF

1N950
1N951
1N952
1N953
1N954
1N955
1N956
1N2627
1N2628
1N3182

35
50
70
100
35
50
70
2.75
2.5
33

1N3488
1N3551
1N3552
1N3554
1N3555
1N3556
1,N3557
1N3627
1N3628
1N3770

56
50
21.5
12
20
47
24
21.3
50
2.0

1N5472A
1N5447A
1N5141A
1Ns144
1N5148
1N5144
1N5477A
1N5452A

1N3945
1N3946
1N3947
1N4091
1N4387
1N4388
1N4598
1N4599
1N4609

1N5447A
1N5457A
1N5474A
1N5461A
1N4387
1N4388

1N4786
1N4786A
1N4786B
1N4786C
1N4786D
1N4787
1N4787A
1N4787B
1N4787C
1N4787D

1N5441A
1N5441A
1N5441B
1N5441C
1N5441D
1N5442A
1N5442A
IN5442B
1N5442C
IN5442D

6.8
6.8
6.8
6.8
6.8
8.2
8.2
8.2
8.2
8.2

IN4788
IN4788A
IN4788B
IN4788C
1N4788D
IN4789
1N4789A
1N4789B
IN4789C
1N4789D

IN5443A
IN5443A
1N5443B
1N5443C
IN5443D
1N5444A
1N5444A
IN5444B
1N5444C
IN5444D

1N4790
1N4790A
1N4790B
1N4790C
1N4790D

IN5445A
IN5445A
1N5445B
IN5445C
IN5445D

I
I
I
I

C
Tol

I
I

%

I

:

Voltage
BVR
C(max)! Range
C(min) i-v~-ryI
I
2
I Volts I Volts Volts
2.51
2.4
2.43
2.4
2.51
2.4
2.43
1. 75
1.5

6.0
6.0

20

1. 38

4.0
4.0
4.0
4.0
4.0
4.0
4.0
0
0

4.0

130
80
60
25
25
25
25
5.0
5.0

8.0

2.45
2.5

4.0
4.0

20
20

1.5

4.0

20

Po
Q @

f

@

25°C

GHz

Watts

130
80
60
25
25
25
25
5.0
5.0
20

7.0
7.0
7.0
7.0
7.0
7.0
7.0
10
14
65

0.05
0.05
0.05
0.05
0.05
0.05
0.05
1.0
1.0
0.05

0.163

15
11
22
100
100
100
210
20
20
5.5

7.0
30
25

0.05
0.05
0.05

60
50
75
25
30

0.05
0.10
0.05
0.05
0.05

7.0
7.0
9.0

0.05
0.05
0.05

150
200
50
100
60

0.05
0.05
0.05
0.05
0.05

0.30
20
10
0.25
0.50
0.25

4.04
5.0
2.64

4.0
2.0
4.0

90
100
35

20
9.0
9.0
6.0
150
100
90
110
35

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.56
2.56
2.56
2.56
2.56
2.56
2.56
2.56
2.56
2.56

0
0
0
0
0
0
0
0
0
0

4.0
4.0
4.0
4.0
1+.0
4.0
4.0
4.0
4.0
4.0

25
25
25
25
25
25
25
25
25
25

15
15
15
15
15
15
15
15
15
15

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50

10
10
10
10
10
12
12
12
12
12

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.50
2.50
2.50
2.50
2.50
2.49
2.49
2.49
2.49
2.,49

0
0
0
0
0
0
0
0
0
0

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

25
25
25
25
25
25
25
25
25
25

15
15
15
15
15
15
15
15
15
15

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50

20
10
5.0
2.0
1.0
20

2.49
2.49
2.49
2.49
2.49
2.48
2.48
2.48
2.48
2.48

0
0
0
0
0
0

4.0
4.0
4.0
4.0
4.0
4.0

25
25
25
25
25
20

15
15
15
15
15
15

0.50
0.50
0.50
0.50
0.50
0.50

U

4.0

20

LJ

0
0
0

4.0
4.0
4.0

20
20
20

15
15
15

0.05
0.05
0.05
0.05
0.05
0.05
G.GS
0.05
0.05
0.05

20
10
5.0
2.0
1.0
20

2.46'
2.46
2.46
2.46
2.46
2.46
2.46
2.46
2.46
2.46

0
0
0
0
0
0
0
0
0
0

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

20
20
20
20
20
20
20
20
20
20

15
15
15
15
15
15
15
15
15
15

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50

71

70
4.2
35
20
22
47
22

l~J!:791

1~5M.hA

1N4791A
1N4791B
IN4791C
1N4791D

IN5446A
IN5446B
IN5446C
IN5446D

15
15
15
15
15
18
18
18
18
18

1N4792
1N4792A
1N4792B
IN4792C
1N4792D
1N4793
1N4793A
1N4793B
1N4793C
1N4793D

IN5448A
IN5448A
IN5448B
IN5448C
IN5448D
IN5449A
IN5449A
IN5449B
IN5449C
IN5449D

22
22
22
22
22
27
27
27
27
27

2.5

10

5.0
2.0
1.0

10

5.0
2.0
1.0

1-95

0.50
0.50

0.50

0.50
0.50
0.50

•

VARACTOR DIODES INDEX

(continued)

1N4794-1 N4807 D

CAPACITANCE
TYPE

•

REF.

CJ
Cr*
pF

I
I
I

I
I

I
I
I

C
Tol
%

:

Voltage

C(max) I Range
CIminI :-v~-rv;
I

1N4794
1N4794A
IN4794B
IN4794C
1N4794D
1N4795
IN4795A
IN4795B
IN4795C
IN4795D

1N5450A
1N5450A
IN5450B
IN5450C
IN5450D
IN5451A
IN5451A
IN5451B
IN5451C
1N5451D

33
33
33
33
33
39
39
39
39
39

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.46
2.46
2.46
2.46
2.46
2.44
2.44
2.44
2.44
2.44

IN4796
IN4796A
IN4796B
IN4796C
IN4796D
IN4797
1N4797A
1N4797B
1N4797C
1N4797D

1N5452A
1N5452A
IN5452B
IN5452C
IN5452D
IN5453A
1N5453A
IN5453B
IN5453C
1N5453D

47
47
47
47
47
56
56
56
56
56

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.43
2.43
2.43
2.43
2.43
2.42
2.42
2.42
2.42
2.42

1N4798
1N4798A
IN4798B
IN4798C
IN4798D
IN4799
IN4799A
IN4799B
IN4799C
1N4799D

1N5454A
1N5454A
1N5454B
IN5454C
1N5454D
IN5455A
IN5455A
IN5455B
IN5455C
IN5455D

68
68
68
68
68
82
82
82
82
82

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.40
2.40
2.40
2.40
2.40
2.36
2.36
2.36
2.36
2.36

1N4800
IN4800A
IN4800B
1N4800C
1N4800D
1N4801
1N4801A
1N4801B
IN4801C
IN4801D

1N5456A
IN5456A
1N5456B
1N5456C
1N5456D
1N5139
1N5139A
1N5139B
1N5139C
1N5139D

100
100
100
100
100
6.8
6.8
6.8
6.8
6.8

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.33
2.33
2.33
2.33
2.33
2.56
2.56
2.56
2.56
2.56

IN4802
IN4802A
IN4802B
IN4802C
IN4802D
IN4803
1N4803A
IN4803B
IN4803C
IN4803D

1N5462A
IN5462A
IN5462B
1N5462C
IN5462D
1N5140
IN5140A
IN5140B
IN5140C
IN5140D

8.2
8.2
8.2
8.2
8.2
10
10
10
10
10

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.58
2.58
2.58
2.58
2.58
2.50
2.50
2.50
2.50
2.50

IN4804
IN4804A
IN4804B
1N4804C
IN4804D
1N4805
IN4805A
1N4805B
1N480SC
IN4805D

1N5141
IN5141A
IN5141B
IN5141C
1N5141D
1N5142
IN5142A
1N5142B
IN5142C
1N5142D

12
12
12
12
12
15
15
15
15
15

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.49
2.49
2.49
2.49
2.49
2.49
2.49
2.49
2.49
2.49

1N4806
IN4806A
IN4806B
1N4806C
1N4806D
IN4807
IN4807A
IN4807B
IN4807C
1N4807D

1N5143
INS143A
1NS143B
1N5143C
1N5143D
IN5144
1NS144A
1N5144B
IN5144C
IN5144D

18
18
18
18
18
22
22
22
22
22

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.48
2.48
2.48
2.48
2.48
2.46
2.46
2.46
2.46
2.46

BV R

Q @

1-96

a
a
a
a
a
a
a
a
a
a
a
0
a
a
a
a
a
a
a
a
a
a
0
a
a
a
a
a
a
a
a
a
a
a
a
a
a
a
0

a
a
a
0
a
a
a
a
a
a
0
a
a
a
a
0
a
a
a
0
0

a
a

0
0

a
a
a
a

Po
@

25°C

Volts : Volts Volts
0
0

f

GHz

Watts

4.0

20
20
20
20
20
20
20
20
20
20

15
15
15
15
15
15
15
15
15
15

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

20
20
20
20
20
15
15
15
15
15

15
15
15
15
15
15
15
15
15
15

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

15
15
15
15
15
15
15
15
15
15

15
15
15
15
15
15
15
15
15
15

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

15
15
15
15
15
100
100
100
100
100

15
15
15
15
15
15
15
15
15
15

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

100
100
100
100
100
100
100
100
100
100

15
15
15
15
15
15
15
15
15
15

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
O.SO

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

100
100
100
100
100
100
100
100
100
100

15
15
15
15
15
15
15
15
15
15

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

90
90
90
90
90
90
90
90
90
90

15
15
15
15
15
15
15
15
15
15

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.50
0.50
0.50
0.50
0.50

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

VARACTOR DIODES INDEX

(continued)
lN4808-1N5153
CAPACITANCE

TYPE

REF.

CJ
CT*
pF

I
I
I
I
I

I
I

:

Tol
%

Voltage
BVR
C(max) I Range
C{min) r-v~-rv~
I Volts : Volts Volts

C

PD
Q @

f

@

25°C

GHz

Watts

1N4808
1N4808A
1N4808B
1N4808C
1N4808D
1N4809
1N4809A
1N4809B
1N4809C
1N4809D

1N5145
1N5145A
1N5145B
1N5145C
1N5145D
1N5146
1N5146A
1N5146B
1N5146C
1N5146D

27
27
27
27
27
33
33
33
33
33

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.46
2.46
2.46
2.46
2.46
2.46
2.46
2.46
2.46
2.46

0
0
0
0
0
0
0
0
0
0

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

65
65
65
65
65
60
60
60
60
60

15
15
15
15
15
15
15
15
15
15

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50

1N481O
1N4810A
1N4810B
1N4810C
1N4810D
IN4811
1N4811A
1N4811B
1N4811C
1N4811D

1N5147
1N5147A
1N5147B
1N5147C
1N5147D
1N5148
1N5148A
1N5148B
1N5148C
1N5148D

39
39
39
39
39
47
47
47
47
47

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.44
2.44
2.44
2.44
2.44
2.43
2.43
2.43
2.43
2.43

0
0
0
0
0
0
0
0
0
0

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

55
55
55
55
55
50
50
50
50
50

15
15
15
15
15
15
15
15
15
15

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50

1N4812
1N4812A
1N4812B
1N4812C
1N4812D
1N4813
1N4813A
1N4813B
1N4813C
1N4813D

1N5148
IN5148A
1NS148B
1N5148C
1NS148D
1N5454A
1NS454A
1N5454B
1N5454c
1N5454D

56
56
56
56

2.42
2.42
2.42
2.42
2.42
2.40
2.40
2.40
2.40
2.40

0
0
0
0
0
0
0
0

4.0
4.0
4.0
4.0

68
68
68
68
68

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

40
40
40
40
40
30
30
30
30
30

15
15
15
15
15
15
15
15
15
15

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.50
0.50
0.50
O.SO
0.50
0.50
0.50
O.SO
0.50
0.50

1N4814
1N4814A
1N4814B
1N4814C
1N4814D
1N4815
1N4815A
1N4815B
1N4815C
IN4815D

82
82
82
82
82
100
100
100
100
100

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

15
15
15
15
15
15
15
15
15
15

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50

1N4885
1N4886
1N4941
1N5136
1N5136A
1N5137
1N5137A
IN5138
1N5138A
1Ns139
1Ns139A
1Ns140
1Ns140A
1Ns141
1Ns141A
1N5142

35
35
0.4
1.0*
1.0*
2.2*
2.2*
3.3*
3.3*
6.8*
6.8*
10*
10"
12*
12"
15*

1Ns139
1Ns139A
1NS140
1N5140A
1NS141
IN5141A
INs142

56

I

20
10
20
10
20
10
10

5.0
10
5.0
10
5.0
10

15*
18*
18*

5.0
10
5.0

22*
27*
27*
33*
33*
39*

5.0
10
5.0

39*
1N5147A
IN5148
47*
IN5148A
47*
IN5149 11.5*
1N5149 11.5*
12
1N5150A
5.8*
1Ns151
5.8*
1Ns151
6.0
INs150A
5.8*
1N5151

5.0

1N5142A
1Ns143
1N5143A
1N5144
1Ns144A
1Ns14s
1Ns14sA
1Ns146
1N5146A
1Ns147

IN5142A
1N5143
1Ns143A
1N5144A
1N514s
1N514sA
IN5146
INs146A
1Ns147

1Ns147A
1Ns148
1Ns148A
IN5149
IN5150
1Ns150A
1N5151
1N5152
1N5152A
1N5153

lN~i44

22·'.

.v

10

5.0
10
10

5.0

a

4.0

4.0

4.0

4.0

0

4.0
4.0

2.36
2.36
2.36
2.36
2.36
2.33
2.33
2.33
2.33
2.33

a

4.0

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

20
20
20
20
20
20
20
20
20
20

2.57
2.57
2.0
2.2
2.2
2.2
2.2
2.4
2.4
2.9
2.9
3.0
3.0
3.0
3.0
3.0

6.0
6.0
0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

150
120
6.0
60
60
60
60
60
60
60
60
60
60
60
60
60

150
120
6.0
60
60
60
60
60
60
60
60
60
60
60
60
60

2000
350
350
350
350
350
350
350
350
300
300
300
300
250

10
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

3.0
3.0
3.0
3. !:

4.0
4.0
4.0

60
60
60

60
60
60
60
60
60
60
60
60
60

250
250
250
200
200
200
200
200
200
200

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4

60
60
60
80
80
80
75
75
75
75

200
200
200
800
800
800
llOO
llOO
llOO
llOO

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
10
14
29.2
5.5
5.5
11. 7
5.5

0
0
0

a
0
0
0
0
0

4.0
4.0

I~ _

0

IiO

3.4
3.4
3.4
3.4
3.4

3.4

4.0
4.0
4.0
4.0
4.0
4.0

60
60
60
60
60
60

3.4
3.4
3.4

4.0
4.0
4.0

60
60
60

10
10

1-97

O.O~

20
20
0.1
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

0.4
0.4
0.4

0.4
0.4
0.4
0.4
0.4-

0.4

•

VARACTOR DIODES INDEX

(continued)

1N5153A-1N5450D

CAPACITANCE
I

TYPE

•

REF.

CJ
Cr'
pF

IN5153A
IN5154
IN5155
IN5155A
IN5156
IN5157
1N5421
1N5422
1N5423
1N5424
IN5425

IN5150A
IN5154
1N5154
1N5150A
1N5156
1N5156

1N5439
1N5439A
1N5439B
IN5439C
IN5439D
1N5440
IN5440A
1N5440B
IN5440C
IN5440D
IN5441
1N5441A
IN5441B
IN5441C
IN5441D
IN5442
IN5442A
1N5442B
1N5442C
IN5442D
IN5443
IN5443A
1N5443B
IN5443C
1N5443D
IN5444
IN5444A
1N5444B
IN5444C
IN5444D
1N5445
IN5445A
1N5445B
IN5445C
IN5445D
IN5446
IN5446A
1N5446B
1N5446C
1N5446D
1N5447
1N5447A
1N5447B
IN5447C
IN5447D
IN5448
IN5448A
IN5448B
IN5448C
IN5448D
IN5449
IN5449A
IN5449B
IN5449C
IN5449D
IN5450
IN5450A
IN5450B
IN5450C
IN5450D

IN5441A
IN5441A
IN5441B
IN5441C
IN5441D
1N5442A
1N5442B
1N5442C
IN5442D
1N5443A
IN5443B
IN5443C
IN5443D
1N5444A
IN5444B
IN5444C
IN5444D
IN5445A
IN5445B
1N5445C
IN5445D
1NS446A
1N5446B
1N5446C
IN5446D

IN5448A
IN5448B
IN5448C
IN5448D

IN5450A
IN5450B
IN5450C
IN5450D

I

Tol
%

:

Voltage
BV R
C(max) I Range
C(min) :v~-rv;
I Volts : Volts Volts

10

2.1*
1.9
0.8
0.8
210
340
680
680
1370

10
25
25
20
20
20
20
20

4.1
4.1
4.1
4.2
4.2

4.0
4.0
4.0
4.0
4.0

100
100
100
100
100

75
35
35
35
20
20
210
210
210
115
115

3.3"
3.3'"
3.3'"
3.3'"
3.3>"
4.7"
4.7"
4.7'"
4.7"
4. ]7'

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.3
2.3
2.3
2.3
2.3
2 .4
2.4
2.4
2.4
2.4

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

6.8*
6.8"
6.8'"
6.8"
6.8'"
8.2"
8.2"
8.2'"
8.2"
8.2'"

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

10"
10'"
10"
10'"
10",
12'"
12'"
12",
12",
12"

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6

15"
IS'"

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.1 i,

lSi,
lSi,
lSi,

18"
18",
18'"
18i,

18"
20*
20"
20"
20"
22"
22'"
22"
22 i,

22"
27"

1N5449A
1N5449B
1N5449C
IN5449D

I

I
I

C

6.4

20i,

1N5447A
IN5447B
IN5447C
IN5447D

I
I
I

27'"

27"
27'"
27"
33'"
33 i'

33'"
33"
33>"

Q @

f

Po

@

25°C

GHz

Watts

1100
1700
1700
1700
3600
3600
200
200
150
300
200

0.05
11. 7
0.05
3.5
0.05
3.5
0.05
8.75
0.05
3.25"
0.05
3.25"
0.025 0.25
0.025 0.25
0.025 0.25
0.010 0.25
0.010 0.25

30
30
30
30
30
30
30
30
30
30

450
450
450
450
450
450
450
450
450
450

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

450
450
450
450
450
450
450
450
450
450

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

400
400
400
400
400
400
400
400
400
400

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

400
400
400
400
400
350
350
350
350
350

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

350
350
350
350
350
350
350
350
350
350

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

350
350
350
350
350
350
350
350
350
350

0.05
0.05
0.05
0.05
0,05
0,05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

1-98

VARACTOR DIODES INDEX

(continued)
1N5451-1 N5464D

CAPACITANCE
TYPE

IN5451
IN5451A
IN5451B
IN5451C
IN5451D
IN5452
IN5452A
IN5452B
IN5452C
IN5452D
IN5453
IN5453A
IN5453B
1N5453C
IN5453D
IN5454
1N5454A
IN5454B
IN5454C
IN5454D
IN5455
IN5455A
IN5455B
1N5455C
1N5455D
IN5456
IN5456A
IN5456B
IN5456C
IN5456D

REF.

IN5451A
IN5451B
IN5451C
IN5451D
IN5452A
IN5452B
IN5452C
IN5452D
IN5453A
IN5453B
1N5453C
1N5453D

CJ
CT·
pF
39*
39'~

39*
39*
39*
47*
47*
47*
47'~

47*
56*
56*
56'~

56*
56*

68'~

IN5454A
1N5454B
IN5454C
1N5454D

68*
68*
68",
68'"

IN5455A
IN5455B
1N5455C
IN5455D

82*
82*

82'~

IN5456A
IN5456B
1N5456C
IN5456D

82'~

82 1,

100'~
100'~

100*
100'~

100~'

I
I
I
I

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

300
300
300
300
300
250
250
250
250
250

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

Watts
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.6
2.6
2.6
2.6
2.6
2.7
2.7
2.7
2.7
2.7

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

200
200
200
200
200
175
175
175
175
175

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.7
2.7
2.7
2.7
2.7
2.7
2.7
2.7
2.7
2.7

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

175
175
175
175
175
175
175
175
175
175

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

2.7
2.7
2.7
2.7
2.7
2.5
2.5
2.5
2.5
2.5

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

150
150
150
150
150
600
600
600
600
600

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

I

Tol

I
I

%

I

:

Voltage
BVR
C(max) I Range
C(min) ~-V--rV;
I
I
I Volts : Volts Volts
2.6
2.0
30
30
2.6
2.0
30
30
30
2.6
2.0
30
30
2.6
2.0
30
2.6
2.0
30
30
2.6
2.0
30
30
2.6
2.0
30
30
2.6
2.0
30
30
30
30
2.6
2.0
30
30
2.6
2.0

C

Po
Q @ f

@

2SoC

GHz

IN5457
IN5457A
IN5457B
IN5457C
IN5457D
IN5458
IN5458A
IN5458B
IN5458C
IN5458D

3.
3. 9~'
3. 9'~
3. 9'~
3. 9'~

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

IN5459
IN5459A
IN5459B
IN5459C
IN5459D
IN5460
IN5460A
IN5460B
IN5460C
IN5460D

4. 7'~
4.7 1'
4. 7~'
4. 7'~
4.7"
5. 6'~
5. 6'~
5.6*
5.6*
5 .6~'

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

600
600
600
600
600
600
600
600
600
600

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

6. 8~'
6.8*
6.8*

20
10
5.0

2.7
2.7
2.7

2.0
2.0
2.0

30
30
30

2.0

600
600
600
600
600
600
600
600
600
600

0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4

0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4

550
550
550
550
550
550
550
550
550
550

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

IN5461
IN5461A
IN5461B
IN5461C
IN5461D
IN5462
1N5462A
IN5462B
IN5462C
IN5462D
IN5463
IN5463A
IN5463B
IN5463C
IN5463D
IN5464
IN5464A
IN5464B
IN5464C
IN5464D

120'~

1201,

120'~
120'~
120~'
9'~

IN5461A
IN5461A
i1'4546lA

IN5461A
IN5462A
IN5462B
IN5462C
IN5462D
1N5463A
IN5463B
1N5463C
IN5463D
IN5464A
IN5464B
1N5464C
IN5464D

U.O"

2.0

2.7

6.8*
8.2*
8.2*
8.2*
8.2*
8.2*

1.0
20
10
5.0
2.0
1.0

2.7
2.8
2.8
2.8
2.8
2.8

2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

10'~
10'~

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.8
2.8
2.8
2.8
2.8
2.8
2.8
2.8
2.8
2.8

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

10*
10'~

10*
12*
12*
12*
12*
12*

1-99

10

O.Uj

I

0.4

VARACTOR DIODES INDEX (continued)
1N5465-1 N547 60

CAPACITANCE
TYPE

IN5465
IN5465A
INs46sB
INs46sC
IN5465D
INs466
IN5466A
IN5466B
IN5466C
IN5466D
IN5467
IN5467A
IN5467B
IN5467C
IN5467D
IN5468
IN5468A
IN5468B
IN5468C
IN5468D
IN5469
IN5469A
IN5469B
IN5469C
IN5469D
IN5470
IN5470A
IN5470B
IN5470C
IN5470D
IN5471
IN5471A
IN5471B
IN5471C
IN5471D
IN5472
IN5472A
IN5472B
IN5472C
IN5472D
IN5473
IN5473A
IN5473B
IN5473C
IN5473D
IN5474
IN5474A
IN5474B
IN5474C
IN5474D
IN5475
IN5475A
IN5475B
IN5475C
IN5475D
IN5476
IN5476A
IN5476B
IN5476C
IN5476D

REF.

CJ
CT*
pF

IN5472A
IN5472B
IN5472C
IN5472D
IN5473A
IN5473B
IN5473C
IN5473D
IN5474A
IN5474B
IN5474C
IN5474D
IN5475A
IN5475B
IN5475C
IN5475D
IN5476A
IN5476B
IN5476C
IN5476D

Po

@

25°C

GHz

Watts

30
30
30
30
30
30
30
30
30
30

550
550
550
550
550
500
500
500
500
500

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.9
2.9
2.9
2.9
2.9
2.9
2.9
2.9
2.9
2.9

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

500
500
500
500
500
500
500
500
500
500

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.9
2.9
2.9
2.9
2.9
2.9
2.9
2.9
2.9
2.9

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

500
500
500
500
500
500
500
500
500
500

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

39*
39*
39*
47*
47*
47*
47*
47*

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.9
2.9
2.9
2.9
2.9
2.9
2.9
2.9
2.9
2.9

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

450
450
450
450
450
400
400
400
400
400

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

56*
56*
56*
56'"
56*
68*
68*
68*
68*
68*

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.9
2.9
2.9
2.9
2.9
2.9
2.9
2.9
2.9
2.9

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

300
300
300
300
300
250
250
250
250
250

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

82*
82*
82*
82*
82*
100*
100*
100*
100*
100*

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.9
2.9
2.9
2.9
2.9
2.9
2.9
2.9
2.9
2.9

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

225
225
225
225
225
200
200
200
200
200

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

18'~

18~'

20*
20*
20*
20'~

20*
22*
22*
22"1,
22*
22'~

27*
27*
27*
27*
27*
33*
33*
33'~

33*
33*
39*

IN5471A
IN5471B
IN5471C
IN5471D

Q @ f

30
30
30
30
30
30
30
30
30
30

18*
18*

IN5470A
IN5470B
IN5470C
IN5470D

:

Voltage
BVR
C(max) I Range
C(min) r-",-rv~
I Volts I Volts Volts
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

IN5466A
IN5466B
IN5466C
IN5466D

IN5469A
IN5469B
IN5469C
IN5469D

I

I
I

C
Tol
%

2.8
2.8
2.8
2.8
2.8
2.9
2.9
2.9
2.9
2.9

INs465A
INs465B
IN546sC
IN5465D

IN5468A
IN5468B
IN5468C
IN5468D

I

I

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

15*
15*
15'1<
15*
15*
18*

IN5467A
IN5467B
IN5467C
IN5467D

I
I

39~'

I

1-100

VARACTOR DIODES INDEX (continued)
1N5681-1N5704

CAPACITANCE
TYPE

REF.

CJ
CT*
pF

I
I
I
I
I

1
I

Voltage

I

C
Tol
%

1
C(max)
Range
_
_1
1- ___
,- __

BVR

Po
Q @ f

C(min): V I V
: Volts I VOlls Volts

@

25°C

GHz

Watts

5.0
20

3.1
3.1
3.1
3.1
3.1
3.1
3.2
3.2
3.2
3.2

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

40
40
40
40
40
40
40
40
40
40

45
45
45
45
45
45
45
45
45
45

600
600
600
600
600
600
550
550
550
550

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

12
12
15
15
15
18
18
18
22
22

10
5.0
20
10
5.0
20
10
5.0
20
10

3.2
3.2
3.2
3.2
3.2
3.2
3.2
3.2
3.3
3.3

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

40
40
40
40
40
40
40
40
40
40

45
45
45
45
45
45
45
45
45
45

550
550
550
550
550
500
500
500
500
500

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

IN5458B
1N5469A
IN5469A
1N5469B
1N5470A
1N5470A
1N5470B
IN5471A
IN5471A
IN5471B

22
27
27
27
33
33
33
39
39
39

5.0
20
10
5.0
20
10
5.0
20
10
5.0

3.3
3.3
3.3
3.3
3.3
3.3
3.3
3.3
3.3
3.3

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

40
40
40
40
40
40
40
40
40
40

45
45
45
45
45
45
45
45
45
45

500
500
500
500
500
500
500
450
450
450

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

IN5691
1N5691A
1N5691B
1N5692
1N5692A
1N5692B
1N5693
1N5693A
1N5693B
1N5694

1N5472A
IN5472A
1N5472B
1N5473A
1N5473A
1N5473B
1N5474A
1N5474A
1N5474B
1N5475A

47
47
47
56
56
56
68
68
68
82

20
10
5.0
20
10
5.0
20
10
5.0
20

3.3
3.3
3.3
3.3
3.3
3.3
3.3
3.3
3.3
3.3

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

40
40
40
40
40
40
40
40
40
40

45
45
45
45
45
45
45
45
45
45

400
400
400
300
300
300
250
250
250
225

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

1N5694A
1N5694B
1N5695
1N5695A
1N5695B
1N5696
1N5696A
1N5696B
IN5697
1N5697A

1N5475A
1N5475B
1N5476A
1N5476A
1N5476B
IN5461A
1N5461A
1N5461B
1N5462A
1N5462A

82
82
100
100
100
6.8
6.8
6.8
8.2
8.2

10
5.0
20
10
5.0
20
10
5.0
20
10

3.3
3.3
3.3
3.3
3.3
2.7
2.7
2.7
2.7
2.7

4.0
4.0
4.0
4.0
4.0
2.0
2.0
2.0
2.0
2.0

40
40
40
40
40
60
60
60
60
60

45
45
45
45
45
65
65
65
65
65

225
225
200
200
200
450
450
450
450
450

0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

1N5697B
1N5698
1N5698A
1N5698B
1N5699
1N5699A

1N5462B
1N5463A
IN5463A
1N5463B
1N5464A
1N5464A

8.2
10
10
10
12
12

5.0
20
10
5.0
20
10

2.7
2.8
2.8
2.8
2.8
2.8

2.0
2.0
2.0
2.0
2.0
2.0

IN5b~~J;

!N5464:n

5.0

2.8

7.0

65
65
65
65
65
65
65
65
65
65

450
400
400
400
400
400
400

0.4
0.4
0.4
0.4
0.4
0.4
0.4

400
400

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

65
65
65
65
65
65
65
65
65
65

375
375
375
375
375
375
350
350
350
350

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

1N5681
1N5681A
1N5681B
1N5682
1N5682A
1N5682B
1N5683
IN5683A
IN5683B
1N5684

1N5461A
1N5461A
1N5461B
1N5462A
1N5462A
1N5462B
1N5463A
IN5463A
1N5463B
IN5464A

6.8
6.8
8.2
8.2
8.2
10
10
10
12

1N5684A
1N5684B
1N5685
IN5685A
IN5685B
IN5686
1N5686A
1N5686B
IN5687
1N5687A

IN5464A
1N5464B
1N5465A
1N5465A
1N5465B
1N5457A
IN5457A
IN5457B
IN5458A
IN5458A

1N5687B
IN5688
IN5688A
1N5688B
1N5689
IN5689A
IN5689B
IN5690
1N5690A
1N5690B

6.R

u

1

20
10
5.0
20
10
5.0
20
10

1N5700 1N5If65A
IN5700A 1N5465A
1N5700B IN5465B

15
15
15

20
10
5.0

1N5701
IN5701A
1N5701B
1N5702
IN5702A
IN5702B
IN5703
IN5703A
IN5703B
IN5704

18
18
18
22
22
22
27
27
27
33

20
10
5.0
20
10
5.0
20
10
5.0
20

IN5467A
IN5467A
IN5467B
IN5468A
IN5468A
1N5468B
1N5469A
1N5469A
IN5469B
1N5470A

2.8
2.8
2.8

2.0
2.0
2.0

60
60
60
60
60
60
60
60
60
60

2.8
2.8
2.8
3.2
3.2
3.2
3.2
3.2
3.2
3.2

2.0
2.0
2.0
2.0
2.0
2.0
2/0
2.0
2.0
2.0

60
60
60
60
60
60
60
60
60
60

1-101

4UU

O.Oj
0.U5
0.05

Go:'"

0.4
0.4

•

VARACTOR DIODES INDEX

(continued)

1N5704A-1N5710B

CAPACITANCE
TYPE

REF.

CJ

CT"
pF

I
I
I
I
I

I

C

Tal
%

:

Voltage
BV R
C(max) I Range
C(min) i-v~-rv;
: Volts : Volts Volts

Po
Q @ f

@

25°C

GHz

Watts

IN5704A
IN5704B
1N5705
IN5705A
1N5705B
1N5706
1N5706A
IN5706B
1N5707
1N5707A

1N5470A
1N5470B
1N5471A
1N5471A
1N5471B
1N5472A
1N5472A
1N5472B
1N5473A
1N5473A

33
33
39
39
39
47
47
47
56
56

10
5.0
20
10
5.0
20
10
5.0
20
10

3.2
3.2
3.2
3.2
3.2
3.2
3.2
3.2
3.2
3.2

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

60
60
60
60
60
60
60
60
60
60

65
65
65
65
65
65
65
65
65
65

350
350
325
325
325
300
300
300
225
225

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

1N5707B
1N5708
1N5708A
1N5708B
1N5709
1N5709A
IN5709B
IN5710
IN5710A
IN5710B

1N5473B
1N5474A
1N5474A
1N5474B
1N5475A
1N5475A
IN5475B
IN5476A
IN5476A
IN5476B

56
68
68
68
82
82
82
100
100
100

5.0
20
10
5.0
20
10
5.0
20
10
5.0

3.2
3.2
3.2
3.2
3.2
3.2
3.2
3.2
3.2
3.2

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

60
60
60
60
60
60
60
60
60
60

65
65
65
65
65
65
65
65
65
65

225
175
175
175
150
150
150
150
150
150

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

I
I

1-102

•

1-103

•

TUNNEL DIODES

Index and Short-Form Specifications
This table contains a numerical listing and short-form specifications for tunnel
diodes with EIA-registered IN numbers_

KEY

TYPE

MATERIAL

Ip

Ip/lv

(mA)

Numerical
Listing of
Registered
Type Numbers

Vp

C
CJ*

(mY)

(pF)

f
(GHz)
Resistive
Cutoff
Frequency

S = Silicon
G = Germanium
GA = Gallium Arsenide

Total Capacitance
*Junction Capacitance

Peak Current
Ratio of Peak Current to Valley Current

1-104

Forward Voltage measured
at the Peak Point

TUNNEL DIODES INDEX

1N2927 - 1N3720

C
TYPE

MATERIAL

Ip

Ip/lv

(rnA)

1N2927
1N2927A
1N2928
1N2928A
1N2929
1N2929A
1N2930
1N2930A
1N2931
1N2931A

s

1N2932
1N2932A
1N2933
1N2933A
1N2934
1N2934A
1N2939
1N2939A
1N2940
1N2940A

S
S
S
S
S

Vp
(mY)

f
(GHz)

CJ*
(pF)

0.10
0.10
0.47
0.47
1.0
1.0
4.7
4.7
10
10

2.5
3.2
2.5
3.2
2.5
3.2
2.5
3.2
2.5
3.2

75
70
80
74
80
75
85
79
85
80

80
80
100
100
150
150
250
250
400
400

G
G
G
G

22
22
47
47
100
100
1.0
1.0
1.0
1.0

2.5
3.2
2.5
3.2
2.5
3.2
10
7.0
7.7
4.4

90
82
90
83
90
85
65
60
65
65

1200
1200
1800
1800
2500
2500
15
10
10
7.0

1N2941
1N2941A
1N2969
1N2969A
1N3113
1N3114
1N3115
1N3116
1N3117
1N3118

G
G
G
G
GA
GA
GA
GA
GA
GA

4.7
4.7
2.2
2.2
1.0
2.2
2.2
4.7
4.7
10

7.9
4.4
7.6
4.5
10
10
10
10
9.0
10

65
65
65
65

50
30
25
15
10
10
10
15
15
20*

1N3119
1N3120
1N3128
1N3129
1N3130
1N3138
1N3149
1N3149A
1N3150
1N3217

GA
GA
G
G
G
GA
G
G
G
G

10
22
5.0
20
50
50
10
10
22
0.47

10
8.0
8.0
8.0
13
7.7
4.4
7.6
4.7

1N3218
1N3218A
1N3219
1N3219A
1N3220
1N3221
1N3221A
1N3222
1N3560
1N3561

G
G
G
G
G
G
G
G
G
G

1.0
1.0
2.2
2.2
4.7
10
10
22
1.0
1.0

5.0
5.0
5.0
5.0
4.7
5.0
6.0
5.1
5.0
8.0

ll~3562

G

1N3712
1N3713
1N3714
1N3715
1N3716
1N3717
1N3718
1N3719
1N3720

G
G
G
G
G
G
G
G
G

S.C
1.0
1.0
2.2
2.2
4.7
4.7
10
10
22

S.O
5.0
7.0
4.2
7.0
4.0
7.6
4.1
7.0
4.2

S
S
S
S

s

S
S
S
S

s

160

•
2.2
2.2
2.6
2.5

20

1-105

65
90
120
260
65
65
65

15
20
25
30
90
50
125
8.0

,2.6

65

2.6

55
55

10
5.0
20
10
30
100
35
150
20
20

55
65
65
65
65
65
65
65
65
65

10
5.0
25*
10
50
25
90
50
150

85

2.2

1.3
1.3
1.3
2.3
3.2
2.2
3.0
1.8
3.4
1.6
1.6

TUNNEL DIODES INDEX (continued)

1N3721 - 1N4399B

C
TYPE

MATERIAL

Ip

Ip/lv

(mA)

Vp

CJ*

f

(my)

(pF)

(11Hz)

100
25
25
30
40
40
15
15
20
25

2.6

1N3721
1N3847
1N3848
1N3849
1N3850
1N3851
1N3852
1N3853
1N3854
1N3855

G
G
G
G
G
G
G
G
G
G

22
5.0
10
20
50
100
5.0
10
20
50

7.0
6.0
6.0
6.0
6.0
6.0
8.0
8.0
8.0
8.0

70
75
85
105

1N3856
1N3857
1N3858
1N3859
1N3860
1N3948
1N4393
1N4393A
1N4393B
1N4394

G
G
G
G
G

8.0
8.0
8.0
8.0
8.0
3.5
2.5
3.2
3.5
2.5

115
70
75
85
105
80
75
70
65
80

25
8.0
8.0
10
12

S
S
S
S
S

100
5.0
10
20
50
4.7
0.10
0.10
0.10
0.22

1N4394A
1N4394B
1N4395
1N4395A
1N4395B
1N4396
1N4396A
1N4396B
1N4397
1N4397A

S
S
S
S
S
S
S
S
S
S

0.22
0.22
0.47
0.47
0.47
1.0
1.0
1.0
2.2
2.2

3.2
3.6
2.5
3.2
3.5
2.5
3.2
3.5
2.5
3.2

72
67
80
74
69
80
75
70
80
77

90
90
100
100
100
150
150
150
200
200

1N4397B
1N4398
1N4398A
1N4398B
1N4399
1N4399A
1N4399B

S
S
S
S
S
S
S

2.2
4.7
4.7
4.7
10
10
10

3.5
2.5
3.2
3.5
2.5
3.2
3.5

73
85
79
74
85
80
75

200
250
250
250
400
400
400

1-106

65

80
80
80
90

•

1-107

4-LAYER DIODES
INDEX AND SHORT-FORM SPECIFICATIONS

This table contains a numerical listing and short-form specifications with EIA-registered
IN numbers_

KEY

TYPE

REPLACEMENT

IH
(mA)

VIBRIF
(volts)

REF.
(min)

(max)

(min)

VF
(max)

Numerical listing
of Registered Type
Numbers
Type number of recommended
replacement or nearest
electrical equivalent fully
characterized in this book
Reference device number indicates specific Data
Sheet on which device is characterized
Forward Breakover (Switching) Voltage required to switch the
device from the "blocking" state to the "on" state (in volts dc)
Holding Current conducting state

the value of current required to hold the diode in the

Forward Voltage -

the forward voltage across the device at a specified forward current, IF

Forward Current - the continuous or dc value of forward current during the "on" state
Steady state power dissipation

1-108

(volts)

@

If

IF
(mA)

(mA)

(max)

Po
(mW)

4-LA YER DIODES INDEX

lN3299-1N5160
TYPE

REPLACE·
MENT

IH
(rnA)

V'BRIF
(volts)

REF.

VF @

IF

IF
(rnA)

(rnA)

(max)

Po

(min)
36
14.4
16.2
17.6
19.8
21. 6
24.3
26.4
29.7
31. 2

(max)
44
21. 6
19.8
26.4
24.2
32.4
29.7
39.6
36.3
46.8

(min)
1.0
1.0
1.0
1.0
1.0
5.0
5.0
5.0
5.0
5.0

(max)
15
15
15
15
15
20
20
20
20
20

(volts)
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

30
30
30
30
30
30
30
30
30

200
200
200
200
200
200
200
200
200

35.1
16
16
16

42.9
24
24
24

5.0
1.0
1.0
14

1.5

30

200

24
29
34
39

0.5
0.5
0.5
0.5

1.2
1.2
1.2
1.2
1.2
1.2

400
150
150
150

16
21
26
31

20
6.0
6.0
45
4.0
50
15
15
15
15

15
15
15
15

150
150
150
150

150
150
150
150

1N3835
IN3836
1N3837
1N3838
1N3839
IN3840
1N3841
1N3842
1N3843
1N3844

36
41
46
90
16
21
26
31
36
41

44
49
54
llO
24
29
34
39

0.5
0.5
0.5
0.5
14
14
14
14
14
14

15
15
15
15
50
50
50
50
50
50

1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2

15
15
15
15
50
50
50
50
50
50

150
150
150
150
150
150
150
150
150
150

150
150
150
150
150
150
150
150
150
150

1N3845
1N3846
1N3935
1N3936
IN3937
IN5158
1N5159
1N5160

46
90

54
llO
30
20
100
10

14
14

50
50
30
8.0
3.5
20
20
20

1.2
1.2

50
50

150
150

150
150

1.5
1.5
1.5

150
150
150

150
150
150

150
150
150

IN3299
IN3300
1N3300A
IN3301
IN3301A
IN3302
IN3302A
1N3303
IN3303A
1N3304
1N3304A
IN3489
IN3489A
1N3490
1N3771
1N3772
1N3831
1N3832
1N3833
1N3834

1N5158
1N5159
1N5160

1N5158
IN5158
1N5158

8.0
9.0
10

44
49

11

12

1-109

1.0
l.0
1.0

(mW)
150
400
400
400
400
400
400
400
400
400

TRANSIENT SUPPRESSOR DIODES

INDEX AND SHORT FORM SPECIFICATIONS

The following table provides a numerical index and short-form specifications for voltage transient
suppressor diodes with EIA-registered type numbers.

KEY

TYPE

REPLACEMENT

REFERENCE

Numerical listing of
Registered Type Numbers.
Type number of recommended
replacement or of nearest
electrical equivalent fully characterized
in this book
Reference device number indicates specific Data
Sheet on which device is characterized
Breakdown Voltage
Reverse Current
Reverse Voltage (working) @ TA

=25'C

Peak Reverse Voltage during Reverse Surge
Maximum Surge Current
Temperature Coefficient of Breakdown Voltage

1-110

V, ••,.

I.

V.M

. V.

i.

TC

TRANSIENT SUPPRESSOR DIODES INDEX
-'

VIBRIR

cC

TYPE

iii:
....

!C REPLACEMENT REFERENCE Volts (min)
::E

1N5555
1N5556
1N5:,57
1N5558
1N5610
1N5611
1N5612
1N5613
1N5629
1N5629A
1N5630
1N5630A
1N5631
1N5631A

s
S
s

1N5632
1N5632A
1N5633
1N5633A
1N5634
1N5634A
1N5635
1N5635A
1N5636
1N5636A

S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S

s

S

1N5637
1N5637A
1N5638
1N5638A
1N5639
1N5639A
1N5640
1N5640A
1N5641
1N5641A

S

1N5642
1N5642A
1N5643
1N5643A
1N5644
1N5644A
1N5645
1N5645A
1N5646
1N5646A

S
S
S
S
S
S

1N5647
1N5647A
1N5648
1N5648A
1N5649
1N5649A
1N5650
1N5650A
1N5651
1N5651A

s

S
S
S
S
S

s

S
S

s

S
S

s

S
S
~

S
S

s

S
S
S
S

VR

iR
(surge)

TC

mAde

Volts (wkg)

Volts

AMP (max)

%I"C(max)

32
24
19
5.7
139
143
128
132
120
124

0.093
0.094
0.096
0.100
+0.1
+0.1
+0.1
+0.1
0.057
0.057
0.061
0.061
0.065
0.065

1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970

33
43.7
54
191
33
43.7
54
191
6.12
6.45
6.75
7.13
7.38
7.79

0.005
0.005
0.005
0.005
1.0
1.0
1.0
1.0
10
10
10
10
10
10

21.5
28.5
34.5
124

5.5
5.8
6.05
6.40
6.63
7.02

30.5
40.3
49
175
30.5
40.3
49
175
10.8
10.5
11.7
11.3
12.5
12.1

1N2973A
1N2973B
1N2974A
1N2974B
1N2975A
1N2975B
1N2976A
1N2976B
1N2977A
1N2977B

1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970

8.19
8.65
9.0
9.5
9.9
10.5
10.8
11.4
11.7
12.4

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

7.37
7.78
8.10
8.55
8.92
9.40
9.72
10
10.5
11.1

13.8
13.4
15
1.45
16.2
15.6
17.3
16.7
19
18.2

109
112
100
103
93
96
87
90
79
82

0.068
0.068
0.073
0.073
0.075
0.075
0.078
0.078
0.081
0.081

1N2979A
1N2979B
1N2980A
1N2980B
1N2982A
1N2982B
1N2984A
1N2984B
1N2985A
1N2985B

1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970

13.5
14.3
14.4
15.2
16.2
17.1
18
19
19.8
20.9

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

12.1
12.8
12.9
13.6
14.5
15.3
16.2
17.1
17.8
18.8

22
21.2
23.5
22.5
26.5
25.2
29.1
27.7
31.9
30.6

68
71
64
67
56.5
59.5
51.5
54
47
49

0.084
0.084
0.086
0.086
0.088
0.088
0.090
0.090
0.092
0.092

1N2986A
1N2986B
1N2988A
1N2988B
1N2989A
1N2989B
1N2990A
1N2990B
1N2991A
1N2991B

1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970

21.6
22.8
24.3
25.7
27
28.5
29.7
31.4
32.4
34.2

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

19.4
20.5
21.8
23.1
24.3
25.6
26.8
28.2
29.1
30.8

34.7
33.2
39.1
37.5
43.5
41.4
47.7
45.7
52
49.9

43
45
38.5
40
34.5
36
31.5
33
29
30

0.094
0.094
0.096
0.096
0.097
0.097
0.098
0.098
0.099
0.099

1N2992A
1N2992B
1N2993A
1N2993B
1N2995A
1N2995B
1N2997A
1N2997B
1N2999A
1N2999B

1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970

35.1
37.1
38.7
40.9
42.3
44.7
45.9
48.5
50.4
53.2

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

31.6
33.3
34.S
36.8
38.1
40.2
41.3
43.6
45.4
47.S

56.4
53.9
61.9
59.3
67.8
64.8
73.5
70.1
80.5
77

26.5
28
24
25.3
22.2
23.2
20.4
21.4
18.6
19.5

0.100
0.100
0.101
0.101
0.101
0.101
0.102
0.102
0.103
0.103

1N2970
1N2970
1N2970
1N2970
1N2970
1N3970
1N2970
1N2970
1N2970
1N2970

55.8
58.9
61.2
64.6
67.5
71.3
73.S
77 .9
S1.9
86.5

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

50.2
53
55.1
58.1
60.7
64.1
66.4
70.1
73.7
77.8

89
85
98
92
lOS
103
I1S
113
l31
125

16.9
17.7
15.3
16.3
13.9
14.6
12.7
l3.3
11.4
12

0.104
0.104
0.104
0.104
0.105
0.105

90
95
99
105
108
114
117
124
l35
143

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

81
85.5

144
l37

10.4
11

0.106
0.106

~~.z

1:>6

94
97.2
102
105
111
121
128

152
173
165
187
179
215
207

9.9
R.7
9.1
8.0
8.4
7.0
7.2

144
152
153
162
162
171
180
190

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

l30
136
138
145
146
154
162
171

230
219
244
234
258
246
287
274

6.5
6.8
6.2
6.4
5.8
6.1
5.2
5.5

S
S
S
S
S

1N3000A
1N3000B
1N3001A
1N3001B
1N3002A
1N3002B
1N3003A
1N3003B
1N3004A
1N3004B

1N5657

S

1N3005A

1!:'!5657A

~

1 N100'iR

1N5658
1N5658A
1N5659
1N5659A
1N5660
1N560A
1N5661
1N5661A

S
S
S
S
S
S
S
S

1N3007A
1N3007B
1N3008A
IN3008B
1N3009A
1N3009B
1N3011A
1N3011B

1N2970
1N2970
1N2970
1N2970
1N2970
IN2970
1N2970
1N2970
1N2970
1N2970

1N5662
1N5662A
1N5663
1N5663A
1N5664
1N5664A
1N5665
1N5665A

S
S
S
S
S
S
S
S

IN3012A
1N3012B
1N30l3A
1N3013B
1N3014A
1N3014B
1N3015A
IN3015B

1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970

s

VRM

1N2991B
1N2995B
1N2997B
1N3015B
1N2991B
1N2995B
1N2997B
1N3015B
1N2970A
1N2970B
1N2971A
1N2971B
1N2972A
1N2972B

1N5652
1N5652A
1N5653
1N5653A
1N5654
1N5654A
1N5655
1N5655A
1N5656
1N5656A

S
S
S
S

IR

@

1-111

9.5

0~105

0.105
0.106
0.106

v.lu7

0.107
0.107
0.107
0.107
0.107
0.108
0.108
0.108
0.108
0.108
0.108
0.108
0.108
0.108
0.108

LIGHT-EMITTING DIODE
KEY
This table contains a numerical listing and short·form specifications for light·emitting diodes with EIA·registered 1N numbers

ELECTRICAL/OPTICAL
CHARACTER ISTICS

MAXIMUM RATINGS
TYPE

MATERIAL

!

Po
Ref.
@25 0 CI Point

T :Ref

°c IPoint

VR
(Volts)

IF
(mA)

Alpha·

B
Brightness
C1 @IF
mA

Light Output
CP
Po
Candlepower Radiated
mcd@IF
f.!.W@IF
mA
mA

Af

VF
(Volts)

nM

Numerical

Listings
GA -'Gallium Arsenide
GAP -IGailium Ar... nide
Phosphide
GP - Gallium Phosphide
Power Dissipation @ 250 C
Units: M = Milliwatts
W = Watts
Ref. Point: A.C.J.S
Indicates - Ambient. Ca.... Junction or Stud
Maximum Temperature

Ref. Point: J = Junction
S = Storage Junction
Reverse Voltage
Forward Current - Continuous
B - Brightness in Footlamberts
CP Candlepower in Millicandela
Po = Power Output Radiated in Microwatts
Peak Emission Wavelength
Forward Voltage

LIGHT-EMITTING DIODE

MAXIMUM RATINGS

..J

:;:!;

TYPE

a:
w

I-

«

PD
@25 0 C

~

1N5765 GAP

1:

'0

'lii

T
°C

C

100

00

a:

85M

...c

'0
00

'lii

IF
VR
(volts) rnA

a:
S

ELECTRICAL/OPTICAL CHARACTERISTICS
Light Output
B
CP
Po
..e. VF
Brightness
Candlepower
Radiated
(volts)
fL@ IF
rncd @IF
IlW@IF
nM
rnA
rnA
rnA

50

700

1-112

2.0

2N ... & 3N

INDEX

INDEX
2N and 3N Numbers
Thyristors
Field-Effect Transistors
Reference Amplifiers
Unijunction Transistors
Programmable Unijunction Transistors

2-1

2-1
2-66
2-78
2-84
2-86
2-88

NUMERICAL INDEX

The following table provides a numerical index and short-form specifications for EIA-registered
2N and 3N type numbers .

•

Collector-Emitter Saturation
Voltage at Specified
Collector Current
Ic Units:
A==Amp
M == milliamp

KEY
MAXIMUM RATINGS
:;l~

TYPE

.....
........
"0

;:ijC REPLACE-

MENT

REF. USE

:;:0..

Po
@

"0

I::

25'C:~

ELECTRICAL CHARACTERISTICS

,

I

I
I'S

11:1.
TJ

·c

VCBD
(volts)

1'5

VCE-I~

I\.!!h,_I'S f_ :!I~

11:1.

hFE

@

Ic :l VCEISAT\ @ Ie ~
';:
c
(volts)

(VOltS):~ (min) (max)

'"

'"

I~
,=
,'"

Common-Emitter DC ShortCircuit Forward-Current
Transfer Ratio at Specified
Collector Current
Ic Units: A == Amp
M == milliamp
• == microamp
N == nanoamp

Numerical
Listing
of 2N and 3N
Registered
Type Numbers
S == Silicon
G == Germanium
P== PNP
N==NPN

Maximum Collector-Emitter Voltage
(Subscript Identifies Condition)
Subscript:
o == VCED
R == VCE.
S == VCES
V == VCEV

Type number of recommerided
replacement or of nearest
electrical equivalent fully
characterized in this book

== Base Open
= Specified Resistance
== Base Shorted
== Used when only
voltage bias is used
X = V,CEX = Base-Em itter Back
Biased
U=VCE = Termination Undefined

Reference device number indicates
specific Data Sheet on
which device is characterized

,

Small-Signal FOlward-Current Transfer Ratio
(E, B or C defines the parameter)
E = hf. = Common-Emitter Current
Transfer Ratio
B hfb == Common-Base Current
Transfer Ratio
C == hI, = Common-Collector Current
Transfer Ratio

APPLICATION CODE
A = Amplifier
AH == Amplifier, High frequency
AHP == Amplifier, High frequency
power
AL = Amplifier, Light sensitive
AM == Amplifier, Multiple device
AP = Amplifier, Power
RD == Radiation Detector
S == Switch
SC == Switch, Chopper
SH == Switch, High speed
SHP == Switch, High speed power
SP == Switch, Power
Power Dissipation at 25'C
Units: M == milliwatts
W== Watts
Ref. Point: A, C, J, S, Indicates Ambient,
Case, Junction or Stud

=

CUTOFF FREQUENCY
Units: K == KHz
M== MHz
G== GHz
(B, E, M or T Indicate the Parameter)
B == fhfb == fob == Common-Base Cutoff Frequency
E == fhf. == f .. == Common-Emitter Cutoff Frequency
M == f max == Maximum Frequency of Oscillations
T == fT == Current Gain - Bandwidth Product
Maximum Collector - Base Voltage
Maximum Operating Junction Temperature

2-2

'erg

"'I'"

2N21-2N107
t::
~ '"
~ :'5

...

::E =>

2N21
2N22
2N23
2N24
2N25
2N26
2N27
2N28
2N29
2N30
2N31
2N32
2N32A
2N33
2N34
2N34A
2N35
2N3SA
2N36
2N37
2N38
2N38A
2N41
2N43
2N43A
2N44
2N45
2N46
2N47
2N48
2N49
2N50
2NS1
2N52
2N53
2N54
2N55
2N56
2N57
2N59
2N59A
2N59B
2N59C
2N60
2N60A
2N60B
2N60C
2N61
2N61A
2N61B
2N61C
2N62
2N63
2N64
2N65
2N66
2N67
2N68
2N71
2N72
2N73
2N74
2N75
2N76
2N77
2N78
2N78A
2N79
2N80
2N81
2N82
2N94
2N94A
2N95
2N96
2N97
2N97A
2N98
2N98A
2N99
2N100
2N101
2N102
2N103
2N104
2N10S
2N106
2N107

P
G P
G P
G P
G P
G P
N
N
N
G P
G P
G P
G P
G P
G P
G P
G P
G N
G P
G P
G P
G P
G P
G P

G

P

G

P

G
G
G
G

P
P
P
P

G

P

REPLACE·
MENT

REF.

2N1l91

2Nll91

2Nll91
2N1l91
2N1l91

2N1l91
2N1191
2N1191

2N52S
2N524
2N524

2NS24
2N524
2N524

2N1l91

2N1191

2N1l91

2N1l91

G
G
G
G
P

2N1l91

2N1191

P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
P
P
G P
P
G P

2N1l91
2Nll91

2N1191
2N1l91

2N1l93
2N1193
2N1193
2N1l93
2N1l93
2N1l93
2N1l93
2N1193
2N1l92
2N1191
2N1191
2N1l91
2N1l91
2N1l91
2N1l91
2N1l93

2Nl191
2N1l91
2N1191
2N1191
2N1191
2N1l91
2Nl191
2N1191
2N1191
2N1191
2N1191
2N1l91
2N1l91
2N1l91
2N1l91
2N1191

G
G

P

P
N
N
P
P
G P
G P
G N
G N
N
G P
G N
G N
G N
G N
G N
N
G P
G N
G N
G P
G P
G P
G P

USE

2N319
2N1l91

2N319
2N1l91

2N1l91

2N1l91

2N1l91
2N1l91

2N1l91
2N1191

2N650

2N650

2N1l91
2N464

2N1191
2N464

Po

:5

If.
@25"C .;!

TJ

VCB

"C

(volts)

S
S
S
A
AH
S
A
A
A
A
A
S
S
AH
A
A
A

O.12W
145M
105M
145M
200M
90M
SaM
SaM
SaM
100M
100M
SaM
SaM
30M
SaM
SaM
SaM

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

85
55
55
50
60
55
85
85
85
40
40

100
100
40
30
50
30
35
30
35
35
35
40
40
8.5
25
25
25

A
A
A
A
A
A
A
A
A
A
A
A
A
S
S
S
S
A

SaM
SaM
SaM
SaM
SaM
240M

A
A
A
A
A
A

50
20

20

20

20
25
45

240M
240M
240M
50M
50M
SaM
SaM
50M
O.lW
0.12W
O.lW
O.~W

A
A
A
A

85
85
85

A
A
A
A
A

50
50
50
50
60

0.2W
0.2W
20W
180M
180M
180M
180M
180M
180M
180M
180M
180M
180M
180M
180M
50M
102M
102M

A
A
C
A
A
A
A
A
A
A
A
A
A
A
A

60
60

A
A
AP
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
AP
S
AP
AP
S

P
P
P

G
G
G
G
G
G

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

>-

TYPE

SC
S
S
A
A
AH
AH
A
A
A
A
AH
AH
AP
A
A
A
A
A
A
A
AP
AP
A
A
A
A
A

27.5W
O.lW
2.0W
LOW
SOM
0.2W
0.2W
0.2W
S.OM
35M
65M
65M
35M
50M
SOM
35M
150M
150M
2.5W
SOM
50M
SOM
50M
50M
50M
25M
LOW
LOW
50M
150M
35M
102M
SOM

A
A
A
C
A
A
A
A

85

85
85
85
85
85
85
85
85
85
85
85
85
85
85
85
80
85
70
60

VCE _ ~
(volts)
100
50
30
50
40

45
45
45
25
35
35
35
15
50
50
50
45
45
45
60
25
40
50
60
25
40
50
60
25
40
50
60
35
44
30
24
60
50
25
75
40

J
A
A
A
A
A
A
A
A
A

60
85
85

20
25
15
20
35
25

100

71

A

85
85
70

A
A
A
A
A
A
A
A
A
A
A
A
A
A

75
85
75
85
75
50
70
70
75
70
50
85
60

20
20
20
25
30
30
40
40
40
40
25
25
25
35
30
35
6.0
12

VCElsAn@lc
~

::0

a
0

a
0

a

(volts)

~
::0

hl _

~

~
ell

L

~

::0

~
'"
B

~

2.0
2.0
2.2
2.5

B

3.0M

0.95
0.94
0.96

B
B
B

LaM
0.5M
LaM
3.0M
3.0M

B
B
B

30
15

E
E

B

B
B
B

B

0

34

20M

30

E

SOaK

B

30
30
30

0
0
0

34
18
18

65
43

20M
20M
20M

30

E

SOaK
500K
500K

B
B

25
40
50
60
25
40
50
60
25

a
a
a
a
a
a
a
a
a
a
a

45
45

135
135

LOM
1.0M

0.97
0.97

B
B

20

E

20
20
20

60
80
80

LOM
LOM
LOM

7.5
5.0

B

0

44
30
24
40

S
S
S

50

U

50
50
20

U
U
U

15
15

0
0

20

a

20
20

R

6.0

2-3

(min) (max)

30

40
50
60

A
A
A

~

h'E @ Ic

R

0

5.0
5.0
19
24
19
99

E
E
E
E
E
E

L5

E

0.95

B

500K

B

5.0M
5.0M

B
B

2.0M
5.0M

B

500K
0.5M
0.5M
0.8M
0.8M
2.0M
2.5M

B

B
B
B
B
B
B

B

2Nl08-1N184
~

:s
TYPE

•

~

ffi <2
!;;c S
Q

:IS

....

2N108
2N109
2N110
2Nl11
2Nl11A
2N1l2
2N1l2A
2N113
2N114
2N1l5
2N117
2N118

G
G
G
G
G
G
G
G
G
G
S
S

P
P
P
P
P
P
P
P
P
P
N
N

2N118A
2N119
2N120
2Nl22
2N123
2N124
2N125
2N126
2N127
2N128
2N129
2N130

S
S
S
S
G
G
G
G
G
G
G
G
G·
G

N
N
N
N
P
N
N
N
N
P
P
P

2N130A
2N131
2N131A
2N132
2N132A
2N133
2N133A
2N135
2N136
2N137
2N138
2N138A
2N138B
2N139
2N140
2N141
2N142
2N143
2N144
2N145
2N146
2N147
2N148
2N148A

G
G
G
G

G
G

G
G
G
G

G
G
G
G
G

G
G
G
G
G

G
G

2N149
2N149A
2N150
2N150A
2N155
2N156
2N157
2N157A
2N158
2N158A

G

2N160
2N160A
2N161
2N161A
2N162
2N162A
2N163
2N163A
2N166
2N167
2N167A
2N168
2N168A

S
S
S
S
S
S
S
S

2N169
2N169A
2N170
2N172
2N173
2N174
2N174A
2N175
2N176
2N178
2N179
2N180
2N181
2N182
2N183
2N184

G
G
G
G

G
G
G

G
G

G
G

G
G
G
G

G
G
G
G
G
G
G
G
G

G
G
G
G
G
G

P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
N
P
N
N
N
N
N
N

2Nll92

REF.

2N1l91

2N1l91

2N1l91

2N650
2Nll92
2N651
2Nll92
2N651
2Nll92
2N651

2N650
2Nll91
2N650
2N1191
2N650
2Nll91
2N650

N

N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
P
P
P
P
P
P
P
P

P
N
N
N

2N176
2N176
2N1531
2N1532
2N2139
2N214 1
2N2217
2N2217
2N2217
2N2217
2N2221
2N2221
2N2221
2N2221

2Nll92
2N176
2Nll92
2Nll92

USE

Po

~

@25·C ~

N
N
N
P
P
P
P
P
P

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS
REPLACE·
ME NT

2N176
2N176
2N1529
2N1529
2N2137
2N2137

2N2218
2N2218
2N2218
2N2218

2N277
2N174
2N174
2N1l91
2N176
2N178
2N176
2N1191
2N1l91

VCB

·C

(yolts)

(yolts)

~

25

0

65

15
15
15
15

0
0
0
0

15
15
15
15

32

R

150

35
50
30
30
30
30
10
10
32
30
30
45
30
45
120
20
10
10
10
10
10
10
44
45
30
45
24
35
30
35
20
20
10
24
45
45
16
16
60
60
60
60

A
A
S
AH
AH
AH
AH
AH
AH
SP
SH
SH

50M
165M
0.2W
150M
150M
150M
150M
96M
96M

SH
SH
AH
AP
SH
S
S
S
S
AH
AH
A

150M
150M
150M
8.75W
50M
50M
50M
50M
50M
30M
30M
85M

J
A
A
A
A
A
A
A

150
150
175
150
85
75
75
75
75
85
85
85

A
A
A
A
A
A
A
AH
AH
AH
A
A
A
A
A
AP
AP
AP
AP
AH
AH
AH
AH
AH

100M
85M
100M
85M
100M
85M
100M
100M
100M
100M
50M
150M
100M
80M
80M
l.5W
l.5W
l.OW
l.OW
65M
65M
65M
65M
65M

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

85
85
85
85
85
85
85
85
85
85
50
85
85
70
70
25
25
25
25
75
75
75
75
75

AH
AH
AH
AH
AP
AP
AP
AP
AP
AP

65M
65M
65M
65M
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W

A
A
A
A
A
A
A
A
A
A

75
75
75
75
85
85
85
85
85
95

A
A
A
A
A
A
A
A
AH
AH
SH
AH
AH

0.15W
0.15W
0.15W
0.15W
0.15W
0.15W
0.15W
0.15W
25M
75M
75M
SSM
65M

A
A
A
A
A
A
A
A
A
A
A
A
A

50
85
85
75
85

AH
A
AH
AH
AP
SP
SP
AH
AH
A
A
A
A
S
S
S

65M
65M
25M
65M
lOW
100W
100W
50M
90W
40W

A
A
A
A
C
C
C
A
C
C

85
85
50
75
95
95
95
71
80
90

60
80
80
10
40
30

0.15W
0.25W
O.lW
O.lW
O.lW

A
A
A
A
A

75
75
75
75
75

30
30
25
25
25

sow

150M
150M

A
A
A

A
A
A
A
A
A
C
C
C
C
C
C

c

VCE_ .~

TJ

71
85
85
85
85
85
85
85
75
150

15

4.5
4.5

hFE @ Ic

(min) (max)
115

VCEISATI@lc
~

=50M

(yolts)

~

0.15
2.5

50M
IBM

=-

hf _

%
~
v.>

50 E

110

76
3.0

333

12
24
48
100

24
48

30M
0.9 B
0.95 B

l.OM
2.0M

B
B

0.974 B
0.987 B

2.0M

B

0.98 B

5.0M
0.3M
5.0M
5.0M
5.0M
45M
30M

B

M
M

4.5M
6.5M
10M

B
B
B

145K
lOOK
lOOK
145K
4.0K

B

100M

0

100
200

5.0M
5.0M
5.0M
5.0M

0.3

0.3
0.3
0.3

5.0M
5.0M
5.0M
5.0M
19 E
11.5 E

U

u
0

14 E

30

0

27 E

20

0

20
12
12
6.0

0
R
R
R

30

0

30
30
30
30
20
20
20
16
32

u
u

30
30
60
90
60
80

60

20 E
40 E
60 E
10

U

U

u

0

24
24
20
20
21
21

9.0
9.0

6.0
30
30
15
15

15
25

15
25
6.0
16
50
70
70

2-4

30
30

50M

u
u
u
u

40
40
40
40
40
40
40
40
30
30
15
15

~

:i=- ~

l.OM
l.OM
l.OM
l.OM

40

16
32
16
32

L

0
0
0
0
0

0.5A

0.65

0.5A

0.6

0.5A
l.OA

0.75
0.75

l.OA
l.OA

0.5A
0.5A

0.5A
0.5A

19
19
19
19
39
39

19
19
39
39
199
199
199
199

17

90

0.952 B
0.952 B

8.0M

B
B
B
E

5.0M
5.0M

B

lOOK

B

4.0K
5.0K

E
E

B

20 E
20 E

0
0
0

30 E
30 E

U

S
S
S

35
25
40

R

25
15

R

70
50
80
45

l. 2A

l.0
0.9
0.7

12A
12A
12A

0.5A
0.5A

0.4
0.6

3.0A

5.0A

5.0A

3.0A

2N185-2N270

TYPE

5
~ co

p
p
p
p
P
p
P
p
P
P
p

2N650
2N1l91
2N1l91
2N1l91
2N1l91
2N1l91
2N1l91
2N1l91
2N1l91
2N1l92
2N1l93

2N216
2N217
2N218
2N219
2N220
2N223
2N224
2N225
2N226
2N227
2N228
2N229
2N230
2N231
2N232
2N233
2N233A
2N234
2N234A
2N235
2N235A
2N235B
2N236
2N236A
2N236B
2N237
2N238
2N240
2N241
2N241A
2N242
2N243
2N244
2N247
2N248
2N249
2N250
2N250A
2N251
2N251A
2N252
2N253
2N254
2N255
2N255A
2N256
2N256A
2N257
2N258
2N259
2N260
2N260A
2N261
2N262
2N262A
2N263
2N264
2N265
2N266
2N267
2N268
2N268A
2N269
2N270

G
G

G
G
G
G
G
G
G
G
G

G
G
G
G
G
G
G
G
G
G
G

N
N
N
N
N

G

P

G
G
G
G
G
G
G
G
G
G
G
G

N

G
G
G
G
G
G
G

P
P
P
N
N
P
P
P
P
P
P
P
P
P
P
P
P
P
P
N
N
P
P
P

G

G
G

G
G
G

G
G

G
G

G
G
G
S
S
G

G
G
G

G
G
G

G
G

g
G

g
G
S
S
S
S
S
S
S
S
S
G

G
G
G
G

G
G

REF.
2N650
2N1l91
2N1l91
2N1l91
2N1l91
2N1l91
2N1l91
2N1l91
2N1l91
2N1l91
2N1l91

N
N
N
p
P
P
P

P
P
P
P

P
p

P
P
P
P
N

P
P
P
P
P
N
N
P
P
P
P
P
P
P
P
P
P
P
P
N
N
P
P
P
P
P
P
P

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS
REPLACE·
MENT

::IE

2N185
2N186
2N186A
2N187
2N187A
2N188
2N188A
2N189
2N190
2N191
2N192
2N193
2N194
2N194A
2N206
2N207
2N207A
2N207B
2N211
2N212
2N213
2N213A
2N214
2N215

..
....

~ i;

2N1l91
2N1l93
2N1l90

2Nll91
2N1l91
2N1189

2N1l89

2N1189

2N1192

2N1191

2N1l89
2N1l93
2N1l92
2N1l93
2N1l92
2N1192

2N1189
2N1191
2N1191
2N1191
2N1191
2N1192

2N350A
2N351A
2N376A

2N178
2N178
2N350A
2N235A
2N235B
2N350A
2N350A
2N350A

2N1192

2N1191

2N554
2N555
2N350A

2N321
2N321

2N319
2N319
2N242

2N3611
2N3611
2N1530
2N3616

2N3611
2N3611
2N1529
2N3615

2N555
2N178

2N554
2N178

2N2906
2N2906
2N2906
2N2906
2N2906
2N2906
2N2906
2N2907
2N2906
2N1175

2N29Ub
2N2906
2N2906
2N2906
2N2906
2N2906
2N2906
2N2907
2N2906
2N1413

2N1530

2N1529

2N1193

2N1191

USE
A
A
A
A
A
A
A
A
A
A
A
AH
AH
AH
A
A
A
A
AH
AH
A
A
A
A
AH
A
A
A
A
A
A
A
A
A
A
A
AP
AH
AH
AH
AH
AP
AP
AP
AP
AP
AP
AP
AP
A
A
S
A
A
A
A
A
At!
A
A
AP
AP
AP
AP
AH
AH
AH
AP
AP
AP
AP
AP
A
A
A
A
A
A
A
A
A
A
A
AH
AP
SP
S
A

Po

:5 TJ
~

@25'C

~

150M
100M
200M
100M
200M
100M
200M
75M
75M
75M
75M
150M
50M
50M
75M
50M
50M
50M
50M
150M
50M
150M
125M
150M

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

50M
150M
80M
80M
50M
100M
250M
250M
250M
250M
50M
50M

A
A
A
A
A
A
A
A
A
A
A
A

15W
9.0M
9.0M
50M
50M

C
A
A
A
A

25W

C

25W
25W

C
C

25W C
25W
150M A
50M A
25M A
100M A
200M A
20W C
750M C
750M C
80M A
350M

A

12W
90W
12W
90W
30M
65M
65M
1.5W
20W
1.5W
20W
25W

C
C
C
C
C
C
C
A
C

'C
50
85
85
85
85
85
85
85
85
85
85
85
75
75

VCB

VCE _

(volts) (volts)

hFE @ Ic

.~

M

(min) (max)

..

71

20
25
25
25
25
25
25
25
25
25
25
18
15
20
30
12
12
12
10
18
40
40
40
30
15
35
16
16
10

65
75
75
75
75
75
75

25
25
30
30
25
10

60
60
35
35
50

120
120
105
105
100

100M
100M
100M
100M
35M

60
4.5
4.5
10
10

60

106

0.5A

65
65
65
75
85
75
85
75
70
75

71
70
70

85
55
55
75
75
90
90
90
90
85
95
95
95
55
60
85
85
85
85
150
150
75
60
80
100
80
100
55
75
75
85
85
85
85
85

A
C
C
lOU
:l"UM A
250M A 160
0.2W A 150
0.2W A 150
0.2W A 150
0.2W A 150
0.2W A 150
150M A 150
125M A 150
75M A
85
75M A
85
80M A
25W C
85
lOW C
90
120M A
85
150M A
50

25
25
25
25
25
25
25
25
25
25
18
15
20
12
12
12
10
18
25
25

.!"

hl _

I

R
R

R
R
R
R
V
V
V

R
10

18

V

U

25
25
40
40
35
35
35
35

R

55
16
12
20

15
15
30
30
40

0.6
1.0

R

0

35

l.OM

R

25

6.0
25
25
45

4.0
4.8
5.0

R

100M
100M

50

100

35M

65

115

50M

0.15

50M

0.25
0.25
0.25
0.25

100M
100M
100M
100M

0.8

2.0A

35
35
35
3.8
10
70
100

V

R
U
U
R
R
R

0.8
0.8
0.8
l.0
1.0
1.0

R

0.1

S

E
E
E

E

39

E

E
E
E
E

V
V
0
0
0

15

R

25

R

jU

jU

30
10
30
75
10
30
45
45

30

u
0

100
100

500M
3.0A
500M
3.0A

0.7
0.7

~

~

~
'"

800K
800K
1. OM
l.OM
l.2M
l.2M
800K
l.OM
l.2M
1.5M
2.0M
2.0M
2.0M

B
B
B
B
B
B

B
B
B
B
B
B
B

2.0M
4.0M

E

10K
600K

E

B

2.0M

B

600K
550K

B
B

12K
30M
20M

M
M

5.0K

E

5.0K
500K

E

25M
1. 3M
l.3M
5.0K

T

B

E

l.OA

1. OA
l. OA
3.0A
3.0A
3.0A
8.0M

0.98

B

16

E

R
R
R

30
25
30
25

..

E
E
E

3.9

19
9.0
3.0
3.5

V

'-

E

R
R
R
R
R
R

30
4.5
4.5

30
40
60
60

40
80
80
25
25

=i

35

50

50
45
20
6.0
25
25
45
60
60
40
25
25

..

VCElsAn@lc

~ (volts)

P.g6£

B
B

30

E

8.0K
200K
8.0K
200K

3.0A
3.0A

B
B

B
B

E

T
E

T

7.0K

30
30
25
18

0
0

60
24

V

ij

;;

32 E

45
20

150
55

10M
10M

l.5
1.5

10M
10M

2.0A

1.0

2.0A

R
R

39 E
0.95 B

20M
10M
l.5M
800K
6.0K

2-5

0

20

B
B
B
B

2N271-2N341A
~

s
TYPE

ffi

!;;:
:IE

•

>!::

:s""
....
0

G
G
G
G
G
G
G
G
G
G
G
G

P

2N284
2N284A
2N285
2N285A
2N290
2N291
2N292
2N293
2N296
2N297
2N297A
2N299

G
G
G
G
G
G
G
G
G
G
G
G

p

2N300
2N301
2N301A
2N302
2N303
2N306
2N307
2N307A
2N308
2N309
2N310
2N311

G
G
G

2N312
2N315
2N315A
2N315B
2N316
2N316A
2N317
2N317A
2N318
2N319
2N320
2N321

G
G
G
G
G
G
G
G
G
G
G
G

2N322
2N323
2N324
2N325
2N326
2N327
2N327A
2N327B
2N328
2N328A
2N328B
2N329

G
G
G
G
G
S
S
S
S
S
S
S

2N329A
2N329B
2N330
2N330A
2N331
2N332
2N332A
2N333
2N333A
2N334
2N334A
2N334B

S
S
S
S
G
S
S
S
S
S
S
S

2N335
2N335A
2N335B
2N336
2N336A
2N337
2N337A
2N338
2N338A
2N339
2N339A
2N340
2N340A
2N341
2N341A

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N
N
N
N
N
N

G
G
G
G
G
G
G
G

REF.

P

p
p
p
p

2N298A
2N298A
2N298A

P
p
p

P
p

2N650
2N650
2N651
2N651
2N650

2N298A
2N298A
2N298A
2N277
2N277
2N650
2N650
2N650
2N650
2N650

P

p

2N285A

2N285A
2N285A

2N1191

2N1191

P
p

P
N
N
P
P
P

USE

PD
@2S·C

p

2N271
2N271A
2N272
2N273
2N274
2N277
2N278
2N279
2N280
2N281
2N282
2N283

G

MAXIMUM RATINGS
REPLACE·
MENT

2N1531
2N297A

2N1529
2N297A
2N297A

p
p

P
P
P
P
N
P
P

2N301
2N301A

2N307
2N307A

p

P
P
P
N
P
P
P

.~

....
-:;;
""A

TJ
·C

(volts)

(volts)

A
A
A
A
C
C
A
A
C

85
85
85
85
100
95
95
75
75
75

30
30
30
45
40
40
50
16

10
10
24
25
40
40
45
20
20
16

125M

A

75

20

20

S
S
AP
AP
AP
A
AH
AH
A
AP
AP
AH
AH
AP
AP
AH
AH
A
AP
AP
AH
AH
AH
SP
SP
S
S
S

125M
125M
251;
25W
551;
180M
65M
65M
20W
35W
35W
20M

A
A

75
75
95
95
95
50
85
85
85
95
95
85

32
60

32
60
35
35

R
R

15
15

0
0

50
50
4.5

S
S
V

20M
11W
llW
150M
150M
50M
25W
25W
30M
30M
30M
75M

4.5

V

75M
100M
150M
150M
100M
150M
100M
150M
SOM
225M
225M
225M

A
A
A
A
A
A
C
C
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

c
C
c

A
A
A
C
C
C
A

70
25
15
15
60
60
60
5.0

85
85
85
85
85
75
75
75
55
55
55
85

5.0
40
60
30
30
20
35
35

85
85
100
100
85
100
85
100

15
20
30
30
20
30
20
25
12

15

S

p

2N2904
2N2904
2N2904
2N2904
2N2904
2N2904
2N2904

S
S
S
AL
A
A
A
A
A
A
A
A
A
AM
AM
A
AM
AM
A

140M
140M
140M
12W
7.0W
350M
385M
385M
350M
385M
385M
350M

A
A
A
C
C
A
A
A
A
A
A
A

60
60
60
85
85
160
160
200
160
160
200
160

18
18
18
35
35
50
50
50
35
50
50
30

P
P
P
P
P
N
N
N
N
N
N
N

2N2221
2N2218
2N2221
2N2218
2N2221
2N2218
2N2218

2N2904
2N2904
2N2904
2N2904
2N331
2N2218
2N2218
2N2218
2N2218
2N2218
2N2218
2N2218

AM
AM
A
AM
A
AH
A
AH
A
AH
A
A

385M
385M
350M
385M
200M
150M
500M
150M
500M
150M
500M
500M

A
A
A
A
A
A
A
A
A
A
A
A

160
200
160
160
71
175
175
175
175
175
175
175

50
50
45
50
30
45
45
45
45
45
45
60

2N2221
2N2218
2N2218
2N2221
2N2218
2N2221
2N2218
2N2221
2N2218

2N2218
2N2218
2N2218
2N2218
2N2218
2N2218
2N2218
2N2218
2N2218

AH
A
A
AH
A
S
A
S
A
A
AH
A
AH
A
AH

150M
500M
500M
150M
500M
125M
500M
125M
500M
l.OW
3.0W
l.OW
3. OW
l.OW
3.0W

A
A
A
A
A
C
A
C
A
C
A
C
A
C
A

175
175
175
175
175
150
175
150
175
150
200
150
200
150
200

45
45
60
45
45
45
45
45
45
55
60
85
85
125
125

2N319
2N319
2N319

p

P
p

P
N
P
P
P
P
P
P

2N3611
2N2906
2N2906
2N2906

2N2906

2N322
2N322
2N322
2N3611

~

150M
150M
150M
150M
120M
70W
70W
125M
125M
167M

p

p

~

AH
AH
A
A
AH
AP
AP
A
A
A
A
S

P
P
P
P
P
P

ElECTRICAL CHARACTERISTICS
VCE _

VCB

85
85
85

hFE

@ Ic

(min) (max)

0
0
0
S
0
S
S

30
20
35
35

175
70
70

R

45

120

VCE/SATI@ Ic
.~

=>

(volts)

~
=>

h,_

%
~
'"

L

=

~
=>

~

'"

60 E
50M
l.5M
5.0A
5.0A

20 E
l.0

12A
20 E
30 E
0.35M

B

0.35M
0.35M

B
B

4.0K
5.0K
5.0K
90M

B
E
E
M

11 E

85M

M

45 E
75 E
25 E

7.0M
14M
0.6M
3.0K
3.5K

B
B
B
E
E

l.OM
l.5M
2.0M
150K
150K

B
B
B
B
B

2.5M

B

2.5M

B

8.0M
8.0M

B
B

2.5M
2.5M

B
B

2.5M
10M
15M
20M
25M

B
B
B
B
B

10M

T

10M

T

10M

T

30 E
15
15
0.5
0.5

l.OA
l.OA
30 E

6.0
6.0
19
40
40

44
55
100
100

l. OA
D. SA
0.5A

l.0
l.0
l.0

LOA
2.0A
2.0A

10
10
15
35
35
20
20
30
15

R
R
R

20
20

0
0
0
0

25

75

10M

15
15
20
20
10
15
6.0
10

0
0
0
0
0
0
0
0

25
15
20
20
20
20
20
20

75
30
50
50
50
50
60
60

10M
100M
100M
100M
200M
200M
400M
400M

20
20
20

R
R
R
R
R
R
S
S

34
53
72
30
30

65
125
198
60
60

20M
20M
20M
500M
500M

0.6
0.6

500M
500M

40
40

0
0

9.0
9.0

22
22

3.0M
3.0M

0.3
0.3

5.0M
5.0M

35
35

0
0

18
18

44
44

3.0M
3.0M

0.5
0.5

10M
10M

30
30

0
0

36
36

88
88

3.0M
3.0M

0.6
0.6

15M
15M

30

0

45

0

18
18
18
35
35

0
0

0.2A
0.2A

0.5
l.0
0.8

o.1A

0.075
0.075
0.15
0.15
0.15
0.18
0.18
0.2
0.2

10M

0.2A
l.OA

10M
100M
100M
100M
200M
200M
400M
400M

9.0 E
18 E
36 E

9. ( E

45

0

45
60

0
0

9.0

20

18

40

18

45
60

0
0

45

0

55
60
85
85
85
125

2-6

0
0
0
0
0
0

l.0

5.0M

l.0

5.0M

l.0
l.0

5.0M
5.0M

86

15

85

36

86

28
76

90
333

l.OM

20
20
45
45

55
55
150
150

10M
10M
10M
10M

20

80

20

80

20

80

l.OM

l.0
l.0

5.0M
5.0M

l.0

5.0M

0.9
0.9
D. 9~~
D. 9~~
D. 94~
0.94§
~
0.97
0.97
3
0.98
0.98

B
B
B
B
B
B
E
B
B
E
B
B

19 E
35
O. 9
25
O. 9
25

E
B
E
B
E
o. B
25 E

2N342-2N414

.....
:s

lYPE

~
~ :sco
:e ....

2N342
2N342A
2N342B
2N343
2N343A
2N343B
2N344
2N345
2N346
2N350
2N350A
2N351

S
S
S

2N351A
2N352
2N353
2N354
2N355
2N356
2N356A
2N357
2N357A
2N358
2N358A
2N359

G

2N360
2N361
2N362
2N363
2N364
2N365
2N366
2N367
2N368
2N369
2N370
2N371
2N372
2N373
2N374
2N375
2N376
2N376A
2N377
2N377A
2N378
2N379
2N380
2N381
2N382
2N383
2N384
2N385
2N385A
2N386
2N387
2N388

S
S

S
G
G
G
G
G
G

G
G

S
S
G
G
G
G
G
G
G
G
G
G

G
G
G
G
G
G
G
G
G
G
G
G

G
G
G
G
G

G
G

G
G
G
G
G
G
G
G

G
G

lN388A

G

lN389
2N389A
2N392

S
S
G

2N393
2N394
2N394A
2N395
2N396
2N396A
2N397
2N398
2N398A
2N398B

G

I ~~2gg

G
G

G
G
G
G
G

G
G
G

G

P
P
P
P
N
N
N
P
P
P
P
P
P
P
P
P
P
P
N
N
P
P
P
P
P
P
P
N
N
P
P
N
N
N
N
P

2N1536
2N1536
2N2906
2N2906

2N1191
2N1l91
2N1191
2N3324
2N3324

G

G
G

G
G
G
G
G

G
G
G

l.OW
l.OW
750M
l.OW
l.OW
750M
20M
20M
20M
lOW
90W
lOW

AP
AP
AP
AH
S
S
S
S
S
S
S
A
A
A
A
A

@25'C

2N350A
2N1529
2N1529
2N2904
2N2904

2Nll91
2N1l91
2N1l91
2N1l91

2N1l91
2N1l91
2N1191
2N3323
2N3323

2N3324

2N3323

2N3325

2N3323
2N375
2N350
2N350A
2N378
2N378
2N378
2N381

2N3325

2N381
2N381
2N3323

2N5068
2N5068
2N1539

P
P
P
P
P
P
P
P
P
P
P
P

2N967

2N960

P
P
P
P
P
P
P
P
P
P
P
P
P
P
P

2N1191
2N1191

2N1l91

2N322
2N322
2N324
2N324

2N322
2N322
2N322
2N322

2N2043
2N351A
2N350A
~

G
G

2N350
2N350
2N351A

A
A
A
A
A
A
AH
AH
AH
AP
AP
AP

2N398
2N398
2N2042
2N350A
2N350A
?l\T~';"

2Niiili

~

:f
":;;

'"C

TJ

VCB

VCE _

'C

(volts)

(volts)

60
85
85
60
60
65
5.0
5.0
5.0
50
50
50
50

60
85
85
60
60
65
5.0
5.0
5.0
40
40
40

C
A
C
C
A
A
A
A
A
J
A

150
150
175
150
150
175
55
55
55
100
100
100

90W
25W
30W
150M
150M
100M
150M
100M
150M
100M
150M
170M

J
C
C
A
A
A
A
A
A
A
A
A

100
100
100
140
140
85
100
85
100
85
100
85

S
S
S
S
S
AH
AH

170M
170M
170M
170M
150M
150M
150M
100M
100M
100M
80M
80M

A
A
A
A
A
A
A
A
A
A
A
A

85
85
85
85
100
100
100
75
75
75
71
71

32
32
25
32
30
30
30
30
30
30
24
24

AH
AH
AH
AP
AP
AP
S
S
SP
SP
SP
A
A
A
AH
S
S
AP
AP
S
S
AP
AP
AP

80M
80M
80M
58W
lOW
90W
150M
150M
50W
50W
SOW
0.2W
0.2W
0.2W
120M
150M
150M
500M
500M
150M
150M
85W
85W
48W

A
A
A
C
A
J
A
A
C

71
71
71
95
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
200
200
95

24
25
25
80
50
50
25
40
20
40
30
50
50
50
40
25
40
60
80
25
40

S.
SH
SH
SH
SH
SH
SH
SP
A
SP
AP
AP

25M
150M
150M
200M
200M
200M
200M
50M
150M
250M
25W
35W

100
85
85
100
100
100
100
55
100
100
90
95

6.0
10
10
30
30
30
30
105
105
105

S

..... .... r., ,

G
G

USE

2N359
2N1192
2N1191
2Nl192
2N1192

Po

REF.

2N5068
2N5068
2N1550

L.W+U.I..

2N402
2N403
2N404
2N404A
2N405
2N406
2N407
2N408
2N409
2N410
2N411
2N412
2N413
2N413A
2N414

REPLACE·
MENT

N
N
N
N
N
N
P
P
P
P
P
P
P
P
P
P
P
N
N
N
N
N
N
P

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

'n

A
A
S
S
A
A
A
A
AH
AH
AH
AH
AH
AH
AH

~5l.T

180M
180M
150M
150M
150M
150M
150M
150M
80M
80M
80M
80M
150M
150M
150M

C
C
A
A
A
A
A
A
C
C
A
A
C
C
C
A
A
A
A
A
A
A
A
A
A
C
C
r
A
A
A
A
A
A
A
A
A
A
A
A
A
·A
A

40
40
40
25
10
18
20
15
20
12
15
J8

25
10
20
30
20
30
20
30
25

30
30
18
28

60
40
40
20
40

71
71

71
71
71

71
71
71
85
85
85

0
0
0
0
0
0
V
V
V
0
S
0
S

(min) (max)

(volts)

@Ic

~
=>

f

hf _

0.9~~
1
700M
0.7A
700M
0.7A
l.OA
l.OA

R

20
20
20
25
20
25
100

50
50
50
75
50
75
300

100M
100M
200M
200M
300M
300M
50M

R
R

50
25

150
75

50M
50M

0
0
0
0
0
0

VCEISATI

O.
0.9
9, C
0.966

60
60
90
90
140
150

R
R
U
U

.~

=>

20
20
25
25
30
40

i~

S
0
S
V
V

R
R
R

6.0
10
30
15
20
20
15
105
105
105
35
20

S
0
0
R
R
0
R
S
S
S
R
0

35
20
20

R
0
0

35

0

18
15
15

0
0
0

25
25
25
40
20
20
20
20
13
13
13
13
30
30
30

2-7

0
R
V
V
V
R
V
R
R
R

20
20
30
20
30
30
40
20
20
20
40

30

180
200
60
60
150
150
120
150
150
150
150

300

l.OA
700M
0.7A
30M
30M
2.0A
2.0A
2.0A
20M
20M
20M
l.5M
30M
30M
2.5A
2.5A
30M
0.30M
l.OA
l.OA
3.0A

U)

30M
30M
60M

M
M
M

l. 75

3.0A

5.0K

E

4.0A

0.15
0.2
0.2
0.2
0.2
0.2
0.2

5.0M
100M
100M
200M
200M
300M
300M

5.0K
10K
7.0K
8.0M
8.0M

E
E
E
M
M

l.OM
l.OM
l.OM
300K
400K
500K

B
B
B
B
B
B

9. C E
9. C E

l.0

2.0A

7.0K

E

l. 75
0.5

5.0A
200M

5.0K
5.0M

E
B

l.0
l.0
l.0

2.0A
2.0A
2.0A

5.0K
5.0K
5.0K

E
E
E

4.0M
4.0M
7.0K
6.0K
5.0M
5.0M

B
B
E
E
B
B

l.OM

T

25M
4.0M
4.0M
3.0M
5.0M
5.0M
10M

B
B
B
B
B
B

35 E
7C E
9[ E

0.75
0.5

l.OA
3.0A

50M
10M
10M
10M
10M
10M
10M
5.0M
5.0M
5.0M

0.07

8.0M

0.5A

12M

~ .g

=>

8
8
E
8
B
E
E
E
E

E
E
\~ EE
4 E
E
E
4~ E

90
120
120
60
60
80
70
70
65
95
120
175
110
110

-:;.

.~

U)

~~

35
35
35
20
20
40
20
30
35
60
75
20
30
30
20
20
60
60
12
12
60

L

l. 75

0
0

25
25
25
40
25
40
60
80
20
40
60
60
40

qn

85
85
85
100

U)

@ Ic

\~

60

25

I

hFE

0.2
0.2
0.2
0.2

50M
50M
50M
50M

0.35
0.25
l.0

0.8

5.0M
5.0M
l.2A
l.OA

l.0

l.2A

0.15

12M

4

E

T

2
4

E
E

l.OM

B

2

E

3.0K

E

4.0M

B

2N414A-2N487
MAXIMUM RATINGS

~

TYPE

:s i=
ffi C2 REPLACE·
MENT
!;C S
c
:IE

•

2i'1414A
2N414B
2N414C
2N415
2N415A
2N416
2N417
2N418
2N419
2N420
2N420A
2N422
2N422A
2N424
2N424A
2N425
2N426
2N427
2N428
2N428A
2N438
2N438A
2N439
2N439A

G
G
G
G
G
G
G
G
G
G
G
G
G
S
S
G
G
G
G
G
G

...
P
P
P
P
P
P
P
P
P
P
P
P

G
G
G
G

P
N
N
P
P
P
P
P
N
N
N
N
N
N
P
P
P
N
N
N
N
N
N
N

2N447A
2N447B
2N448
2N449
2N450
2N456
2N456A
2N456B
2N457
2N457A
2N457B
2N458
2N458A
2N458B
2N459
2N459A
2N460
2N461
2N462
2N463
2N464
2N465
2N466
2N467

G
G
G
G
G
G
G
G
G
G
G
G

N
N
N
N
P
P
P
P
P
P
P
P

G
G
G
G
G
G
G
G
G
G
G
G

P
P
P
P
P
P
P
P
P
P
P
P

2N469
2N469A
2N470
2N471
2N471A
2N472
2N472A
2N473
2N474
2N474A
2N475
ZN475A
2N476
2N477
ZN478
ZN479
2N479A
2N480
2N480A
2N481
2N482
2N483
2N484
2N485
2N486
2N487

G
G
S
S

P
P
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
P
P
P
P
P
P
P

2N440
2N440A
2N441
2N442
2N443
2N444
2N444A
2N445
2N445A
2N446
2N446A
2N447

G
G
G

G
G
G
G
G

G
G
G

S

S
S

S
S
S
S
S
S
S
S
S
S
S
S
G

G
G
G
G
G
G

REF.

2N1537

2N1529

2N1535
2N1537
2N651
2N5069
2N5069

2N1529
2N1529
2N650
2N5069
2N5069

USE

2N441
2N441
2N441

2N456A

2N456A
2N456A
2N456A

2N456A
2N376A
2N376A
2N460
2N460
2N1551

2N2221
2N22Z1
2N2221
2N2221
2N2221
2NZ221
2N2221
ZN2ZZ1
ZN22Z1
2NZZ21
2N2ZZ1
2N2221
2N2221
2N2221
2N2221
2N2221
2N2221

2N1539
2N464
2N464
2N464
2N464

2N2218
2N2218
2N2218
2N2218
2N2218
2N2218
ZN2218
ZNZZ18
2NZ218
ZN2218
2N2218
2NZ218
2N2218
2N2Z18
2N2218
2N2218
2N2218

TJ

VCB

VCE _

@25'C &l

'C

(volts)

(volts)

....'"

AH
AH
AH
AH
AH
AH
AH
SP
AP
SP
SP
A

150M
0.2W
0.2W
150M
150M
150M
150M
25W
35W
25W
25W
150M

A
A
A
A
A
A
A
C
C
C
C
A

85 30
100 30
100 30
85 30
85 30
85 30
85 30
100 100
95 25
100 65
100 90
85 35

A
AP
AP
S
S
S
S
S
S
S

175M
85W
85\1
175M
175M
175M
175M
0.15W
O.lW
0.15\!
O.lW
0.15W
O.lW
0.15W
sow
sow
sow
100M
150M
100M
150M
100M
150M
100M

A
C
C
A
A
A
A
A
A
A
A
A
A
A
C
C
C
A
A
A
A
A
A
A

100
200
200
85
85
85
85
100
85
85
85
85
85
85
95
95
95
85
100
85
100
85
100
85

150M
150M
65M
65M
150M
sow
15 OW
15 OW
sow
15 OW
15 ow
sow

A
A
A
A
A
C
C
C

100
100
85
85
85
95

A
S
AP
A
A
A
A

15 OW
150W
sow
106W
0.2W
0.2W
150M
37.5W
150M
150M
150M
150M

C
C
C
C
A
A
A

AL
AL
AH
A
A
AH
A
A
A
A
A
A
A
A
AH
AH
A
AH
A
AH
AH
AH
AH
AH
AH
A

SaM
50M
0.2W
0.2W
0.2W
0.2W
O.ZW
0.2W
0.2W
O.ZW
O.ZW
O.ZW
0.2W
0.2W
O.ZW
0.2W
O.ZW
0.2W
0.2W
150M
150M
150M
150M
150M
150M
100M

S
S

S
S
AP
AP
AP
A
A
A
A
S
S
S
S
S
AH
AH
SH
AP
AP
AP
AP
AP
AP
AP

AP
AP
SP
SP

"

ELECTRICAL CHARACTERISTICS

0;

Po

C
C

c

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

15
24
24
10
10
12
10
75
20
40
65
20

f
'"
V
0
0
0
0
R
0

R
R
0

0

0
a
0

30
25
15
15
20
40
40
40
60
60
60
80

12
12
15
15
12
40
40
30
60
60
40
80

80
100 80
100 60
110 105
100 45
100 45
75 40
100 60
85 45
85 45
85 35
85 35
75 6.0
85 20
200 15
200 30
200 30
200 45
200 45
200 15
ZOO 30
ZOO 30
200 45
ZOO 45
200 15
200 30
ZOO 15
ZOO 30
200 30
ZOO 45
200 45
85 12
85 12
85 12
85 12
85 12
85 12
85 18

100
95

..
~

VCEISATI@lc
(volts)

..
~

V

30
30
30
30
30
30
30
30
30
30
30
40
50
60
15
40
15
30
15
30
15

100
95

(min) (max)

0

20
80
80
20
10
15
12
18
25
25
20
20
15
15
40
45
50
15
25
12
18
10
15
6.0

35

hFE @ Ic

a
R
R
0
0
a
0
a
a
0
0
0
0
0
S
S
S
a
0
a

40
50
40
40

350

60
60
40
60
80

4.0A
0.5A
4.0A
4.0A

4.0A
1. 5A
4.0A
4.0A

0.75
0.32
0.32
0.32
0.32
0.32

LOA
100M
100M
150M
200M
0.2A

=

.~

-g

'"

25

E

3.0K

E

30

E

40

20M

40

160

20M

35

E

60

250

20M

60

E

0
0
0
0
0
X
0
0
X
0
0
X

80
80
8.0
34
30

300
300
51

20M
20M
100M
100M
10M

85
150

E
E

30
30

90
90

5.0A
5.0A

30
30

90
90

5.0A
5.0A

80
45

0
0

60

0

90
90
70
70
32
100

5.0A
5.0A
2.0A
2.0A

60
40
30
20
15

30
30
20
40
16
32
20
20

a
0
0
a

15
15
30
30
45
45
15
30
30
45
45
15
30
15
30
30
45
45
12
12
12
12
12
12
18

R
0
0
0
a
0
0
a
0
0
0
0
0
0
a
0
0
0
0
0
a
a
a
a
R

2-8

'"
B
B

20

SaM

105M

B

100M
2.5M
3.0M
5.0M
10M
10M
2.5M
2.5M
5.0M
5.0M
10M
10M

T

8
B
B
B
B
B
B
B
B
B

0.5M
O.SM
0.5M
2.0M
5.0M
5.0M
5.0M

B
B
B
B
B
B
B

9.0M
9.0M

B
B

B

12A
15

E

0.2
1.0
0.5
0.5
1.0
0.5
0.5
1.0

10M
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A

5.0M

B

4.0K
200K

E
T

4.0K
200K

E
T

0.5
0.5
1.0
0.3

5.0A
5.0A
2.0A
2.0A

4.0K
200K
5.0K
5.0K

E
T
E
E

500K
4.0K

B

E

8.0M
8.0M
8.0M
8.0M
8.0M
8.0M
8.0M
8.0M
8.0M
8.0M
12M
12M
20M
ZOM
20M
20M
20M

T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T

E

10M

T

200M
2.0A

E

100M
1.5
1.5
La
1.5
La
1.5
1.5
La
1.5
1.0
1.5
1.5
1.5
1.5
1.0
1.5
1.0

10
10

10
10

20

.E!-

4.0M
4.0M

40
40
40

10

.. I

~
·c

E
E

50M
50M
50M
50M
50M
50M
5.0A
5.0A
5.0A

1.0

L

40
40

12
12
20
30
40
60
80
20
20
30
30
40
40
20
20
20

60

LOA
LOA

2.0
0.8
2.0
2.0

hi _

100M

5.0M
5.0M
5. OM
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M

30
10
10
10
10
10
20
ZO
20
20
20
30
30
40
40
40
40
40

10

E

E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E

2N489-2N549

....

ffi ;;;; REPLACE·
!;;: :3
MENT
co
::E

2N489
thru
2N494
2N495
2N496
2N497
2N497A
2N498
2N498A
2N499
2N499A
2N500
2N501
2N50lA
2N502
2N502A
2N5028
2N503
2N504
2N506
2N507
2N508
2N508A
2N509
2N511
2N51lA
2N5118
2N512
2N512A
2N5128
2N513
2N513A
2N5138
2N514
2N514A
2N5148
2N515
2N516
2N517
2N518
2N519
2N519A
2N520
2N520A
2N521
2N521A
2N522
2N522A
2N523
2N523A
2N524
2N524A
2N525
2N525A
2N526
2N526A
2N527
2N527A
2N528
2N529
2N530
2N531
2N532
2N533
2N534
2N535
2N535A
2N535B
2N536
2N537
2N538
2N538A
?N'i1Q

2N539A
2N540
2N540A
2N541
2N54lA
2N542
2N542A
2N543
2N543A
2N544
2N545
2N546
2N547
2N548
2N549

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

:$ ~

TYPE

...

REF.

USE

PD

~ TJ

...

@25·C -:;;

'"

·C

VCE _

Vca

(volts) (volts)

i'"

hFE @ Ic
(min) (max)

.~

VCElsAn@lc

=> (volts)

~
=>

hl _

i'"

1;;.

'- 1!·c
~
=> .7l

Unij unction Transistors, see Table on Page 2-86
S
S
S
S
S
S
G
G
G

G
G
G
G
G
G
G
G
G
G

G
G
G

G
G
G

G
G
G

G
G
G

G
G
G
G
G
G

G
G
G
G

G
G
G
G
G
G
G
G

G
G
G
G

G
G

G
G

G
G
G
G
G

G
G
G
G
G
G
G

"GG
G

S
S
S
S
S
S
G

S
S
S
S
S

p
p
N
N
N
N
p
p
p
p
p
p
P
P
P
P
P
N
P
P
P

P
P
P
P
P
P
P
P
P
P
P
P

2N3323

2N4237
2N4238
2N4237
2N5681
2N499
2N499
2N3323

2N3284
2N3323

2N499
2N499
2N499
2N3283
2N3323

2N4238
2N4238
2N4239
2N5681

2N322
2N508A
2N1554
2N1555
2N1S56
2N1558
2N1559
2N1560
2N1l63
2N1l65
2N1l67
2N1l63
2N1l65
2N1l67

N
N
N
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
p
p
p
p
p
P
P
P
p
p
p
P
P

2N1l89
2N1l92
2N1l92

150M
150M
4.0W
5.0W
4.0W
5.0W
30M
60M
50M
60M
60M
60M
75M
75M
25M
30M
50M
50M
200M
0.2W
225M

AP
AP
SP
AP
AP

150W
150W
150W
150W
150W
150W
150W
150W
150W
80W
80W
80W

2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1162
2NU62
2N1162
2N1162
2N1162
2N1162

AP
AP
SP
SP
SP
SP

2N524
2N524
2N524
2N524
2N524
2N524
2N524
2N524

AH
AH
AH
·S
S
S
S
S
S
S
S
S
S
S
A
A
A
A
A
A
A
A

2NU89
2NU91
2N1191

2N1193

2Nl191

2N2140
2N2140

2N2137
2N2137

P

?N?141i

P
P
p
N
N
N
N
N
N
p
N
N
N
N
N

2N2145
2N1551
2N1551
2N2221
2N2221
2N2221
2N2221
2N2221
2N2221

?N?117
2N2137
2N1539
2N1539
2N2218
2N2218
2N2218
2N2218
2N2218
2N2218

2N4238
2N4237
2N4238
2N4237
2N4238

AH
S
A
A
A
A
AH
AH
AH
SH
SH
AH
AH
AH
AH
AH
A
A
A
A
AH

2N4237
2N4237
2N4237
2N4237

sp

A
A
A
A
S
AH
SP
SP
~p

SP
SP
SP
AH
A
AH
A
AH
A
AH
AH
AH
AH
AH
AH

A
A

C
C
C
C
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

140 25
140 10
200 60
200 60
200 100
200 100
85 30
100 30
85 20
100 15
100 15
85 20
100 30
100 30
85 20
85 35
85 40
85 40
100 18
100 30
100 30

C
C

25
10
60
60
100
100
18
18
15
12
12
20
30
30
20
25
18
30

U
U
0
0
0
0
0
0

S
S
S
S
S
S
S
R

S

15
12
12
12
12

36
36
36
36

10M
10M

20
30

20
25
25
99
100
20
20
20
20
20
20
20
20
20

15M
200M
200M
200M
200M

200
50
50
198
200

10M
50M
SOM
20M
20M

60
60
60
60
60
60
60
60
60

lOA
lOA
lOA
15A
15A
15A
20A
20A
20A

C
C
C

95
95
95

40
60
80
40
60
80
40
60
80
40
60
80

50M
50M
50M
0.15W
100M
150M
100M
150M
100M
150M
100M
150M
100M
150M
225M
225M
225M
225M
225M
225M
225M
225M
2.5W
100M

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
C
A

75
75
75
85
85
100
85
100
85
100
85
100
85
100
100
100
100
100
100
100
100
100
100
85

20
20
20
45
15
25
15
25
15
25
15
25
15
20
45
45
45
45
45
45
45
45
40
15

18
18
18
12
15
18
12
15
10
12
8.0
10
6.0
6.0
30
30
30
30
30
30
30
30
40
15

100M
100M
100M
100M
25M
50M
50M
50M
50M

A
A
A
A
A
A
A
A
A

J
J

15
15
15
15
50
20
20
20
20
30
80
80

15
15
15
15
50
20
20
20
20

34W
34W
14W
llW
34W
34W
0.2W
0.2W
0.2W
0.2W
0.2W
0.2W
80M
5W
5W
5W
5W
5W

85
85
85
85
65
85
85
85
85
100
95
95

60
60

20
20

50
50

2.0A
2.0A

.T

q~

95
95
95
200
200
200
200
200
200

80
80
80
80
15
15
30
30
50
45
34
60
30
60
30
60

55

J
J
J

30 75
30 75
45 113
45 113

2.0A
2.0A
2.0A
2.0A

C
C
C
C
C
C

C

A
A
A
A
A
A
A
C

C
C
C
C

71
200
200
200
200
200

40
60
80

X
X
X
R
R
R

55
55
55
15
15
30
30
50
45
60
30
60
30
60

2-9

0
0
0
0
0
0
0
0
0

a
0

R
R
R
R
R
R
R
R

60
20

10M
50

20M

40 170

20M

60 250

20M

80 320

20M

100 400
25 42
25 42
34 65
34 65
53 90
53 90
72 121
72 121
20

20M
20M
20M
20M
20M
20M
20M
20M
20M
0.5A

0.15

0.2
0.2

0.6
0.6

0.5
0.5
0.5
0.75
0.75
0.5
1.25
1.25
0.5
1.25
1. 25
1.25

0.15

0.13
0.13
0.13
0.13
0.13
0.13
0.13
0.13

5.0M

15
9.0

E
E

8.0M
7.2M

M
T

20

E

120M
120M

T
T

9.0
15
20
9.0
16

E
E
E
E
E

90M
90M
220M
220M

T
T
T
T

75
0.96

E
8

168M
50M
600K
600K
2.SM
2.5M
400M

T
M
8
8
8
8
T

260K
260K
260K
260K
260K
260K
300K
260K
260K

T
T
T
T
T
T
T
T
T

2.0M
2.0M
2.0M
10M
0.5M
0.5M
3.0M
2.0M
8.0M
8.0M
15M
15M
21M
21M
800K
0.8M
100M
100M
103M
103M
105M
105M

8
8
8
8
8
8
8
8
8
8
B
8
8
8
8
8
8
8
8
8
8
8

100M

8

10M
8.0M
10M
10M
10M
10M

T
T
T
T
T
T

4.0M
4.0M
4.0M

T
T
T

10M
10M

SOM
SOM

lOA
lOA
lOA
15A
15A
lOA
20A
20A
lOA
25A
25A
25A
4.0
4.0
4.0

E
E
E

15
15
20
40
35
70
60
100
80
125
16
18
30
30
44
44
60
60

E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E

35
35
35
35

E
E
E
E

0.9

8

80
80
80
80
80
80

E
E
E
E
E
E

10M

20M
20M
20M
20M
20M
20M
20M
20M

0
0
0
0
0
U
U
U
U
U

100

U
0

20

0
U
0

20

0
0
0
0
0

15
15
20
20
20

u

30M

20

80
80
80
80
80

0.5A
0.5A
0.5A
0.5A
0.2A

0.13
4.0
0.6
0.6

10M
70M
2.0A
2.0A

0.6
0.6
0.6
0.6
1.5
1.0
1.5
1.0
1.5
1.0

2.0A
2.0A
2.0A
2.0A
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M

5.0
3.0
5.0
3.0
4.0

0.5A
0.5A
0.5A
0.5A
0.2A

•

2N550-2N635

...ffi ...
... S
~

TYPE

~

>-

:E ~

2N550
2N551
2N552
2N553
2N554

•

2N555
2N556
2N557
2N558
2N559
2N560
2N561
2N563
2N564
2N565
2N566
2N567
21,)68
2N569
2N570
2N571
2N572
2N573
2N574
2N574A
2N575
2N57 SA
2N576
2N576A
2N577
2N578
2N579
2N580
2N581
2N582
2N583
2N584
2N585
2N586
2N587
2N588
2N588A
2N589
2N591
2N592
2N593
2N594
2N595
2N596
2N597
2N598
2N599
2N600
2N601
2N602
2N603
2N604
2N605
2N606
2N607
2N608
2N609
2N610
2N611
2N612
2N613
2N614
2N615
2N616
2N617
2N618
2N619
2N620
2N621
2N622
2N624
2N625
2N626
2N627
2N628
2N629
2N630
2N631
2N632
2N633
2N634
2N634A
2N635

S
S
S
G
G
G
G
G
G
G

S
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G

G
G
G
G
G
G

G
G
G
G
G
G

G
G
G
G
G
G
G

G
G
G
G
G
G
G
G

G
G
G
G
G
G
G
G
G
G
G

G
G
G
G

S
S
S
S
G
G
G
G
G
G

G
G
G
G
G
G
G

MAXIMUM RATINGS
REPLACE·
MENT

REF.

2N4237

P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
N
N
P
P
P
P
P
P
P
P
P
P

2N650
2N650
2N651
2N651
2N651
2N651
2N1l93
2N1l92
2N1l93

2N4237

2N178
2N178

2N5591

2Nl193

2N1550
2N1551
2N1554
2N1555

2N650
2N650
2N650
2N650
2N650
2N650
2N1l91
2N1l91
2N1191
2Nll91
2N1539
2N1539
2N1539
2N1539

S
S
S
S
S

s
S
S

s
S

A
SP
SP
SP
SP
S
S
S

S
S

S
S
S

2Nl191

2N1l91

2N3324

2N3323

2N1532
2N1192

2N1529
2N1l91

S
S
S
S
AH
AH
AP
A
S
S
S

2N3427
2N3427
2N3428
2N3427
2N3428

2N1193
2N1l93
2Nl192
2Nl191
2Nl191

2N3427
2N3427
2N3427
2N3427
2N3427

2N1l91
2N1l91
2N1l91
2N1l91
2N1l91

2N375
2N2222A
2N2222A
2N2222A

2N2218
2N2218
2N2218

N

P
P
P

P
P
P
P
P
N
N
N

AH
AH
AH
SP
AP
AP
S
S
S
S
S
A

AL

N

N
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
N
N
N
N
P

PD
@25'C

N
N
N
P
P
P
N
N
N
P
N
P

P
P
P
P
P
P
N
N

USE

2N1l94
2N1l93
2Nll92

2N1l91
2N1l91
2N1l91

S
S
S
S

s

SP
SP
SH
SH
SH
AH
AH
AH
AH
A
A
A
A
A
AH
AH
AH
AH
AP
A
A
A
AN
AH
S
AP
AP
AP
AP
A
A
A
SH
S

SH

5"
5W
5W
35W
40W
lOW
100M
100M
100M
0.15W
500M
50W
150M
120M
150M
120M
150M
120H

150M
120M
150M
120M
0.2W
180W
180W
180W
180W
200M
200M
25M
120H
120H
120M
80M
120M
80H
120N
120N
250N
150N
30N
60N
90W
SON
125N
125N
lOON
lOON
lOON
250N
250N
250N
750N
750N
120N
120N
120N
o.12W
0.12W
0.12W
0.12W
180H
180N
180N
180N
180N
180N
180N
180N
180N
90W
175N

."...

(volts)

~

30
60
30

0
0
0

20
20
20
40

80
80
80
80

0.2A
50M
SOH
SOON

4.0
2.0
2.0
0.9

0.2A
SOH
50M
3.0A

20
20
15
15
60
50
25
25
25
25
25
25
20
20
10
10
25
55
60
50
55
20
20

x
x
x

35
20
60

70

LOM
LOM
LOM

S
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

20
20

0.5
0.5
0.75
0.3
0.5

200M
200M
200M
10M
10M

0.2
0.2
0.5
0.5

lOA

'C

C
C
C
C
J
J
A
A
A
A
A
A

200
200
200
95
90
90
85
85
75
100
150
100

30
60
30
80

A
A
A
A
A
A
A
A
A
A
A
J
J

85
85
85
85
85
85
85
85
85
85
100
100
100
100
100
100
100
55
71
71
71
71
71
71
71
71
85
100
85
85
100
71
85
85
85
85

'"

J

J
A
A
A
A
A
A
A
A
A

A
A
A
A
A
A
C

A
A
A
A
A
A
A
A
A
C
C

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
C

A

175N
175N
385N
lOON
lSOOM

A
A
A
A
C

90W
90W
90W
90W
16?H
16?H
16?H
150H
2.5N
150H

C
C
A
A
A
A
J
A

C
C

hFE @Ic

VCB
(volts)

~

ELECTRICAL CHARACTERISTICS
VCE _ ~

TJ

40
25
20
15
15
80
30
30
30
30
30
30
30
30
30
30
40
60
80
60
80
20
40
25
20
20
20
18
25
18

160
160
160
100
100

25
25
45
40
15
15
100
32
20
40
20
15
10
45
35
30
35
30
20
30
30
15
15
15
15
25
25
25
25
25
20
20
15
15
80
50
50
50
50
30
40

100
100
100
100
85
85
85
85
85
85

40
60
80
100
25
36
35
20
25
20

85
100
100
100
100
100
85
85
85
85
85
85
85
85
85
85
85
85
85
85
85
85
95
160

R
R

(min) (max)

10
40
35
20
35
20
20
20
20
15
15
15
15
15
15
15
15
15
15
15
12
12
60
40
35
30
30
20
30
30
45
60
75
20
20
30
20
20
20

2-10

R

S
S
S
0
0
0
0
0
0
S
S
S
S
S
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
S
0
0
0
0
S
S
S
S
S
S

VcElSAn @Ie

~
:-

hf _

f
on

L

-;;;.

~
:-

."
~

on

4.0M
3.0H
3.0H

T
T
T

5.0K

B

2.0M
5.0M

B
B

3.0M
5.0M
10M
4.0M
14M
4.0M

B
B
B
B
B
B

14M
3.0N

B
B

200N
200N
5.0K

N
N

20
30
20
35

1. 5H
3.0N

E
E

50
40
70
100
70
100
20
30
40

80
100
140

5.0N
3.0N
5.6N
ION
5.6N
ION
10M
30N
50N

60
9.0

140
22

18
36

44
88

10
10
30
30
50
50
70
70
100
100
30
9.0
9.0
19
19
20
20

50

300
22
22
42
42
60
60

50M
lOA
lOA
lOA
lOA
400M
400M
400N

400M
400N

20M
20M
20H

40
20
35
20
30
20

100M
4.0A

30
30
50
50
70
70
100
100

10
20
30
20
40
20

20
15
15
75
32
20
30
20
15

::l

;§ (volts)

20N
20N
250N

40

225
225

o. t~

0.4
0.3
0.3
0.3
0.3

IDA
25A
25A
400M
400H
400M

400H
400H
20M
100H
20M

200M

0.3
0.2
0.5
0.5

lOON
20N
250N
200N

10N
3.0A

0.2
1.5

ION
3.0A

lOON
lOON
lOON
lOON
100H

0.2
0.2
0.2
0.2
0.2
0.25
0.25
0.25

10N
10N
10H
ION
10N
lON
ISH
20N

LOA
5.0N
5.0N
5.0N

0.8
0.5
0.4
0.3

2.0A
8.0M
8.0N
8.0H

SOON

1.0

SOON

20
20

E

5.0K
200K
250K
300K
300K
20N

10
10
10
10

30
30
30
30

lOA
lOA
IDA
IDA

1.0
1.0
1.0
1.0

lOA
lOA
lOA
IDA

5.0K
5.0K
5.0K
5.0K

15
40
25

120

200N
ION
200N

0.2

ION

5.0N
5.0N
10N

E

E

B
T
T
T
T
T
T
T

E

B
B

B
B
E

E
E
E

E

R
R
R

0
R

0

B

B
B

2N635A-2N710

....
s
TYPE

!;

~ :3'"
::Ii! a..
c:>

2N635A
2N636
2N636A
2N637
2N637A
2N637B
2N638
2N63BA
2N638B
2N639
2N639A
2N639B
2N640
2N641
2N642
2N643
2N644
2N645
2N646
2N647
2N649
2N650
2N650A
2N651
2N651A
2N652
2N652A
2N653
2N654
2N655
2N656
2N656A
2N657
2N657A
2N658
2N6.59
2N660
2N661
2N662
2N663
2N665
2N669
2N670
2N671
2N672
2N673
2N674
2N675
2N677
2N677A
2N677B
2N677C
2N67B
2N678A
2N678B
2N678C
2N679
2N680
2N681
thru
2N692
2N694
2N695
2N696
2N696A
2N697
2N697A
2N69B
2N699
2N699A
2N699B
2N700
2N700A
2i.~,u2

2N703
2N705
2N705A
2N706
2N706A
2N706B
2N706C
2N707
2N707A
2N708
2N708A
2N709
2N709A
2N710

G
G
G
G
G
G

G
G
G

G
G
G
G
G
G
G
G
G
G
G
G

G
G
G
G
G
G
G
G
G

5
5
5
5
G
G
G
G

G
G
G
G
G
G
G

G
G
G
G
G
G

G
G
G
G
G
G

G

N
N
N
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
N
N
N
P
P
P
P
P
P
P
P
P
N
N
N
N
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
N
P

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS
REPLACE·
MENT

REF.

USE

SH

5

SP
SP
SP
SP
SP
SP
SP
SP
SP
A
A
A

MP277
MP278
MP279
MP1338
MP1338A
MP1338B
MP259
MP260
MP261

2N4238
2N4238
2N5681
2N5681

2N2955
2N2955
2B2955

2N650
2N650
2N650
2N650
2N650
2N650
2N653
2N653
2N653
2N4237
2N4237
2N5681
2N5681

5
5
5
A
A
A
A
A
A
A
A
A
A
A
A
A

A
A

A

5
5
5
5
5
51>

2N3428
2N3428
2N3428
2N3428
2N3428
2N3428
MP439
MP439A
MP439B
MP!i19C
MP327
MP328
MP329
MP330
2Nl191

~

@25"C &!
5

2N2955
2N2955
2N2955

Po

2N665
2N176
2N3427
2N3427
2N3427
2N3427
2N3427
2N3427

SP
AP
A
A
A
A
A
A
AP
AP
AP
AP
AP
AP
AP
AP

5

2N1l91

A

2.5M
150M
2.5M
60W
60W
60W
60W
60W
60W

3m
37W
37W
80M
80M
80M
120M
120M
120M
100M
100M
100M
0.2W
0.2W
0.2W
0.2W
0.2W
0.2W
0.2W
0.2W
0.2W
4.0W
5.0W
4.0W
5.0W
167M
167M
167M
167M
167M
35W
35W
62.5W
300M
800M
300M
800M
300M
800M
90W
90W
900
900
90W
900
900
90W
150M
150M

J
A
J
C
C
C
C
C
C
C
C
C
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
C
C
C
C
A
A
A
A
A
C
C
C
A
C
A
C
A
C
C
C
C
C
C
C
C
C
A
A

TJ
"C
85
85
85

YCB

(volts) (volts)
25
20
25

100
100
100
100
100

100
100
100
100
71
71
71
71
71
71
85

71
71
100
100

100
100
100
100
100
100
100
200
200
200
200
85
85
85
85
85
100
95

100
85
85
85
85
85
85
100
100
100
100

100
100
100
100
85
75

YCE-

34
34
34
30
30
30
25
25
20
45
45
45
45
45
45
30
30
30
60
60

100
100
25
25
25
25
25
50
80
40
40
40
25
25
75
75
50
60
90
100
50
60
90
100
25
20

20
20
15
35
65
75
35
65
75
35
65
75

25
25
18
30
30
30
30
30
30
25
25
25
60
60

100
100
16
14
11

9.0
11
25
40
30
40
40
25
25
75
75
30
40
70
80
20
30
60
70
20
20

f
en

hFE @ Ic
(min) (max)
80
35

240

R 100
R 30
R 30
R 30
R 20
R 20
R 20
R 15
R 15
R 15

300

R

0

0
0
0

20
20
20
50

0
0
0
0
0
0

30
33
45
45
80
80
20
40
70
30
30
30
30
25
40

0
0
0
0
0

60
80
30
25
40

R
R
R
R
R
R
R
R
R

5
V
V
5
5
V
V
5

5
5
5
0
0
0
0
X

5

40
40
40
40
20
20
20
20
50
50
50
50
20
18

60
60
60
40
40
40

150

90
90
90
90
80
110

~

"a

:0

10M
200M
10M
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A

75
80

250
250
60
60
60
60

100
100
100
100
165

(volts)

:i
10M

0.15

10M
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A

2.0
2.0
2.0
2.5
2.5
2.5

f
en

:0

0.2
1.5
1.5
1.5

h,_

10M
10M
10M
10M
10M
10M
10M
10M
10M
200M
200M
200M
200M

500M
500M
0.5A
LOA
LOA
1.0A
LOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
IDA
30M
50M

0.25
0.25

0.25
0.25

0.25
0.25

50M
50M
50M
50M
50M
50M

30
30
50
50

100
100
30
50

100

L

1;;.

:i
:0

·c

J

10M
15M
15M

B
B
B

20M
20M
20M

T
T
T

E
E
E

0.75M
0.75M
loOM

E

E
E
E
E
E
E

loOM

B

10M
10M
10M
30M

150

250
250
250

YCElsAll@le

B
E

3.45
3.5

150M
250M

2.5M
5.0M

B
B

3.5
3.5
3.4
1.0

400M
550M
180M
3.0A
3.0A

10M
15M
4.0M
15K
20K
3.0K
500K
500K

8
B
B

0.9
0.35
0.35
0.2
0.2
0.35

0.35
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

0.3
0.75

LOA
LOA
400M
400M
LOA
LOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
100M
50M

E
E
E

B
B

400K
400K

B
B

2.0M

B

15

E

0.9

B

340M

T

15

E

40M
40M
40M

25
15
35
35
35
4.0
4.0

E
E
E
E
E
E

T
T
T
T

270M

T
T
T
T

E

,"OM

'I"

70M
70M

T
T

200~

T
T
T
T

Thyristors, see Table on Page 2 .. 66
G
G
5
5
5
5
5
5
5
5
G
G
5
S
G

G
5
5
5
5
5
5
5
5
5
5
G

P
P
N
N
N
N
N
N
N
N
P
P
i,
N
P
P
N
N
N
N
N
N
N
N
N
N
P

AH
SH
2N2218
2N2218
2N3498
2N3498
2N3498

2N696
2N2218
2N696
2N2218
2N3498
2N699
2N3498
2N3498
2N700
2N700
IN/Ul

2N702
2N705

2N835

2N834

2N706
2N706
2N706
2N834
2N707
2N707
2N708
2N834
MM709

5
5
5

5
S
S
S

5
AH
AH
SH
SH
SH
SH
SH
SH
SH
SH
AHP
AH
SH
SH
SH
SH
SH

O.lW
75M
600M
800M
600M
800M
800M
600M
800M
870M
75M
75M

A
A
A
A
A
A
A
A
A
A
A
A

jUUM A

300M
300M
150M
300M
300M
300M
360M
300M
500M
360M
360M
300M
300M
300M

A
C
A
A
A
A
A
A
A
A
A
A
A
C

100

100
175
300
175
300
200
175

300
200
100
100
1/,
175

100
100
175
175
175
200
175
175

200
200
200
200
100

30
15
60
60
60
60

120
120
120
120
25
25
25
25
15
15
25
25
25
40
56
70
40
50
15
15
15

15
15
40
35
40
35
80
80
80
100
20
25
25
25
15
15
20
20
15
20
28
40
20
30

0

5
R

0
R

0
R
R
R
R

5

o
0
0
S
5
R
R

0

R
R 9.0

o

R
R

6.0
6.0

0
0

15

5

2-11

10
25
20
20
40
40
20
40
40
40
1. 5
1.5
20
40
25
25
20
20
20
20

60
60
120

120
60

120
120
120
60

100

60
60
60

9.0

50

30
40
20
30
25

120
120
120
90

2.0M
10M
150M
150M
150M
150M
150M
150M
150M
150M
2.0M
6.0M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M

10*

1.0
1.5
1.5
1.5
1.5
1.2

5.0

5.0
1.2

0.5
0.5
0.3
0.3
0.6

0.6
0.4
0.4
0.6

0.6
0.4
0.15
0.3
0.3
0.5

50M
150M
150M
150M
150M
50M
150M
150M
50M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
3.0M
3.0M
10M

50~

40~

~gt
60~

20~~
200
200~
70~

30~t
300
60~~
BOO~

T

T
T
T
T

T

2N710A-2N780
MAXIMUM RATINGS

~

:s !;
TYPE

ffi

=<

'"'

•

:3c
c.

2N710A
2N711
2N711A
2N711B
2N71S
2N716
2N717
2N718
2N718A
2N719
2N719A
2N720

G
G
G
S
S
S
S
S
S
S
S

2N720A
2N721
2N721A
2N722
2N722A
2N725
2N726
2N727
2N728
2N729
2N730
2N731
2N734
2N734A
2N735
2N735A
2N736
2N736A
2N736B
2N738
2N738A
2N739
2N739A
2N740

S
S
S
S
S
G
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

2N740A
2N741
2N741A
2N742
2N742A
2N743
2N743A
2N744
2N744A
2N74S
2N746
2N747

S
G
G
S
S
S
S
S
S
S
S
S

P
N
N
N
N
N
N
N
N
N

2N748
2N749
2N7S1
2N7S2
2N7S3
2N7S4
2N7SS
2N7S6
2N7S6A
2N7S7
2N757A
2N7S8

S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N
N
N

2N7S8A
2N758B
2N759
2N759A
2N7S9B
2N760
2N760A
2N760B
2N761
2N762
2N764 }
thru
2N767

S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N .

2N768
2N769
2N770
2N771
2N772
2N773
2N774
2N77S
2N776
2N777
2N77S
2N779
2N779A
2N779B
2N780

G

REPLACE·
MENT

P
P
P
P
N
N
N
N
N
N
N
N

2N3498
2N3498
2N3498

N
P
P

2N2905

2N2221
2N2221
2N2221

p

P

p
P
p

N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
P

2N2837

REF.

@25·C
2N711
2N711
2N711
2N2218
2N2218
2B2218
2N718
2N718A
2N3498
2N3498
2N3498
2N720A
2N721
2N2904
2N722
2N2800

2N727
2N727
2N2S39 2N2537
2N2S39 2N2537
2N2218 2N2218
2N731
2N2221 2N2218
2N2218A 2N2218
2N735
2N2218A 2N2218
2N2222 2N735
2N2222 2N2218
2N2896 2N2S95
2N2896 2N2895
2N2896 2N2895
2N73S
2N2896 2N289S
2N735
2N2896 2N2895
2N741
2N741
2N2218 2N2218
2N2218 2N221S
2N743
2N2369 2N2369
2N744
2N2369 2N2369
2N2221 2N2218
2N2221 2N2218
2N2221 2N221S
2N2221
2N2221
2N2221
2N2221

2N2218
2N2218
2N2218

USE

Po

2N2218
2N2218
2N2218
2N221S
2N706
2N3019
2N3019
2N2218
2N3019
2N2218
2N3019
2N2218

2N3019
2N3019
2N2218 2N2218
2N3019 2N3019
2N3019 2N3019
2N2218 2N2218
2N3019 2N3019
2N3019 2N3019
2N2218A 2N2218A
2N2218A 2N2218

c

~

TJ

Vce

ELECTRICAL CHARACTERISTICS
VCE _

·c

(volts) (volts)

A
A
A
A
A
A
A
A
A
A
A

100
100
100
100
175
175
175
175
200
175
200
175

15
12
15
18
SO
70
60
60
75
120
120
120

15
12
7.0
7.0
35
40
40
40
SO
80
80
80

~

""A

SH
SH
SH
SH
AH
AH
AH
AH
AH
AH
AH
AH

IS0N
lS0N
lS0N
lS0N
SOON
SOON
400N
400N
SOON
400N
SOON
400M

S
AH
AH
AH
AH
SH
A
A
SH
SH
A
A
A
A
A
A
A
A
A
A
A
A
A
A

500M
400M
SOON
400M
500M
150M
300M
300M
4.0M
4.0M
SOOM
SOON
SOON
O.SW
SOON
0.5W
500M
SOON
O.SW
SOON
0.5W
SOON
O.SW
0.5W

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

200
200
200
175
200
100
175
175
175
175
175
175
175
200
175
200
175
175
200
175
200
175
200
200

120
SO
SO
SO
SO
15
25
25
15
30
60
60
80
80
80
80
80
80
SO
125
125
125
125
125

A
AH
AH
S
S
SH
SH
SH
SH
A
A
S

O.SW
150N
lS0N
O.SW
O.SW
300N
0.36W
300M
0.36W
O.lSW
O.lSW
200N

A
A
A
A
A
A
A
A
A
A
A
A

200
100
100
200
200
200
200
175
200
175
175
175

S
AH
AH
AH
SH
AH
AH
A
A
A
A
A

200N
200M
200N
0.5W
300N
0.3W
0.3W
O.SW
O.SW
O.SW
0.5W
O.SW

A
A
A
A
A
A
A
A
A
A
A
A

175
175
175
200
175
175
175
200
200
200
200
200

A
A
A
A
A
A
A
A
A
A

0.5W
0.5W
0.5W
0.5W
0.5W
0.5W
0.5W
0.5W
O.SW
O.SW

A
A
A
A
A
A
A
A
A
A

i'"

hFE @ Ic
(min) (max)

VCE/sAn@lc
.~

=>

S
S
0
0
0
0
R
R
R
R
R
R

25
20
25
30
10
10
20
40
40
20
20
40

100
50
50
SO
SO
12
20
20
15
30
40
40
60
60
60
60
60
60
60
80
80
SO
80
SO

R
R
R
R
R
S
0
0
0
0
R
R
0
0
0
0
0
0
0
0
0
0
0

40
20
20
30
30
20
15
30
20
20
20
40
15
15
30
30
60
60
60
15
15
30
30
60

125
15
20
60
60
20
40
20
40
45
45
25

80
15
20
60
60
12
15
12
15
30
30
25

0
S
S
0
0
0
0
0
0
0
0
0

60
10
10
25
25
20
20
40
40
20
45
30

60
60
120
120
55
150
90

S.ON
5.0M
S.ON
ION
ION
10M
ION
10M
ION
10N
ION
ION

30
45
20
85

0
0
0
0

20
15
30

40
55
150

lON
10M
lON

60
100
45
60
45
60
45

30
25
20
45
20
60
80
45
60
45
60
45

R
R
R

40
20
20

200
200
200
200
200
200
200
200
200
200

60
60
45
60
60
45
60
60
50
SO

60
60
45
60
60
45
60
60
30
30

0
0
0
0
0
0
0
0
0
0

100
100
150
150
150
150
150
150
150
150
150
100
100
100
175

12
12
20
20
25
20
20
20
20
20
20
15
15
15
45

10
7.0
15
15
25
15
15
15
15
15
15
15
15
15
45

S
0
0
0
0
0
0
0
0
0
0
S
S
S

a

250
150
150
SO
SO
60
120
120
60
60
120
120
45
45
90
90
45
120
200
200
60
120
SO
SO
100
100
200
200
200
SO
50
100
100
200
200

120
80
SO

~
=>

hf _

~

L

T
T
T

T
T
T
T

~
'"

"E;.

~
=>

ION
ION
10N
ION
lSN
ISN
ISDN
ISDN
150M
150M
150M
150M

0.5
O.S
0.55
0.25
1.2
1.2
1.5
1.5
1.5
5.0
1.2
5.0

ION
lON
SON
10N
1SN
lSN
ISDN
ISDN
150M
150M
SOM
150N

30
15
15
35

E
E
E
E

lS0N
lS0N
lS0N
70N
70N
40N
SON
60M
40N
40M
SOH

150M
150M
150M
150M
150M
10M
10M
10M
10M
10M
150M
150M
S.OM
S.ON
S.ON
5.0N
5.0M
5.0M
S.OM
S.OM
5.0N
S.ON
5.0N
S.ON

5.0
1.5
0.5
1.5
0.5

ISDN
150N
150M
150M
150M

30
15
15
25
25

E
E
E
E
E

SOH
50M
50M
60M
60M

0.6
0.6
0.7
0.7
1.5
1.5
1.0
0.5
1.0
0.5
1.0
0.6
0.5
1.0
0.5
1.0
0.5

10N
10M
10M
10M
150M
150M
10N
lON
lON
lON
lON
10N
10N
10N
lON
10M
lON

15
30

E
E

140N
140M
100M
100M
40M
25M

0.5

ION

ION
5.0M
S.OM

0
0
0
0
0
12

1. OM

25

LON

SO
20
45

l.ON
lON
10M

55
150

(volts)

0.5
0.5
0.35

10N
10N
10N

0.35

10N

0.6

S.OM

0.5

5.0N

L2
0.6
O.S
O.S
LO
1.0
1.0
1.0
LO

lSN
10M
10N
10M
10M
ION
10M
10M
10M

1.0
0.5
LO
LO
0.5
LO
1.0
0.5
1.0
LO

ION
ION
ION
10M
ION
10M
10M
ION
ION
10N

0.13
0.25
0.25
0.25
0.25

2.0N
10M
10M
10M
10M

20
20
40
40
80
SO
80
20
20
40
40
80

E
E
E
E
E
E

80
20
20

~

ti

E
E
T
T
T
T
T
T

T
T
T

T
T
T
T

30M

T

60N

T

lOON
100M

T
T

30M

T

60M

T

E
E

100M

T

E

300N

T

19
39

E
E

200N
SOON
300M
SOON
10M
lON

T
T
T
T
B

30
10
40

E
E

E

E
E
E
E
E

12
12
18
18
18

E
E
E
E

SOM
20M
200M
200M
30M
30M
SOM
SOM
SON
SON
SOM

18
18
36
36
36
76
76
76
19
39

E
E
E
E
E
E
E
E
E
E

SON
SON
50M
SON
SON
SON
SON
SON
SON
SON

E

E

B

B
B

B

T
T
T
T

B
B
B
B
B
B
B
B
B

B
B
B

B
B

Thyristors, see Table on Page 2-66

G

p
p

S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N

G
G
G

p

G

S

P
P
N

2N961
2N961
2N3014
2N3014
2N3014
2N3014
2N3014
2N3014
2N3014
2N3014
2N3014
2N964
2N964
2N964
2N2220

2N956
2N9S6
2N3013
2N3013
2N3013
2N3013
2N3013
2N3013
2N3013
2N3013
2N3013
2N960
2N960
2N960

SH
SH
SH
SH
SH
AH
AH
AH
AH
AH
AH
SH
SH
SH
A

35M
3SN
150M
150N
lS0N
150M
150M
lS0N
lS0N
lS0N
150N
60N
60N
150M
l.OW

A
A
A
A
A
A
A
A
A
A
A
A
A
A
C

2-12

25
25
12
30
20
4.0
7.0
20
4.0
7.0
20
50
50
50
35

60
150
16
30
SO
16
30
80
200
200
200
140

2.0M
20N
20M
20M
10M
l.SM
1.SM
l.5M
1.5M
1.5M
1.5M
10M
10M
10M
500:.':

6.0
11
28
6.0

11
28
0.16
0.125
0.125
1.0

ION
10M
10M
ION

125N
lOON
75N
100M
75N

T

320N
320N
320N
60N

T
T
T
T

T
T
T
T

E
E
E
E
E
E

2N781-2N902

.....
:s
ffi

~

::E

a..

2N781
2N782
2N783
2N784
2N784A
2N785
2N789
2N790
2N791
2N792
2N793
2N794

G
G
S
S
S
G
S
S
S
S
S
G

P
P
N
N
N
P
N
N
N
N
N
P

2N795
2N796
2N797
2N799
2N800
2N801
2N802
2N803
2N804
2N805
2N806
2N807
2N808
2N809
2N81O
2N811
2N812
2N813
2N814
2N815
2N816
2N817
2N818
2N819
2N820
2N821
2N822
2N823
2N824
2N825
2N826
2N827
2N828
2N828A
2N829
2N834

G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G

P
P
N
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
N
N
N
N
N

G
G
G
G
G
G
G
G
G
G
G
S

N
N
N
N
P
P
P
P
P
P
P
N

S

N
N
P
P
N
N
N
N
N
N
N
P
P
P
N
N
N
N
N
N
P
P
P
P

TYPE

2N834A
2N835
2N837
2N838
2N839
2N840
2N841
2N842
2N843
2N844
2N845
2N846
2N846A
2N846B
2N847
2N848
2N849
2N850
2N851
2N852
2N858
2N859
2N860
2N861

REPLACE·
MENT

S
!;;: C>

s

G
G
S
S
S
S
S
S
S
G

G
G
S
S
S
S
S
S
S
S
S
S

REF.

USE

thru
2N901
2N902

S
S
S
S
S

2N834
2N834
2N834

2N834
2N834
2N834

2N3946
2N3946
2N3946
2N3946
2N3946

2N3946
2N3946
2N3946
2N3946
2N3946

2N827
2N828
2N828A
2N828A
2N834
2N834
2N838
2N2ii8
2N840
2N840
2N2221 2N2218
2N2222 2N2218
2N2896 2N2895
2N2896 2N2895
2N960
2N960
2N960
2N960
2N960
2N960
2N835
2N834
2N834
2N834
2N835
2N834
2N834
2N834
2N835
2N834
2N834
2N834
2N2906 2N2904
2N2906 2N2904
2N2906 2N2904
2N2906 2N2904
2N2222

s

S
S
S
S
S

2N2906 2N2904

P
P
P

2N2906
2N2906
2N2906
2N2906
2N2906
2N2906

p

P
N
N
P
P
N
N

2N2895

MAXIMUM RATINGS
-;;; T
VCB VCE _
J

·0

a..

@25'C -;

2N2904
2N2904
2N2904
2N2904
2N2904
2N2904
2N869
2N869A
2N2895

'"A

SH
SH
SH
SH
SH
A
A
A
A
A
A
SH

150M
150M
300M
300M
350M
50M
150M
150M
150M
150M
150M
120M

SH
SH
SH
S
S
S
S
S
S
S
S
S
S
AU
AH
AU
AU
AH
AH
S
S
S
S
S
S
S
S
S
AH
S
S
SH
SH
SH
SH
SH

120M
120M
150M
75M
75M
75M
75M
75M
75M
75M
75M
70M
70M
75M
75M
75M
75M
75M
75M
75M
75M
75M
75M
75M
75M
75M
75M
75M
70M
70M
70M
150M
150M
150M
150M
300M

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

SU
SH
SH
SH
AU
AU
AH
AH
AH
AH
AU
SH
SH
SH
S
S
SH
SH
SH
SH
S
S
S
S

..,n",nn"

2N863
2N864
2N864A
2N865
2N865A
2N866
2N867
2N869
2N869A
2N870
2N871
2N876

Po

S
S
S
S
S
S
S
AH
S
AH
AH

'C

(volts) (volts)
15
12
20
15
20
12
30
30
30
30
30

100
100
175
175
200
85
175
175
175
175
175
85

15
12
40
30
40
12
45
45
45
45
45
13
13
13
20
25
25
30
30
30
30
30
30
25
25
30
30
30
30
30
30
25
25
30
30
30

A
A
A
A
A
A
A
A
A
A
A
A

85
85
100
85
85
85
85
85
85
85
85
85
85
85
85
85
85
85
85
85
85
85
85
85
85
85
85
85
85
85
85
100
100
100
100
175

30
30
30
25
30
30
30
20
15
15
15
40

7.0
12
12
18
18
15
15
12
12
14
14
15
15
12
12
10
10
15
15
15
15
20
20
25
25
12
18
20
20
20
15
15
15
30

360M
0.3W
150M
150M
0.3W
0.3W
0.3W
0.3W
0.3W
0.3W
0.3W
60M

A
A
A
A
A
A
A
A
A
A
A
A

200
175
100
100
175
175
175
175
175
175
175
100

40
25
12
30
45
45
45
45
45
60
100
15

30
20
12
30
45
45
45
45
45
60
80
15

60M
150M
0.2W
0.2W
300M
300M
300M
300M
150M
150M
150M
150M
!.50M
150M
150M
300M
150M
300M
500M
500M
360M
360M
500M
50 OM

A
A
A
A
A
A
A
A
A
A
A
A

100
100
175
175
175
175
175
175
140
140
140
140

15
15
20
40
25
25
20
20
40
40
25
25

15
15
15
25
15
15
12
12
40
40
25
25

A
A
A
A
A
A
A
A
A
A

.'.

A
A
A
A
A
A
A
A
A
A
A

ELECTRICAL CHARACTERISTICS

f
.g

...

S
S
R
R
R

0
0
0
0
0
0
0
0
0
V

V
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
S
S
S
S
S
S
0
S
S
0
0
0
0
0
R
R

S
S
S
0
0
0
0
0
0
0
0
0
0

25
20
20
25
25

~

=

30

10M

30
50
40
30
30
30
30
40
40
60
60
40
40
25
25
45
45
55
55
60
60
20
20
30
30
40
40
40

10M
10M
10M
12M

0.5
0.5
0.14
0.15
0.15

40M
40M
10M
12M
12M

20M
20M
25M
25M
45M
45M
55M
55M
30M
30M
50M
50M
50M

0.2
0.2

20M
20M

0.75
0.75
0.25
0.25
0.25

200M
200M
50M
50M
50M

50M
50M
50M
20M

0.25
0.25
0.25
0.15

50M
50M
50M
12M

10M
10M
10M
10M
10M

0.25
0.2
0.2
0.2
0.25

10M
10M
10M
10M
10M

0.25
0.3
0.25
0.18
2.0
2.0
2.0
1.2
1.2
0.8
0.8
0.18

10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M

0.14
0.14
1.5
1.5
0.6
0.6

10M
10M
10M
10M
10M
10M

0.15
0.15
0.15
0.15
0.15
0.15
0.1
0.1
0.1
0.1
1.5
1.5
1.0
0.15
1.2
1.2

5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
150M
150M
10M
10M
SOM
50M

15
30
15
30
20
40
25
25
100
100

30
50

E

1.0

5.0M

9.0

40
20
100
25
25
50
25

1 175

45

30

0

0
0

40
9.0
18
18
36
76

'-

~

~

= tl
200M
200M
300M

T
T
T

100M
2.0M
8.0M
2.0M
2.0M
25M

B
B
B
B
B

35M
50M
600M
4.0M
4.0M

T
T
T

14M
14M
3.0M
3.0M
5.0M
5.0M
15M
15M

B
B
B
B

4.0M
2.0M
2.5M
2.5M
250M
300M
300M
300M
350M

B
B
B
B

500M
300M

T
T

300M
30M
30M
40M
30M
40M
50M
50M
320M

T
T
T
T
T
T
T
T
T

320M
320M

T
T

E

600M
600M
300M
300M
5.0M
6.0M
6.5M
7.5M
8.0M
10M
16M
16M
24M
24M
40M
50M
100M
400M
SOM
60M

T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T

E

100M

E
E
E
E
E
E

110
110
180
180
220
220
180
180

30
30
50
50
70
70

E
E
E
E

E
E

40
40

25
20
30
30
15
30
60
20
45
40
40
25

50
100
400
55
150
120
120
125

10M
10M
10M
10M
10M
10M
10M
10M
10M
5.0M
5.0M
10M

25
25

125
125

10M
10M

20
40
20
40
10
25
10
25

60
120
60
120
60
100
40
75

10M
10M
10M
10M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
150M
150M
10M
30M
150M
150M

20
40
80
20
40

E
E
E
E

E

E

E
E
E
E

E
E

E
E
E

Thyristors, see Table on Page 2-66
SiN

I

2N2221

I 2N2218 I A I

150M

I

A

2-13

.~

T

B
B

60
60
80
80

4R

R
R

M

5.0M
5.0M
5.0M
5.0M
5.0M
10M

100
100
250
125
400
45
90
120
120
120
300

R
R

=

~

1.0
1.0
1.0
1.0
1.0
0.3

60
150

17

175
175
200
200
200
200

hI_

10M
10M
10M
10M
100M

25
20
20
45
45
15
30
20
40
40
100

15
6.0
6.0
6.0
10
20
20
18
18
80
80

~

0.16
0.20
0.25
0.19
0.65

n

1<

15
6.0
6.0
10
10
30
30
25
25
100
100

VCElsAn@lc

=2 (volts)

10M
10M
10M
10M
10M

0
0
0
0
0

11,n

140

(min) (max)

S
0
0
0
0
0

140
140

"

hFE @ Ic

B
B
B
B

T
T
T
T
T

•

2N903-2N991

TYPE

~

~

Q;

!;1 :s
co
::E

MAXIMUM RATINGS
REPLACE·
MENT

REF.

USE

"-

•

2N903
2N904
2N905
2N906
2N907
2N90S
2N909
2N91O
2N911
2N912
2N914
2N914A

S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N
N
N

2N915
2N915A
2N916
2N916A
2N916B
2N917
2N917A
2N918
2N919
2N920
2N921
2N922
2N923
2N924

S
S
S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N
N
N
P
p

2N925
2N926
2N927
2N928
2N929
2N929A
2N930
2N930A
2N930B
2N934
2N935
2N936
2N937

S
S
S
S
S
S
S
S
S
G
S
S
S

p
p
p
p
N
N
N
N
N
P
p
p
p

2N938
2N939
2N940
2N941
2N942
2N943
2N944
2N945
2N946
2N947
2N948
thru

S
S
S
S
S
S
S
S
S
S

P
p

P
P
P
P
p
P
P
N

2N2221
2N2221
2N2221
2N2221
2N2221
2N2221
2N2222

ELECTRICAL CHARACTERISTICS

:5
it.

TJ

Veo

VeE _

@2S·C &!

·C

(volts)

(volts)

PD

f



REPLACE·
MENT

REF •

USE

:IE a..

2~m

2N994
2N995
2N995A
2N996
2N997
2N998
2N999
2N1000
2N1003
2N1004
2N1005
2N1006
2N1007
2N1008
2N1008A
2N1008B
2N1009
2NlOlO
2N1011
2N1012
2N1014
2NlO15

G
G
G

p
P
P
P
P
P
N
N
N
N
P
p

S
S

N
N

G
G
G

S
S
S
S
S
S

2N3250
2N720A

2N2242
2N2242

G P
G P
G P
G

G
G
G
G
G
S

2N2242
2N2242
2NlO08
2N1008
2N1008

P
P
N
p

N
P
N

2N869
2N3250
2N996
2N720A
2N998

2N1011
2N3713
2N3713
2N5758
2N5760
MJ410
MJ411
MJ411
2N3713
2N3713
2N3713
2N5758
2N5760
MJ3430

2N3713

2N1015A
2NlO15B
2N1015C
2NlO15D
2N1015E
2N1015F
2NlO16
2N1016A
2N1016B
2N1016C
2N1016D
2N1016E

S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N
N
N

2N1016F
2N1017
2NlO18
2N1021
2N1021A
2N1022
2N1022A
2N1023
2N1024
2N1025
2N1026
2N1027
2N1028
2N1029
2N1029A
2N1029B
2N1029C
2N1030
2N1030A
2N1030B
2N1030C
2N1031
2N1031A
2NI031B

S
G
G
G
G
G
G
G
S
S
S
S
S
G
G
G
G
G

N
P
P

MJ3430 MJ3430

P

2N1021

2N1031C
2N1032
2N1032A
2NlO32B
2N1032C
2N1034
2N1035
2N1036
2N1037
2N1038
2N1039
2N1040

G
G
G
G
G
G
G
G
G
G
G
S
S
S
S
G
G
G

"-!~J..U""''&'

U

2N1042
2N1043
2N1044
2N1045
2N1046
2N1046A
2N1046B
2N1047
2N1047A
2N1047B
2N1047C
2N1048
2N1048A
2N1048B
2N1048C

G
G
G
G
G
G
G
S
S
S
S
S
S
S
S

2N3713
MJ410
MJ410
MJ410
2N3713
2N3713
MJ3430
MJ3430

P
P

P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P

2N1021
2N3323
2N3250
2N3250
2N3250
2N3250

2N3323
2N3250
2N3250
2N3250
2N3250

2N1553
2N1554
2N1555
2N1556
2N1557
2N1558
2N1559
2N1560
2N1553
2N1554
2N1555

2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539

2N1556

2N1539

p

P
P
P
P
P
P
P
P
P
P

2N1038
2N1038
2N1038

~

P
P
P
P
P

2N1042
2N1042
2N1042
2N1042

2N1042
2N1042
2N1042
2N1042

p

P
N
N
N
N
N
N
N
N

2N4912
2N4912
2N4912
2N4912
2N5759
2N5759
2N5759
2N5759

MAXIMUM RATINGS
-;;; T
Po
J
Vcs VCE _
~
@25'C "lU 'C (volts) (volts)

'"A

ELECTRICAL CHARACTERISTICS

f
'"R

hFE @ Ic
(min) (max)

2g

AH
AH
SH
AH
AH
AH
A
AL
AL
S
AH
AH

A
A
A
A
A
A
A
A
A
A
A

;~

~g

200M
360M
360M
360M
500M
500M
500M
150M
120M
120M

150
200
200
200
175
200
200
100
100
100

15
20
20
15
75
100
60
40
35
35

20
20
6.0
15
15
12
40
60
60
25
20
20

A
A
AP
A
A
A
A
A
AP
S
AP
SP

150M
150M
35W
0.3W
0.3W
0.3W
0.4W
20M
35W
150M
50W
150W

A
A
C
C
C
C
C
A
C
A
C
C

175
175
95
85
85
85
85
55
95
100
100
150

15
15
25
20
40
60
35
10
80
40
100
30

15
15
20
15
35
55
35
10
80
22
65
30

0
0
0
R
R
R
R
0
S
0
0
V

10
25
50

SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
S
S
AP
AP
AP
AP
A
A
A
A
A
A
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
A
A
A
A
AP
AP
AP

150W
150W
150W
150W
150W
150W
150W
150W
150W
150W
150W
150W

C
C
C
C
C
C
C
C
C
C
C
C

150
150
150
150
150
150
150
150
150
150
150
150

60
100
150
200
250
300
30
60
100
150
200
250

60
]00
150
200
250
300
30
60
100
150
200
250

V
V
V
V
V
V
V
V
V
V
V
V

150W
150M
200M
50W
150W
50W
150W
120M
0.25W
0.2SW
0.25W
0.25W
0.25W
90W
90W
90W
90W
90W
90W

C
A
A
C
C
C
C
A
A
A
A
A
A
C
C
C
C
C
C

90W
90W
90W

C
C
C

150
85
100
95
100
95
100
100
175
175
175
175
175
100
100
100
100
100
100
100
100
100
100
100

300
30
30
100
100
120
120
40
18
40
40
18
12
50
60
90
100
50
60
90
100
50
60
90

300
10
6.0
100
50
120
55
40
15
35
35
15
10
20
30
60
70
20
30
60
70
30
40
70

90W
90W
90W
90W
90W
250M
250M
250M
250M
20W
20W
20W

C
C
C
C
C
A
A
A
A
C
C
C

100
100
100
100
100
160
160
160
160
95
95
95

100
50
60
90
100
50
50
50
50
40
60
80

67~

hr

:!0!·!

~

95

1.00

AP
AP
AP
AP
AHP
AHP
AHP
AP
AP
AP
AP
AP
AP
AP
AP

20W
20W
20W
20W
50W
50W
50W
40W
40W
40W
40W
40W
40W
40W
40W

C
C
C
C
C
C
C
C
C
C
C
C
C
C
C

100
100
100
100
100
100
100
200
200
200
200
200
200
200
200

40
60
BO
100
100
130
130
80
80
80
80
120
120
120
120

R
0
0
0
0
0
0
0
0
U
U

45
35
35
35
35

140
140
140

40

VCEISATl@lc

~ (volts)

=
100M
100M
10M
20M
20M
20M
100*
10M

2g

E
E

25
40
40
40
40

E
E
E
E
E

20

E

3.0A
100M
4.0A
2.0A

10
10
10
10
10
10
10
10
10
10
10
10

2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
S.OA
5.0A
5.0A
5.0A
5.0A
5.0A

1.5
1.5
1.5
1.5
1.5
1.5
2.5
2.5
2.5
2.5
2.5
2.5

2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A

V
0
0
X
0
X
0
0
U
U
U
U
U
0
0
0
0
0
0
0
0
S
S
S

10
70
70
23
30
23
30
20

5.0A
20M
70M
LOA
5.0A
5.0A
5.0A
105M

2.5
2.6
2.6
1.0
0.5
1.0
0.5

5.0A
200M
200M
5.0A
5.0A
5.0A
5.0A

20
20
20
20
50
50
50
50
20
20
20

60
60
60
60
100
100
100
100
60
60
60

lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA

10
10
10

80
30
40
70
80
40
35
30
35
40
60
BO

S
S
S
S
S
0
0
0
0
V
V
V

20
50
50
50
50

60
100
100
100
100

lOA
lOA
lOA
lOA
lOA

LOA
LOA
LOA

1.0
1.0
1.0
1.0
1.0
0.5
0.4
0.3
0.5
0.25
0.25
0.25

lOA
lOA
lOA
lOA
lOA
8.0M
8.0M
8.0M
8.0M
LOA
LOA
LOA

.00

11

?n

~n

1.0A

o. ~,

v

60
80
100
50
50
50

V
V
V
0
0
0

60
60
60
60

80
80
80

0
0
0

120
120
120

0
0
0

20
20
20
20
40
40
20
12
12
12
12
12
12
12
12

3.0A
3.0A
3.0A
3.0A
5.0A
S.OA
lOA
500M
500M
SOOM
500M
500M
500M
500M
500M

0.75
0.25
0.25
0.25
0.2
0.4
0.4
7.5
7.5
2.0
1.0
7.5
7.5
2.0
1.0

LOA
3.0A
LOA
LOA
LOA
500M
S.OA
5.0A
SOOM
500M
500M
500M
500M
500M
500M
500M

-40

2-15

60
60
60

36
36
36
36
36
36
36
36

L

~

f

= '"
44M

T

100M
100M
100M

T
T
T

7.0M

E

60K

T

7.5K

E

5.0K
3.0M
0.5M

E
E
B

15M
20M

B
B

200K

T

200K

T

100M
100M
2.0M
4.0M
7.2M

B
B
B
B
T

E
E

2.0K
2.0K
2.0K

E
E
E

10
25
25
25
25
9.0
18
34
9.0
18
18
18

E
E
E
E
E
E
E
E
E
E
E
E

2.0K
2.0K
2.0K
2.0K
2.0K
150K
200K
300K
150K
8.0K
8.0K
8.0K

E
E
E
E
E

18
2.0

E

B.OK
250K
250K
250K
250K
15M
15M
15M

E
T
T
T
T
T
T
T

75K
125K
125K

E
E
E

75K
125K
125K

E
E
E

9.0
9.0
18
18
9.0

20
20
20

E
E

10
10

1.5
0.2
0.8
1.5

70
90
70
90
175

j

E

3.0A
100M
4.0A
2.0A

50

~

1000

10M
10M
2.0A
O.lA
O.lA
O.lA
O.lA

75

10M
10M
LOA

10M
20M
20M
60M
100M
50M
100M
100M

hl _

0.6
0.6
1.0
0.25
0.25
0.25
0.25

30
40
20
10

25
150
250

0.18
0.2
0.2
0.3
1.6
1.2
1.6
0.25

~

=

E
E
E
E

E

E

E

B
B
B
B
E
E

E

•

2Nl049-2N1136A
~

TYPE

•

2N1049
2N1049A
2N1049B
2N1049C
2N1050
2N1050A
2N1050B
2N1050C
2N1051
2N1052
2N1054
2N1055
2N1056
2N1057
2N1058
2N1059
2N1060
2N1065
2N1066
2N1067
2N1068
2N1069
2N1070
2NlO72
2N1073
2N1073A
2N1073B
2N1074
2N1075
2N1076
2N10n
2N1078
2N1079
2N1080
2N1081
2N1082
2N1086
2N1086A
2N1087
2NI090
2N1091
2NI092
2N1093
2NI094
2N1097
2N1098
2N1099
2N1100
2Nll01
2Nll02
2Nll03
2N1104
2Nll05
2Nll06
2Nll07
2N1108
2Nll09
2Nll10
2Nllll
2Nll14
2Nll15
2Nll15A
2Nll16
2Nll17
2Nl118
2Nll18A
2Nll19
2N1l20
2Nll21
2N1122
2N1122A
2N1123
2N1124
2N1125
2N1126
2N1l27
2N1l28
2N1l29
2N1130
2N1l31
2Nl131A
2N1l32
2N1l32A
2N1l32B
2N1l35
2N1l35A
2N1l36
2N1l36A

:s ~
'"

. :s...
~

..:

S
S
S
S
S
S
S
S
S
S
S
S
G
G
G
G

S
G
G

S
S
S
S
S
G
G
G

S
S
S
S
G

S
S
S
S
G
G
G
G
G

S
G
G
G
G
G
G
G
G

S
S
S
S
G
G
G
G
G
G
G
G

S
S
S
S
S
G
G
G
G
G

G
G
G
G
G
G
G

S
S
S

S
S

S
S

C>

N
N
N
N
N
N
N
N
N
N
N
N
P
P
N
N
N
P
P
N
N
N
N
N
P
P
P
N
N
N
N
P
N
N
N
N
N
N
N
N
N
N
P
P
P
P
P
P
N
N
N
N
N
N
P
P
P
P
P
N
P
P
N
N
P
P
P
P
N
P
P
P
P
P
P
P
P

p

P
P
P

REPLACE·
MENT

REF.

2N2218
2N2218
2N2218
2N22l8

2N2043
2N1924

2N2042
2Nl924

2N2501

2N2501

2N3323
2N4237
2N4237
2N5067
2N5067
2N3766

2N2218
2N221B
2N2218
2N2218

2N3323
2N4237
2N4237
2N5067
2N5067
2N3766
2NI073
2NI073
2NI073
2N2218
2N2218
2N2218
2N2218

2N5068
2N4914
2N2221
2N2221

2N5067
2N4913
2N2218
2N2218

2N4237

2N4237

2N1414
2N1414

2N1413
2N1413
2N277
2N173

MM3005
MM3005
2N3250
2N3250
2N3546
2N961
2N960
2N3427
2N651
2N651
2N651
2N3428
2N1l92
2N3427
2N1l93

p

P

2N2218
2N2218
MM3005
MM3005

MM3005
MM3005
2N3250
2N3250
2N3546
2N1l20
2N956
2N956
2N3427
2N650
2N650
2N650
2N3427
2N1l91
2N3427
2N1l91
2N1l31
2N1l31
2N722
2N722

P

p

P

G

p

G

P

2N2369
2N2369

Po

@25·C

2N4912
2N4912
2N4912
2N4912
2N5759
2N5759
2N5759
2N5759
2N2218
2N2218
2N2218
2N2218

2N2221
2N2221
MM3005
MM3007

USE

2N2369
2N2369

AP
AP
AP
AP
AP
AP
AP
AP
AH
AH
AH
AH
A
A
A
SH
A
AH
SP
SP
SP
SP
SP
AP
AP
AP
A
A
A
A
AP
AHP
AHP
S
AH
AH
AH
AH
S
S
SP
A
AH
A
A
AP
AP
A
A
A
A
A
A
AH
AH
AH
AH
AH
S
S
S
AH
AH
AH
AH
S
AP
AH
SH
SH
SH
A
SH
S
S
A
A
A
S
S
S
S

S
S
S
AP
AP

40W
40W
40W
40W
40W
40W
40W
40W
0.5W
6.0M
0.8W
5.5M
240M
240M
50M
180M
0.25W
O.12W
120M
5.0W
lOW
SOW
SOW
2.0W

MAXIMUM RATINGS
-;; T
J
VCR VCE _
~

';;

'"C
C
C
C
C
C
C
C
A
A
A
A
A
A
A
A
A
C
C
C
C
A

250M
250M
250M
250M
20W
60W
60W
6.0M
0.2W
65M
65M
65M
120M
120M
2.0W
150M
0.15W
200M
200M
50W
SOW
180M
180M
125M
125M
800M
800M
30M
30M
30M
30M
30M
150M

A
A
A
A
C
C
C
A
A
A
A
A
A
A
A
A
A
A
A
C
C

150M
150M
600M
600M
150M
150M
150M
45W
65M
25M
25M
10M
300M
300M
LOW
LOW
150M
150M
150M
600M
0.6W
600M
0.6W
0.6W
100M
100M

A
A
C
C
A
A
A
C
C
A
A
C
A
A
C
C
A
A
A
A
A
A
A
A
A
A

A
A
A
A
C
C
C
C
C

·C

(volts) (volts)

100
85
85
200
200
140
140
140
95
85
100
100
100

80
80
80
80
120
120
120
120
40
200
125
100
70
45
20
40
40
40
40
60
60
60
60
75
40
80
120
50
50
50
50
60
60
60
40
25
9.0
9.0
9.0
25
25
60
30
30
18
18
80
100
20
40
45
45
60
100
16
16
16
16
16
25
20
20
60
60
25
25
10
80
15
12
15
45

85
85
85
85
85
85
85
175
175
175
175
175
140
140
100
100

40
40
40
40
25
25
30
50
60
50
60
70
12
12
60
90

200
200
200
200
200
200
200
200
150
200
200
200
100
100
75
75
150
85
100
175
175
175
175
150
110
110
110
160
160
160
160
85
200
200
200
200
85
85
85
85
85
175
95
100
100
100
95
95
75
75
150
150
200
200

ELECTRICAL CHARACTERISTICS

t
'"

80
80
80

0
0
0

120
120
80
40
200
115
100
50
45
20
15
40
20
40
30
30
45
45
30
40
80
120
40
35
30
35
60
60
60
40
25
9.0
9.0
9.0

0
0
0
0

30
15
15
18
18
70
65
15
25
35
35
60
100

V

0
0
R

V

hFE

@

(min) (max)
30
30
30
30
30
30
30
30
25
20
20
20
18
34

90
90
90
90
90
90
90
90
80
80
43
90

Ic

VCElsAn@lc

=i
=> (volts)
500M
500M
500M
500M
500M
500M
500M
500M
50M
0.2A
0.2A
50M
20M
20M
10M
5.0M

R

0
0
0
0
0
0
0
0
R

R
R

0
0
0
a
S
S
S
0
S
0
0
0
0
0
0
R
R

S
0
R
R

0
0
0
0

17
20
20
15
15
20
20
20
20
20
20

40
20
20
20
10
17
17
17
30
40
15
50
15
34
25
35
25
25
25
30
45
12
12

80
175
75
75
50
50
60
60
60

80
80
100
50
195
195
195
75
150
90
90
70
50
50
50
65
150
36
36

L5M
200M
750M
l. SA
L5A
0.75A
5.0A
5.0A
5.0A

0.5A
LOA
2.0A
0.5A
10M
l.OM
LOM
LOM
20M
20M
200M
20M
4.0M
20M
20M
5.0A
5.0A
35M
35M
10M
10M
200M
200M

R

40

180

20M

15
15
60
60
25
25
10
70
15
11
14
40

0
0
0
0

40
40

150
150

0.5A
0.2A

35
40
35
40
45
12
12
35
35

2-16

2.0
0.13
0.13

500M
500M
500M
500M
500M
500M
500M
500M
50M
0.2A

U
U
U

S
0
S
S
S
V
V
V
V

25
15
20
34
25
25
40

50

15M
15M
lOA
LOM
10M
10M
100M

50

150

500M

50

150

500M

0.3
0.25

5.0M
10M

2.0
2.0
L33
0.5
2.0
LO
LO
LO

200M
200M
0.5A
1.5A
0.75A
5.0A
5.0A
5.0A

La
LO
3.0
5.0
4.0

0
0
0

0
0

20M
200M
200M
20M

0.7
0.7
0.5
0.5
L5
L5
5.0
5.0

12A
12A
100M
100M
10M
10M
200M
200M

=

.~

.g

'"

30

E

15

E

10

B

L

~

0.35
0.35
5.0
4.0

'"

75K
125K
125K

E

75K
125K
125K
80M
8.0M
3.0M
500K
500K
4.0M
10K
10M
0.75M
0.75M
0.5M
0.5M

5.0M
lOA

0.1
0.1
0.2
0.2
0.3
0.2
0.3

8.0M
8.0M
10M
100M
500M
100M
500M

200
165
45
45
90
90
90

100M
100M
150M
0.15A
150M
0.15A
0.15A

0.25
0.25
L5
L5
1.5
L5
L5

100M
100M
150M
0.15A
150M
0.15A
0.15A

50
50

100
100

3.0A
3.0A

LO
LO

3.0A
3.0A

E
E
E
E
E
T

T
T

B
E

B
E
T

B
B

B
B

9.0
18
36
9.0

E
E
E
E

200K
250K
300K
200K

B
B
B
B

20
20

E
E

10M
10M

T
T

10

E

17M

T

S.OM
10M
0.75M
5.0M
560M
LOM
LOM

B
B
B
B
T
B
B

10K
10K
10M
20M

B
B

40
0.96

E
B

20
40

E
E

60M
60M
0.5A
0.2A

0.15
LO

f

=>

40M
35M
35M
35M
35M
7.0M

100
50
20
20
30
30
30

0
0
R
R

8.0M
LOA
LOA
2.0A
0.5A

0.2
0.3
2.0
0.2

S
V

hl_

50M
20M
20M

R

15

35
40
35
40
18
25

7.5
7.5
2.0
LO
7.5
7.5
2.0
LO
3.0
5.0

:i=>

15
15

E

30

E

35
35

E
E

40

E

40

E

70

E

15
15
25
25
25

E
E

E

E
E
E

5.0M
5.0M
6.0M
4.0M
8.0M
8.0M
7.2M
3.0K
40M
40M
3.0M
400K
LOM
400K
LOM

50M
50M
60M
60M
60M
5.6M
5.6M
4.0K
4.0K

E
E

B

B
B
B

B
B
B
B
T
T

M
M
T
E

B
B
B

B
B

T
T
T

T
T
T
T
E
E

2N1136B-2N1201

~ !::
co:
!:i1 :s
co
:IE a..

>-

TYPE

REPLACE·
MENT

2N1l36B G
2N1l37
G
2N1l37A G
2N1l37B G
2N1l38
G
2N1l38A G
2N1l38B G
2N1l39
S
2N1l41
G
2N1l41A G
2N1l42
G
2N1l42A G
2N1l43
G
2N1l43A G
2N1l44
G
2N1l45
G
2N1l46
G
2N1l46A G
2N1l46B G
2N1l46C G
2N1l47
G
2N1l47A G
2N1l47B G
2N1l47C G
2N1l49
S
2N1l50
S
2N1l51
S
2N1l52
S
2N1l53
S
2N1l54
S
2N1l55
S
2N1l56
S
2N1l57
G
2N1l57A G
2N1l58
G
2N1l58A G
2N1l59
G
2N1l60
G
2N1l62
G
2N1l62A G
2N1l63
G
2N1l63A G
2N1l64
G
2Nll64A G
2N1l65
G
2N1l65A G
2N1l66
G
2N1l66A G
2N1l67
G
2N1l67A G
2N1l68
G
2N1l69
G
2N1l70
G
2Nll71
G
2Nll72
G
2N1l73
G
2N1l74
G
2N1l75
G
2N1l76
G
2Nll77
G

P
P
P
P
P
P
P
N
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
N
N
N
N
N
N
N
N
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
N
N
P
P
N
P
P
P
P

2N1l78
2N1l79
2N1l80
2N1l82
2N1l83
2N1l83A
2N1l83B
2NllS4
2N1l84A
2NllS4B

P 2N2955
P 2N2956
P 2N2956
P 2N2140
P 2N2140
P 2N2140
P 2N2141
P 2N2144
P 2N2145
P 2N2146
P
P
P
P
P
P
P
P
P
P
P
P
P
N
N 2N835
N 2N835
N
N

I~:m~
2N1l87
2N1l88
2N1189
2N1190
2N1l91
2N1192
2N1l93
2N1l94
2N1l95
2N1l96
2N1l97
2N1l98
2N1l99
2N1l99A
2N1200
2N1201

G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G

S
S
G

S
S
S
S

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

2N742

REF.

2N742
2N1141

2N1141

2N2221
2N2221
2N2221
2N2221
2N2221
2N2221
2N2221
2N2221
MP501
MP502
2N1l43
2N1l42
2N3616
2N3616

2N3614

Po

1:
~

@25'C .!l!

2N1l41

2N321
2N1414

USE

2N319
2N1413

2N2218
2N2218
2N2218
2N221S
2N2218
2N221S
2N221S
2N2218
MP500
MP500
2N1141
2N1141
2N3615
2N3615
2N1l62
2N1l62
2N1l62
2N1162
2N1162
2N1l62
2N1162
2N1162
2N1162
2N1l62
2N1162
2N1162
2N3611

2N2137

2N2137

2NllS9
2N2957

2N1413
2Nli89
2N2955
2N2955
2N2955
2N2955
2N2137
2N2137
2N2137
2N2137
2N2137
2N2137
2N2137
2N1l85
2N1l85
2N1185
2N1185
2N1189
2N1l89
2N1l91
2N1l91
2Nll91
2N1l91
2N1l41

2NS34
2N834

AP
AP
AP
AP
AP
AP
AP
SH
AH
AH
AH
AH
AH
AH
A
A
SP
SP
SP
SP
SP
SP
SP
SP
A
A
A
A
A
A
A
A
SP
SP
AH
AH
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
AP
S
S
S
SP
S
S
A
A
AH

0.25W
0.25W
200M
0.3W
80M

A
A
A
C
A

AH
AH
AH
SP
SP
SP
SP
SP
SP
SP
A
A
A
A
A
A
A
A
A
A
AH
AH
AH
SH
SH
SH
AH
AH

80M
SOM
80M
106W
7.5W
7.5W
7.5W
7.5W
7.5W
7.5W
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
225M
0.35W
0.35W
65M
150M
150M
100M
100M

A
A
A

6.6M
750M
750M

A
C
C
C
m~ C
750M C
750M C
175M A
175M A
87W C
87W C
87W C
87W C
87W C
87W C
87W C
87W C
150M A
150M A
150M A
150M A
150M A
750M C
750M C
750M C
187W J
187W J
60M A
75M A
35W C
35W C
90W C
90W C
90W C
90W C
90W C
90W C
90W C
90W C
90W C
90W C
90W C
90W C
45W C
120M A
120M A
170M A

TJ
'C
100
100
100
100
100
100
100
175
100
100

igg

Yca

YCE-

(volts) (volts)
100
60
90
100
60
90
100
15
35
35

~g

100
100
85
85
95
95
95
95
95
95
95
95
175
175
175
175
175
150
150
150
100
100
100
100
95
95
100
100
100
100
100
100
100
100
100
100
100
100
95
71
71
85
95
100
100
85
S5
71

25
30
16
16
40
60
80
100
40
60
80
100
45
45
45
45
45
50
SO
120
60
SO
20
20
80
80
50
50
50
50
80
80
SO
80
100
100
100
100
50
40
40
30
40
35
35
35
10
30

71
71
71
C
100
C 100
C 100
C
100
C 100
C 100
C 100
A 100
A 100
A 100
A 100
A 100
A 100
A 100
A 100
A 100
A 100
A 100
A 200
A 200
A
85
A 150
A 150
A 150
A 150

30
30
30
50
45
60
80
45
60

so

45
60
60
60
45
45
40
40
40
40
30
70
70
25
20
20
20
20

i

(min) (max)

75
25
55
65
25
55
65
15

R

25

S

50
75
75
75
100
100
100
20
10
15

•

~~

25
25
16
16
20
30
40
50
20
30
40
50

45
50
20
20
60
60
35
35
35
35
60
60
60
60
75
75
75
75
30
20
20
12
30
20
20
25
10

60
20
30
40
20
30
40
30
45
45
45
30
30
25
25
25
25
70
70
25
15
15
15
15

2-17

hFE @ Ic

.~

a
0

a
a
0

a
0

S
R
R

0
0
0
0

a
0
0

a

0
0
S
S
0
0
S
S
S
S
S
S
S
S
S
S
S
S

10
15
34
25
60
60
60
60
60
60
60
60
9.0
18
IS
36
76
9.0
9.0
9.0
38
3S
30
20
15
15
15
15
15
15
15
15
15
15
15
15

100
150
150
150
200
200
200
200

90
90
150
150
150
150
150
150
150
150
20
40
90
90
333

84
S4

YCElsAn@lc

~
::0 (volts)

~
::0

~

J

3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
10M
10M
10M

1.0
1.0
1.0
1.0
1.0
1.0
1.0
0.7
2.0
2.0

~g~

~.g

~g~ ~.~~

R

10M
10M
20M
20M
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
25M
25M
25M
25M
25M
60M
50M
40M
lOA
lOA

2.0
2.0

50M 0.98
50M 0.94

B
B

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

15A
15A
15A
15A
15A
15A
15A
15A

0.8
0.8

3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
10M
50M
50M

hl _

12
0.94

3.0A
5.0A
25A
25A
25A
25A
25A
25A
25A
25A
25A
25A
25A
25A

1.0
1.0
0.8
O.S
0.8
0.8
0.8
0.8
0.8
O.S
0.8
0.8
0.8
O.S

3.0A
5.0A
25A
25A
25A
25A
25A
25A
25A
25A
25A
25A
25A
25A

0.3
0.3

200M
200M

90
200
200
140

200M
200M
30M
100M
10M
10M
20M

-:;.

·c

:i ~
::0

4.0K

'"E

100M

T

500M

T

E

B
B

0.9
.948
.948
.973
.987
0.9
0.9
0.9

B
B
B
B
B
B
B
B

5.7
9.0

E
E

40A
40A

75
50
65
65
65
65
65
65
65
65
65
65
65
65

'-

tgg~ ~
480M
400M
1. OM
1. OM
0.15M
0.15M
0.15M
0.15M
0.15M
0.15M
0.15M
0.15M
4.0M
5.0M
8.0M
6.0M
7.0M

75K
75K

loOK
3.0K
1. OK
3.0K
loOK
3.0K
1. OK
3.0K
1. OK
3.0K
1. OK
3.0K

B
T

B
B
E
E
E
E
E
E

E
E

B
B
B
B
B

T
T

E
E

E
E

E
E
E
E
E
E
E
E

R

a
a

0

a
a
a
R
R

a

20
20
30
30
50
50
70

R
R
R
R
R
R
R
R

30
20
20
20
40
40
40
130
33
45
80
60
100
20
40
70 .
125

80
135
300
600

0.5A
400M
400M
400M
400M
400M
400M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M

a
a
a
a
a
a
a

5.0
5.0
17
12
12
7.0
7.0

30
30
90
60
60
200
200

2.0M
2.0M
8.0M
20M
20M
1.5M
105M

0

a
a
a
a
a
R
R

85
60
60
60
120
120
120

0.075
0.075

10M
10M

50
50

E
E

0.3

O.lA

20

E

0.9
0.5
0.5
0.5
0.5
0.5
0.5

2.0A
400M
400M
400M
400M
400M
400M

0.22
0.22

0.25
0.25

50M
50M

10M
10M

190
30
50
100
75
125
30
50
100
190
0.96
0.85
0.S5
.952
9.0
9.0

E
E

E
E
E

E

4.5M
4.5M
10M

B

1.5M

B

5.0K
500K
500K
500K
500K
500K
500K
1. 7M
o 75M
100M
1. 2M
1. 7M
2.2M

E

B

400M

T

5.0M
75M
75M

T
T

B
B

B
B
B
B
B
B
B
B
B
B
B

E

E
E
E

B
B
B
B
E
E

B

2N1202-2N1291
~

:s
TYPE

MAXIMUM RATINGS

~

ffi ;;;; REPLACE·
S
MENT
!;:;: C>

REF.

USE

•

2N1202
2N1203
2N1204
2N1204A
2N1206
2N1207
2N1208
2N1209
2N1210
2N1211
2N1212
2N12 13

G
G
G
G

2N1214
2Nl215
2N1216
2N1217
2N1218
2N1219
2N1220
2N1221
2N1222
2N1223
2N1224
2N1225
2N1226
2N1227
2Nl228
2N1229
2N1230
2N1231
2N1232
2N1233
2N1234
2N1235
2N1238
2N1239

G
G
G
G
G

S
S
S
S
S
S
S
G

S
S
S
S
S
G
G
G
G

S
S
S
S
S
S
S
S
S
S

P
P
P
P
N
N
N
N
N
N
N
P
P
P
P
N
N
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
N
P
P

2N2145
2N2146
2N3020
2N3500
2N5477
2N5477
2N4232
2N4233
2N5477

2N2137
2N2137
2N1204
2N1204
2N3019
2N3498
2N5477
2N5477
2N4321
2N4321
2N5477

2N3250
2N3250
2N3250
2N3250
2N3250

2N3250
2N3250
2N3250
2N3250
2N3250

2N3611
2N2904
2N2904
2N2904
2N2904
2N2905A
2N2905A
2N3495
2N5759
2N3467
2N3467

2N3611
2N2904
2N2904
2N2904
2N2904
2N2904
2N2904
2N3494
2N3467
2N3467
2N3467
2N3467
2N3763
2N3763

2N1240
2N1241
2N1242
2N1243
2N1244
2N1245
2N1246
2N1247
2N1248
2N1249
2N1250
2N1251
2N1252
2N1252A
2N1253
2N1253A
2N1254
2N1255
2N1256
2N1257
2N1258
2N1259
2N1260
2N1261

P
P
P
P
P
G P
G P
S N
S N
S N
S N
G N
S N
S N
S N
S N
S P
S P
S P
S P
S P
S P
S N
G P

2N3467
2N3467
2N3763
2N3763

2N1262
2N1263
2N1264
2N1265
2N1266
2N1267
2N1268
2N1269
2N1270
2N1271
2N1272
2N1273
2N1274
2N1275
2N1276
2N1277
2N1278
2N1279
2N1280
2N1281
2N1282
2N1284
2N1285
2N1287
2N1287A
2N1288
2N1289
2N1291

G
G
G
G
G

P
P
P
P
P
N
N
N
N
N
N
P

2N1531
2N3617
2N1191
2N1192
2N1191
2N2481
2N2481
2N2481
2N2481
2N2481
2N2481

2N1529
2N3615
2N1l91
2N1191
2N1191
2N2481
2N2481
2N2481
2N2481
2N2481
2N2481

S
S
S
S
S

S
S
S
S
S
S
G
G

S
S
S
S
S
G
G
G
G
G
G
G
G
G
G

2N2222
2N2222
2N2222
2N4914

2N2219
2N2219
2N2219
2N4913

2N2537
2N2537
2N2537
2N2537
MM869B
MM869B

2N2537
2N2537
2N2537
2N2537
2N869A
2N869A

MM869B

2N869A

2N5479
2N1531

2N5477
2N1529

P

P
N
N
N
N
P
P
P

2N2501
2N2501
2N2501
2N2501

2N2501
2N2501
2N2501
2N2501

P

P
P
P

N
N
P

2N651
2N652
2N1529

2N650
2N650
2N1529

SP
SP
SH
SH
AH
AH
AP
AHP
AHP
AHP
AHP
SH
SH
SH
SH
SH
AP
A
A
A
A
A
SH
AH
AH
AP
S
S
S
S
S
S
S
AI'
S
S
S
S
S
S
S
AP
AP
A
A
A
AP
A
S
S
S
S
SH
SH
SH
SH
SH
SH
AP
SP
SP
SP
AH
AH
AH
AH
AH
AH
AH
AH
AH
A
A
AM
A
A
A
A
S
S
S
S
AH
A
A
SH
SH
AP

ELECTRICAL CHARACTERISTICS

VCB

VCE _

~

'c

(volts)

(volts)

34W
34W
200M
200M
3.0W
3.0W
45W
45W
30W
30W
45W
75M
75M
75M
75M
75M
20W
0.25W
0.25W
0.25W
0.25W
0.25W
120M
120M
120M
50W
0.4W
0.4W
O.4W
0.4W
0.4W
0.4W
0.4W
85W
l.OW
l.OW

J
J
A
A
A
A
C
C
C
C
C
A

95
95
100
100
200
200
200
200
175
175
200
71

60
70
15
15
60
125
60
45
60
80
60

A
A
A
A

71
71
71
85
90
175
175
175
175
175
85
85
85
95
160
160
160
160
160
160
160
200
160
160

80
120
20
20
60
125
60
45
60
80
60
25
25
25
25
20
45
30
30
30
30
40
40
40
60
35
15
15
35
35
60
60
110
120
15
15

l.OW
l.OW
l.OW
l.OW
l.OW
20W
20W
30M
30M
30M
85W
150M
600M
800M
600M
800M
275M
275M
275M
275M
275M
275M
85W
34W

A
A
A
A
A

160
160
160
160
160
85
85
150
150
150
200
85
175
300
175
300
200
200
200
200
200
200
200
95

35
35
60
60
110
30
30
6.0
6.0
6.0
60
20
30
60
30
60
30
30
40
40
30
50
120
80

35
35
60
60
110
25
25
6.0
6.0
6.0
60
15
20
30
20
30
30
30
40
40
30
50
120
45

95
95
75
85
85
150
150
150
150
150
150
100
100
160
150
150
150
150
85
85
85
85
71
85
85
100
85
85

80
80
20
12
10
20
20
20
20
20
20
15
25
100
40
40
40
40
16
16
16
20
40
25
25
15
20
35

45
45

@25'C

::IE "-

-:;;

TJ

Po

34W
34W
50M
50M
80M
150M
150M
150M
150M
150M
150M
250M
250M
250M
150M
150M
150M
150M
0.2W
0.2W
0.2W
0.15W
240M
0.3W
0.3W
75M
75M
20W

..

.;;
"-

C

A
A
A
A
A
A
A
A
C

A
A
A
A
A
A
A

c

A
A

C
C

A
A
A
C

A
A
A
A
A
A
A
A
A
A
C

J
J
J
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
C
C
A
A
C

20
45
25
25
25
25
40

20
15
15
35
35
60
60
110
120
15
15

~

~
'"0
0
0
0
0
0
0
0
0
V

0

0
R

0
0

@ Ic

(min) (max)
40
25
15
25
20
20
15
20
15
15
12

120
75

40
30
18
9.0

100
120

i!!

VCEISATI

@Ic

(volts)

~
::>

500M
2.0A
400M
200M

0.3
0.6
0.5
0.4

500M
2.0A
200M
200M

2.0A
2.0A
2.0A
2.0A
l.OA

5.0
5.0
2.0
2.0
5.0

2.0A
2.0A
2.0A
2.0A
LOA

500"
l.OA
5.0M
5.0M

l.0

l.OA

·2

::>

80
80
80
75
75
36

0

0

50

350

0.5A

0

0
0
0
0
0
0
R

12

60

l. OA

0
0
0
0

0
0
0
R
R

0
0
0
0
0
R

0
0
0
0
0
0
0
R

0
0

10

R

0
0
0

0
0
0
R
R

0

V
R
R
R

0
S

18
9.0
6.0

E
E

~

~
'"T
T
T
T
T
T

3.0M
3.0M
3.0M
3.0M

T
T
T
T

6.0M
7.0K
5.0M
2.0M
5.0M
2.0M

B
E
B
B
B
B

3.0K

E

50K

E

E

E
E
E

14
28

E

0.2
0.2
0.2
0.2
0.2

10M
10M
10M
10M
10M

14
28
14
28
14

E

2.0A
100M
150M
150M
150M
150M
10M
10M
10M
10M
10M
10M
LOA
2.0A

70

E

2.0A
2.0A

150M
150M
150M
150M
10M
10M
10M
10M
10M
10M
l.OA
2.0A

30
45

75
113

2.0A
2.0A

0.6
0.6

50
50
40

E
E

200K
200K
220M
220M
10M
10M

25
14
28
14
28
14
28
14

45
45
90
90
50
80
50
80
150
100
60
50

40
60
70
30
30

en

L5A
10M
10M
10M
10M
10M
10M
10M
1.0A
10M
10M

15
15
30
30
25
40
25
40
75
25
12
20

16
30
80
16
30
80
165
165
25

l.5M
l.5M
l.5M
l.5M
l.5M
l.5M
50M
50M
l.OM

0

0
0

~

E
E
E
E
E
E
E

E
E
E

20~'(

30*
2.0A

0
0
0
0
0

'-

::>

0.8
0.2
0.2
0.2
0.2
0.2
0.2
0.2
5.0
0.2
0.2

5.0
0.5
l.5
l.5
l.5
L5
0.3
0.3
0.3
0.3
0.6
0.3
10
0.6

4.0
7.0
20
4.0
7.0
20
27
27
9.0

!

0.5A
0.5A
5.0*

50
50
15
15
20
15

R

R

hl _

25
25

0
0

15
15
15
15
15
15
15
25
80
30
30
30
30
16
12
6.0
15
40
25
25
10
15
30

2-18

hFE

150
100
300
300

20M
20M
20M
10M
l.5M
10M
10M
0.5A

0.5

100M

l.0
l.0
0.3
l.0
l.0
LO
l.0

100M
100M
5.0M
5.0M
5.0M
5.0M
5.0M

0.2

10M

0.5
0.5
0.3
0.3
l.0

20M
20M
10M
10M
LOA

15
25
10
6.0
11
28
6.0
11
28
20
20

E
E
E
E
E
E
E
E
E
E
E

9.0
18
37
76

E
E
E
E

40
60

E
E

7.5K

E

40M
40M
50M
50M
30M
50M
30M
50M
50M
40M
50K
200K
200K
200K

T
T
T
T
T
T
T
T
T
T
E
T
T

T

600K

B

lOOK
15M
15M
15M
15M
5.0M
7.0M
10M
5.0M

B
B
B
B
B
B
B
B
B

40M

B

2N1292-2N1382

I ...

1;

TYPE

:3

co
::E a.

2N1292
2N1293
2N1294
2N1295
2N1296
2N1297
2N1298
2N1299
2N1300
2N1301
2N1302
2N1303
2N1304
2N1305
2N1306
2N1307
2N1308
2N1309
2N1309A
2N131O
2N1311
2N1312
2N1313
2N1314
2N1315
2N1316
2N1317
2N1318
2N1319
2N1320
2N1321
2N1322
2N1323
2N1324
2N1325
2N1326
2N1327
2N1328
2N1329
2N1330
2N1331
2N1332
2N1333
2N1334
2N1335
2N1336
2N1337
2N1338
2N1339
2N1340
2N1341
2N1342
2N1343
2N1344
2N1345
2N1346
2N1347
2N1352
2N1353
2N1354
2N1355
2N1356
2N1357
2N1358
2N1358A
2N1359
2N1360
2N1361
2N1361A
2N1362
2N1363
2N1364
2N1365
2N1366
2N1367
2N1370
2N1371
2N1372
2N1373
2N1374
2N1375
2N1376
2N1377
2N1378
2N1379
2N1380
2N1381
2N1382

G
G
G

G
G
G
G
G
G
G
G

G
G
G
G
G
G
G
G
G
S
G
G
G

G
G
G

G
G
G

G
G
G
G
G
G
G
G
G
G
G
G
G
G
S
S
S
S
S
S
S
S
G
G
G
G
G
G
G
G

G
G
G
G
G
G
G
G
G
G
G
G

G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G

N
P
N
P
N
P
N
N
P
P
N
P
N
P
N
P
N
P
P
N
N
N
P
P
P
P
P
P
P
P
N
P
N
P
N
P
N
P
N
N
P
N
P
N
N
N
N
N
N
N
N
N
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
N
N
P
P
P
P
P
P
P
P
P
P
P
P
P

REPLACE·
MENT

REF.

USE

@25·C &!

2N1531

2N1529

2N1532

2N1529

2N1533

2N1529

AP
AP
AP
AP
AP
AP
AP
S
SH
SH
S

2N1192

2N3611
2N3611

2N3019
2N3019
2N3019
2N2193
2N3019
2N3019
2N3019
2N3019

2N651

Po

MAXIMUM RATINGS
-;: T
Yca YCE;f J

2Nl191

2N3611
2N3611

2N3019
2N3019
2N3019
2N2192
2N3019
2N3019
2N3019
2N3019

2N650

2N174
2N174
2N375
2N375
2N375
2N375
2N375
2N375

S
S
S
S
S
S
S
S
AL
AL
S
S
AP
AP
S
S
S
S
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
S
S
S
S
S
A
S
S
S
S
S
SP
SP
AP
AP
S
S
AP
AP
AP
AP
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

2SW
20W
2SW
20W
2SW
20W
25W
150M
150M
150M
150M
150M
150M
150M
150M
150M
150M
150M
0.15W
120M
120M
120M
0.18W
125W
125W
0.2W
0.2W
0.2W
120M
20W
25W
20W
25W
20W
25W
20W
25W
20W
25W
25W
20W
25W
20W
25W
0.8W
0.8W
0.8W
0.8W
0.8W
0.8W
0.8W
0.8W
0.15W
0.15W
0.15W
0.15W
0.15W
0.15W
0.2W
0.2W
0.2W
0.2W
0.2W
90W
150W
90W
90W
0.15W
0.2W
90W
90W
90W
90W
100M
100M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M

C
C
C
C
C
C
C

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
C
C
A
A
A
A
C
C
C
C
C
C
C
C
C
C
C
C

C
C
C
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

C
J
J
A
A

J
J
J
J
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

·c

ELECTRICAL CHARACTERISTICS

f

hFE @ Ic

(yolts) (Yolts) on (min) (max)

100
85
100
85
100
85
100
100
85
85
85
85
85
85
85
85
85
85
85
85
85
85
100
90
90
85
85
85
71
85
100
85
100
85
100
85

35
60
60
80
80
100
100
40
13
13
25
30
25
30
25
30
25
30
35
90
75
50
30
40
32
30
20
10
20
35
35
60
60
80
80
100

30
60
45
80
60
100
80
20
12
12

100
85
100
100
85
100
85
100
175
175
175
175
175
175
175
175
85
85
85
85
85
85
85
85

100
35
35
60
80
80
100
100
120
120
120
80
120
120
120
150
20
15
10
12
20
30
15
30

85
100
85
95
100
100
100
100
100
100
100
100
100
85
85
100
100
100
100
100
100
100
100
100
100
100
100
100

30
30
30
80
100
50
50
25
25
100
100
120
120
18
18
25
45
25
45
25
45
25
45
12
25
12
25
25

15
75
50
15
40
16
15
12
6.0
20
30
30
60
45
80
60
100

S

s
S
s
S

S

s

R

0
0

0

0
R

30
40
30
40
30
40
30
35
30
30
20
20
40
40
60
60
80
80
80
20
15
20
40
20

S
S
S
S
S
S

45
50
45
40
15
40
30
40
30
40
30
40

80
30
30
45
80
60
100
80
45
45
45
25
50
50
50
65
16
10
8.0
10
12
20
10
15

S
S
S
S
S
S
S
S
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

30
40
30
30
40
30
40
30
10
10
10
10
10
10
10
10
15
60
30
40
30
40
25
25

20
20
15
40
60
40
40
20
20
75
75
100
100
18
18
25
45
25
45
25
45
25
45
12
25
12
25
25

0
0
0
0
0
S
S
0
0
S

30
40
40
40
40
35
60
40
40
35
60
35
60
20
10
45
45
27
27
45
45
67
67
85
85
27
27
45

2-19

0
0
0
V

s

s

S
S
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R

110

200
200
300
300

125
55
135
200
180
150

150
150
150
150
150
150
150
150
100
250
100
150
150
150
140
150
80
80
90
140
100
100
90
140
90
140
165
165
105
105
165
165
165
165
330
330
330
330
165

=i

=
0.5A
O.SA
0.5A
O.SA
O.SA
0.5A
0.5A
50M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
5.0M
5.0M
20M

VCEISATI@lc
(volts)

~

h,_

=

1.0
1.0
1.0
1.0
1.0
1.0
1.0
0.17

LOA
LOA
LOA
1.0A
1. OA
1.0A
LOA
15M

0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2

0.28

~

I

L

~

'2

=

I

JI

10M
10M
10M
10M
10M
10M
10M
10M
10M

4.0M
25M
35M
3.0M
3.0M
5.0M
5.0M
10M
10M
15M
15M
15M

B
T
T
B
B
B
B
B

20M
0.3A

6.0M

B

10M
10M
10M
3.0M

B
B
B
T

T
T
T
T
T
T
T
T
B
B
B
B
B

B
B
B
B

1.0A
LOA

0.4A
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A

0.3
1.0
1.0
1.0
1.0
1.0
1.0
1.0

0.4A
LOA
LOA
1.0A
1.0A
1.0A
LOA
1.0A

0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
30M
30M
30M
30M
30M
30M
30M
30M
50M
20M
0.4A
0.35M
10M

1.0
LO
1.0
LO
LO
1.0
1.0
LO

LOA
1.0A
1.0A
LOA
LOA
LOA
LOA
LOA

0.13
0.2

12M
10M

70M
70M
70M
70M
70M
70M
70M
70M
4.0M
7.0M
10M
10M
5.0M

10M
10M

0.2
0.2

50M
50M

105M
3.0M

B
B

10M
10M
10M
1.2A
1.2A
LOA
LOA
25M
25M
LOA
LOA
LOA
LOA
100M
100M
50M
50M
50M
50M
50M
50M
50M
50M
50M
50M
50M
50M
50M

0.2
0.2
0.2
0.7
0.7
0.1
1.0

50M
50M
50M
12A
12A
2.0A
2.0A

1.0
1.0
1.0
1.0

2.0A
2.0A
2.0A
2.0A

5.0M
5.0M
10M
lOOK
lOOK
5.0K
5.0K
4.0M
4.0M
5.0K
5.0K
5.0K
5.0K
5.0M
2.5M

B
B
B
B
B
E
E
B
B
E
E
E

1.0
1.0
LO
LO
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

100M
100M
100M
100M
100M
100M
100M
100M
100M
100M
100M
100M
100M

10
5.0
40
40
20
20
40
40
60
60
75
75
20
20
40

E
E
E
E
E
E
E
E
E
E
E
E
E
E
E

E

T
T

•

2N1383-2N1470
TYPE

i=c ..:si;
...

co
:E

•

2N1383
2N1384
2N1385
2N1386
2N1387
2N1388
2N1389
2N1390
2N1391
2N1392
2N1393
2N1394
2N1395
2N1396
2N1397
2N1398
2N1399
2N1400
2N1401
2N1402
2N1403
2N1404
2N1404A
2N1405
2N1406
2N1407
2N1408
2N1409
2N1409A
2N1410
2N1410A
2N1411
2N1412
2N1413

I~~tm
2N1416
2N1417
2N1418
2N1419
2N1420
2N1420A
2N1421
2N1422
2N1423
2N1424
2N1425
2N1426
2N1427
2N1428
2N1429
2N1430
2N1431
2N1432
2N1433
2N1434
2N1435
2N1436
2N1437
2N1438
2N1439
2N1440
2N1441
2N1442
2N1443
2N1444
2N1445
2N1446
2N1447
2N1448
2N1449
2N1450
2N1451
2N1452
2N1453
2N1454
2N1455
2N1456
2N1457
2N1458
2N1461
2N1462
2N1463
2N1464
2N1465
2N1466
2N1469
2N1470

REF.

G P
G P
G P
8
8
8
8
5

G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
5
S
S
S

G
G
G
G
G
G
S
S

G
S
S
S
S
5
S

N
N
N
N
N
N
p
P
P

2N2222
2N2222
2N2222
2N2222
2N2222

2112218
2N2218
2N2218
2N2218
2N2218

P
p
P
P
P
p
P
P
P
p
P
P
p
P
P
N
N
N
N
P
P
P
P
P
P
N
N
P
N
N
N
N
N
N
P
P

2N2955
2N3323
2N3323

2N2955
2N3323
2N3323

G
G
G P
S
5

G
G
G
G
G
G
G
G
G
8

S
S
S
5
5
5

G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
S
5

P
P
P
N
P
P
P
P
P
P
P

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS
REPLACE·
MENT

2N2537
2N2537
2N2537
2N2537
2N962

2N1193

2N1408
2N2537
2N2537
2N2537
2N2537
2N956
2N1412
2N1413
2N1413
2N1413
2N1l91

2N2219
2N5477
2N5477
2N5477
2N5477

2N1l62
2N718
2N2218
2N5477
2N5477
2N5477
2N5477

2N962
2N869
2N869

2N956
2N869
2N869

2N1164

Po

~

TJ

VCE _

Vce

i-

@25"C

'Ii;

..

"C

250M
240M
750M
300M
300M
300M
300M
300M
150M
50M
50M
50M

A
A
A
A
A
A
A
A
A
A
A
A

100
85
100
175
175
175
175
175
100
85
85
85

25
30
25
25
30
45
50
20
25
20
20
10

25
30
10
25
30
25
50
20
18
20
10
6.0

R
0
0
0
0
a
0
0
a
R
R
R

120M
120M
120M
50M
50M
50M
50M
50M
250M
150M
150M
75M

A
A
A
A
A
A
A
A
A
A
A
A

100
100
100
85
85
85
85
85
100
85
85
100

40
40
40
30
30
30
30
30
15
25
25
30

40
40
40
20
20
20
20
20
12

75M
75M
150M
600M
800M
600M
800M
25M
70W
200M
200M
200M
100M
0.15W
0.15W
87W
600M
800M
30W
30W
60W
60W
80M
80M

A
A
A
A
A
A
A
A
C
A
A
A
A
A
A
C
A
A
C
C
C
C
A
A

100
100
100
200
200
200
200
85
95
85
85
85
65
150
150
95
175
200
200
200
200
200
85
85

30
30
50
30
30
45
30
5.0
100
35
35
35

SH
S
8
5P
A
A
AP
AP
AP
8H
AP
AP

25M
100M
100M
70W
180M
100M

A
A
A
C
A
A

50M
23W
23W

A
C
C

100
140
140
110
75
100
95
95
95
100
95
95

USE
A
8H
8H
8
8
AH
AH
AH
A
AL
AL
AL
AH
AH
AH
AH
AH
AH
AH
AH
AH
8
5
AH
AH
AH
5
5H
SH
SH
SH
S
SP
S
S
S
A
A
A
8P
AH
AH
AHP
AHP
AHP
AHP
A
A

~ (min) (max)
(yults) (yults) .51

90
40
55

30
40

150
160

10M
20M

a
0
0
0
0
a
0
0
0

50
50
50
10
3.5
5.0
5.0
3.5
25

175
175
175

12
250

l.5M
l.5M
l.5M
0.5M
O.SM
0.5M
0.5M
l.5M
7.0M

15
20

0
0

30
10

200
200

12M
2.0M

20
20
50
25
25
30
30
5.0
65
25
25
25
18
15
30
40
30
40
60
60
60
60

0
0
5
0
0
0
a
S
0
R
R
R
U
0
0
0
R
R
S
S
S
S

10
10
10
15
15
39
30
20
25
25
34
53

200
200

2.0M
2.0M

45
45
90
90
50
42
65
90

150M
150M
150M
150M
50M
5.0A
20M
20M
20M

40
100
100
20
20
20
20

100
300
300
80
80
80
80

25A
150M.
150M
LOA
LOA
2.0A
2.0A

0.7
1.5
1.5
3.0
3.0
5.0
5.0

25A
150M
150M
LOA
LOA
2.0A
2.0A

6.0
6.0
6.0
120
20
45
80
80
80
15
100
100

6.0
6.0
6.0
100
15
45
50
50
50
12
90
90

S
0
0
a
R
R
0
0
a
8
8
5

90
150

50M
5.0M
5.0M
5.0A
35M

0.2
0.1
0.1
0.4

50M
5.0M
5.0M
lOA

2.0A
2.0A
2.0A
10M
0.5A
0.5A

1.5
1.0
0.6
0.2
1.0
1.0

2.0A
2.0A
2.0A
10M
LOA
LOA

0.25
0.25
0.25
0.25
0.25
l.5
4.0

5.0M
5.0M
5.0M
5.0M
5.0M
0.25A
200M

15
30
80
60
60
60
60
60
60
24
24

A
A
A
A
A
SH
AP
A
A
A
A
5

0.4W
0.4W
0.4W
0.4W
0.4W
0.5W
4.0W
0.2W
0.2W
0.2W
0.2W
0.12W

A
A
A
A
A
A
C
A
A
A
A
A

200
200
200
200
200
150
200
85
85
85
85
85

50
60
50
50
50
60
120
45
45
45
45
30

50
50
35
30
15
20
120
25
25
25
25
20

0
0
0
a
0
0
0
0
0
a
0
0

P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
N

2N464
2N1191

2N464
2N1191

A
A
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
A
AP

0.2W
0.2W

A
A

85
85
90
90
90
90
90
90
90
90
90
90
85
85
175
200

45
45
30
30
60
60
80
80
30
30
60
60
120
120
40
60

20
20
20
20
40
40
60
60
20
20
40
40
70
70
35
60

0
0
a
0
0
0
0
0
0
0
0
0
0
0
U
S

C
C
A
C

(volts)

~
::>

50M
200M
10M
10M
10M
10M

2N2904
2N2904
2N2904
2N2904
2N2904
2N2910
2N3498
2N1l91
2N1191
2N1l91
2N1189
2N2955

20W
20W
0.25W
SSW

VCElsAn@lc

165

2N2907A
2N2907A
2N2907A
2N2907A
2N2907
2N2410
2N3500
2N1191
2N1191
2N1192
2N1189
2N2955

2N2904
2N5067

·i::>

27
20
10
30
20
15

P
P
P
P
P
N
N
P
P
P
P
P

2N2906
2N5068

hFE @ Ic

2-20

20
12
12
30
75
20
45
30
20
20
20

20
20
16
35
50
70
20
20
30
40
70
40
70
40
70
40
70
40
70
20
20

12

50
115
75

80
45
65
90
125
65
90
90
150
90
150
90
150
90
150
90
150

0.25A
200M
20M
20M
20M
20M
10M

1.0

100M

0.6
0.5

5.0M
5.0M

0.8

5.0M

0.75
0.75
0.75
0.75
0.75
0.75
0.15
0.15

0.45
0.7

h,_
20

i

20M
20M
l.OA
l.OA
l.OA
l.OA
l.OA
l.OA
LOA
LOA
l.OA
1.0A
0.5A
0.5A

l.0
l.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
0.75
0.75

3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
l.OA
l.OA

l.OA

3.0

LOA

'Ii:.
'1:
iii

~

20M
250M

T
T

E

10
35

E
E

SaM
24M
20M
3.0M

B
T
B
E

B
B
B
T
T
T
T
T

10

E

30M
30M
120M
140M
140M
100M
120M
100M
200M
4.0M
3.0M
250M

10
10
10

E
E
E

250M
200M

T
T

200M
200M
130M
130M
25M

T
T
T
T
T

800K
1. OM
1. 3M

B
B
B

50M
60M
10M
10M
10M
10M
10M
10M

T
T
T
T
T
T
B
B

50M
16M
16M
0.6M
10K

T
T
T
T
E

S.OK
S.OK
5.0K

E
E
E

4.0K
4.0K

E
E

10M
12A

10M

~
::>

E

10M
10M
10M
10M
10M
10M
12M
12M

0.25

L

30

20
30
44
39
30
30

E
E
E
E
E
E

20
20
20
20

E
E
E
E

17

E

40
25
25

E
E
E

30

E

T
B
T
T

9.0
9.0
18
30
50

E
E
E
E
E

0.5M
l.OM
1. OM
l.OM
l.OM

B
B
B
B
B

16
30
45
60

E
E
E
E

75K
0.8M
l.5M
2.0M
2.5M

E
B
B

E

5.0K
5.0K
5.0K
S.OK
S.OK
5.0K
5.0K
5.0K
5.0K
5.0K
4.0K
4.0K
2.0M

36
15

:!-

B
B

E
E
E
E
E
E
E
E
E
E
E
E
B

2N1471-2N1544A
TYPE

I

~

G

~
Q
::IE a..

A
A
A
A
A
A
A
A
A

AHP
AHP
AHP
S
S
S
AL
SP
SP
SP
SP
AH

0.8W
0.8W
0.8W
600M
LOW
LOW
75M
75W
75W
75W
75W
83M

A
A
A
A
A
A
A

SOW
SOW
SOW
SOW
50W
50W
80M
80M
80M
80M
150M
90W

C

2N3323
2N3323
2N3323
2N3323
2N2218
2N1529

SP
SP
SP
SP
SP
SP
AH
AH
AH
AH
AH
AP

P
P
P
P
P
P
P
P
P
P
P
P

2N1529
2N1529
2N1529
2N1529
2N1529
2N1529
2N1529
2N1529
2N1529
2N1529
2N1529
2N1529

AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP

90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W

P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P

2N1529
2N1529
2N1529
2N1529
2N1529
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539

AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP

90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W

P
P
P
P
P
P
P
P
P
P
P
P

2N1505
2N1506
2N1506A
2N1S07
2N1S08
2N1509
2N1510
2N1511
2N1512
2N1513
2N1514
2N1516
2N1518
2N1519
2N1520
2N1521
2N1522
2N1523
2N1524
2N1525
2N1526
2N1527
2N1528
2N1529

S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N
N
P
P
P
P
P
P
P
P
P
P
P
N
P

2N1529A
2N1530
2N1530A
2N1531
2N153LA
2N1532
2N1532A
2N1533
2N1534
2N1534A
2N1535
2N1535A
2N1536
2N1536A
2N1537
2N1537A
2N1538
2N1539
2NlS39A
2N1540
2N1540A
2N1541
2N154LA
2N1542
2N1542A
2N1543
2N1544
2N1544A

G
S
S
S
S

G
G
G
G
G
G
G
G
G
G
G
S

G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G

~

400M
400M
300M
0.25W
500M
25M
60M
75M
60M
34W
34W
25W

G
G
G
G
G
G
G
G
G
G
G
G

G

Po

@2S·C &!

SH
SH
SH
SH
SH
SH
SH
SH
SH
SP
SP
AP

2N1494
2N1494A
2N1495
2N1495A
2N1496
2N1499
2N1499A
2N1499B
2N1500
2N1501
2N1502
2N1504

S
S
S
S
S

USE

A
A
A
A
A
A
A
A
A

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

G

REF.

200M
150M
167M
0.25W
0.25W
0.25W
0.25W
0.25W
250M
5.0W
5.0W
5.0W
5.0W
25W
25W
25W
25W
75W
75W
75W
75W
3.0W
3.0W
3.0W

P
N
N
p
P
P
p
P
P
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N

S

REPLACE·
MENT

S
SH
S
A
A
A
A
A
S
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
AH
AH
AH

2N1471
2N1472
2N1473
2N1474
2N1474A
2N1475
2N1476
2N1477
2N1478
2N1479
2N1480
2N1481
2N1482
2N1483
2N1484
2N1485
2N1486
2N1487
2N1488
2N1489
2N1490
2N1491
2N1492
2Nl<\93

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

2N834
2N2906A
2N2906A
2N2906A
2N4928
2N4928
2N3427
2N4237
2N4238
2N4237
2N4238
2N4231
2N4232
2N4231
2N4232
2N4913
2N4914
2N4913
2N4914
2N2218
2N2192
2N3500

2N834
2N2904
2N2904
2N2904
2N4928
2N4928
2N3427
2N4237
2N4237
2N4237
2N4237
2N4231
2N4231
2N4231
2N4231
2N4913
2N4913
2N4913
2N4913
2N2218
2N2192
2N3498
2N1204
2N1204
2N1204
2N1204

2N2144
2N2143

2N2137
2N2137

2N2219A
2N2219A
2N3444
2N2219
2N3019
2N3019

2N2218
2N2218
2N3252
2N2218
2N3019
2N3019

2N4913
2N4914
2N4913
2N4914

2N4913
2N4913
2N4913
2N4913

MP943
MP943A

2N3325
2N3325
2N3325
2N3325
2N2218

C
C
C
C
C
C
C
C
C
C
C
C
C
C
C

J
J
C

C
C
C
C
A

C

C
C

C
C
A
A
A
A
A
C

C
C
C
C
C

C
C
C
C

C
C
C

C
C
C
C
C
C

C
C
C
C
C
C

C
C
C
C

TJ

·c

VCE_

VCB

(volts) (volts)

I

85
150
75
175
175
175
175
175
100
200
200
200
200
200
200
200
200
200
200
200
200
175
175
175

12
25
40
60
60
60
100
100
30
60
100
60
100
60
100
60
100
60
100
60
100
30
60
100

15
25
20
60
60
60
100
100
20
60
100
60
100
60
100
60
100
60
100
60
100

100
100
100
100
100
85
100
100
100
95
95
95

20
20
40
40
40
20
20
30
15
60
40
80

15
15
25
25
25
15
20
20
12
40
40
60

175
175
200
175
175
175
85
200
200
200
200
75

50
60
80
60
100
60
75
60
100
60
100
25

20
20
50
30
55
35
70
60
100
60
100

95
95
95
95
95
95
85
85
85
85
175
100

SO
80
50
80
50
80
24
24
24
24
25
40

40
60
40
60
40
60

25
30

S
S

100
100
100
100
100
100
100
100
100
100
100
100

40
60
60
80
80
100
100
120
40
40
60
60

20
45
30
60
40
75
SO
90
30
20
45
30

a
S
a
S
a
S
a

100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100

80
80
100
100
120
40
40
60
60
80
80
100
100
120
40
40

60
40
75
50
90
30
20
45
30
60
40
75
50
90
30
30

S
0

hFE @ Ic
(min) (max)

VcElSAn@lc

~ (volts)

'"

R

a

20
25

U
U
U
U
U
S
V
V
V
V
V
V
V
V
V
V
V
V

40
20
20
35
35
20
20
35
35
15
15
25
25

0

SO

60
60
100
100
60
60
100
100
45
45
75
75

10M
400M

100M
200M
200M
200M
200M
750M
750M
750M
750M
200M
200M
1. SA
1.5A

0.25

0.2
l.4
l.4
1.4
1.4
2.0
2.0
0.75
0.75
3.0
3.0
1.0
1.0

:i
'"

S
S

a

S

a
a
a
a

R
0
0

R
V
V
V
V

15
25
25
25
25
20
30
40
20
25
25
21
7.0
10
10
100
20
20
8.0
4.0
7.0
7.0

100
100
100
100
100
300
60
60
90

20

2-21

B
B

S
S

a
S
a
S
0
S
S

a
S
0
S
0
S
0
S
S

S

15
15
17
17
25
25

100

.!"

L

~

j
M :i
'"
E
3.0M B

10M
12
18
36
12
30

E
E
E
E
E

15
15
15

E
E
E

10M
200M
200M
200M
200M
750M
750M
750M
750M
1. SA
1. SA
1.5A
1. SA

4.0

a
a
a
a
a

hI_

75M
4.0M
l.OM
2.0M
l.OM
l.OM
l.OM
3.0M

T
B
B
B
B
B
B
B

400M
200M
200M
0.2A
200M
10M
10M
10M
10M
2.0A
2.0A
0.5A

0.5
0.4
0.3
0.3
0.3
0.2
0.2
0.2
0.2
0.6
0.6
0.75

200M
200M
200M
0.2A
200M
10M
10M
10M
10M
2.0A
2.0A
LOA

220M
220M
150M
150M
150M

T
T
T
T
T

100M
150M
120M
200K
200K
4.0K

T
T
T
T
T
E

O.lA
O.LA
O.LA
150M
600M
600M
100M
6.0A
6.0A
6.0A

2.0
1.5
0.6
1.5
3.6
3.6
0.8
7.2
6.0
6.0

0.15A
0.15A
0.15A
150M
600M
600M
4.0M
6.0A
6.0A
6.0A

70M
140M
140M
50M
SOM
50M

T
T
T
T
T
T

0.3M
0.3M
0.3M
0.3M

T
T
T
T

0.7
0.7
0.6
0.6
0.5
0.5

25A
25A
35A
35A
50A
50A

5.0K

E

5.0K

E

5.0K

E

5.0K

E

S.OK

E

5.0K

E

5.0K

E

5.0K

E

3.0K

E

3.0K

E

100M
60
60
68
68
100
100

15A
lSA
l5A
15A
15A
15A

17
17
27
27
10
20

40

3.0A

1.5

3.0A

20
20
20
20
20
20
20
20
35
35
35
35

40
40
40
40
40
40
40
40
70
70
70
70

3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.2
l.2
1.2
1.2

3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A

35
35
35
35
35
50
SO
50
50
50
50
50
50
50
75
75

70
70
70
70
70
100
100
100
100
100
100
100
100
100
150

3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A

1.2
1.2
1.2
1.2
1.2
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.2
0.2

3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A

E
E
E
E
E

3.0K

E

3.0K

E

1. OK
3.0K

E
E

2N1545-2N1641

........
TYPE

•

~
02 02

... ....:::s
~
co
::E

MAXIMUM RATINGS
REPLACE·
MENT

REF.

2Nl545
G P
2N1539
2Nl545A G P
2N1539
2Nl546
G P
2N1539
2Nl546A G P
2N1539
2Nl547
G P
2N1539
2N1547A G P
2N1539
2N1548
G P
2N1539
2N1549
G P
2N1539
2N1549A G P
2N1539
2N1550
G P
2N1539
2N1550A G P
2N1539
2N1551
2N1539
G P
2N1539
2N1551A G P
2N1539
2Nl552
G P
2N1539
2N1552A G P
2N1539
2N1553
G P
2N1539
2N1553A G P
2N1539
2N1554
G P
2N1539
2N1554A G P
2N1539
2Nl555
G P
2N1539
2Nl555A G P
2N1539
2Nl556
G P
2N1539
2N1556A G P
2N1539
2N1557
G P
2N1539
2N1557A G P
2N1539
2Nl558
G P
2Nl558A G P
2N1539
2N1559
2N1539
G P
2N1559A G P
2N1539
2N1560
2N1539
G P
2N1560A G P
2N1539
2N1561
G P
2N1561
2N1562
2N1561
G P
2N1564
S N 2N2218
2N2218
2N1565
S N 2N2218
2N2218
2Nl566
S N 2N2219
2N2218
2N2218
2N1566A S N 2N2219
2N1572
S N 2N3020
2N3019
2Nl573
S N 2N3020
2N3019
2N1574
S N 2N3019
2N3019
2Nl585
G N
2N1586
2N706
S N 2N706A
2Nl587
2N2501
S N 2N2501
2Nl588
2N2218
S N 2N2221
2N1589
2N834
S N 2N835
2N1590
2N2501
S N 2N2501
2N1591
2N2218
S N 2N2221
2N1592
2N2218
S N 2N2222
2N1593
S N 2N2222
2N2218
2N1594
S N 2N2222
2N2218
2N1595
thru
Thyristors J see Table
2N1604
2N1605
G N
2Nl605A G N
2N1606
S P 2N3546
2N3546
2N1607
S P 2N3546
2N3546
2N1608
S P 2N3546
2N3546
2N1609
G P 2N2140
2N2137
2N2137
2N1610
G P 2N2145
2N2137
2N1611
G P 2N2138
2N2137
2Nl612
G P 2N2143
2N718A
2N1613
S N
2N2218
2Nl613A S N 2N2218
2Nl613B S N 2N3019
2N3019
2Nl614
G P 2Nl924
2Nl924
2N1615
S N 2N3500
2N3498
2Nl616
2N5477
S N 2N5477
2N1616A S N 2N5477
2N5477
2N1617
S N 2N5477
2N5477
2N1617A S N 2N5477
2N5477
2Nl618
S N 2N5477
2N5477
2Nl618A S N 2N5477
2N5477
2N1620
S N 2N5458
2Nl622
G N
2N1623
S P 2N2906
2N2904
2N1624
G N
2Nl631
2N3323
G P 2N3325
2N1632
G P 2N3325
2N3323
2Nl633
G P
2Nl634
G P
2N1635
G P
2Nl636
G P
2N1637
2N3323
G P 2N3325
2Nl638
2N3323
G P 2N3325
2N1639
G P 2N3325
2N3323
2Nl640
2N5229
S P 2N5230
2Nl641
S P 2N5230
2N5229

USE
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
, AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AH
AH
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
on

SH
SH
SH
AP
AP
AP
AP
S
S
S
S

AH
AHP
AP
AHP
AP
AHP
AP
AHP
A
A
AH
AH
AH
AH
AH
AH
AH
AH
AH
SC
SC

c

~
"\U
@25'C a:
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
250M
250M
600M
600M
600M
600M
600M
600M
600M
750M
125M
125M
125M
125M
125M
125M
125M
125M
125M

C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

ELECTRICAL CHARACTERISTICS

TJ

Vcs

VCE _

'C

(volts)

(volts)

100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
175
175
175
175
175
175
175
100
85
85
85
85
85
85
85
85
85

60
60
80
80
100
100
120
40
40
60
60
80
80
100
100
40
40
60
60
80
80
100
100
40
40
60
60
80
80
100
100
25
25
80
80
80
80
125
125
125
25
15
30
60
15
30
60
15
30
60

45
45
60
60
75
75
90
30
30
45
45
60
60
75
75
30
20
45
30
60
40
75
50
30
20
45
30
60
40
75
50
15
15
60
60
60
60
80
80
80
10
10
20
40
10
20
40
10
20
40

100
100
140
140
140
95
95

25
40
10
10
10
80
80
60
60
75
75
120
65
100
60
60
80
80
100
100
100
90
50
25
34
34
34
34
34
34
34
34
34
30
30

24
40
10
10
10
60
60

f
'"
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

0
S

0
S

0
S

a
S
0

S
0

S
0

S

0

hFE @ Ic
(min) (max)
75
75
75
75
75
75
75
10
10
10
10
10
10
10
10
30
30
30
30
30
30
30
30
50
50
50
50
50
50
50
50

150
150
150
150
30
30
30
30
30
30
30
30
60
60
60
60
60
60
60
60
100
100
100
100
100
100
100
100

VCEISATI@lc

~ (volts)

=>

3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA

0

a

0
0

a
a
0
0
0
0
0

0
0

a
0
0

a
a
a

15
30
60
60
15
30
60
20
5.0
5.0
5.0
20
20
20
40
40
40

50
100
200
200
50
100
200

~

'"

hf _

0.2
0.2
0.2
0.2
0.2
0.2
0.2
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.4
0.5
0.4
0.5
0.4
0.5
0.4
0.5
3.0
4.0
1.0
1.0
1.0
0.95
1.0
1.0
1.0

3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
200M
200M
10M
10M
10M
10M
10M
10M
10M

20
40
80
80
20
40
80

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M

9.0
9.0
9.0
25
25
25
70
70
70

27
27
27
75
75
75
210
210
210

5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
10M
100M
100M
l.OM
100M
l.OM
l.OM
l.OM
100M
l.OM

30
30
30
75
125

20M
20M
15M
15M
15M
100M
100M

0.15
0.15
0.15
0.15
0.15
1.0
0.6

12M
12M
5.0M
5.0M
5.0M
500M
500M

100M
100M
150M
150M
150M
20M
5.0M
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
5.0M
l.OM
30M

1.0
0.6
1.5
1.0
0.2
0.13
5.0
2.0
1.0
2.0
1.0
2.0
1.0
2.0

500M
500M
150M
150M
150M
20M
50M
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
5.0M
5.0M

~
~

tl

=>

L

~

=>

l.OK
3.0K
loOK
3.0K
l.OK
3.0K
l.OK
5.0K

.'"=
~
'"E
E
E
E
E
E

E
E

5.0K

E

5.0K

E

5.0K
loOK
3.0K
loOK
3.0K
l.OK
3.0K
l.OK
3.0K
loOK
3.0K
l.OK
3.0K
loOK
3.0K
loOK
3.0K

E
E
E
E
E
E
E
E
E

100M

T

5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M

B
B
B
B
B
B
B

4.0M
4.0M
7.2M
10M
25M

B
B
T
T

E
E
E
E
E
E
E

E

E

E
E
E
E

E
E
E
E
E
E
E
E
E

E
E

B

B

Page 2-66

S
S

S

Po

150M
0.2W
100M
100M
100M

800M
l.OW
l.OW
240M
5.5M
60W
85W
60W
85W
60W
85W
60W
0.12W
250M
0.15W
80M
80M
80M
80M
80M
80M
80M
80M
80M
250M
250M

A
A
A
A
A

A
A
A
A
A
C
C
C
C
C
C
C

A
A
A

A
A

A
A
A
A
A
A
A
A
A

95
95
200
200
200
100
200
175
200
175
200
175
200
175
85
160
100
85
85
85
85
85
85
85
85
85
160
160

40
40
50
50
55
40
100
60
60
80
70
100
80
100
90
20
20

20
10

2-22

a
S
S
S
0
0

40
40
6.0
6.0
6.0
30
50

30
50
40
40
40
18
25
0
15
0
20
0
V
15
20
0
15
V
O·
20
15
V
40
S
0 9'.0
R
60
0
0
R
R
R
R

U
U

6.0
10

75
125
120
120
120
43
75
60
75
60
75
50
75
40
180

lOO1(

100*

0.3

30
30
30

E

E
E

60M
60M
60M
500K
2.0M
3.0M
3.0M
3.0M
3.0M
3.0M
3.0M
3.0M
lOOK
5.0M

40
40
27
27
40
40
40
70
40

E
E

E
E
E
E
E

E
E

T

T

T
T

B
T

T
T
T
T
T
T
T
B
B

2N1642-2N1731

TYPE

~ ...
~

:IE

S
e

REPLACE·

MENT

REF.

USE

Po

MAXIMUM RATINGS
TJ
Vcs VCE _

-;;

...

·s

@2S'C "1D

se

""
A

'C

I

(min) (max)

2N1642
2N1643
2N1644
2N1645
2N1646
2N1647
2N1648
2N1649
2N1650
2N1651
2N1652
2N1653
2N1654
2N1655
2N1656
2N1657
2N1660
2N1661
2N1662
2N1663
2N1664
2N1665
2N1666
2N1667

S
S
S
G
G
S
S
S
S
G
G
G
S
S
S
S
S
S
S
S
G
G
G
G

30
25
60
35
15
80
120
80
120
60
100
120
100
125
125
60
60
80
100
20
45
15
80
60

6.0
25
40
20
12
80
80
80
80
60
100
120
80
100
100
60
60
80
100
15
40
12
60
48

2N1668
2N1669
2N1670
2N1671
2N1672
2N1672A
2N1673
2N1674
2N1675
2N1676
2N1677
2N1678

60
80
A
100
Unijunction Transistor, see Table on Page 2-86
120M A
85
40
G N
AL
120M A
85
G N
40
AL
80M A
85
35
G P
AH
0.2W A 200
45
A
S N
50W e 150
100
S N
SHP
100M A 140
4.5
S P
se
4.5
100M A 140
S P
se
85
60
120M A
S
G P

48
60

X
X

20
20
15

40
40

X
X

20
20

45
100
4.5
4.5
60

0
S
U
U
S

2N1679
2N1680
2N1681
2N1682
2N1683
2N1684
2N1685
2N1686

S
S

0
0
0

thru
2N1689
2N1690
2N1691
2N1692
2N1693
2N1694
2N1699
2N1700
2N1701
2N1702
2N1703
2N1704
2N1705
2N1706
2N1707
2N1708
2N1708A
2N1709
2Nl710
2N1711
2N1711A
2N17llB
2Nl713
2Nl714
2Nl715
2Nl716
2Nl717
2Nl718
2Nl719
2Nl720
2Nl721
2Nl722
2Nl722A
2Nl723
2Nl724
2Nl724A
2Nl725
2Nl726
2N1727
2Nl728
2N1729
2N1730
2Nl731

G
G
G

P
P
N
P
N
N
N
N
N
P
P
P
N
N
N
N
N
N
N
N
P
P
P
P
P
P
P

N
N

2N2218

2N2218

2N5477
2N5479
2N5477
2N5477

2N5477
2N5477
2N5477
2N5477
2N1651
2N1651
2N1651

MM3006
MM3007
MM3007
MM3005

MM3005
MM3005
MM3005
MM3005

2N3616
2N3618
2N3616
2N3616

2N5335
2N5334

2N3615
2N3615
2N3615
2N3615

2N5334
2N5334

G P
S
G

G
G

N
P
P
P

A
S
AHP
SH
AHP
AHP
AHP
AHP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
SH
A
AH
SP
SP
SP
SP
S

S
S
S
S
SH
S
S

250M
250M
2.0W
l.OW
150M
40W
40W
40W
40W
100W
100W
100W
250M
250M
250M
85W
85W
85W
150M
0.2W
150M
30W
30W
30W
30W
0.12W

l.OW
l.OW
0.18W
500M
150M
100M
100M

A
C

A
A

e
C
C

e
e
e
e

A
A
A

e

C
C
C

A
A
A
C

e
e
e

A
A

160
160
175
100
100
175
175
175
175
110
110
110
160
160
160
200
200
200
200
150
100
85
90
90

(volts) (volts)

ELECTRICAL CHARACTERISTICS
hFE @ Ie

90
90
85

0
S
V
0
V
0
S
S
S
0
0
0
S

R
R
R
0

R
0
V
X

25

25
120
45
45
90
140
140
140
45
22
45
30
135
135
135
150
120
100
30
80
45
65

100*
100*
150M
0.2A
10M
0.5A
0.5A
0.5A
0.5A
lOA
lOA
IDA
l.OM
l.OM
l.OM

0.85A
l.OA
l.OA
l.OA
20M
10M
10M
6.0A
6.0A
6.0A
6.0A
10M

100

1.0A

R

60

180

30M

80
120

0
0

20
20

60
60

500M
500M

15
25
20
40
60
60
60
60
45
12
18
25

0
S
0
X
V
V
V
V
0

C

200
200

80
120

A
A
A
A

100
100
85
100
200
200
200
200
175
100
100
100

25
25
20
40
60
60
60
60
45
18
25
30

175
175
175
175
200
200
200
85
175
175
175
175
175
175
175
175
175
175
175
175
175
175
100
100
100
85
85
85

25
40
75
60
75
75
120
30
90
150
90
150
90
150
90
150
120
180
120
120
180
120
20
20
20
25
20
30

12
20
30
30
50
50
55
12
60
100
60
100
60
100
60
100
80
120
80
80
120
80
20
20
20
15
15
30

R

0
X

hI_

'"

l.5
4.0

150M
O.2A

3.0
3.0
3.0
3.0
0.65
0.65
0.65
0.3
0.3
0.3
4.5
4.0
4.0
4.0
0.25
0.5

l.OA
l.OA
l.OA
l.OA
25A
25A
25A
5.0M
5.0M
5.0M
0.85A
l.OA
l.OA
l.OA
10M
O.lA

0.5
0.5

6.0A
6.0A

0.5
0.5

6.0A

25

I
E

L

~

50

~

50M T
450M T
3.0M
2.0M
3.0M
2.0M

20
20
20

t

'" '"
T
T
T
T

E
E
E

E

6.0A
15
15
20
50

E
E
E
E

25

E

lOOK
lOOK
lOOK
2.0M
25M
25M
25M
100M
O.lM
300M
2.0K
2.0K

B
B
B
B
T
T
T
T
B
T
E
E

2.0K
2.0K

E
E

2.0M
2.0M

B
B

20M
120M
16M
16M
25M

T
T
T
T
B

l.5
2.5
0.1
0.1

5.0M
5.0A
5.0M
5.0M
20M

3.6
3.6
0.1
0.6
12
0.15

600M
600M
10M
10M
LOA
12M
100M

50M
50M
5.0M
200M
50M
4.0M
8.0M

T
T
B
T
T
B
B

7.5
7.5

500M

90K
90K

E
E

3.0
4.0

200M
200M
3.0M

B

12.5
20
20

2.5A
5.0A
5.0A

B
B

1.0

10M

0.4M
0.35M
0.3M
0.3M
5.0M

0.22
0.22
5.0
5.0
1.5
1.0
0.2

10M
10M
LOA
LOA
150M
150M
150M

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
1.0
0.6
1.0
1.0
0.6
1.0

200M
200M
200M
200M
200M
200M
200M
200M
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A

20M

25

600M
600M
10M
10M
40M

55
35
15
20
12
25
20

~

l.OM
l.OM

120
120
120

100
60
30
25
13
25
25

A
A
A
A

R

15
10
40
20
20
15
15
30
30
35
35
35
20
10
20
7.5
45
45
45
30
45
5.0
15
35

'"

40
40
30
20
50

175
175
100
175
85
100
100

e

U
U

VCEISAT]@lc

~ (volts)

Thyristors, see Table on Page 2-66
S
S

N
N

2N4912
2N5050

G

G
G
S
S
S
S
S
G

G
G
S
S
S
S
S
S
S

G
S
S
S
S
S
S
S
S
S
S
S
S
S
S
G

G
G
G
G
G

P
N
P
N
N
N
N
N
P
P
P
N
N
N
N
N
N
N
P
N
N
N
N
N
N
N
N
N
N
N
N
N
N
P
P
P
P
N
P

2N4910
2N5050
2N1561
2N1561

G P

2N4237
2N4910
2N5067
2N5067
2N2218

2N4237
2N4910
2N5067
2N5067
2N2218
2N1705
2N1705
2N1705

AP
AP
AH
AH
S
AH
S
S
S
S
S
A
A
A

2N2219A
2N2219A

SH
SH
AHP
2N718A AHP
S
2N2218A AH
2N2218A AH

2N4237
2N5681
2N4237

2N4237
2N5681
2N4237

2N3766
2N3767
2N3766
2N3767
2N5427

2N3766
2N3766
2N3766
2N3766
2N5427

2N5428

2N5427
2Nl724
2Nl724
2Nl724
2N3323
2N3323
2N3323

2N1708

2Nl724A
2N3323
2N3324
2N3324

AP
AP
AP
AP
~P

AP
AP
AP
AP
AP
AP
AP
AP
AP
AR
AR
AR
S
S
A

40W
40W
350M
350M
75M
100M
5.0W
25W
75W
75W
3.3M
0.2W
0.2W
0.2W
LOW
300M
15W
15W
800M
1.0W
l.OW
80M
20W
20W
20W
20W
20W
20W
20W
20W
SOW
50W
SOW
50W
50W
50W
60M
60M
60M
150M
150M
150M

e

C
C
C
C
J
A
A
A

C
A

C

e

A
A
A
A

C
C
C
C
C
C
C
C
C
C

e

C
C
C

A
A
A
A
A
A

2-23

17
20
20
20
15
15
50

50
175
80
80
60
60
200

l.OM
1.5M
100M
300M
800M
800M
l.OM

R
R

60
30

120
150

20M
10M

0

20
30
7.5
4.0
100
100
100
20
20
20
40
40
20
20
40
40
20
30
50
20
30
50
50
20
20
30
30
40

20

R

R

0
0
R

R
R
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
S
S
S
X
X
X

120
75
100
300
300
300
60
60
120
120
60
60
120
120
90
90
150
90
90
150

10M
10M
0.35A
0.35A
150M
150M
150M
1.5M
200M
200M
200M
200M
200M
200M
200M
200M
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
1. OM
l.OM
l.OM
100M
100M
10M

0.35
0.35

40
70
50
40

50
50
50
40

E

E
E
E
E

E
E
E
E

B
B
B

200M
300M
175M
140M
70M
70M
70M
100M
16M
16M
16M
16M
16M
16M
16M
16M
10M
10M
10M
10M
10M
10M
100M
100M
100M

M
M
M

5.0M

B

T
T
T
T
T
T
T
B
T
T
T
T
T
T
T
T
T
T
T
T
T

T

200M
200M

•

2N1732-2N1868
~ >-

TYPE

~

...~ :5....
::E

•

2N1732
2N1742
2N1743
2N1744
2N1745
2N1746
2N1747
2N1748
2N1748A
2N1749
2N1750
2N1751

G
G

2N1752
2N1753
2N1754
2N1755
2N1756
2N1757
2N1758
2N1759
2N1760
2N1761
2N1762
2N1763

G

2N1764
2N1765
2N1768
2N1769
2Nl770
thru
2Nl778
2Nl779
2N1780
2N1781
2N1782
2N1783
2N1784
2N1785
2N1786
2N1787
2N1788
2N1789
2N1790
2N1792

thru

G

G
G

G
G
G
G

G
G
G

co

N
P
P
P
P
P
P
P
P
P
P
P

S

P
p
p
p
P
p
P
P
P
P
P
N

S

N

G
G

G
G

G
G
G

G
G
G

MAXIMUM RATINGS
REPLACE·
MENT

2N499
2N3283
2N3283
2N3283
2N3323
2N3323
2N3323
2N3323
2N3323

2N3325

2N2832
2N3323

2N2137
2N2138
2N2139
2N2140
2N2142
2N2143
2N2L44
2N2145

;f

2N2137
2N2137
2N2137
2N2137
2N2137
2N2137
2N2137
2N2137

2N2369A 2N2369A

A
AH
AH
AH
AH
AH
AH
AH
AH
AH
A
AP
A
AH
SH
SP
SP
SP
SP
SP
SP
SP
SP
S
S

~

'"A

150M
60H
60H
60M
60M
60M
60M
60H
60M
75M
15H

A
A
A
A
A
A
A
A
A
A

SIN I 2N4231 I 2N42311 S
S N 2N4233
2N4231 S

I

TJ
·C

Vcs

ELECTRICAL CHARACTERISTICS
VCE _

f

(volts)

(volts)

85
125
125
125
100
100
100
100
100
100
75
110

30
20
20
20
20
20
20
25
25
40
14
80

30
20
20
20
20
20
20
25
25
40
6.0
80

100
85
85
95
95
95
95
95
95
95
95
175

12
30
13
40
60
80
100
40
60
80
100
40

12
18
13
35
50
65
75
35
50
65
75
25

S
0
S
S
S
S
S
S
S
S
S
0

'"K

S
S
S
S
S
S
S
S
S
S
S

hFE @ Ic
(min) (max)
40
10
10
10
10
10
10

~
::>

VCEISATI @Ic
(volts)

~
::>

18
30

40
90

500"
20A

50
20
30
30
30
30
60
60
60
60

220
75
75
75
75
150
150
150
150

100"
10M
0.5A
0.5A
0.5A

0.5A

0.5

20A

A
A
A
C
C
C
C
C
C
C
C
A

0.3W

A

175

20

15

0

1.5

10M

C
C

200
200

60
100

40
55

0
0

35
35

LOO
100

750M
750M

0.75
0.75

R
R

60
110

30M
30M
20M
10M
10H
10H

40W
40W

I

h,_

~

~

10M
2.0M
2.0H
2.0M
2.0M
1. OM
1. OM

60M
30M
50M
28W
28W
28W
28W
28W
28W
28W
28W
0.3W

Thyristors, see Table on Page 2-66

0.5A
0.5A
O. SA
O. SA

0.2
0.7
0.7
0.7
0.7
0.5
0.5
0.5
0.5
1.5

L

~

"§
~ .g
::>

5.0H

'"B

100H

M

T
T
T

30
50
30

E
E
E

20

E

80M
100M
80M
30M
1.5M

50

E

50M

M

10M
3.0A
3.0A
3.0A

H
B

15K

E

15K
15K
15K
15K
15K
15K

E
E
E
E
E
E

750M
750M

600K
600K

B
B

0.15
0.20
0.32

12M
50M
200M

4.0M
4.0M
5.0M
5.0M

B
B
B
B

0.32

200M

10M
50M
50M
50M
100M
100M
100M

B
M
M
M
M
M
M

0.15
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

12M
lOA

4.0M

B

140M

T
T

3.0A
3.0A
3.0A
3.0A
3.0A
10M

Thyristors, see Table on Page 2-66
G

S
G
G
G

G
G
G
G
G

G
G

P
P
P
P
P
P
P
P
P
P
P
P

2N3798

2N3324
2N3323
2N3324
2N3324
2N3325
2N3323

2N3798

2N3323
2N3323
2N3323
2N3323
2N3323
2N3323

S
S
S
S
S

100M
100M
LOOM
100M
100M

A
A
A
A
A

100
100
100
100
LOO

25
25
25
30
30

20
25
25
20
15

K
K
0

20
30
40
30
20

S
AH
AH
AH
AH
AH
AH

100M
45M
45M
45M
50M
60M
60M

A
A
A
A
A
A
A

100
85
85
85
LOO
100
LOO

30
10
10
15
35
35
35

20
10
10
15
35
35
35

0
S
S
S
S
S
S

20
40
15
25
50
20
40

150

30

E

1. OM
1. OM
1. OM
1. OM
1. OM
1. OM

Thyristors, see Table on Page 2-66
G
S
S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N
N
N
N
N

2N1824
2N1825
2N1826
2N1827
2N1828
2N1830
2N1831
2N1832
2N1833
2N1834
2N1835
2N1837

S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N
N
N

2N2218

2N1837A
2N1837B
2N1838
2N1839
2N1840
2N1841
2N1842

S
S
S
S
S
S

N
N
N
N
N
N

2N2218
2N2218
2N2218
2N2218
2N2218
2N5334

2N1850
2N1853
2N1854
2N1864
2N1865
2N1866
2N1867
2N1868

c

Po

USE

@25·C

2N3284
2N3284
2N3285
2N3323
2N3324
2N3324
2N3323
2N3323

2N1807
2N1808
2N1809
2N1810
2N1811
2N1812
2N1813
2N1814
2N1816
2N1817
2N1818
2N1819
2N1820
2N1821
2N1823

thru

REf.

2N5885
2N5629
2N5629

2N5883
2N5629
2N5629

2N5302
2N5303

2N5301
2N5301

2N5685

2N5685

2N5686

2N5685

2N5685
2N5686

2N5685
2N5685

2N2218
2N2218
2N2218
2N2218
2N2218
2N2218
2N5334

S
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP

150M
250W
250W
250W
250W
250W
250W
250W
250W
250W
250W
250W
250W
250W

A
C
C
C
C
C
C
C
C
C
C
C
C
C

85
175
175
175
175
175
175
175
175
175
175
175
175
175

25
50
100
150
200
250
300
50
100
150
200
250
300
50

50
100
150
200
250
300
50
100
150
200
250
300
50

V
V
V
V
V
V
V
V
V
V
V
V
V

10
10
10
10
10
10
10
10
10
10
10
10
10

SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SH

250W
250W
250W
250W
250W
250W
250W
250W
250W
250W
250W
800M

C
C
C
C
C
C
C
C
C
C
C
A

175
175
175
175
175
175
175
175
175
175
175
300

100
150
200
250
300
50
100
150
200
250
300
80

100
150
200
250
300
50
100
150
200
250
300
30

V
V
V
V
V
V
V
V
V
V
V
0

10
10
10
10
10
10
10
10
10
10
10
40

120

150M

SH
SH
SH
SH
SH
AHP

0.8W
0.8W
0.6W
0.6W
0.6W
2.0W

A
A
A
A
A
A

175
200
175
175
175
150

80
80
45
45
25
100

30
30
20
20
15
50

0
0
0
0
0
0

40
40
40
12
10
15

120
120
150
50
100
50

0.15A
0.15A

A
A
A
A
A
A
A

85
85
100
100
100
100
100

18
18
20
20
35
35
20

6.0
6.0
20
20
35
35
20

0
0
S
S
S
S
S

30
40
10
40
40
10
10

lOA
LOA
lOA

lOA
lOA
lOA

15A
15A
15A
15A

15A
15A
20A
20A
20A
20A

20A
20A
25A

25A
25A
25A

25A
25A

O.lA
O.lA
0.15A
15M

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
0.8

lOA
lOA
lOA
lOA
lOA

15A
15A
15A
15A
15A

15A
20A
20A

20A
20A

20A
20A
25A

25A
25A
25A
25A
25A
150M

0.8
0.8
1.4
1.4
1.4
1.0

0.15A
0.15A
O.lA
0.15A
0.15A

0.2
0.2

6.0M
20M

1.0A

140M
140M
90M
90M
90M
60M

E

40M
50M

T
M

T
T
T
T

Thyris tors, see Table on Page 2-66
G
G
G
G
G
G
G

P
P
P
P
P
P
P

2N3324
2N3325
2N3323
2N3324
2N3325

2N3323
2N3323
2N3323
2N3323
2N3323

SH
SH
AH
AH
AH
AH
AH

150M
150M
60M
60M
60M
60M
60M

2-24

6.0M
20M
1. OM
1. OM
1. OM
1. OM
2.0M

20

E

2N1869-2N1983

TYPE

:a! !;
a: REPLACE·
::3
MENT

$ ...'"

REF.

USE

Po
@25'C

::IE

MAXIMUM RATINGS
-;;;
TJ
Vca VCE _

;f
m

'C

C
A
A
A
A
A
A

175
200
200
85
85
200
200
200
200
200
200
200
150
150
150
150
150
150
100
100
100

a:

(volts) (volts)

ELECTRICAL CHARACTERISTICS

~
~

hFE @Ic

:l

.",

(min) (max)

'"

VCElsAn@lc
:l

.",

(volts)

'"

2N1869

thru
2N1885
2N1886
2N1889
2N1890
2N1891
2N1892
2N1893
2N1893A
2N1894
2N1895
2N1896
2N1897
2N1898
2N1899
2N1900
2N1901
2N1902
2N1903
2N1904
2N1905
2N1906
2N1907
2N1907A
2N1908
2N1908A
2N19.o9
thru
2N1916
2N1917
2N1918
2N1919
2Nl920
2N1921
2N1922
2N1923
2N1924
2N1925
2N1926
2N1929
thru
2N1935
2N1936
2N1937
2N1940
2N1941
2N1942
2N1943
2N1944
2N1945
2N1946
2N1947
2N1948
2N1949
2N1950
2N1951
2N1952
2N1953
2N1954
2N1955
2N1956
2N1957
2N1958
2N1958A
2N1959
2N1959A
2N1960
2N1961
2N1962
2N1963
2N1964
2N1965
2N1966
2N1967
2N1968
2N1969
2N1970
2N1971
2N1972
2N1973
2N1974
2N1975
2N1978
2N1980
2N1981
2N1982
2N1983

hI_

.~

M

~

t

2.0M
50M
60M
5.0M
5.0M
50M
100M

T
T
T
B
B
T
T

25M
25M
25M
50M
50M
50M
50M
50M
50M

T
T
T
T
T
T
T
T
T

10M

T

10M

T

16M
10M
loOM
l.OM
l.OM
loOM

T
T
B
B
B
B

L

'"

-g
en

Thyri s tor S J see Table on Page 2-66
S
S
S
G
G
S
S
S
S

S
S
S
S
S
S
S
S
S
G
G
G
G
G
G

N
N
N
N
P
N
N
N
N
N
N
N
N
N
N
N
N
N
P
P
P
P
P
P

2N4911
2N3498
2N3499

2N3498
2N4238
2N4239
2N5336
2N5336
2N5338

2N2832
2N2832
MP1907

2N4910
2N3498
2N3498
2N1893
2N3498
2N4237
2N4237
2N5336
2N5336
2N5336

2N2832
2N2832

MP1910

AHP
AH
AH
SH
S
AH
AHP
AP
AP
AP
AP
AP
SHP
AHP
SHP
SHP
AHP
SHP
AP
AP
AHP
AHP
AHP
AHP

20W
800M
800M
150M
150M
800M
0.8W

125W
125W
125W
125W
125W
125W
30W
30W
60W
60W
60W
60W

C
C
C
C
C
C
C
C

c

60
100
100
25
30
120
140
60
80
60
80
100
140
140
140
140
140
140
100
130
100
100
130
130

60
80
80
15
15
100
80
60
80
60
80
100
50
50
50
50
50
50
50
60
40
40
50
50

0
R
R
0
0
R
0
R
R

20
40
100
25
40
40
40
12
12

80
120
300

R
R
R

45
45
45
10
8.0
20
10
8.0
20
50
75

135
135
135
30

60
150
250

0.5A
150M
150M
100M
10M
150M
0.15A
l.OA
l.OA
l.OA
l.OA
l.OA
lOA
lOA
lOA
lOA
lOA
lOA
1.0A
l.OA

30

170

lOA

30

170

lOA

0
0
0
0
0
0
0
0
0
0
0
0

200
120
120
60
60

60
30

C
C
C

100

0.25W
0.25W
0.25W
0.25W
0.25W
0.25W
750M

A
A
A
A
A
A
A

175
175
175
175
175
175
150

25
25
40
40
50
80
85

8.0
8.0
18
18
50
80
85

0
0
0
0
0
0
0

4.0

90

225M
225M
225M

A
A
A

100
100
100

60
60
60

40
40
40

R
R
R

34
53

65
90
121

50
50

5.0
5.0
5.0
0.15
0.2
5.0
2.0
5.0
10
4.0
4.0
4.0
l.0
2.0
l.0
l.0
2.0
l.0
l.0
5.0
l.0
0.7
1.0
0.7

l.OA
150M
150M
100M
10M
150M
0.15A
l.OA
l.OA
l.OA
l.OA
l.OA
lOA
lOA
lOA
lOA
lOA
lOA
5.0A
5.0A
15A
lOA
15A
lOA

30
50

E
E

30
30
30

E
E
E

30
50

E
E

2.0

E

2.0

E

25
25

E
E

E
E

Thyristors ~ see Table on Page 2 .. 66
S
S
S
S
S
S
S
G
G
G

P
P
P
P
P
P
N
P
P
P

2N3498

2N3498

SC
SC
SC
SC
SC
SC
A

2N1924
2Nl924
2N1924

A
A
A

72

0.003
0.004
0.005
0.005
7.0

20M

28

20M
20M
20M

0.11
0.11
0.11

20M
20M
20M

30
44
60

E

E

100M
103M
105M

B
B
B

0.75
0.75
l.8
1.5

lOA
lOA
200M
5.OM

15
15

E
E

4.0M
4.0M

T
T

40

E

60M
5.0M

T
B

5.0

200M

12

E

100
100
100
100
100
100
75
75
75
28

E
E
E
E

60M
60M
60M
60M
60M
60M
60M
60M
60M
40M

T
T
T
T
T
T
T
T
T
T

100M
100M

T
T

1.0

E
100M

T

200M
200M
100M
100M

T
T
T
T

Thyristors, see Table on Page 2-66
S
S
G
S
G
S

N
N
P
N
P
N

M.J7000
M.J7000

M.J7000
M.J7000

2N2219A

2N2218

2N3020

2N3019

S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N
P
P

2N2219A
2N2219A
2N2219A

2N2218
2N2218
2N2218

G
G
G P
G P
S
S
S
S

G
G
S
S
S
S

G
G

G
G
G

G
S
S
S
S

S
G
G
G
S

N
N
N
N
P
P
N
N
N
N
P
P
P
P
P
P
N
N
N
N
N
P
P
P
N

2N2218
2N651
2N1190

2N2218
2N650
2N1189

2N651
2N1l87
2N2537
2N2537

2N650
2N1l75
2N2537
2N2537
2N1959
2N2537

2N2537
2N2537
2N2537
2N2539
2N2539

2N2140
2N2219
2N2219
2N3498
2N3498

2N2537
2N2537
2N2537
2N2537

2N1970
2N2137
2N2218
2N2218
2N3498
2N3498
2N1970
2N1970

2N2218

mm

AP
AP
AHP
A
S
A

150W
150W
3.5W
0.6W
0.2W
800M

C
C
C
A
A
A

175
175
100
175
85
200

125
125
30
45
20
60

60
80
15
30
10
60

0
0
0
R
0
0

7.0
7.0
5.0
30
20
30

150
60
90

lOA
lOA
40M
10M
0.2A
200M

S
S
S
S
S
S
S
S
S
A
S
S
S
S
SH
SH
SH
SH
SH
SH
SH
SH
SH

0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
200M
200M

A
A
A
A
A
A
A
A
A
A
A
A

175
175
175
175
175
175
175
175
175
175
100
100

20
30
40
20
30
40
20
30
40
20
60
60

20
30
40
20
30
40
20
30
40
20
20
18

R
R
R
R
R
R
R
R
R
S
0
0

150
150
150
500
500
500
250
250
250
15
30
50

450
450
450
800
800
800
500
500
500
150
120
200

100M
100M
100M
O.lA
O.lA
O.lA
O.lA
O.lA
O.lA
10M
20M
20M

0.3
0.175

20M
20M

200M
200M
600M
600M
600M
600M
150M
150M
400M
400M
400M
0.4W

A
A
A
A
A
A
A
A
A
A
A
A

100
100
175
175
175
175
100
100
175
175
175
175

60
60
60
60
60
60
15
12
40
30
60
60

16
14
40
40
40
40
15
12
20
15
40
40

0
0
R
R
R
R

30
30
20
20
40
40
25
20
20
25
20
40

120
120
60
60
120
120

20M
20M
150M
150M
150M
150M
10M
10M
10M
10M
150M
0.15A

0.175
0.175
0.45
0.45
0.45
0.45
0.16
0.20
0.25
0.16
0.45
0.45

20M
20M
150M
150M
150M
150M
10M
10M
10M
10M
150M
0.15A

0.12W
0.12W
0.12W
150M
150W

A
A
A
A
C

75
75
75
85
100
100
175
200
200
200
200
100
100
100
150

35
35
35
30
100
80
60
100
100
100
60
50
70
90
50

15
15
18
15
50
40
30
80
80
80
40
30
40
50
25

R
R
R
0
B
0
R
R
R
R
R
0
0
0
0

50
17
25
110
75
35
15
20
50
50
50

200
40
60
350

200*
5.0A
0.5A
50M
10M
10M
10M
500M
5.0A
5.0A
5.0A

l.0
0.9
2.0
1.2
1.2
1.2
1.5
0.5
0.5
0.5

12A
3.0A
50M
50M
50M
50M
l.OA
5.0A
5.0A
5.0A

SH
AL
AL
AL
S
AP
AP
AH
A
A
A
AHP
AP
AP
AP
A

600M
800M
800M
800M
30W
170W
17 OW
170W
600M

A
A
A
A
C
C
C
C
A

S
R
R
R
R

60
60
120

100
100
100

----_ ....

2-25

.

---

E
E
E

E
E
E

40
76
36
18

E
E
E

70

E

E

10M
5.0K
15K
50M
60M
50M
40M
40M
3.0K
3.0K
3.0K
40M

B

E
E

·r

T
T
T
T
E

E
E
T

•

2N1984-2N2079
~

TYPE

:s ri1
REPLACE·
~ :5 MENT

... ....
:IE

•

2N1984
2N198S
2N1986
2N1987
2N1988
2N1989
2N1990
2N1991
2N1992
2N1993
2N1994
2N199S
2N1996
2N1997
2N1998
2N1999
2N2000
2N2001
2N2002
2N2003
2N2004
2N2005
2N2006
2N2007
2N2008
2N2009
thru
2N2014
2N2015
2N2016
2N2017
2N2018
2N2019
2N2020
2N2021
2N2022
2N2023
thru
2N2031
2N2032
2N2033
2N2034
2N2035
2N2036
2N2038
2N2039
2N2040
2N2041
2N4042,A
2N4043,A
2N2044
thru
2N2047
2N2048
2N2048A
2N2049
2N2059
2N2060
2N2060A
2N2060B
2N2061
2N2061A
2N2062
2N2062A
2N2063
2N2063A
2N2064
2N2064A
2N2065
2N2065A
2N2066
2N2066A
2N2067
2N2068
2N2069
2N2070
2N2071
2N2072
2N2074
2N2075
2N2075A
2N2076
2N2076A
2N2077
2N2077A
2N2078
2N2078A
2N2079

REF.

USE

Q

s
S
s
s
s
s
s

N
N
N
N
N
N
N
S P
S N
G N
G N
G N
G N
G P
G P
G P
G P
G P
S P
S P
S P
S P
S P
S P
S N

PD

MAXIMUM RATINGS
= TJ
VCB VCE _

~

@25"C &!
2N1983
2N2218
2N2218
2N2219
2N2218
ZN2218
2N2218
2N2218A 2N2218A
2N2218A 2N2218A
2N1990
2N1131
2N2221 2N2218

2N5230
2N5230
2N5231
2N5231

2N5229
2N5229
2N5229
ZN5229

2N3500

2N3498

A
A
AH
AH
AH
AH
SP
AH
SH
S
S
S
S
S
S
S
S
S

sc
sc
sc

SC
SC
SC
A

600M
600M
600M
600M
600M
600M
600M
600M
0.35101
150M
150M
150M
150M
250M
250M
250M
300M
300M
0.25101
0.25101
0.25101
0.25101
0.25101
0.25101
800M

·C

ELECTRICAL CHARACTERISTICS

:!-

hIE @ Ic

~ (min) (max)
(volts) (volts) t!

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

150
150
150
150
150
150
150
150
200
100
85
85
85
100
100
100
100
100
200
200
175
200
200
200

50
50
50
50
100
100
100
30
15
30
30
25

25
25
25
25
45
45

0
0
0
0
0
0

20
15
18
15
15

0

20
45
35
30
50
30
30
30
50
50
60
60

A

200

175

15
15
15
15
15
15
5.0
5.0
15
15
35
35
110

C
C
A
C
C
C
C
A

200
200
200
175
175
175
175
100

100
130
60
150
200
150
200
15

C
C
C
C
C
A
A
A
A
A
A

200
200
200
200
200
200
200
200
200
100
100

A
A
A
A
A
A

100
100
200
100
200
200

C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

60
20
35
20
20
15
30
50
15
25
35
40
70
100
50
100

240
80
120
60
60
120
300

200
225
350
300

12

~

'c

=>

150M
150M
30M
30M
30M
150M
loOM
10M
10M
10M
10M
100M
100M
100M
100M
100M

VcElSAn@lc
.~
(volts)

~

hf _

=>

1.5
1.5
2.0
2.0
0.5
1.5
0.25
0.2
0.25'
0.25
0.25
0.2
0.2
0.2
0.35
0.2

150M
150M
30M
30M
2.0M
150M
10M
10M
200M
200M
200M
10M
10M
10M
500M
100M

loOM

.~

.g
en

35
15

E
E

20
10

E
E

L

~

f

=> en

40M
40M
40M
40M
40M
40M

T
T
T
T
T
T

40M
300M
3.0M
3.0M
5.OM
8.0M
3.0M
5.6M
10M
2.0M
6.0M

T
T

B
B
B
B
B
T
T

B
B

15

E

0.5M

B

0

30

90

10M

2.5

25M

20

E

40M

T

50
65
60
150
200
125
140
12

0
0
0
V
V
0

15
15
50
20
20
40
40
25

50
50
200
60
60
90
90
150

5.0A
5.0A
200M
O.SA
O. SA
0.5A
0.5A
10M

1. 25
1.25

5.0A
5.0A

12
12
30

E
E
E

12K
12K

E
E

6.0
6.0
6.0
6.0
1.2

LOA
LOA
LOA
LOA
50M

2.0M
2.0M
3.0M
3.0M
250M

T
T
T
T
T

45
80
80
80
80
45
75
45
75

45
60
60
60
60
45
75
45
75

0
0
0
0
0
0
0
0
0

20
20
20
20
20
12
12
30
30

60
60
60
60
36
36
90
90

2.0A
500M
LOA
1. SA
2.0A
0.2A
0.2A
0.2A
0.2A

5.0
0.4
0.3
0.45
1.0
6.0
6.0
6.0
6.0

2.0A
SOOM
LOA
1. SA
2.0A
0.2A
0.2A
0.2A
0.2A

3.0M
loOM
loOM
loOM
loOM
2.0M
2.0M
2.0M
2.0M

T
T
T
T
T
T
T
T
T

105
105

105
105

S
S

20
40

50
100

5.0M
5.0M

0.75
0.75

100M
100M

20
45

E
E

O.SM
0.75M

B

15
20
50
8.0
80
60

0
0

300
300
300

0.14
0.14
0.4
0.2
1.2
0.6

10M
10M
10M
10M
50M
50M

E

150
150

10M
10M
150M
10M
10M
10M

75
50
50

E

0

50
50
100
20
50
50

150M
7.5K
50M
50M
60M
60M

T
E
T
T
T
T

85
100
85
100
95
100
95
100
95
100
95
100
95
95
95
95
95
95

20
30
75
10
100
100
100
20
20
20
[0
40
40
40
40
80
20
80
80
40
80
40
80
40
80

10
15
10
15
15
20
15
20
25
40
25
40
25
55
30
60
30
60

0
0
0
0
0
0
0
0
0
0
0
0
0
0
S
S
S
S

10
20
20
50
10
20
20
50
10
20
20
50
20
20
30
30
30
30

60
60
200
140
200
60
200
140
200
60
200
140
100
100
200
200
200
200

0.5A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
0.5A
0.5A
5.0A
S.OA
S.OA
S.OA

2.0
1.0
1.0
0.7
2.0
1.0
1.0
0.7
2.0
1.0
1.0
0.7
0.7
0.7
1.5
1.5
1.5
1.5

0.5A
5.0A
2.0A
5.0A
2.0A
5.0A
2.0A
5.0A
2.0A
5.0A
2.0A
5.0A
LOA
LOA
12A
12A
12A
12A

2.0K
5.0K
2.0K
loOK
2.0K
5.0K
2.0K
loOK
2.0K
5.0K
2.0K
loOK
7.0K
7.0K
1.5K
1.5K
1.SK
1.SK

E
E
E
E
E
E
E
E
E
E
E
E

110
110
110
110
110
110
110
110
110

80
80
70
70
50
50
40
40
80

80
80
70
70
50
50
40
40
80

S
S
S
S
S
S
S
S
S

20
20
20
20
20
20
20
20
35

40
40
40
40
40
40
40
40
70

S.OA
5.0A
5.0A
S.OA
5.0A
5.0A
S.OA
5.0A
5.0A

0.7
0.7
0.7
0.7
0.9
0.9
0.9
0.9
0.7

12A
12A
12A
12A
12A
12A
12A
12A
12A

S.OK
5.0K
S.OK
S.OK
S.OK
S.OK
S.OK
5.0K
5.0K

E
E
E
E
E
E
E
E
E

Thyristors, see Table on Page 2-66
S
S
S
S
S
S
S
G

N
N
N
N
N
N
N
P

2N5881
2N5882
2N2405
2N5051
2N50S2
2N5050
2NS051

2N5879
2N5879
2N1893
2N5050
2NSOSO
2N50S0
2N5050

A
A
A
AHP
AHP
AHP
AHP
SH

150101
150101
LOW
20101
20101
20101
20101
0.15101

0

S

Thyris tors, see Table on Page 2-66
S
S
S
S
S
S
S
S
S
G
G

N
N
N
N
N
N
N
N
N
P
P

2N4232
2N3020
2N4238
2N4232
2N4232
2N2218
2N30Z0
2N3053
2N3020

2N4231
2N3019
2N4237
2N4231
2N4231
2N2218
2N3019
2N3053
2N3019
2N2042
2N2042

AHP
SP
SP
SP
SP
AH
AH
AH
AH
A
A

45101
5.0101
14101
17101
17.5101
0.6W
0.6W
0.6W
0.6101
200M
200M

B

Thyristors, see Table on Page 2-66
G
G
S
G
S
S
S

P
P
N
P
N
N
N

2N2955
2N2219A

2N29S5 SH
2N2955 SH
2N2218A A
S
2N2060 AM
2N2060 AM

150M
150M
800M
60M
500M
0.5W

P
S
40W
P
SP
90W
P
S
40W
P
90W
SP
P
S
35W
P
90W
SP
P
S
35W
P
90W
SP
P
S
35W
P
SP
90W
P
S
35W
P
SP
90W
P 2N1536
2N1529 S
28W
P 2N1531
2N1529 S
28W
P 2N1539
2N1539 S
70W
P 2N1541
2N1539 S
70101
P MP1S39
S
70101
P MP1541
S
70W
Thyristors, see Table on Page 2 .. 66
G P
17 OW
2N2075 AP
G P
170W
2N2075 AP
G P
17 OW
2N207S
AP
G P
170W
AP
2N2075
G P
170W
AP
2N2075
G P
170W
2N207S
AP
G P
170W
AP
2N207S
G P
170W
AP
2N207S
G P
17 ow
AP
2N207S
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G

C

C
C
C
C
C
C

2-26

R

S
R

E

E
E
E
E
E
E

2N2079A-2N2155A
~

:!i

TYPE

~

:E

2N2079A
2N2080
2N2080A
2N2081
2N2081A
2N2082
2N2082A
2N2083
2N2084
2N2085
2N2086
2N2087
2N2089
2N2090
2N2091
2N2092
2N2093
2N2095
2N2096
2N2097
2N2098
2N2099
2N2100
2N2100A
2N2101
2N2102
2N2102A
2N2104
2N2105
2N2106
2N2107
2N2108
2N2109
2N2110
2N2111
2N2112
2N2113
2N2114
2N2116
2N2117
2N2118
2N2119
2N2120
2N2121
2N2123
2N2124
2N2125
2N2126
2N2127
2N2128
2N2130
2N2131
2N2132
2N2133
2N2134
2N2135
2N2137
2N2137A
2N2138
2N2138A
2N2139
2N2139A
2N?140
2N2140A
2N2141
2N2141A
2N2142
2N2142A
2N2143
2N2143A
2N2144
2N2144A
2N2145
2N2145A
2N2146
2N2146A
2N2147
2N2148
2N2150
2N2151
2N2152
2N2152A
2N2153
2N2153A
2N2154
2N2154A
2N2155
2N2155A

G
G
G
G
G
G
G
G
G
G

S
S
G
G
G
G
G
G
G
G
G
G
G
G

S
S
S

S
S
S
S

S
S

S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G

S
S
G

G
G
G

G
G
G
G

1;:
a:

S
C>

...
P
P
P
P
P
P
P
P
P
N
N
N
P
P
P
P
P
P
P
P
P
P
P
P
N
N
N
P
P
N
N
N
N
N

N
N
N
N
N
N
N
N
N

REPLACE·
MENT

REF,
2N2075
2N2075
2N2075
2N2075
2N2075
2N2075
2N2075

2N3020
2N30Z0

2N3019
2N3019

2N1204
2N1204

2N5477
2N3052
2N3052
2N3020
2N3020
2N3020
2N5885
2N5629
2N5631

2N5302

2N1204
2N1204
2N1204
2N5477
2N3052
2N3052
2N2019
2N3019
2N3019
2N5883
2N5629
2N5629

2N5301

N

N
N
N
N
N
N
N
N
N
N
N
N
P
P
P
P

P
P
P
P
P
P
P
P
P
P
p

P
p

P

P
P
p

P
N
N
P
P
P
P
P
P
P
P

2N2832
2N2832
2N5477
2N5477

2N2137
2N2137
2N2137
2N2137
2N2137
2N2137
2N2137
2N2137
2N2137
2N2137
2N2137
2N2137
2N2137
2N2137
2N2137
2N2137
2N2137
2N2137
2N2137
2N2137
2N2832
2N2800
2N5477
2N5477
2N2L37
2N2137
2N2137

USE

MAXIMUM RATINGS
-;;;
TJ
VeB VCE _
~
@2S·C 1;; ·C (volts) (volts)
Po

l70W
AP
170W
AP
170W
AP
AP
l70W
l70W
AP
17 OW
AP
17 OW
AP
A
60M
125M
AH
A
150M
600M
SH
SH
600M
AH
O.lW
O.lW
AH
O.lW
AH
O.lW
AH
O.lW
AH
AHP
LOW
SH
250M
250M
SH
AH
LOW
SH
250M
SH
250M
SH
300M
AP
75W
5.01;
SH
SH
LOW
SH
3.5W
SH
3.5W
A
LOW
A
LOW
LOW
A
SP
250W
SP
250W
SP
250W
SP
250W
SP
250W
SP
250W
SP
250W
SP
250W
250W
SP
250W
SP
250W
SP
250W
SP
250W
SP
250W
SP
250W
SP
250W
SP
250W
SP
SP
250W
SP
250W
250W
SP
SP
250W
SP
250W
SP
250W
SP
250W
AP 62.5W
62.5W
AP
AP 62.5W
AP 62.5W
AP 62.5W
AP 62.5W
AP 62.5W
AP 62.5W
AP 62.5W
AP 62.5W
AP 62.5W
AP 62.5W
AP 62.5W
AP 62.5W
AP 62.5W
AP .li2.5W
AP 62.5W
AP 62.5W
AP 62.5W
AP 62.5W
AP 12.5W
AP 12.5W
AHP
30W
AHP
30W
AP
17 OW
AP
170W
AP
170W
AP
17 OW
AP
170W
AP
170W
AP
170W
AP
170W

'"
C
C
C

C
C
C

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
C

c

A
C
C

A
A
A
C
C
C

c

C
C
C

c

C
C
C
C
C
C
C
C
C
C
C
C
C
C
C

C

C
C
C
C
C

C
C
C
C

c

C
C
C
C

c

C
C

c
C
C

C
C

C
C

C
C
C

C
C
C
C

C

110
110
110
110
110
110
110
85
100
100
175
175
85
85
85
85
85
100
100
100
100
100
100
100
200
200
200
200
200
150
150
150
175
175
175
175
175
175
175
175
175
175
175
175
175
175
175
175
175
175
175
175
175
175
175
175
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
175
175
110
110
110
110
110
110
110
110

80
70
70
50
50
40
40
30
40
33
120
120
20
20
20
20
25
30
25
40
30
25
40
40
60
120
120
50
50
60
60
60
50
100
150
200
250
300
50
100
150
200
250
300
50
100
150
200
250

80
70
70
50
50
40
40
20
23
80
80
20
20
20
20
25
15
12
20
15
12
20
20
40
80
65
35
35
60
60
60
50
100
150
200
250
300
50
100
150
200
250
300
50
100
150
200
250

300
50
100
150
200
250
300
30
30
45
45
60
60
75
75
90
90
30
30
45
45
60
60
75
75
90
90
75
60
125
125
45
45
60
60
75
75
90
90

300
50
100
150
200
250
300
30
20
45
30
60
45
75
60
90
65
30
20
45
30
60
45
75
60
90
65
50
40
80
80
45
30
60
45
75
60
90
65

2-27

ELECTRICAL CHARACTERISTICS

i'"
S
S
S
S
S
S
S

0
X

R
R
R
R
R
R
R
0
0
0
0
0
0
0
0

hFE @ Ic
(min) (max)

::>

0.7
0.5

10M
150M
150M

15
20

400M
400M

0.6
0.5

200M
200M

15
20
20
15
35
40
25
15
12
30
75
10
10
10

0.6
0.5
o5
5.0
0.5
0.3
1.5
1.5
5.0
2.0
2.0
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

200M
200M
200M
LOA
150M
150M
150M
150M
200M
200M
200M
lOA
lOA
lOA
lOA
lOA
lOA
15A
15A
15A
15A
15A
15A
20A
20A
20A
20A
20A

1.5
1.5
1.5
1.5
1.5
1.5
1.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
1.0
1.0
1.0
1.0
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1

20A
25A
25A
25A
25A
25A
25A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
4.0A
4.0A
LOA
LOA
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A

250
300
120

10
10
10
10
10
10
10
10
10
10
10
10

V
V
V
V
V
V
V

10
10
10
10
10
10
10
30
30
30
30
3D
30
30
30
30
30
50
50
50
50
50
50
50
50
50
50
100
40
20
40
50
50
50
50
50
50
50
50

20A
25A
25A
25A
25A
25A
25A
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A·
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
LOA
LOA
LOA
LOA
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A

R
R
R

V
V
V
V
V

S
0
S
0
S

0
S
0
S
0
S
0
S
0
S
0
S
0
S
0
0
0
0
0
S
0

S
0
S
0
S

0

60
120
80
40
36
90
200

10
10

60
60
60
60
60
60
60
60
60
60
100
100
100
100
100
1UO
100
100
100
100
300
160
60
120
100
100
100
100
100
100
100
100

hf _

::>

12A
12A
12A
12A
12A
12A
12A

V
V
V
V
V
V
V
V
V
V
V
V

0
0
0

:§

0.7
0.7
0.7
0.9
o9
0.9
0.9

400M
400M
400M
LOA
10M
150M
150M
150M
200M
200M
200M
lOA
lOA
lOA
lOA
lOA
lOA
15A
15A
15A
15A
15A
15A
20A
20A
20A
20A
20A

R

VCElsAn@lc
(volts)

5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
100M
100M
10M
150M
150M
100M
100M
100M
100M
100M

35
35
35
35
35
35
35
25
40
50
20
40
40
40
40
40
40

70
70
70
70
70
70
70

~

'2

~

J

40
20

E
E

40
40
40
40
40

E
E
E
E
E

35
30

75
30
20
40

E
E

E
E
E
E

L

~
::>

~

II

.51

5.0K
5.0K
5.0K
5.0K
5.0K
5.0K
5.0K
30M

E
E
E
E
E
E
E
T

6.0M
150M
150M
44M
44M
44M
44M
30M
500M

B
T
T

500M

T

25K

T

60M
50M

T
T

12K
12K
12K
12K
12K
12K
12K
12K
12K
12K
12K
12K
12K
12K
12K
12K
12K
12K
12K
12K
3.0M
2.0M
10M
10M
2.0K
2.0K
2.0K
2.0K
2.0K
2.0K
2.0K
2.0K

E
E
E
E
E

T
T
T
T
T
T

E
E
E
E
E
E
E
E
E
E
E
E

E
E
E
T
T
T
T
E
E
E
E
E
E
E
E

•

2N21S6- 2N2229
TYPE

~

~

::E

•

i::

;;:

...:3
co

MAXIMUM RATINGS
REPLACE·
MENT

REF.

Po

USE

;f
@25'C ~

2N2164
2N2165
ZN2166
2N2167
2N2168
2N2169
2N2170
2N2171
2N2172
2N2173
2N2175
2NZ176

G P
2N2137 AP
2NZ137 AP
G P
AP
G P
ZNZ137
G P
2N2137 AP
G P
2N2137 AP
AP
G P
AP
G P
G P
hT'
Unijunction Transistors, see
S N ZN2222
2N2218 SH
S P 2N2946
2N2944 SC
S P 2N2945
2N2944 SC
2N2944
S P 2N2944
SC
S P 2N2946
2N2944
SC
S P 2N2945
2N2944
SC
2N2944 SC
S P 2N2944
G P
SH
SH
G P
SH
G p
2N381
G P
A
p
G
S
G p
SH
S p
A
A
S p

2N2177
2N2178
2N2180
2N2181
2N2182
2N2183
2N2184
2N2185
2N2186
2N2187
2N2188
2N2189

S
S
G
S
S
S
S
S
S
S
G
G

P
P
P
P
P
P
P
P
P
P
P
P

2N2190
2N2191
2N2192
2N2192A
2N2192B
2NZ193
ZN2193A
ZN2193B
ZN2194
ZN2194A
ZN2194B
2N2195

G
G
S
S
S
S
S
S
S
S
S
S

P

2N2195A
2N2195B
ZN2196
2N2197
2N2198
2N2199
2N2200
2N2201
2N2202
2N2203

S
S
S
S
S
G
G
S
S
S
S
S

ZNZ156
ZN2156A
ZN2157
ZN2157A
2N2158
ZN2158A
ZN2159
ZNZl59A
ZN2160
2N2161
2N2162
2N2163

~~m~

ZN2206
ZN2Z07
ZN2Z08
2NZZ09
ZN2210
2N2211
2N2212
2NZ214
ZN2216
2NZ217
2NZ218
2N2218A
2NZ219
2N2219A
2N2Z20
2N2221
2N2221A
2N2222
2N2222A
2N2222B
2N2223
2N2223A
2N2224
2N2225
2N2226
2N2227
2N2228
2N2229

S

G
G
G
G
G
G
S
S

s

S
S
S
S
S
S
S
S
S

S
S
S
S
G
S
S
S
S

p

2N2945
2N2945
2N2944
2N2944
2N2946
2N2946
2N2946
2N3323
2N3323
2N3323
2N3323

N
N
N
N
N
N
N
N
N
N
N
N
N
N
N

2N3766
2N3766

N
P
N
N
N
N
N
N
N
N
N
N
N
N
N
N
P
N
N
N
N

2N5681
2N5681
2N5681
2N5681
2N835
2N835

ZN2075

2N835
2N3498
2N2218

2N2222

2N6057
2N6057

VCE _

'C

(volts)

(volts)

~

45
30
60
45
75
60
90
65

S
0
S
0
S
0
S
0

80
80
80
80
80
80
80
80

160
160
160
160
160
160
160
160

5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A

0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1

5.0A

35
30
15

0
0
0

60

160

10M

1.5

10M

0
0
0
0
0
0
0

C
C
C
C
C
C
C
C

hFE @ Ic
(min) (max)

A
A
A
A
A
A
A
A
A
A
A
A

140
140
140
140
100
100
100
100
85
100
175
175

12
30
15
12
20
15
15
50
20
25
6.0
6.0

8.0
30
15
8.0
15
15
10
25
15
15
6.0
6.0

O.lW
O.lW
50M
150M
150M
150M
150M
150M
150M
150M
125M
125M

A
A
A
A
A
A
A
A
A
A
A
A

160
160
100
140
140
140
140
140
140
140
85
85

6.0
6.0
15
25
25
15
15
30
30
30
40
40

6.0
6.0
6.0
25
25
10
10
30
30
30
25
25

0
0

15
15
100
10
10
10
10

0
0
0
0
0

40
60

160
180

125M
125M
800M
800M
800M
800M
800M
800M
800M
800M
800M
800M

A
A
A
A
A
A
A
A
A
A
A
A

85
85
200
ZOO
ZOO
200
200
ZOO
200
ZOO
ZOO
200

60
60
60
60
60
80
80
80
60
60
60
45

25
25
40
40
40
50
50
50
40
40
40
25

0
0
0
0
0
0
0
0
0
0
0
0

40
60
100
100
100
40
40
40
20
ZO
20
20

160
180
300
300
300
120
1Z0
120
60
60
60

800M
800M
2.0W
2.0W
5.5M
75M
75M
LOW
LOW
LOW
LOW
LOW
LOW
0.Z6W
1Z0M
150M
75W
90W
100W

A
A
A
A
A
A
A
C
C
C
C
C
C
A
A
A
C
C
C

200
200
175
175
200
100
100
175
175
175
175
175
175
75
85
85
100
100

25
25
60
60
80
10
10
100
100
100
100
12
12
50
10
12
65
60
1Z0

20
20
30
75
35
9.0
9.0
25
25
25
25
20

no

45
45
80
80
80
15
15
120
120
120
120
25
25
70
40
30
100
80
120

0
0
R
R
0
0
0
0
0
0
0
0
0
R
0
0

ZN2Z1Z

SH
SH
AP
AP
AH
AH
AH
A
A
A
A
SH
SH
AH
AH
S
AP
AP
AHP

ZN834
2N3498
ZNZZ18
2N2218

SH
SH
SH
SH

0.25W
3.0W
0.8W
0.8W

C
C
A
A

150
200
175
175

Z5
150
60
60

2N2218
2N2218
2N2218
2N221B
2N221B
ZN2218
2N221B

SH
SH
SH
SH
SH
SH
SH
SH
SH
AM
AM
SH
S
AP
AP
AP
AP

0.8W
0.8W

A
A
A
A
A
A
A
A

175
175
175
175
175
175
175
175

75
60
75
60
60
75
60
75
75
100
100
65
15
50
100
150
ZOO

2N2944
2N2944
2N2944
2N2944
2N2944
2N2944
2N2944
2N3323
2N3323
2N3323
2N3323
2N2192
2N2192
2N2192
2N219Z
2N2192
ZN2192
2NZ192
ZNZ19Z
ZNZ19Z
2N2192
ZN2192
2N2192
2N3766
2N3766

2N5681
2N5681
2N5681
2N5681
2N834
2N834

ZN2075

2N221B
2N222A

Vcs

110
45
110
45
110
60
110
60
110
75
110
75
110
90
110
90
Table on Page 2-86
200M A 150
55
150M A 140
30
150M A 140
15
170W
170W
170W
17 OW
17 OW
17 OW
17 OW
17 OW

ELECTRICAL CHARACTERISTICS

%

TJ

150M
150M
150M
150M
60M
60M
60M
0.2W
200M
240M
O.lW
O.lW

p

P
N
N
N
N
N
N
P
P
P
P
P
P

1:

2N2218
2N2060
2N2060
2N2224
2N6057
2N6057

A
A
SH
SC
SC

sc
sc

SC
SC
SC
All
AH
AH
AH
SH
SH
SH
SH
SH
SH
SH
SH
SH
SH

O.BW
0.5W
0.5W
0.5W
0.5W
0.5W

l.BW

C

500M
500M
0.8W
200M
150W
1500
150W
150W

A
A
A
A
C
C
C
C

200
200
175
100
150
150
150
150

R

0
0
0
0

0
0
0

0
0

50
40
20
110
30
30
30
30

j~

250
150

VCEISATJ @Ic

'2 (volts)

:0

10M
10M
10M
20M
10M
200M
20"

~

0.125
0.15
0.18

10M
10M
10M

0.2
0.4

10M
200M

90
90
90
90

11ig

24M
10M
10M
16M

T
T
T
T

E
B

5.0M

B

10M
10M

T
T

B

1.5M
1.5M
150M
150M
150M
150M
150M
150M
150M
150M
150M
150M

40
60

E
E

60M
102M

T

30
30

E
E

20

E

4.0M
120M
120M

T
T

30
30
30
30
2.0
Z.O

E
E
E
E
E
E

140M

B

30

E

6.0M
5.0K
5.0K
0.45M

B

200M
50M
Z50M
250M

T
T

250M
250M
300M
Z50M
250M
250M
250M
300M
300M
50M
50M
250M
25M
7.0K
7.0K
7.0K
7.0K

T
T

0.2A
0.2A
O.lA
3.0M
3.0M
200M
200M
200M
200M
10M

ig~

0.35
0.25
0.18
0.35
0.25
0.18
0.35
0.25
0.18
0.35

150M
150M
150M
150M
150M
150M
150M
150M
150M
150M

0.25
0.18
Z.O
2.0
6.0

150M
150M
0.2A
0.2A
0.2A

1.7
1.7
1.7
1.7
0.2Z

200M
200M
200M
200M
10M
10M

0.15
0.6
0.8
1.0

1ZM
2.0A
5.0A

o.zz

1Z0
60
120

10M
50M
150M
150M

0.2
5.0
0.4
0.4

10M
50M
150M
150M

40
30
40
30
30
40
30
40
40
80
80
40
4.0
50
100
150
200

0
0

40
100
100
20
40
40
100
100
100
50
50
35
100
100
100
100
100

120
300
200
60
120
120
300
300
300
200
200
115
300
500
500
500

0.15A
150M
0.15A
150M
150M
0.15A
150M
0.15A
150M
10M
10M
10M
100M
9.0A
9.0A
9.0A
9.0A

0.3
0.4
0.3
9.4
0.4
0.3
0.4
0.3
0.3
1.2
1.2
0.4
O.Z
3.5
3.5
3.5
3.5

0.15A
150M
0.15A
150M
150M
0.15A
150M
0.15A
150M
50M
50M
150M
50M
9.0A
9.0A
9.0A
9.0A

2-28

T
T

E
E

10M

25
25
20
40

500

14M
14M

E

40
40

0.08

0
0
0
0

R

E
E
E
E
E
E
E
E

en

1.5M
1.5M

150M
150M
90
200
55

i

:0

8.0M
8.0M
60M
6.0M
6.0M
6.0M
6.0M
6.5M
6.5M
6.5M
60M
102M

15
100
30
30

0
0
V
V
V
V

120
0.97

~

2.0K
2.0K
2.0K
2.0K
Z.OK
Z.OK
2.0K
2.0K

E
E
E

50
140
120

0
0
R

75

L

50
50
120

S
R

0

~

20~'l-

5.0*
5.0*
10M
5.0M
5.0M
5.0M
5.0M

1.5M
Z4M
5.0A
LOA
5.0A

0
0

.~

en

5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A

15
50
25
60
50

0
0

hf _

:0

12A
30

E

30

E

50

E

30

E

50
50
40
40

E
E
E
E

50
50
50
50

E
E
E
E

B
T
T
T
T
T
T
T
T

T
T
T

T

E
E
T

T
T

T
T
T
T
T

T
T
T
T
T
B
E
E
E
E

2N2230- 2N2330
~

~

TYPE

ffi
!;C
::E

2N2230
2N2231
2N2232
2N2233
2N2234
2N2235
2N2236
2N2237
2N2238
2N2239
2N2240
2N2241
2N2242
2N2243
2N2243A
2N2244
2N2245
2N2246
2N2247
2N2248
2N2249
2N2250
2N2251
2N2252
2N2253
2N2254
2N2255
2N2256
2N2257
2N2258
2N2259
2N2260
thru

2N2262
2N2266
2N2267
2N2268
2N2269
2N2270
2N2271
2N2272
2N2273
2N2274
2N2275
2N2276
2N2277
2N2278
2N2279
2N2280
2N2281
2N2282
2N2283
2N2284
2N2285
2N2286
2N2287
2N2288
2N2289
2N2290
2N2291
2N2292
2N2293
2N2294
2N2295
2N2296
2N2297
2N2303
2N2304
2N2305
2N2306
2N2307
2N2308
2N2309
2N2310
2N2311
2N2312
2N2313
2N2314
2N2315
2N2316
2N2317
2N2318
2N2319
2N2320
2N2322
thru

2N2329
2N2330

1;
co

~
"-

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS
REPLACE·
MENT

REF.

USE

PD

@25'C

VCB

VCE _

(volts)

(volts)

=

~

'"V

A

50
100
150
200
40
40
40
40
30
60
25
25
40
120
120
20
20
20
45
45
45
25
25
25
45
45
45
7.0
7.0
7.0
7.0

50
100
150
200
20
20
20
20
30
50
20
20
15
80
80
20
20
20
45
45
45
20
20
20
50
50
50
7.0
7.0
7.0
7.0

J
J

125
125

100
120

55
55

J
J

125
125
200
100
200
100
140
140
140
140
140
140
140
140
110
110
110
110
110
110
110
110
110
110

100
120
60
20
40
25
25
25
15
15
15
15
10
10
60
100
200
60
100
120
40
80
120
40

55
55
45
15
20
15
25
25
10
10
15
15
6.0
6.0
30
60
100
30
60
80
40
80
120
30

60W e 110
80
P
2N2291 AP
60W e 110
120
P
2N2291 AP
P
SP
70W e 110
40
70W e 110
80
P
SP
120
P
SP
70W e 110
800H A 200
AH
80
S N
600H A 175
50
2N702
S P
AH
2N4910 AP
25W C 200
60
S N 2N4910
75W C 200
60
2N5067 AP
S N 2N5068
SHP
13W C 175
75
S N
Unij unction Transistors, see Table on Page 2-86
25W C 200
100
S N 2N4912
2N4910 AP
600H A 200
30
2N2218
A
S N 2N2218
2N3019
A
350H A 200
60
S N 2N3020
350H A 200
100
A
S N
60
2N3019
A
350H A 200
S N 2N3020
100
A
350M A 200
S N
A
350H A 200
60
S N 2N2221A 2N2218
350H A 200
S N 2N2221A 2N2218
A
60
2N3019
A
120
S N 2N3020
350H A 200
2N2192
A
350M A 200
75
S N 2N2193
2N929
360M A 200
30
S N 2N929
SH
300M A 200
30
2N929
S N 2N929
SH
600M A 200
30
2N929
S N 2N929
SH

70
70
30
50
70
35
50
60
60
50

0
0
0
0
0
0

80
30
60
100
60
100
40
40
80
50
25
25
25

0

20

G

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
G
G

N
N
N
N
N
N
N
N
P
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
P
P

HJ4033
HJ4035

2N2218
2N2218

2N2218
2N2218

2N4232
2N2218
2N2219A

2N4231
2N2218
2N2218
2N2242
2N3019
2N3019

2N3019
2N3019

2N2256
2N2256
2N2256
2N2256

150W
150W
150W
150W
12.5W
12.5W
575H
575H
0.3W
LOW
0.6W
0.6W
360H
0.8W
0.8W
0.5W
0.5W
0.5W
0.5W
0.5W
0.5W
0.5W
0.5W
0.5W
0.5W
0.5W
0.5W
300H
300H
150H
150H

TJ

·c
150
150
150
150
150
150
150
150
100
150
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
175
175
100
100

S
S
S
S
S
S
S
S

AP
AP
AP
AP
SHP
SHP
SH
SH
AH
A
SH
SH
SH
SH
SH
A
A
A
A
A
A
A
A
A
A
A
A
SH
SH
SH
SH

~

co

"-

C
C
C
C
C
C

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

V
V
V

0
0
0
0
S
R

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
S
S
S
S

hFE @ Ic
(min) (max)
350
350
350
350
15
40
15
40
10
30
40
100
40
40
40
5.0
10
5.0
5.0
10
20
5.0
10
20
5.0
10
20
17
40
17
40

60
125
60
125
200
100
200
120
120
120
15
30
15
15
30
60
15
30
60
15
30
60

~

VCE(SAn@ Ic

;§ (volts)

~

9.0A
9.0A
9.0A
9.0A
100H
100H
100H
100H
10H
200H
LOH
LOH
10H
0.15A
0.15A
2.0"
2.0*
2.0*
2.0*
2.07(
2.0*
2.0;'
2.0*
2.0*
2.0*
2.0;'
2.0*
10H
10H
10H
10H

3.5
3.5
3.5
3.5
0.25
0.25
0.25
0.25

9.0A
9.0A
9.0A
9.0A
100H
100H
100H
100H

3.0
LO
LO
0.7
0.35
0.25
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2

200H
SOH
SOH
100H
0.15A
O.15A
LOH
LOH
LOH
LOH
LOH
LOH
LOH
LOH
LOH
LOH
LOH
LOH

hf _
100
100
100
100

25

40
80
40
40
80
150
40
80
150
40
80
150

1
'"

L

"i

~ -g

'" '"E

E
E
E
E

4.0K
4.0K
4.0K
4.0K
SOH
100H
SOH

E
E
E
T
T
T

E

400H

T

SOH
SOH
250H
SOH
SOH
60H
60H
60H
60H
60H
60H
60H
60H
60H
60H
60H
60H

T
T

200K
200K

T
T

200K
200K

T
T

10K

E

6.0M
6.0H
6.0H
6.0M
7.6M
7.6M
16H
16M
20H
20H
20H
0.6H
0.6H
0.6M
0.45M
0.45H
0.45M
0.45H

T
T
T
T
T
T

0.45M
0.45M
0.45H
0.45H
0.45H
60H
60H

T
T
T
T
T
T
T

E
E
E
E
E
E
E
E
E
E
E
E

T
T
T
T
T
T
T
T
T
T
T

:r

T
T
T

Thyristors) see Table on Page 2-66
G
G

P
P

2N2145
2N2145

2N2137
2N2137

G
G

P
P
N

2N2145
2N2145

SP
SP
A
A
2N929
SH
2N2273 AH
se
2N2944
se
2N2944
2N2944
se
2N2944
se
2N2944
se
se
2N2944
2N2944
se
se
2N2944
AHP
AHP
AHP
2N1651 AP
2N1651 AP
2N1651 AP
2N2288 AP
2N2288 AP
2N2288 AP
2-N2291 AP

S
G

P

S

N
P
P
P
P

G

S
S
S
S
S
S
S
S
G
G
G
G
G
G
G
G
G
G

P

P
P
P
P
P
P
P
P
P
P
P
P
P
P

2N929
2N2946
2N2946
2N2944
2N2944
2N2945
2N2945
2N2944
2N2944

SP
SP

2N2137
2N2137

SOW
SOW
SOW
5m~

5.0W
0.25W
360H
100H
150H
150M
150H
150M
150H
150H
150H
150M
5.0W
5.0W
5.0W
100W
100W
100W
60W
60W
60W
60W

c

A
A
A
A
A
A
A
A
A
A
A
C

e

c
C
C
C
C
C
C

e

G
G
G
G
G

0
R
R

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

40
40

120
120

500H
500M

0.75
0.75

5.0A
5.0A

40
40
30
50
80
20
10
10
10
10

120
120

500H
500M
LOH
35H
10M
LOH
5.0M
5.0M
5.0H
5.0H

0.75
0.75
0.9

5.0A
5.0A
150H

50

E

0.7

200M

3.0

E

100
240
150

140
140
140
60
60
60
120

3.0A
3.0A
3.0A
lOA
lOA
lOA
5.0A
5.0A
5.0A
5.0A

0.1
0.1
0.4
0.4
0.4
0.65
0.65
0.65
LO
LO
LO
LO

5.0H
5.0H
LOA
LOA
LOA
25A
25A
25A
5.0A
5.0A
5.0A
5.0A

50
50
50
50
50
40
75
20
15
12

120
120
120
120
120
120
200
80
60
75

5.0A
5.0A
5.0A
5.0A
5.0A
150H
150H
300H
800H
0.35A

LO
LO
1.0
1.0
1.0
0.2
1.5
0.9
1.2
2.0

60
125
36
36
90
90
60
120
120
120

S
S
S

20
25
12
12
30
30
20
40
40
40
15
15
15

LOA
0.2M
200H
200H
200H
200H
150M
150H
150H
150H
O.lM
O.lM
O.lH

0

50

R
R
R

0

R
V
V
0

0
0
0
0
0
R
R
R
R

15
15
15
35
35
35
20
20
20
50

40
40
40

E
E
E

25
25
25
50

E
E
E
E

5.0A
5.0A
5.0A
5.0A
5.0A
150M
150H
300H
600H
LOA

50
50
50
50
50

E
E
E

75

E

1.0

LOA

15
40

E

5.0
5.0
5.0
5.0
5.0
1.5
5.0
1.5
0.35
0.35
0.35

200M
200H
200M
200M
150H
150M
150M
150H
20H
20H
20M

15
25
30
30

E

E

T
T
T
T
T
T
T
T
T
T
T
T

175H

T

30K

E

40H
SOH
50M
60M
300M
300M
300M

T
T
T
T
T
T
T

100M

T

E

E

E
E
E

Thyris tors, see Table on Page 2-66

S IN I

I 2N2330 I se I

0.8WI A

150

30

2-29

10M

•

2N2331- 2N2424

g i!:

TYPE

..
'"~

0:

::3

c
::E "-

•

2N2331
2N2332
2N2333
2N2334
2N233S
2N2336
2N2337
2N2338
2N2339
2N2340
2N2341
2N2342
2N2343
2N2344
thru

2N2348
2N2349
2N23S0
2N2350A
2N2351
2N2351A
2N2352
2N2352A
2N2353
2N2353A
2N2354
2N2356
2N23S6A
2N2357
2N23S8
2N2359
2N2360
2N2361
2N2362
2N2363
2N2364
2N2364A
2N2368
2N2369
2N2369A
2N2370
2N2371
2N2372
2N2373
2N2374
2N2375
2N2376
2N2377

S
S
S
S
S
S
S
S
S
S
S
S
S

N
P
P
P
P
P
P
N
N
N
N
N
N

REPLACE·
MENT

2NS230
2NS229
2NS230
2NS230
2NS231
2NS231
2NS877
2N4910
2N4237
2NS334
2N4337
2N5334

REF.

USE

MAXIMUM RATINGS
-;;; T
VCB VCE _
J

Po

'0

"'1;;

@25"C
2N2330
2NS229
2N5229
2N5229
2N5229
2N5229
2N5229
2N5875
2N4910

sc
SC
SC
SC
SC
SC
SC
AP
AP
AHP
AHP
AHP
AHP

'"A

O.SW
O.lSW
O.lSW
0.15W
O.lSW
0.15W
O.ISW
150W
40W
ISW
ISW
15W

A
A

A
A
A
A
C
C
C
C
C
C

15W

(volts)

(volts)
20
15
5.0
IS
IS
35
35
40
40
40
40
40

175

30
IS
IS
30
30
50
50
60
60
50
SO
100
100

200
200
200
200
200
200
200
200
200
85
200
200
110
110
110

"C
ISO

200
200
200
200
200
200
200
200
175
17S
175

ELECTRICAL CHARACTERISTICS

I

hFE @ Ic
(min) (max)

0
0
0
0
0
0
0
0
0
0
0
0

SO

7.0
6.0
10
40
10

40

0

40

40
60
60
80
80
60
60
45

24
40
40
50
50
40
40
2S

0
0
0
0
0
0
0
0

120
100
100
40
40
20
20
20

45
20
2S
25
60
100
120
20
20
20
30
120
120
40
40
40
lS
IS
IS
15
35
35
35
25

25
IS
7.0
7.0
30
60
80
20
20
20
20
80
80
40
40
40
lS
lS
lS
15
35
35
35
25

0

20
SO

0
0
0
0
0
0
0
S
0
0
0
S
S
S
0
0
0
0
S
S
S
0

IS
20
IS
20
100
35
35
10

10
80
40
40
15
20
60
60

0
S
0
0
0
0
0
0

15
25
20
20
40
40
20
20

0
0

40
100
40
100
15
30
20
30
20
40
25
10
10
30
50
60
20
40
60
30
20
40
30
SO
10
8.0

20
30

VCElSATI@lc
~
(volts)
=

10M

40
100
40
100

~

hf _

=

~
~

~

L

=

=~
~

100M

'"T

15K
0.7M
SSOK
3S0K
550K
350K

E
T
E
E
E
E

50M

T

6.0A
LSA
750M
750M
750M
750M

LS
LS
4.0
4.0
3.0
2.5

3.0A
0.3A
750M
7S0M
7S0M
750M

12
12

E
E

10M
150M
ISOM
150M
150M
150M
150M
150M

1.5
0.35
0.25
0.35
0.25
0.3S
0.25
0.35

10M
150M
150M
150M
150M
150M
ISOM
150M

60
2.5
2.5
2.S

E
E
E
E

2.5
2.5
2.5
2.5

E
E
E

IS0M
3SM

0.25

ISOM

2.5

E

SOM

T

20A

0.9
0.9
0.9

50A

20
20
20

E
E
E

0.6M
0.6M
0.6M

T

10

E

2S0M
SOM
SOM
400M
SOOM
SOOM

T
T
T
T
T
T

IS
20
lS
20
100
35
35
15

E
E
E
E
E
E

Thyristors, see Table on Page 2-66
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N

s

N
N
N
N
P
P
P
P
P
P
P
N
N
N
N
N
P
P
P
P
P
P
P
P

G

S
S
G
G
G
G
G
G
G
S
S
S
S
S
S
S
S
S
G
G
G
S

2N929
2N2222A
2N2222A
2N2193
2N2193
2N2194
2N2194
2N2221
2N2221

2N929
2N2218
2N2218
2N2192
2N2192
2N2192
2N2192
2N2218
2N2218

2N23S7
2N23S7

2N3283
2N3284
2N3284

2N23S7
2N3283
2N3283
2N3283

2N3020

2N3019
2N2368
2N2369
2N2369

A
SH
SH
SH
SH
SH
SH
SH
SH
A
SC
SC
SP
SP
SP
AH
AH
AH
AH
SH
SH
SH
SH
SH
L
L
L
L

150M
400M
400M
400M
400M
400M
400M
400M

A
A
A
A
A
A
A
A

400M
0.18W
0.6W
0.6W
l70W
l70W
l70W
60M
60M
60M
7SM
400M
400M
360M
360M
360M
0.2W
0.2W
O.lSW
O.lSW
250M
250M
250M
150M

A
A
A
A
C
C
C
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

12S

125
100
100
200
200
200
200
200
200
200
200
200
100
LOO
100
140

A
A
A
AH
AH
2N2378
150M A 140
10
S P
2N2379
G P
SP
150W C
95
100
2N2380
600M A 175
S N 2N2193
2N2192
SH
80
2N2192
SH
600M A 175
80
2N2380A S N 2N2193
2N2381
G P
SH
300M A 100
30
2N2381
2N2382
SH
300M A 100
4S
G P
2N2381
AP
2N2383
85W C 180
80
S N 2N4914
2N4913
AP
2N2384
85W C 180
80
S N 2N4914 2N4913
2N2386,A Field-Effect Transistors, see Table on Page 2-78
2N2387
45
A
S N
300M A 175
45
2N2388
A
300M A 17S
S N
2N2389
A
450M A 200
75
S N 2N2193 2N2192
2N2390
N
S
2N3019
2N3019
A
450M A 200
75
2N2391
2N3250
S P 2N3250
A
300M A 17S
25
2N2392
S P 2N3250
2N3250
A
300M A 175
25
2N2393
S P 2N2905
2N2904
A
45 OM A 17S
50
2N2394
S P 2N290S
2N2904
A
450M A 17S
SO
2N2395
S N 2N2219
A
2N2218
450M A 200
60
2N2396
S N 2N2219
A
2N2218
4S0M A 200
60
2N2397
S N 2N2369A 2N2369A SH
300M A 200
35
2N2398
G P 2N3284
60M
2N3283
A 100
20
AH
2N2399
G P 2N3284
60M
A 100
20
2N3283
AH
2N2400
G P 2N964
150M A 100
12
2N960
SH
2N2401
G P 2N964
150M A 100
2N960
IS
SH
2N2402
2N29S5
SH
G P 2N2956
150M A 100
18
2N2403
S N
S
LOW A 200
60
2N2404
S N
LOW A 200
60
S
2N2405
2N1893
S N
A
5.0W C
120
2N2410
2N2410
SH
S N
800M A 200
60
2N2411
S P
2N2411
SH
300M A 200
25
2N2412
S P
2N2411
SH
2S
300M A 200
2N2413
S N 2N2221
2N2218
300M A 175
40
AH
2N2414
S N
AL
500M A 200
60
2N2415
AH
G P
2N2415
75M A 100
15
2N2416
G P
AH
75M A 100
2N241S
lS
2N2417
thru
Unijunction Transistors, see Table on Page 2-86
2N2422
2N2423
100
P 2N3250 12N242~
9DW A
C 100
G
2N2424
S P
2N32SD SP
S
375M
160
40

I I

2N1193
2N3250

2Nll91
2N3250

I I

I 1 I

45
45
SO
SO
20
20
35
35
40
40
lS
20
20
7.0
10
12
60
60
90
30
20
20
18
40
10
10

80
S.O

2-30

R

R
R

0
0
0
0
0
0
0
S
S
0
0
0
0
0
0
0
0
0
0
R

0
0

V

0

30
30
30
10
10
10
10
40
40
20
40

250
300
300
120
120
60
60

ISO
90
90
90

200
120
120
60
120
120

20A
20A
2.0M
2.0M
2.0M
2.0M
lS0M
lS0M
10M
10M
10M
25"·

0.3S

0.25
0.25
0.2S

0.2

SOA
SOA

lS0M
ISOM
10M
10M
10M

2S;'

300

no
no

100

25"·
25",
100M
100M
100M
5.0M
15M
5.0A
150M
150M
200M
200M
L5A
1. SA

E

E

E

1.0
L3
1.3
0.40
0.40
1.0
1.0

15A
150M
150M
200M
200M
L5A
L5A

60

E

15
15

E
E

LO
LO
1.5
L5
0.6
0.6
1.5
L5
1.0
LO
0.30

10M
10M
150M

60
ISO
30

E

ISOM
10M
10M
150M
150M
ISOM
lS0M
10M

50
15
30
15
25

60
120
200
120
60
120
120
250
200
20

10*
10*
150M
IS OM
10M
10M
ISOM
150M
ISOM
lS0M
10M
2.0M
2.0M
10M
10M
10M
0.6A
0.6A
150M
10M
10M
10M
10M
10M
2.0M
2.0M

0.22
0.2
0.2
LS
1.5
0.5

10M
LOM
10M
0.6A
0.6A
150M

0.2
0.2
0.4
1.2

10M
10M
10M
50M

100
200

2.0A
5.0M

1.S
0.3

37
120
120
60
60
120
300
120
300
4S
90
4S
90
60
120
120

5.0A
15M

50

50
15
10

T
T

E
E
E
E
E
E
E

8.0M

T

7.2M
4.0K
100M
100M
300M
300M
30K
30K

T

30M
30M
60M

T
T

70M
140M
140M
50M
60M
40M
50M
200M

T
T
T
T
T
T
T
T

150M
200M
2S0M
147M
147M

T
T

E

T
T
T
T
E
E

T

T
T

T

E

E

E
E

200M
140M
140M
300M
50M
500M
4DOM

3.0K
15M

T

T
T

T
T

T
T

E
B

2N2425 - 2N2521
TYPE

~

~

a:

!:il:Ii! =s....e>

2N2425
2N2426
2N2427
2N2428
2N2429
2N2430
2N2431
2N2432
2N2432A
2N2433
2N2434
2N2435

S P
G N
S N
G P
G P
G N
G P
S N
S N
S N
S N
S N

2N2436
2N2437
2N2438
2N2439
2N2440
2N2443
2N2444
2N2445
2N2446
2N2447
2N2448
2N2449
2N2450
2N2451
2N2452
2N2453
2N2453A
2N2454
2N2455
2N2456
2N2459
2N2460
2N2461
2N2462
2N2463
2N2464
2N2465
2N2466
2N2467
2N2468
2N2469
2N2472
2N2473
2N2474
2N2475
2N2476

S
S
S
S
S
S

2N2477
2N2478
2N2479
2N2480
2N2480A
2N2481
2N2482
2N2483
2N2484
2N2484A
2N2485
2N2486
2N24B7
2N24BB
2N2489
2N2490
2N2491
2N2492
2N2493
2N2494
2N2495
2N2496
2N2497

thru
2N2500
2N2501
2N2502

thru
2N2508
2N2509
2N251O
2N2511
2N2512
2N2514
2N2515
2N2516
2N2517
2N2518
2N2519
2N2520
2N2521

G
G

G
G

G
G
G
G
S
S
S

N
N
N
N
N
N
P
P
P
P
P
P
P

REPLACE·
MENT
2N3250A
2N652
2N652

REF.
2N3250
2N650
2N650

S
S
S
S
S
S
G
S
S
S
S
S
G
G
G
G
G
G
G
G
G
G

A
A
AH

A
A
A
A

2N2193

2N2192

2N3020

2N3019

2N3019
2N3020
2N3019
2N3019
2N3019
2N3500

2N3019
2N3019
2N3019
2N3019
2N3019
2N3498

2N1187
2N1187
2N652
2N652

2N1175
2N1175
2N650
2N650

P

N
N
N

2N2453
2N2453

P
P

N
N
N
N
N
N
N
N
P
P
P
N 2N3500
N 2N3500
P
N 2N835
N
N
N 2N2218
N 2N2218
N
N
N
N
N MM2483
N MM2484
N
N
N
P
P
P
P

P
P
P
P
P
P

~ield-Effect

SINI

USE

sc
sc

Thyristors, see Table
G
G
S
S
S
S
S
S
S
S
G
G
G
S
S
S
S
S

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

SH
SH
SH

MM2483
MM2484

2N2490
2N2490
2N2490
2N2490

Vca
(volls)

(volls)

A
A
A

160
100
200
75
75
75
90
175
175
200
200
200

50
40
40
32
32
32
32
30
45
75
75
120

10
25
40
32
32
32
32
30
45
45
45
80

A
A
A
A
A
A
C
C
C
A
A
A
A
A
A
A
A

200
200
200
200
200
200
110
100
100
85
85
85
85
85
200
200
200

120
100
100
100
120
120
80
100
60
45
45
35
35
6.0
100
60
80

80
75
75
75
80
100
80
50
50
24
24
20
20
5.0
80
30
50

A
A
A
A
A
A

100
100
200
200
200
200

15
15
100
100
100
100

375M
150M
0.511
0.511
0.511
0.2811
0.5511
300M
300M
500M
500M
500M

....
A
A
A
A
A
A
A
A

A

VCE _ ~

~

0
R
0
S
S
R

R
0
0
0
0
0
0
0
0
0
0
0
0
0
S
0
0
0
0
0

hFE @ Ic
(min) (max)

E
E
E
E

25K
50M
10K
10K
10K
10K
20M
20M
80M
90M
80M

E

90M
70M
80M
90M
90M
50M
4.5M
O.lM
3.0K

T
T
T
T
T
T
T
T

0.15

10M

1.5
1.5
3.0

150M
150M
150M

30
50
30

E
E
E

150M
10M
10M
10M
150M
50M
3.0A
lOA
5.0A

3.0
0.2
0.4
0.4
0.4
1.2
1.0
1.0
1.5

150M
10M
50M
50M
50M
50M
5.0A
lOA
7.0A

50
18
36
76
50
45
50
30

E
E
E
E
E
E
E
E
E
E
E
E
E

100
15
35
75
100
50
90
30
15

120
300
120
300

300
150
120
60
45

0.3
0.5

100M

10M

0.1

10M

25
25
50
50
40

'c
'" ti
10M B
T
E
E
E
E

T
T
T
T
T

E

80M

T

0
0

150
150

600
600

loOM
loOM

1.0
1.0

5.0M
5.0M

150
150

E
E

60M
60M

T
T

15
15
60
60
60
60

S
S
0
0
0
0

20
20
10
20
40
60

100
100

2.0M
2.0M
O.lM
O.lM
O.lM
O.lM

0.19
0.19
0.3
0.3
0.3
0.3

10M
10M
10M
10M
10M
10M

30
30
40
70
115
160

E
E
E
E
E
E

600M
LOG
100M
120M
140M
160M

T
T
T
T
T
T

O.lM
O.lM
O.lM
O.lM
0.5A
0.5A
0.5A
0.2A
0.2A
100*
50M
150M

0.3
0.3
0.3
0.3
0.4
0.4
0.4
1.7
1.7
0.126

10M
10M
10M
10M
LOA
1. OA
LOA
0.2A
0.2A
10M

40
70
115
160
40
40
40
30
30
8.0
6.0

E
E
E
E
E
E
E
E
E
E
E

100M
120M
140M
160M
20M
20M
20M
10M
10M

T
T
T
T
T
T
T
T
T

0.4

150M

250M

T

150M
150M
150M
loOM
loOM
10M
2.0M
101,
10*
10*
0.5A
0.5A
10M
50M
10M
5.0A
5.0A
5.0A
5.0A
loOM
loOM
loOM

0.4
0.7
0.85
1.3
1.2
0.25

150M
150M
150M
50M
50M
10M

250M
200M
150M
SaM
50M
300M

T
T
T
T
T
T
T
T
T
T
T
T
T
T

25

R

0
0
0
0
0
0
0
0
0
0
0
0

10
20
40
60
30
30
30
30
30
8.0
20
20

SH
SH
SH
AM
AM
SM
AH
A
A
A
AHP
AHP
SH
SH
SH
SP
SP
SP
SP
AH
AH
AH

2.011
600M
600M
0.311
0.311
1.211
150M
360M
360M
360M
8.811
B.8W
60M
60M
60M
170W
170W
170W
170W
83M
125M
100M

C
A
A
A
A

200
175
175
200
200
200

60
120
80
75
80
40
20
60
60
60
120
140
15
15
20
70
60
80
100
20
40
40

20
40
40
40
40
15
15
60
60
60
120
140
10
10
15
60
50
70
85
20
40
20

0
0
0
0
0
0
S
0
0
0
0
0
0
0
0
S
S
S
S

V

40
30
30
30
50
40
25
40
100
100
10
10
20
20
20
20
35
25
25
25
25
25

A

35
40
80
130

10*
2.0M
2.0M
O.lA
0.3A
loOM
loOM
150M
150M
150M

60
60
60
60
30
60
100
100
100
15
6.0
20

A

t

'"
15M

5.0M

100
100
100
100
60
100
200
120
120
30
15
60

A

'- J!l

60
150
300
210
175

200
200
200
200
110
110
110
175
175
160
200
200

200
200
200
200
200
100
100
100
110
110
110
110
75
100
100

I'"

hI_

110

A
A
A
A
C
C
C
A
A
A
A
C

c

'"

~

25

0.511
0.511
0.511
0.511
5.011
5.011
5.011
1.011
1.011
250M
300M
2.011

A
A
A
A
C
C
A
A
A
C
C
C
C

VCEISAn@lc

=2 (volls)

20
50
65
60
60
50
50
40
100
40

A
A
AP
AP
AP
AP
AP
AP
SH
SH

A

2N834
2N2476
2N2476
2N2218
2N2218
2N2060
2N2060
2N2481

TJ

"C

Po

SH
500M
500M
AH
500M
AH
500M
AH
300M
SH
800M
AH
AP
8511
AP
9011
SP
9011
A
75M
A
75M
A
75M
A
75M
SH
25M
AL
500M
AM
0.511
0.511
AM
on Page 2-66
SH
150M
SH
150M
A
0.411
A
0.411
A
0.411
A
0.411

A

2N3498
2N3498

1;;
'is

@25"C ~

V
S

90
90
90
90
90
20

120
350
200
120
200
120
500
500

40
70
50
50

60

E

50

E

15
80
150
150

E
E
E
E

0.35
0.35
0.35

loOM
loOM
l.OM

0.175
0.175
0.18
0.7
0.7
0.5
0.5

40M
15M
10M
12A
12A
12A
12A

12M
15M
60M
100M
100M
360M
360M
300M
5.0K
5.0K
5.0K
5.0K

10M

0.2

10M

350M

T

10*
10M
10M
1 OM
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
loOM
loOM

1.0
1.0
1.0

5.0M
5.0M
5.0M

0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5

10M
10M
10M
10M
10M
10M
10M
10M

45M
45M
45M
140M
30M
60M
100M
30M
60M
100M
SaM
SaM

T
T
T
T
T
T
T
T
T
T

E
E
E

E

Transistors, see Table on Page 2-78
12N25011 SH 10.36111 A

200

40

20

0

50

200
200
200
75
200
200
200
200
200
200
200
200

125
100
80
70
80
80
80
125
125
125
60
60

80
65
50
70
60
60
60
80
80
80
60
60

0
0
0

25
150
240
20
15
30
60
15
30
60
12
25

150

Thyristors, see Table on Page 2-66
N
N
N
G P
S N
S N
S N
S N
S N
S N
S N
S N
S
S
S

AH
AH
AH
AH
A
A
A
A
A
A
A
A

1.211
1.2W
1. 211
0.1511
0.411
0.4W
0.411
0.4W
0.411
0.4W
0.411
0.411

C
C
C
A
A

A
A
A
A
A
A
A

2-31

R

0
a
0
0
0
a
0
0

500
750
50
100
200
50
100
200

40
20
40
80
20
40
80
18
36

E
E
E
E

E
E

E
E
E

B

B

•

2N2522-2N2617
MAXIMUM RATINGS

~

TYPE

~ i=
ffi ;;:
::3

.. ...'"

>-

REPLACE·
MENT

REF.

USE

::IE

2N2522
2N2523
2N2524
2N2525
2N2526
2N2527
2N252S
2N2529
2N2530
2N2531
2N2532
2N2533
2N2534
2N2535
2N2536
2N2537
2N253S
2N2539
2N2540
2N2541
2N2542
thru
2N2550
2N2551
2N2552
2N2553
2N2554
2N2555
2N2556
2N2557
2N2558
2N2559
2N2560
2N2561
2N2562
2N2563
2N2564
2N2565
2N2566
2N2567
2N2568
2N2569
2N2570
2N2571
2N2572
2N2573
thru

2N2579
2N2580
2N2581
2N2582
2N25S3
2N2584
2N2585
2N25S6
2N2587
2N2588
2N2589
2N2590
2N2591
2N2592
2N2593
2N2594
2N2595
2N2596
2N2597
2N2598
2N2599
2N2599A
2N2600
2N2600A
2N2601
2N2602
2N2603
2N2604
2N2605
2N2605A
2N2606
thru
2N2609
2N261O
2N2611
2N2612
2N2613
2N2614
2N2615
2N2616
2N2617

S
S
S
S
G
G
G

S
S
S
S
S
S
G

G

S
S
S
S
G

@25'C

N
N
N
N
P
P
P
N 2N929
N 2N929
N 2N929
N 2N929
N 2N929
N 2N929
P
P
N
N
N
N
P

Thyristors~

S
G
G
G
G

G
G
G
G
G

G
G

G
G

G
G
G
G

S
S
S
S

Po

P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
N
N
N
N
N

2N2526
2N2526
2N2526
2N929
2N929
2N929
2N929
2N929
2N929
2N2537
2N2537
2N2537
2N2537

A
A
A
AHP
SHP
SHP
SHP
A
A
A
A
A
A
A
A
SH
SH
SH
SH
S

0.4W
0.4W
0.4W
25W
SSW
SSW
85W
150M
150M
150M
150M
150M
150M
lOW
lOW
0.8W
O.SW
0.5W
0.5W
215M

ELECTRICAL CHARACTERISTICS

·0

-S

TJ

VeB

VCE _

'li;

'C

(voltS)

(volts)

200
200
200
200
110
110
110
175
175
175
175
175
175
100
100
200
200
200
200
LOO

60
60
60
100
SO
120
160
45
45
45
45
45
45
60
80
60
60
60
60
30

60
45
45
SO
SO
120
160
40
40
40
40
40
40
30
40
30
30
30
30
14

200
100
100
100
100
100
100
100
100
100
100
100
100

150

150

0

40
60
80
100
40
60
SO
100
40
60
SO
100

40
60
80
100
40
60
SO
100
40
60
SO
100

V
V
V
V
V
V
V

100
100
100
100
100
200
200
200
200

40
60
80
100
32
20
20
20
20

40
60
SO
100
32
5.0
5.0
15
15

V

150
150
150
150
150
150
175
100
100
200
200
200

400
400
500
500
600
600
60
30
40
150
100
100

200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200

100
100
80
80
80
SO
125
125
125
125
125
60
60
60
60
60
60

...
'"A
A
A
C
C
C
C

A
A
A
A
A
A
C
C

A
A
A
A
A

t
'"
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

hFE @ Ic
(min) (max)
50
40
100
10
20
20
20
10
12
20
45
20
45
40
40
50
100
50
100
60

.tl

·2
=>

VCEIsAn@lc
(volts)

~
=>

hf _

tl

O.S
0.8
2.0
2.0
2.0
2.0
l.5
l.5
0.5
0.5
0.45
0.45
0.45
0.45
0.25

10M
LOM
10M
LOA
lOA
lOA
lOA
10M
10M
10M
10M
10M
10M
LOA
l.OA
150M
150M
150M
150M
50M

O.lA

L2

O.lA

l.OA
LOA
l.OA
l.OA
l.OA
l.OA
l.OA
l.OA
3.0A
3.0A
3.0A
3.0A

0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.75
0.75
0.75
0.75

l.OA
l.OA
l.OA
l.OA
l.OA
l.OA
l.OA
l.OA
3.0A
3.0A
3.0A
3.0A

18
IS
18
18
18
18
18
IS
25
25
25
25

3.0A
3.0A
3.0A
3.0A
40M
100*
100*
100M
100M

0.75
0.75
0.75
0.75
0.75

3.0A
3.0A
3.0A
3.0A
100M

25
25
25
25

5.0A
5.0A
5.0A
5.0A
5.0A
5.0A

0.7
l.0
0.7
l.0
0.7
l.0
0.5
0.5

5.0A
IDA
5.0A
lOA
5.0A
lOA
10M
50M

l.05
0.4
0.4

l.OM
10·k

120
300

lO~~

50
50
50
20
35
SO
185
55
150
120
120
150
300
150
300
250

0.35A
3.0A
3.0A
3.0A
l.OM
LOM
l.OM
l.OM
10M
10M
0.4A
0.4A
150M
150M
150M
150M
50M

15

45

20
20
20
20
20
20
20
20
20
20
20
20

60
60
60
60
60
60
60
60
60
60
60
60

V
V
V
S
0
0
0
0

20
20
20
20
10
50
50
50
50

60
60
60
60
60

400
400
500
500
600
600
45
30
20
150
60
60

0
0
0
0
0
0
0
S
0
0
0
0

10
25
10
25
10
25
120
15
50
17
LO
20

40
65
40
65
40
65
360
100
150
51

60
60
90
60
60
60
80
SO
100
SO
100
60
60
60
45
45
45

0
0

40
60
50
15
30
60
15
30
30
60
60
12
25
50
40
100
50

0.5
0.5
0.5
O.S

o.s

o!- ';!

76
60
150

12
18
36
76
19
39
15
15

E
E
E

E
E
E

E
E
E

E
E

-;;.

~
=>

50M
45M
45M
154M
30K
30K
30K
6.0M
10M
12M
16M
10M
20M
S.OK
8.0K
250M
250M
250M
250M
10M

"5

.g
'"B
T
T

T
T
T

T
B
B
B

B
B
B
E
E

T
T
T
T
B

see Table on Page 2-66

2N2552
2N2552
2N2552
2N2552
2N2556
2N2556
2N2556
2N2556
2N2560
2N2560
2N2560
2N2560
2N2564
2N2564
2N2564
2N2564

A
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP

0.41,

A

20W
20W
20W
20W
20W
20W
20W
20W
20W
20W
20W
20W

C
C
C
C
C
C
C
C
C
C
C
C

AP
AP
AP
AP
AHP
SC
SC
SC
SC

20W
20W
20W
20W
l.OW
300M
300M
300M
300M

C
C
C
C
C

A
A
A
A

V

V
V
V
V

E
E
E
E
E

E
E
E

E
E
E
E

E
E
E

E

225K
225K
225K
225K
225K
225K
225K
225K
250K
250K
250K
250K
250K
250K
250K
250K
600M
100M
100M
100M

T
T
T
T
T
T
T

T
T
T
T

T
T
T

T
T
T

T
T
T

Thyristors, see Table on Page 2-66

S
S
S

N
N
N
N
N
N
N
P
P
N
P
P

SP
SP
SP
SP
SP
SP
A
AH
AH
SP
AH
AH

150W
15 OW
150W
15 OW
150W
150W
300M
150M
150M
150W
0.4W
0.4W

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

P
P
N
P
P
P
P
P
P
P
P
P
P
P
P
P
P

AH
AH
A
AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
AH

0.4W
0.4W
5.0W
0.4W
0.4W
0.4W
0.4W
0.4W
0.4W
0.4W
0.4W
0.4W
0.4W
0.4W
0.4W
0.4W
0.4W

S
S
S
S
S
S
S
G
G

2N5336
2N3496
2N3496
2N3496
2N3497
2N3497
2N3497
2N3497
2N3497
2N3798
2N3798
2N3799
2N379S

2N5336
2N3494
2N3494
2N3494
2N3494
2N3494
2N3494
2N3494
2N3494
2N3798
2N3798
2N379S
2N2604
2N2798

C
C
C
C
C
C

A
A
A
C

A
A
A
A
C

A
A
A
A
A
A
A
A
A
A
A
A
A
A

R

0
0
0
0
0
0
0
0
0
0
0
0
0
0

lO'l'~

8.0M
l.5M
7.0A
O.lM
O.lM

200

l.0*

0.4
0.4
l.0
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.25

36
250

0.2A
lOA

l.0
l.7
l.0

150
60
120
240
60
120
120
240
240

O.lM
O.lM
LOOM
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
S.OM
5.0M
l.OM
l.OM
l.OM
10*
10')'(

30K
30K
30K
30K
30K
30K
l.5K
320M
75M.
0.25M
50M
70M

E

90M
110M
40M
30M
40M
60M
30M
40M
40M
60M
60M
20M
40M
60M
30M
30M
45M

T

E

4.0M

T

120
100

E

4.0M
4.0M
500M
600M

B
B

25

E

E

7.0A
10M
10M

150
0.95
50
5.0
40
70

10M
10M
200M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M

115
160
15
20
40
80
20
40
40
SO
SO
IS
36
76
60
150
200

E
E
E

5.0M
0.2A
IDA

4.5
12

B
E

E
E

E

E

E
E
E

E
E
E
E
E
E
E
E
E
E

E

E
E

E
E
T
T
T

T
T

T
T

T
T
T
T
T
T
T
T
T
T
T
T
T
T
T

Field-Effect Transistors, see Table on Page 2-7S

S
S
G
G
G

S
S

S

N 2N929
N 2N3766
P 2N1559
P 2N1193
P 2N1193
N
N 2N1559
P 2N3250

2N929
2N3766
2N1539
2N1l91
2N1l91
2N1539
2N3250

A
AP
AP

A
A _

AL
AL
A

0.15W
2.0W
75W
120M
120M
300M
300M
0.25W

A
A

c

A
A
A
A
A

150
175
100
100
100
200
200
150

45
120
65
30
40
30
30
25

40
100
65
25
35
15
15

2-32

0
0
V
R
R

0
0

7.0
85
20
20
15

200
200
80

3.0M
3.0M
20M

0.5
0.4

E

E

3.0M
10M

T
T

2N2618-2N2717

.....

:$

TYPE
2N2618
2N2619
2N2620
2N2621
2N2622
2N2623
2N2624
2N2625
2N2626
2N2627
2N2628
2N2629
2N2630
2N2631
2N2632
2N2633
2N2634
2N2635
2N2636
2N2637
2N2638
2N2639
2N2640
2N2641
2N2642
2N2643
2N2644
2N2645
2N2646
2N2647
2N264B
2N2649
2N2650
2N2651
2N2652
2N2652A
2N2653
2N2654
2N2655
2N2656
2N2657
2N265B
2N2659
2N2660
2N2661
2N2662
2N2663

I~~m~
2N2666
2N2667
2N266B
2N2669
2N2670
2N2671
2N2672
2N2672A
2N2673
2N2674
2N2675
2N2676
2N2677
2N267B
2N2679
thru
2N2690
2N2691
2N2691A
2N2692
2N2693
2N2694
2N2695
2N2696
2N2697
2N269B
2N2699
2N2706
2N2707
2N270B
2N2709
2N2710
2N2711
2N2712
2N2713
2N2714
2N2715
2N2716
2N2717

...

!;;:

!iii
::s

::E

Do

ffi

S

Q

N

REPLACE·
MENT
2N2219

REF.
2N2218

Po

USE

-:= TJ

~

@25'C

&!

'C

0.6W

A

200

AH

Vca

SH
A
AP
AP
AP
SH
SP
SP
SP

G
S
S
S
S
G
G
G
G
S
S
S

P
N
N
N
N
P
P
P
P
N
N
N

S
S
S
S

N
N
N
N

G
S
S
S
S
S

N
N
N
N
N

G
S
S
S
S
G
G
G
G
G

P
N
N
N
N
P
P
P
P
P

G
G
G
G
G
G
S
S
S
S

P
P
P
P
P
P
P
P
N
N
N
N

2N2222A
2N2222A
2N2222A
2N2222A

2N221B
2N221B
2N221B
2N221B

AH
AP
AH
SP
SP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AH
AH
AH
A
A
A
A

S
S

N
N

2N2221A
2N2221A

2N221B
2N221B

A
A

2N3553
2N5477
2N5477
2N5479

2N3375
2N5477
2N5477
2N5477
2N2635

2N2639
2N2639
2N2639
2N2639
2N2639
2N2639

AM
AM
AM
AM
AM
AM

60

2N2501

2N2501
2N2652
2N2652

S
AHP
AHP
SH

2N56B1
2N221B
2N5336
2N5336

g~

en

(min) (max)

0

25

10M

S
S
S
S
S
S
S
S
S
0
0
0
0
0
0
0
0
0
0
0

15
15
20
20
15
20
15
15
10
25
8.0
40
40
40
45
20
20
20
50
50
50

80
300
300
300

1. OM
1. OM
1. OM
1. OM
1. OM
1. OM
1. OM
1. OM
1. OM
100M
200M
1.0A
1.0A
1.0A
50M
25A
25A
25A
10*
10*
10*

A
C
C
C
C
A
C
C
C
A
A
A

100
200
175
175
175
100
110
110
110
200
200
200

18
80
90
120
150
30
100
100
100
45
45
45

300M
300M
300M
500M

A
A
A
A

200
200
200
200

45
45
45
75

45
45
45
50

0
0
0
R

100
100
100
100

300
300
300
300

5.0W
B.7W

C
C
C
A
A
A

100
200
200
200
200
200

35
65
140
40
100
100

10
65
140
20
60
60

0
0
0
0
0
0

BO
10
10
25
50
50

500

A
C
A
A
A
C
C
C
C
C

75
200
200
200
200
100
100
100
100
100

25
100
25
BO
100
50
70
90
50
70

100
15
60
BO
50
70
90
50
70

0
0
0
0

~~g

~~

~~ I~

15W
15W
15W
15W
15W
O.lW
O.lW
O.lW
250M
250M
250M
250M

C
C
C
C
C
A
A
A
A
A
A
A

100
100
100
100
100
75
90
90
200
200
200
200

70
90
50
70
70
25
25
32
60
60
60
60

70
90
50
70
70

V
V
V
V
V

32
45
45
45
45

250M
250M

A
A

200
200

45
45

100W
170W
300M
300M
300M
360M
360M

C
C
A
A
A
A
A

110
125
175
175
175
200
200

100
120
45
45
45
25
25

1BW
1BW

C

B.7W
360M

O.lW
15W
0.36W
l.25W
l.25W
15W
15W
15W
15W
15W

H~

g

VeE~An@ Ie

~
::> (volts)

40

300M
8.75W
40W
40W
40W
150M
100W
100W
100W
300M
300M
300M

0.3W
AM
0.3W
AM
Thyristors, see Table on Page 2-66
2N56B1
2N2222
2N5336
2N5336

f

hFE @ Ie

15
24
32
15
24
32
15
24
32
10
80
60
80
100
12
60
60
60
45
45
45

AM
Unijunction Transistors, see Table on Page 2-86
P

VeE _

(volts) (volts)

Thyristors, see Table on Page 2-66
Field-Effect Transistors, see Table on Page 2-78
150M J
100
15
G P
AH
150M J
24
100
G P
AH
150M J
100
32
G P
AH
150M J
100
15
G P
AH
150M J
24
100
G P
AH
150M J
100
32
G P
AH
150M J
100
15
G P
AH
150M J
100
24
G P
AH
150M J
100
32
G P
AH

G
G

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

V

V
V
V
V
V

25
30
40
40
40
30
30
30
30
30

:i
::>

h,_

f

'-

~

"ij

en

~
::>

30

E

200M

T

T
T
T
T
T
T
T
T
T
T

-§
en

0.45

100M

3.0

E

0.25
0.25
0.25
0.2
0.65
0.65
0.65
1.0
1.0
1.0

1.0A
1.0A
1.0A
10M
25A
25A
25A
10M
10M
10M

40
40
40

E
E
E

65
65
65

E
E
E

20M
20M
20M
150M
0.6M
0.6M
0.6M
35M
35M
35M

10*
10*
10*
150M

1.0
l.0
l.0
0;4

10M
10M
10M
10M

130
130
130
75

E
E
E
E

35M
35M
35M
50M

T
T
T
T

0.4

l.OA

200
200

l.OA
0.5A
0.5A
10M
1. OM
l.OM

0.25
l.2
l.2

10M
50M
50M

50
50

E
E

10M
100M
100M
350M
60M
60M

T
T
T
T
T
T

90
160
120
120
90
90
90
90
90

l.OM
0.2A
O.lM
l.OA
l.OA
500M
500M
500M
500M
500M

2.0
0.5
0.5
0.5
0.2
0.2
0.2
0.2
0.2

0.2A
10M
l.OA
l.OA
500M
500M
500M
500M
500M

30

E

30
30
30
30
30

E
E
E
E
E

0.25M
250M
20M
20M
2BOK
2BOK

E
T
T
T
T
T
T
1:
T

120
120
120
300
80

BO

2BOK

2BOK
2BOK

~~g~

~.~

~~~~

~g ~

0.2
0.2
0.2
0.2
0.2

500M
500M
500M
500M
500M

50
50
50
50
50

E
E
E
E
E

300K
300K
300K
300K
300K

T
T
T
T
T

22
40
76
290

500M
500M
500M
500M
500M
1. OM
1. OM
1.0M
l.OM
l.OM
1. OM
l.OM

1.5
1.5
1.5
1.5

5.0M
5.0M
5.0M
5.0M

9.0
37
76

E
E
E
E

2.5M
5.0M
10M
10M

B
B
B
B

20
45

55
150

1.0M
1. OM

1.5
l.5

5.0M
5.0M

19
39

E
E

10M
20M

B
B

0
0
0
0
0
0
0

30
50
90
40
20
30
30

100
100
360

0.65

20A

6.0M

T

130
130

20A
20M
100*
10*
10*
50M
50M

0.12
0.12
0.12
0.25
0.25

100*
100*
100*
50M
50M

E
E

42M
42M
42M
100M
100M

T
T
T
T
T

0
0
0
S

40
40
40
65

120
120
200
120

1.0A
1.0A
10M
20M

0.5
0.5

l.OA
l.OA
10M

20M
20M
300M
1. 3M

T
T
T
B

0
0
0
0
0
0
0
0
0
0

30
10
40
30
75
30
75
30
75
50

200

2.0M
0.2M
10M
2.0M
2.0M
2.0M
2.0M
2.0M
2.0M
30M

200K
500M

B
T

300M

T

~~ 1~~

S
0
0
0
0

50
50
50
50
50
40
40
40
B.O
12
22
45

35
35

0
0

BO
BO
30
30
20
25
25

200
60
BO
C 200
100
BO
150M A 100
B.O
15
0.5W A
75
32
32
(NPN) and 2N2706 (PNP)
200M A 200
20
35
240M A 160
50
35
360M A 200
40
20
0.2W A 125
1B
1B
0.2W A 125
18
18
0.2W A 125
18
1B
0.2W A 125
18
1B
0.2W A 125
1B
18
0.2W A 125
1B
1B
20
O.lW A
75
15

150
150
150
150
150

1B

~~g~ I~

Thyristors, see Table on Page 2-66
G P
G P
S
S
S
S
S

N
N
N
P
P

S
S

N
N
P
P
N,P
N
P
N
N
N
N
N
N
N
P

G
G
G
S
S
S
S
S
S
S
S
S

G

2N929
2N929
2N929

2N929
2N929
2N929

2N547B
2N547B
2N964

2N5471
2N5471
2N960

2N2696

Matched Pair;
2N2BOO
MPS2711
MPS2712
MPS2713
MPS2714
MPS2715
MPS2716

2N2BOO
2N2710
MPS2711
MPS2711
MPS2713
MPS2713

SP
SP
SH
SH
SH
S
S
SP
SP
SH
A
2N2430
AH
A
SH
A
A
A
A
A
A
SH

2-33

22
90
225
90
225
90
225

O.lB

0.4
0.25

25
25

BO

E

30

E

30

E
E
E
E
E
E

B.OM
10M

BO
0.3
0.3

50M
50M

0.35

10M

30
BO
30
80

•

2N2718-2N2804
MAXIMUM RATINGS

~

:$ ~

TYPE

ffi a;

!;;: ::3
Q
:E

•

2N2718
2N2719
2N2720
2N2721
2N2722
2N2723
2N2724
2N2725
2N2726
2N2727
2N2728
2N2 72 9
2N2730
2N2731
2N2732
2N2733
2N2734
2N2735
2N2736
2N2 73 7
2N2738
2N2739
2N2740
2N2741
2N2742
2N2743
2N2744
2N2745
2N2746
2N2 74 7
2N2748
2N2749
2N2750
2N2751
2N2752
2N2753
2N2754
2N2755
2N2756
2N2757
2N2758
2N2759
2N2760
2N2761
2N2762
2N2763
2N2764
2N2765
2N2766
2NZ 76 7
2N2768
2N2769
2N2770
2N2771
2NZ772
2N2773
2N2774
2N2775
2N2776
2N2777
2N2778
ZN2779
2N27BO
2N27Bl
2NZ782
2N27B3
2N27B4
2N2785
2N27B6
2N2786A
2N2787
2N2788
2NZ789
2N2790
2N2791
2N2792
2N2793
2N2794
2N2795
2N2796
2N2797
2N2798
2NZ799
2N2800
2N2801
2N2802
2N2803
2NZ804

G

s

S
S

S
S
S
S
S
S
G
S
G
G
G
G
G
G
G
G
G
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

...
P
N
N
N
N
N
N
N
N
N
P
N
P
P
P
P
P
P
P
P
P
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N

REPLACE·
MENT

REF.

USE

Po
@25'C

2N3440

2N2720
2N2720
2N2722
2N2723
2N2723
2N2723
2N2728

HP506
HP505
MP504
HP506
HP505
HP504
HP506
HP505
HP504
2N5885
2N5629
2N5631

MP500
MP500
MP500
MP500
MP500
MP500
MP500
MP500
MP500
2N5883
2N5629
2N5629

2N5885
2N5886

2N5883
2N5883

2N5685
2N5686

2N5685
2N5685

~

...
'0

'"A

SH
SH
AM
AM
AM
AL
AL
AL
AHP
AHP
SHP
AH

240M
300M
0.3W
0.3W
0.3W
0.5W
0.5W
0.5W
LOW
LOW
l70W
300M

SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP

170W
l70W
170H
140W
1401,
140W
140W
140H
140H
200H
200H
200H
200H
200H
200W
200W
2001,
200H
200W
200W
200W
200W
200W
200W

C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C

200W
200W
200W
200W
200W
200W
200W
200W
2001,
20m,
200H
200W
200W
ZOOW
ZOOW
200W
200W
200W
200W
200W
200W
ZOOW
200W
200W

C
C

SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP'
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP

A
A
A
A
A
A
A
A
A
C
A

C
C

C

C
C
C
C
C
C
C

c
C
C

VCB

VCE _

'C

(volts)

(volts)

100
175
200
200
200
200
200
200
200
200
110
200

20
25
80
80
45
80
80
45
200
200
15
30

12
8.0
60
60
45
60
60
45
200
200
5.0
15

110
110
110
110
110
110
110
110
110
175
175
175
175
175
175
175
175
175
175
175
175
175
175
175

80
60
40
80
60
40
80
60
40
50
100
150
200
250
300
50
100
150
200
250
300
50
100
150

60
45
30
60
45
30
60
45
30
50
100
150
200
250
300
50
100
150
200
250
300
50
100
150

175
175
175
175
175
175
175
175
175
175
175
175

200
250
300
50
100
150
200
250
300
50
100
150

200
250
300
50
100
150
200
250
300
50
100
150
200
250
300
50
100
150
200
250
300
50
100
150
ZOO
250
300
30
40
40
6.0
40
20
20
35
35
35
35
35
35
60

175
175
175
C 175
C 175
C 175
C 175
C 175
C 175
C 175
C 175
C 175
SP ZOOW
S N
C 175
SP 200W
S N
C 175
SP 200W
S N
C 175
AHP 200W
S N
C 175
AHP 200W
C 175
S N
S N
AHP 200W
C 175
SH
S N
300M
A 200
AL 500M
S N
A 175
2N2785
AH
L5W
C
G P
90
AH
G P
1.5W
C
90
SH
2N221B
S N 2N2218
800M
A 175
SH
S N 2N2218A 2N221B
800M
A 175
SH
S N 2N2219A 2N2218
800M
A 175
2N2218
SH
S N 2N221B
500M
A 175
SH
S N 2N2221A zlYU18
500M
A 175
SH
S N 2N2222A 2N2218
500M
A 175
G P
AHP l70W
C 110
Field-Effect Transistors, see Table on Page
75M
A 100
G P
SH
G P
A 100
75M
SH
G p
A 100
SH
75M
G P
SH
75M
A 100
G P
SH
75M
A 100
S P
2N2800
SH
0.8W
A 200
2N2800
S P
SH
0.8W
A 200
AM
S P
250M
A 200
AM
S p
250M
A 200
AP
S p
250M
A ZOO
C
C
C

ELECTRICAL CHARACTERISTICS

TJ

200
250
300
50
100
150
ZOO
250
300
50
100
150
200
250
300
75
100
100
15
60
35
35
75
75
75
75
75
75
75
2-78
25
20
40
60
30
50
50
25
25
25

15
12
20
25
15
35
35
20
20
20

2-34

%

~
0
0
0
0
0
0
0
0
R
R

0
0

a
0
0
0

a
0
0
0

v
V
v
V

v
v
v
v
v
V
v
V
V

v
v
V

v
V
v
V
V
V

V

v
V
V

v
v
v
v
v
v
v
V
V

V

v
V
V
V
V

v
0
0

a
a
a
a
a
0
0

a
a
a
0
0

a
0
0

a
0
0
0

a
a
a

hFE @ Ic
(min) (max)
25
30
30
30
50
2K
7K
2K
30
75
40
20
30
30
30
30
30
30
30
30
30
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
7.5
7.5
7.5
20
2K
33
33
20
40
100
20
40
100
50
50
30
40
20
20
30
75
20
20
20

120
120
250
10K
50K
10K
90
150
130
200
120
120
120
120
120
120
120
120
120

75
75
75
20K
200
200
50
120
300
60
120
300
100

90
225
120
120
120

=

VCE/SATI@lc

'=

::>

(volts)

~

hf_

::>

~

on

170M
60M
O.lM
O.lM
1.0"
10M
10M
O.lM
0.2A
0.2A
20A
3.0M

0.27
0.40
1.0
1.0
1.0
1.0
1.0
1.0
2.0
2.0
0.1
0.4

170M
60M
10M
10M
10M
10M
10M
10M
0.2A
0.2A
50A
10M

25A
25A
25A
25A
25A
25A
25A
25A
25A
lOA
lOA
lOA
lOA
lOA
lOA
15A
15A
15A
15A
15A
15A
20A
20A
20A

0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

25A
25A
25A
25A
25A
25A
25A
25A
25A
lOA
lOA
lOA
lOA
lOA
lOA
15A
15A
15A
15A
15A
15A
20A
20A
20A

20A
20A
20A
lOA
lOA
lOA
lOA
lOA
lOA
15A
15A
15A

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
L5
1.5
L5

20A
20A
20A
lOA
lOA
lOA
lOA
70A
lOA
15A
15A
15A

15A
15A
15A
20A
ZOA
20A
20A
20A
20A
25A
25A
25A
25A
25A
25A
0.35A
0.35A
O.35A
30M
O.lA
O.lA
O.lA
150M
150M
150M
150M
150M
150M
15A

L5
L5
1.5
L5
L5
L5
1.5
L5
1.5
L5
L5
L5

15A
15A
15A
20A
20A
ZOA
20A
ZOA
20A
Z5A
25A
25A

L5
L5
L5
5.0
5.0
5.0
0.26
LO

25A
25A
25A
LOA
LOA
LOA
3.0M
15M

600

E

0.4
0.4
0.4
0.4
0.4
0.4
0.45

150M
150M
150M
150M
150M
150M
50A

15
30
80
15
30
80
20

E
E
E

0.125
0.25
0.2
0.25
0.25
0.4
0.4
0.5
0.5
0.5

50M
50M
50M
50M
50M
150M
150M
10M
lOB
10M

50M
50M
50M
SOH
50M
150M
150M
100~"

100"
100"

30
30
100
1500
5000
1500
30
75

20
20
20

E
E
E
E
E
E
E

E

E
E

E
E

E
E

E

I_

~

f

::> on

150M
200M
80M
80M
100M
100M
100M
100M
15M
15M
3. OK
600M

T
T
T
T
T
T
T
T
T
T

200K
200K
200K
200K
200K
200K
200K
200K
200K

T

75M
75M
75M
LOG
10M

E

T
T
T
T
T
T

T
T
T

T
T
T

T
T

250M
250M
250M
250M
250E
250E
2.0K

T
T
T
T
T
T
E

300M
300M
150M
120M
120M
120M
120M
60M
60M
60M

T
T
T
T
T
T
T
T

T
T

2N2805 - 2N2892
MAXIMUM RATINGS

~

TYPE

~ ~
a: iX
~ S

~

TJ

VCB

VCE _

@25"C a:

"C

(volts)

(volts)

A 200
25
A 200
25
A 200
25
P
N
A 200
30
N
A 200
30
N
A 200
30
N
A 200
30
N
A 200
24
N
A 200
24
2N5477
N 2N5477
80
J 200
2N5477
N 2N5478
J 200
80
2N5477
N
120
J 200
2N5477
2N5477
N 2N5478
120
J 200
N MJ7000 MJ7000
80
C 200
N MJ7000 MJ7000
C 200
100
N
C 200
150
N
200
C 200
MJ7000 HJ7000
N
C 200
80
N MJ7000 MJ7000
C 200
100
N
C
200
150
N
C 200
200
N MJ7000 HJ7000
C
200
80
N MJ7000 MJ7000
200H C 200
100
N
200W C 200
150
P
4.5W C
95
4.5W C
P
95
40W C 200
N
80
2N5477
N 2N5477
40W C 200
80
2N2218
N 2N2221
360M A 200
40
2N2832
P
G
85W C 110
80
2N3832
85\/ C 110
G P
120
2N2832
G P
8511 C 110
140
1611 C . 90
32
G P
2N3611
G
P 2N3612
37.5\/ C 100
55
2N2800
0.5\/ A 200
S P
50
2N2800
S P
0.5\/ A 200
50
Unijunction Transistors, see Table on Page 2-86

20
20
20
10
10
15
15
10
10
60
60
80
80
80
100
150
200
80
100
150
200
80
100
150
15
30
60
60
12
50
75
100
32
55
35
35

::e
""
2N2805
2N2806
2N2807
2N2808
2N2808A
2N2809
2N2809A
2N2810
2N2810A
2N2811
2N2812
2N2813
2N2814
2N2815
2N2816
2N2817
2N2818
2N2819
2N2820
2N2821
2N2822
2N2823
2N2824
2N2825
2N2826
2N2827
2N2828
2N2829
2N2831
2N2832
2N2833
2N2834
2N2835
2N2836
2N2837
2N2838
2N2840
2N2841
thru

2N2844
2N2845
2N2846
2N2847
2N2848
2N2849
2N2850
2N2851
2N2852
2N2853
2N2854
2N2855
2N2856
2N2857
2N2858
2N2859
2N2860
2N2861
2N2862
2N2863
2N2864
2N2865
2N2866
2N2867
2N2868
2N2869
2N2870
2N2871
2N2872
2N2873
2N2874
2N2875
2N2876
2N2877
2N2878
2N2879
2N2880
2N2881
2N2882
2N2883
2N2884
2N2885
2N2886
2N2887
2N2888
2N2889
2N2890
2N2891
2N2892

ELECTRICAL CHARACTERISTICS

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
G
G
S
S
S

C>

REPLACE·
MENT

REF.

USE

"-

Po

c

~

AM

P
p

AM
AP
AH
AH
AH
AH
AH
AH
AP
AP
AP
AP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
AP
AP
SP
SP
AH
SP
SP
SP
A
AP
SH
SH

250M
250M
250M
300M
200H
200H
200H
200M
200M
70\/
701/
70W
70\/
20011
200\/
200\/
200W
20011
200W
20011
ZOOIi
200W

Field-Effect Transistors, see Table on

S
S
S
S
S
S
S
S

N
N

N
N
N

N
N
N
s N
S N
S N
S N
S N
S N
S N
G P
S P
S P
S N
S N
S

N

S

N

S
S
G
G
S
S
G
S
S
S

N
N
P
P
P
P

S

S
S
S
S

S
S
S
S
S
S

2N5337
2N5336
2N5336
2N5335
2N5336
2N5337
2N5336
2N5334
2N5335
2N5338

2N2845
2N2845
2N2845
2N2845
2N5336
2N5336
2N5336
2N5334
2N5336
2N5336
2N5336
2N5334
2N2857
2N5334
2N5336

2N3798
2N3798
2N2219
2N2219

2N3798
2N3798
2N2218
2N2218

2N5477
2N5478
2N3252
MP2015
MP2016

2Ns477
2N5477
2N3252

p
N

P 2N6182
N
N 2N5477
N 2N5478
N 2N5477
N 2N5478
P 2N4235
P 2N4236
N 2N3553
N 2N3553
N
N 2N2219
N

2N6182
2N5477
2Ns477
2N5477
2Ns477
2N4234
2N4234
2N3375
2N3375
2N2218

:l:ir;:~:~7 seI2~:~~:

S
S

N
N

2N3507
2N5477

2N3506
2N5477

S
S
S
S
SH
SH
SH
SH
SH
SH
SH
SH
AH
SP
SP
SH
A
A
AH
AH
AH
AHP
AHP
SH
AP
AP
SC
SC
AH
AHP
AHP
AH
AHP
AHP
AHP
AHP
AP
AP
AHP
AHP
SH
A
AHP

360M
800M
360M
800M
850M
850M
850M
850M
850M
850H
850M
850M
200M
0.6W
0.6W
150M
300M
300M
800M
800M
200M
4011
4011
0.811
30\/
301/
0.411
0.4W
115M
2.011
20W
17 .5W
53W
53W
53W
53W
8.5W
8.5W
800M
800M
0.1511
800M
2511

8~~~6[

(:pPT
SP
800M
SP
30W

Pa~e

f
V)

hFE

120
120
120
120
120
120
120
120
120
60
120
60
120
50
50
50
50
50
50
50
50
40
40
40
200
200
60
60

0
R
R
0
0

40
40
40
20
20
20
20
20
20
20
40
20
40
10
10
10
10
10
10
10
10
10
10
10
75
75
20
20
25
25
25
25
30
30
30
75

120
120
140
140
300
120
120
60

0

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

60
60
60
60
100
100
100
100
60
60
60
60
30
100
120
18
25
25
60
60

30
30
20
20
80
80
80
80
40
40
40
40
15
80
100
7.0
20
20
25
25

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

30
30
40
40
100
40
40
20
40
100
40
20
30
20
20
40
30
12
30
20

A
C

25
120
120
60
60
80
60
110
35
75
60
80
80
80
100
100
60
100
40
40
40
50
100

13
80
80
40
50
50
60
110
35
40
50
60
60
60
80
80
60
100
20
20
15
40
80

0
0
0
0
0
0
0
0

C
C
A
A
A
A
C

200
175
175
200
100
100
200
200
100
175
200
200
200
200
200
200
200
200
200
200
175
200
200

20
20
40
40
50
50
15
15
40
7.5
15
5.0
20
40
20
40
20
20
20
20
30

A
A
C

200
200
200

100
100
100

80
80
80

C
C

A
A
A
A
C
C
C

C
C
C

100
100
100
100
100
90
225

VCEISAT\ @Ic
'c

::>

(volts)

~
:::>

100"
100·"
100·"
2.0M
2.0M
2.0M
2.0M
2.0M
2.0M
5.0A
5.0A
5.0A
5.0A
lOA
lOA
lOA
lOA
15A
15A
15A
15A
20A
20A
20A
100M
100M
0.5A
l.OA
10M
lOA
lOA
lOA
l.OA

0.5
0.5
0.5
0.25
0.25
0.25
0.25
0.25
0.25
0.5
0.5
0.5
0.5
l.5
l.5
l.5
l.5
l.5
l.5
l.5
l.5
l.1
l.1
l.1
l.0
l.0
0.4
0.3
0.25
0.5
0.5
0.5
0.4

10M
10M
10M
4.0M
4.0H
4.0H
4.0H
4.0M
4.0M
5.0A
5.0A
5.0A
5.0A
lOA
lOA
lOA
lOA
15A
15A
15A
15A
20A
20A
20A
500M
500M
0.5A
l.OA
10M
20A
20A
20A
l.OA

150M
150M

0.4
0.4

150M
150M

150M
150H
150M
150M
l. OA
l. OA
l.OA
l.OA
l.OA
l.OA
l.OA
l.OA
3.0M
l.OA
l.OA
40M
10·'
10*
200M
200M

0.4
0.4
0.4
0.4
0.4
0.25
0.4
0.4
l.5
0.4
0.4
0.4

150M
150M
150M
150M
l.OA
l.OA
l.OA
l. OA
5.0A
l.OA
l.OA
l. OA

0.3
0.3
0.4
0.2
0.2
l.0
1.0

l. OA
l. OA
36M
10M
10M
500M
500M

0.4
0.75
0.75
0.25
0.75
0.5

10M
LOA
LOA
0.15A
lOA
lOA

5.0
l.5
LO
0.25
0.25
0.25
0.25
0.4
0.4
0.5
0.5
0.4
L2
L2

l. OA
0.5A
2.5A
LOA
l.OA
l. OA
l.OA
0.5A
0.5A
100M
100M
10M
8.0M
LOA

0.5
0.5
0.5

l. OA
LOA
l.OA

h,_

= '-

~

V)

40
40
40
20
7.0
20
20
20
20
20
40
20
40

40

E
E
E
E
E
E
E
E
E
E
E
E
E

E

~

::>

60M
60M
60M
l.OG
l. 5G
600H
l. OG
600M
l. OG
15M
15M
15M
15M
0.6M
0.6M
0.6H
0.6M
0.6M
0.6M
0.6M
0.6M
0.6M
0.6M
0.6M
l.OM
l.OM
250M
10M
10M
0.3M
250K
120M
120M

=
~
~

T
T

T
T

T
T

T
T
T
T
T
T

T
T
T

T
T
T

T
T
T
T
T

T

T

T
T
T
T

B
B
T
T

2-78

A 200
A 200
A 200
A 200
A 200
A 200
A 200
A 200
A 200
A 200
A 200
A 200
A 200
C 200
C
200
A 100
A 200
A 200
A 200
A 200

A
C

@ Ic

(min) (max)

2-35

V

0
0
0
0
0
0

0
0
0
0

0
0
0
0
0

0
0

300
120
60
150
60
60
120
120
200
200

15

120
45
80

4.0M
0.5A
0.5A
0.15A
1.0A
l.OA
LOM
LOM
l.OM
0.35A
1. SA
2.5A
LOA
LOA
l.OA
l.OA
0.5A
0.5A
100M
100M
10M
5.0M
0.35A

30
50
30

90
150
90

l.OA
l.OA
l.OA

22

200
60
120
120
165
165

75
60
60
120
60
120
60
60

50

50
25

E

E
E

20

E

40

E

20

E

20
40
20
40
10
10

E
E

30
50
30

E

E
E
E

E
E
E

250M
250M
250M
250M
30M
30M
30M
30M
30M
30M
30M
30M
l. OG
l.OM
l.OM
250M
60M
45M
150M
150M

T

T

T
T

T
T

T
T
T
T

T

T
T
T
T

T
T
T

T
T

600M T
10M T
10M T
50M T
200K T
200K T
0.2M T
0.2M T
300M T
140M T
25M ·E
150M T
30M T
50M T
30M T
50M T
25K E
25K E
400M T
400M T
300M T
140M

T

30M
30M
30M

T
T

T

•

2N2893-2N2967
MAXIMUM RATINGS

--' >-

TYPE

•

2N2893
2N2894
2N2894A
2N2895
2N2896
2N2897
2N2898
2N2899
2N2900
2N2901
2N2902
2N2903
2N2903A
2N2904
2N2904A
2N2905
2N2905A
2N2906
2N2906A
2N2907
2N2907A
2N2908
2N2909
2N2910
2N2911

~

!::

'"

...::5

=e
""

C)

S
S
S
S
S
S
S
S
S
S
S
S

N
P
P
N
N
N
N
N
N
N
N
N

S
S
S
S
S
S
S
S
S
S
S
S
S.

N
P
P
P
P
P
P
P
P
N
N
N
N

2N2912
2N2913
2N2914
2N2915
2N2915A
2N2916
2N2916A
2N2917
2N2918
2N2919
2N2919A
2N2920

G
S
S
S
S
S
S
S
S
S
S
S

P
N
N
N
N
N
N
N
N
N
N
N

2N2920A
2N2921
2N2922
2N2923
2N2924
2N2925
2N2926
2N2927
2N2928
2N2929
2N2930
2N2931

S
S
S
S
S
S
S
S
G
G
G
S

N
N
N
N
N
N
N
P

S
S
S
S
S

N
N
N
N
N
N
N
N
N
N
P
P

2N2932
2N2933
2N2934
2N2935
2N2936
2N2937
2N2938
2N2939
2N2940
2N2941
2N2942
2N2943
2N2944
2N2944A
2N2945
2N2945A
2N2946
2N2946A
2N2947
2N2948
2N2949
2N2950
2N2951
2N2952
2N2953
2N2954
2N2955
2N2956
2N2957
2N2958
2N2959
2N2960
2N2961
2N2962
2N2963
2N2964
2N2965
2N2966
2N2967

S
S
S
S
S

G
G
S
S
S
S
S
S
S
S
S
S
S
S
G
S
G
G
G
S
S
S
S
G
G
G

G
G
S

REPLACE·
MENT
2N5478

2N5477
2N2894
MM2894A MM2894A
2N2895
2N2895
2N2895

USE
SP
S
SP

S
S
S
S

S
S

2N5430

2N5069
2N2221A
2N3409
2N3766

AP
AM

2N2903
2N2904
2N2904

AM
SH
SH
SH
SH
SH
SH
SH
SH

2N2 04
2N2904
2N2904
2N2904
2N5067
2N2218
2N3409
2N3766
2N2912
2N2913
2N2913
2N2213
2N2913
2N2913
2N2913
2N2913
2N2913

MPS6512
MPS2923
MPS2924
MPS2925
MPS2926

2N3427

se

2N5427
2N2903

~g~i8t

p
p

P
N

REf.

MPS6512
MPS2923
MPS2923
MPS2923
MPS2926
2N2696

"E
~
@25·C '1U

Po

30W
360M
360M
500M
500M
500M
500M
500M
500M
0.36W
40W
600M

SP
AM
AM
AM
A
AM
A
AM
AM
AM
A
AM

75W
300M
300M
300M
300M
300M
300M
0.3W
0.3W
0.3W
300M
0.3W

A

300M
0.2W
0.2W
0.2W
0.2W
0.2W
0.2W
800M
150M
750M
250M
50M

A
A
A
A
A
A
A
A
A

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

A
A
A
A
A

A
A

A
A
A
A
S
AH
AH
2N2929
2N3427
S
A
A
A
A
A
A
2N929
A
2N929
2N2369A SH
AHP
2N2192
AHP
2N3019
AHP
2N3498
SH
SH
se
2N2944

p

2N2944
2N2947
2N2947
2N2949
2N2949
2N2951
2N2951

AP
AP
AP
AP
AP
AP

2N1l91
2N834
2N2955
2N2955
2N2955
2N2958
2N2958
2N2218
2N2218

A
SH
SH
SH
SH
SH
SH
SH
SH
AP
AP
AP
AHP
AH
SH

120M
200M
0.15W
0.15W
0.15W
3.0W
3.0W
3.0W
3.0W
350M
350M
350M
350M
60M
300M

P
P
P

P 2N1194
N 2N834
P
P
P
N
N
N 2N2219A
N 2N2219A
P
P
P
P
P 2N3283
N 2N929

2N2944

2N3283
2N929

e
e
e
e
e
e
e
e
e
e
e

SH
AM
SP

AP

P
N
N
N
N
N
N

p

A
A
A
A
A
A
A
A
A

600M
3.0W
3.0W
3.0W
3.0W
1.8W
l.8W
1.8W
1.8W
75W
0.4W
0.3W
5.0W

50M
50M
50M
50M
300M
300M
300M
0.8W
0.8W
0.8W
150M
150M
400M
400M
400M
400M
400M
400M
25W
25W
6.0W
6.0W
3.0W
l.8W

2N930A
2N930A
2N2369A
2N2193
2N3019
2N3501

'"e

se
se
se
se
se

c

A
A

e
e

A
A
A
A
A
A
A
A
A
A
A

e

A
A

e
e
e
e
e
C

e
e
C
C

A
A
A
A
A
A

TJ

Vce

·C

(volts)

ELECTRICAL CHARACTERISTICS
Vc~_

f

hFE @ Ic

(volts) en (min) (max)

200
200
200
200
200
200
200
200
200
200
200
200

100
12
12
120
140
60
120
140
60
20
120
60

80
12
12
65
90
45
65
90
45
10
120
30

0
0
S
0
0
0
0
0
0
0
0

200
200
200
200
200
200
200
200
200
200
200
200
20P

60
60
60
60
60
60
60
60
60
80
60
45
150

30
40
60
40
60
40
60
40
60
80
40
25
125

0
0
0
0
0

110
200
200
200
200
200
200
200
200
200
200
200

15
45
45
45
45
45
45
45
45
60
60
60

6.0
45
45
45
45
45
45
45
45
60
60
60

0
0
0
0
0
0
0
0
0
0
0

200
125
125
125
125
125
125
200
100
100
100
125

60
25
25
25
25
25
18
25
15
25
30
5.0

60
25
25
25
25
25
18
25
13
10
12
5.0

0
0
0
0
0
0
0
0
0
0
0
0

125
125
125
125
175
175
200
300
300
200
100
100
175
200
175
200
175
200
175
175
175
175
175
175

5.0
5.0
45
45
60
60
25
75
120
150
50
30
15
15
25
25
40
40
60
40
60
60
60
60

5.0
5.0
30
30
55
55
13
60
80
100
25
15
10
10
20
20
35
35
60
40
60
60
60
60

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
S
S

100
200
100
100
100
200
200
200
200
100
100
100
100
100
200

30
30
40
40
40
60
60
60
60
40
40
30
30
20
12

25
20

R
0

20
20
30
30
18
18
15
15
20
6.0

2-36

0

a

0
0
0
R
0
0

a
a

S
S
S
S

0
0
0
0
0
0
0
0

0
0

50
40
40
40
60
50
40
60
50
30
30
125
125
40
40
100
100
40
40
100
100
12
40
70
20

150
150
120
200
200
120
200
200
90
625
625
120
120
300
300
120
120
300
300
60
120

~

=>

l.OA
30M
30M
150M
150M
150M
150M
150M
150M
10M
500M
1. OM

VCElsAn@lc

0.5
0.15

l.OA
10M

50

0.6
0.6
1.0
0.6
0.6
1.0
0.15
7.5
1.0

150M
150M
150M
150M
150M
150M
10M
500M
5.0M

50
50
50
50
50
50

E
E
E
E
E
E

30
150

E
E

150

E

5.0M
1.0
0.4
150M
0.4
150M
0.4
150M
150M
0.4
0.4
150M
150M
0.4
0.4
150M
0.4
150M
10
l.OA
0.25 0.15A
1.0
10M
0.3. .l. OA

60

75
60
150
60

240
600
240

lOA
10*
10*
10*

0.5
0.35
0.35
0.35

25A
1.0M
l.OM
l.OM

150

600

10'1<

0.35

l.OM

60
150
60

240
600
240

10*
10*
10*

0.35
0.35
0.35

l.OM
1.0M
1. OM

150

600

10*

0.35

1. OM

70
50
50
70
100
100
30
60
60
60
50
30
80
100
40
100
30
50
2.5
2.5
5.0
5.0
20
20
100
25
20
40
60
40
100
100
100

8.0
20

130
200
100
420

50M
2.0M
10M
10M
50M
50M
SOM
50M
50M

300
300
240
240
240

55
100
100
100
150
150
300
60
120
120
300
300
300

100
120

10'1<
10*
50M
0.15A
0.15A
0.15A
10M
10M
l.OM
l.OM
l.OM
l.OM
l.OM
1. OM
0.4A
0.4A
40M
40M
10M
10M
50M
2.0M
50M
50M
10M
150M
150M
150M
150M

3.0M
10M

I

~
::>

1. OM
150M
150M
150M
150M
150M
150M
150M
150M
l.OA
0.15A
O.lM
l. OA

30
0.8
10
60
50

hf _

(volts)

0.25

50M

0.5
0.25
0.45

50M
100M
50M

0.45
0.45
0.45
0.45
0.3
0.3
0.4
0.75
0.75

50M
SOM
50M
50M
2.0M
2.0M
50M
0.15A
0.15A

0.15
0.2

10M
10M

0.5
0.5
0.5
0.5
0.5
0.5

l.OA
LOA
0.4A
0.4A
0.15A
0.15A

0.2
0.18
0.15
0.5
0.5
0.5
0.5

10M
10M
10M
150M
150M
150M
150M

10

E

50

E

240

E

600

E

240

E

600
35
55
90
150
235
35
25
0.10
10

E
E
E
E
E
E
E
E

3.0M

E

30

E

70
45
30
70
150
150

E
E
E
E
E
E

200
25

0.3

E

E
E

L

~

~

1i

30M
400M
800M
120M
120M
120M
120M
120M
120M
300M
2.0M
60M

T
T
T
T
T
T
T
T
T
T
T
T

60M
200M
200M
200M
200M
200M
200M
200M
200M
l,OM
50M
11M
l.OM

t

20M
60M
60M
60M
60M
60M
60M
60M
60M
60M
60M
60M

T
T
T
T
T

::>

O!

T

T
T
T

T

T
T
T
E
T
T
T

T
T
T
T
T

60M

T
T
T

100M
400M
800M
4.0M
20M

T
T
T
B
T

20M
20M
20M
20M
30M
30M
500M
150M
150M
150M
150M
120M
10M
15M
5.0M
10M
3.0M
5.0M
100M
100M
100M
100M
200M
200M
300M
200M
250M
300M
250M
250M
250M
250M
660M
660M
660M
660M
500M
400M

T
T

T
T
T

T
T
T

T
T
T

T
T
T

T
T
T
T
T
T
T
T
T
T
T

T
T
T

T
T

T
T

T
T
T
T
T
T

2N2968-2N3064

~

REPLACE·
MENT

2N296S
2N2969
2N2970
2N2971
2N2972
2N2973
2N2974
2N2975
2N2976
2N2977
2N297S
2N2979

S
S
S
S
S
S
S
S
S
S
S
S

P
P
P
P
N
N
N
N
N
N
N
N

2N3250
2N3250
2N3250
2N3250

2N29S0
2N29S1
2N29S2
2N29B3
2N29B4
2N29S5
2N29S6
2N29S7
2N29BS
2N29S9
2N2990
2N2991

S
S
S
S

N
N
N
N
N
N
N
N
N
N
N
N

2N2060A
2N2223
2N2223A
2N5335
2N56S2
2N533S

2N2060
2N2060
2N2060
2N5334
2N56S1
2N5336

2N5335
2N56B1
2N5337
2N5339
2N5447

2N5334
2N56B1
2N5336
2N5336
2N5447

2N5479
2N547B
2N54BO

2N5477
2N5477
2N5477

G

N
N
N
N
P
P

G
G

P

2N32S3

2N32B3

>-

REF.

USE

::E "-

2N2992
2N2993
2N2994
2N2995
2N2996
2N2997
2N299S
2N2999
2N3001

thru
2N300S
2N3009

s

S
S
S
S
S
S
S
S
S
S
S
G

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

!i!
::s
co

TYPE

2N3250
2N3250
2N3250
2N3250
2N2913
2N2913
2N2913
2N2913
2N2913
2N2913
2N2913
2N2913

p

S
S
S
S

AM
AM
AM
AM
AM
AM
AM

AM
AM
AM
AM
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AHP
AH
AH
AH
AH

Po

:5 TJ
~

YCB

YCE-

@25"C

~

"C

150M
150M
150M
150M
0.25W
0.25W
0.25W
0.25W
250M
250M
250M
0.25W

A
A
A
A
A
A
A
A
A
A
A
A

140
140
140
140
200
200
200
200
200
200
200
200

30
30
30
30
45
45
45
45
45
45
60
60

10
10
20
20
45
45
45
45
45
45
60
60

0.25W
0.25W
0.25W
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
2.0W

A
A
A
A
A
A
A
A
A
A
A
A

200
200
200
175
175
175
175
200
200
200
200
200

100
100
100
155
ISS
155
ISS
95
155
95
155
95

2.0W
2.0W
2.0W
l.5W
75M
75M
75M
75M

A
A
A
A
A
A
A
A

200
200
200
175
100
100
100
100

360M

A

300M
360M
360M
360M
BOOM
3.33W
3.33W
25W
O.BW
O.SW
25W

A
A
A
A
A

25W
25W
2SW
25W
25W

(volts) (volts)

i

hFE @ Ic
(min) (max)

0
0
0
0
0
0
0
0
0
0
0
0

15
15
10
10
60
150
60
150
60
150
60
150

240
600
240
600
240
600
240
600

60
60
60
SO
120
SO
120
BO
100
BO
100
BO

0
0
0
0
0
0
0
0
0
0
0
0

25
50
50
20
20
40
40
25
25
60
60
25

155
95
155
120
15
30
15
15

100
BO
100
100
10
15
12
10

0
0
0
0
0
0
0
0

200

40

15

C

200
200
200
200
200
150
150
150
200
200
175

15
30
40
40
60
100
100
100
140
140
30

C
C
C
C
C

175
175
175
175
175

300M
300M
300M
BOOM
360M
360M
360M
360M
250M
250M
250M
250M
250M
250M
250M
250M

A
A
A

250M
250M
5.0W
5.0W
25W
75W
115W
0.4W
0.4W
0.4W
0.4W
400M
400M
400M
400M
400M
400M
400M

A
A

J!l
'2

=

YCEISAll@lc
(volts)

~
=

hl_

:!- '~
'" S.OM=

!

'r~
T
T
T
T
T
T
T
T
T
T
T
T

10*

0.6
0.6
O.S
O.S
0.35
0.35
0.35
0.35
0.35
0.35
0.35
0.35

10M
10M
10M
10M
l.OM
l.OM
l.OM
l.OM
l.OM
l.OM
l.OM
l.OM

75
200
200
60
60
120
120
75
75
120
120
75

10*
10M
10M
SOOM
SOOM
500M
SOOM
200M
200M
200M
200M
200M

l.2
l.2
1.2
0.6
O.S
O.S
O.B
O.S
O.B
O.B
O.S
O.S

50M
50M
50M
1.0A
200M
200M
200M
200M
200M
200M
200M
200M

56
40
40
20
20
40
40
25
25
50
50
25

E
E
E
E
E
E
E
E
E
E
E
E

20
60
60
25
25
40
15
10

120
120
90
500
500
300

l.OM
200M
200M
0.2A
4.0M
4.0M
3.0M
3.0M

O.S
O.B
O.S
l.7

200M
200M
200M
0.2A

25
50
50
30
35
50
20
15

E
E
E
E
E
E
E
E

0

30

120

30M

O.lS

30M

350M

T

6.0
12
15
20
30
50
50
50
BO
SO
30

0
0
0
0
0
0
0
0
0
0
0

25
30
30
30
30
60
60
60
100
40
20

125
120
120
120
120
150
150
150
300
120
60

10M
10M
30M
30M
150M
l.OA
l.OA
l.OA
0.15A
0.15A
l.OA

0.25
0.2
O.lS
O.lS
0.4
0.75
0.75
0.75
0.2
0.2
l.5

10M
10M
30M
10M
150M
l.OA
5.0A
5.0A
0.15A
0.15A
3.0A

600M
400M
350M
350M
250M
200M
200M
200M
100M
SOM
60M

T
T
T
T
T
T
T
T
T
T
T

45
60
30
45
60

45
60
30
45
60

0
0
0
0
0

20
20
50
50
50

60
60
ISO
ISO
ISO

l.OA
l.OA
l.OA
l.OA
l.OA

l.5
l.5
l.0
1.0
l.0

3.0A
3.0A
3.0A
3.0A
3.0A

60M
60M
60M
60M
60M

T
T
T
T
T

175
175
175
200
175
175
175
175
200
200
200
200
200
200
200
200

100
70
50
120
120
100
50
40
45
45
45
45
45
45
25
25

100
70
50
SO
70
60
35
30
45
45
45
45
45
45
20
20

R
R
R

0
0
0
0
0
0
0
0
0
0
0
0
0

50
40
SO
20
40
100
100
100
50
50
50
20
20

150
120
240
SO
160
300
300
300
200
200
200
120
120

150M
150M
150M
150M
150M
10*
10*
10*
10*
10*
10*
10*
10*

l.0
l.0
1.0
0.25
0.2
0.2

100M
100M
100M
150M
10M
10M

0.2
l.0
l.0
1.0
1.0
l.0
l.0
0.2
0.2

10M
10M
10M
10M
10M
10M
10M
10M
10M

50M
50M
50M
50M
50M
30M
30M
30M
30M
30M
30M
60M
60M

175
175
200
200
200

25
35
60
SO
90
90
100
100
140
100
140
6.0
10
70
70
90
90
110

20
15
40
60
60
60
70
60
SO
60
SO
6.0
10
60
60
BO
SO
100

0
0
0
0

20
25
50
50
25
25
20
40
40
100
100
40
100
30
60
20
50
15

120
130
250
250
100
100
70
120
120
300
300
120
300
90
1BO
SO
150
45

10*
10M
0.15A
150M
0.5A
0.5A
4.0A
0.15A
0.15A
0.15A
0.15A
lOON
10*
l.OM
l.OM
l.OM
l.OM
1.0M

0.2
0.25
l.4
0.3
1.0
1.0
l.1
0.25
0.2
0.25
0.2

10M
10M
0.15A
150M
0.5A
0.5A
4.0A
0.15A
0.15A
0.15A
0.15A

T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T

100*
100*
100"
100*
10*
10"
10*
10*
10*
10*
10"l~

S.OM
4.0M
4.0M
60M
60M
60M
60M
60M
60M
60M
60M
60M
50M
50M
60M
60M
60M
60M
30M
30M
30M
30M
30M

T
T
T
T
T
.T

T
T
T
T
T
T
30M T
30M T
30M T
10M T
400M T
400M T
600M T
l.4G T

Thyristors J see Table on Page 2-66
S

N

2N3009

SH

2N301O
2N3011
2N3013
2N3014
2N3015
2N3016
2N3017
2N301B
2N3019
2N3020
2N3021

S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N
P

2N301O
2N3011
2N3009
2N3009
2N3015

2N3019
2N3019
2N3021

SH
SH
SH
SH
SH
AHP
AHP
AHP
AH
AH
SHP

2N3022
2N3023
2N3024
2N3025
2N3026
2N3027
thru
2N3032
2N3033
2N3034
2N3035
2N3036
2N3037
2N303S
2N3039
2N3040
2N3043
2N3044
2N3045
2N3046
2N3047
2N304S
2N3049
2N3050

S
S
S
S
S

P
P
P
P
P

2N3021
2N3021
2N3021
2N3021
2N3021

SHP
SHP
SHP
SHP
SHP

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
P
P
N
N
N
N
N
N
P
P

2N3051
2N3052
2N3053
2N3053A
2N3054
2N3054A
2N3055
2N3056
2N3056A
2N3057
2N3057A
2N305B
2N3059
2N3060
2N3061
2N3062
2N3063
2N3064

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

P
N
N
N
N
N
N
N
N
N
N
P
P
P
P
P
P
P

C
C
C
A
A

SO
30

E
E

Thyris tors, see Table on Page 2-66
AL
AL
AL
2N3036

2N3036

A
A
A

A
2N3043
2N3043
2N3043
2N3043
2N3043
2N3043
MD3250AF
MD3250AF
MD3250AF
2N3053
2N3054A
2N3054A

2N3054
2N3055

A
AM
AM
A

AM
AM
A
AM

AM
A
SH
SH
SP
AP
AP
AP
AH
AH
AH
AH
A

A
A
A
A
A
A

A
A
A
A
A
A
A
A
A
A
A
A
A

C
C
C
C
C
A
A
A
A
A

A
A
A
A
A
A

200
200
200
200
200
200
200
200
200
200
200
200

2-37

R
R
R
0

0
0
0
0
0
0
0
0
0
0

40
30
60
20
40
130
130
130
65
65
65
30
30

E
E
E

E
E
E
E
E
E
E
E
E
E

30

E

25
25
25
15
30
30
SO
SO
40
100
30
60
20
50
15

E
E
E
E
E
E
E
E
E
E
E
E
E
E
E

60M
200M
100M
100M
30K
30K
20K
BOM
SOM
100M
100M

E
E
E

T
T
T
T

•

2N3065-2N3173

....

TYPE

~

~ <2
It! ::3
co

"'"

MAXIMUM RATINGS
REPLACE·
MENT

REF.

USE

:E a..

•

2N3065
2N3066,A
thru
2N3071
2N3072
2N3073
2N3074
2N3075
2N3076
2N3077
2N3078
2N3079
2N3080
2N3081
2N3082
2N3083
2N3084
thru
2N3089,A
2N3091
thru

2N3106
2N3107
2N3108
2N3109
2N3110
2N3112
2N3113
2N3114
2N3115
2N3116
2N3117
2N3118
2N3119
2N3120
2N3121
2N3122
2N3123
2N3124
2N3125
2N3126
2N3127
2N3128
2N3129
2N3130
2N3131
2N3132
2N3133
2N3134
2N3135
2N3136
2N3137
2N3138
2N3139
2N3140
2N3141
2N3142
2N3143
2N3144
2N3145
2N3146
2N3147
2N3148
2N3149
2N3150
2N3151
2N3152
2N3153
2N3154
2N3155
2N3156
2N3157
2N3158
2N3159
2N3160
2N3161
2N3162
2N3163
2N3164
2N3165
2N3166
2N3167
2N3168
2N3169
2N3170
2N3171
2N3172
2N3173

S

A

P

ELECTRICAL CHARACTERISTICS

:s

TJ

VCB

@2S·C

~

·C

(volts)

(volts)

~

400M

A

200

110

100

0

30

90

l.OM

60
60
25
25
50
60
60
200
300
50
7.0
7.0

0
0
S
S
0
0
0
0
0
0
0
0

30
30
25
20
30
100
40
7.0
7.0
20
100
100

130
130
300
250
90
400
120
40
40

50M
50M
3.5M
3.0M
7.0A

60
60
40
40

0
0
0
0

100
40
100
40

300
120
300
120

0.15A
150M
0.15A
150M

l.0
0.25
l.0
0.25

l.OA
150M
l.OA
150M

60

E

60

E

150
20
20
60
60
80
45
45

0
0

30
40
100
250
50
50
30
30

120
120
300
500
275
200
130
130

30M
0.15A
0.15A
10"
25M
100M
50M
SOM

l.0
0.5
0.5
0.35

50M
o.15A
0.15A
l.OM

25

E

400

E

0.5
0.25
0.25

100M
50M
50M

25
25

E

30
30
30
80
75
20
20
45
60
15
70
35
35
35
35
20
65
140
65
140
65
140
65
140
140
160
6.0
80
100
150
120
15
25
40
55
65

0
0
S
S
S
0
0
0
0
0
S
0
0

25
100
50
30
10
20
50
100
60
30
40
40
100
40
100

100
300
100
75
30
75
150
300
180
120
200
120
300
120
300

300M
0.15A
lOA
3.0A
lOA
3.0M
O.lM
10N
10N
10M
2.0A
0.15A
0.15A
0.15A
0.15A

l.5
0.4
0.5
1.5
1.0
0.3
0.25
0.25
0.25
0.25
l.5
0.6
0.6
0.6
0.6
0.3

300M
O.lSA
lOA
3.0A
lOA
5.0M
l.OM
l.OM
l. OM
10M
5.0A
0.15A
0.15A
0.15A
0.15A
50M

0.4
0.4
0.2
l.5
l.5
l.5

5.0A
5.0A
50M
50A
SOA
50A

PD

If:.

VCE _

.~

hFE

@ Ic

(min) (max)

~
·c

VCEISATI

=> (volts)

@Ic

~
=>

hf _

'§=

il

30

E

'-

-:;.

.~

~
=>

il

Field-Effect Trans is tors, see Table on Page 2-78

S
S
G
G

S
S
S
S
S
S
S
S

P
P
P
P
N
N
N
N
N
P
N
N

2N3072
2N3072
MJ7000
2N5241
2N5241
2N2193

MJ7000
2N5241
2N5241
2N2192

S
S
AH
AH
SHP
A
A
SP
SP
SH

se
se

800M
360M
0.14W
0.14W
125W
0.36W
0.36W
178W
178W
600M
0.5W
0.5W

A
A
A
A

e

A
A

e
e

A
A
A

200
200
85
85
175
200
200
150
150
200
200
200

60
60
30
35
140
80
80
200
300
70
25
25

lO~'<

10"
5.0A
5.0A
500M
0.25M
0.25M

0.25
0.25

50M
50M

25
25

E
E

130M
130M

T
T

l.0
0.35
0.35
0.7
0.7
0.3

lOA
l.OM
l.OM

60
120
50

E
E

50M
15M
15M
30K
30K
150M
100M
100M

T
T
T

T

70M
60M
70M
60M

T
T
T
T

40M
250M
250M
60M
250M
250M
130M
130M

T
T
T
T
T

T
T
E
E

E

E

S.OA

5.0A
150M

E

E
T
T

Field-Effect Transistors, see Table on Page 2-78
Thyris tors, see Table on Page 2-66

j
~j~j
j
j ~
~ I ~ I 2N2193 I 2N2193 I ~ I

100 j
100
200
80
0.8W A
A 200
800M
80
Field-Effect Transistors, see Table on Page 2-78
S N
2N3114 AH
800M A 200
150
S N
60
2N2958 SH
0.4W A 200
60
S N
0.4W A 200
2N2958 SH
S N 2N930A
2N929
A
360M A 200
60
S N
l.OW A 200
AH
85
S N 2N3501
l.OW A 200
100
2N3498 SH
S P
S
800M A 200
45
360M A 200
S P
S
45
S N 2N2219A 2N2218 A
800M A 200
50
S N 2N2219A 2N2218 SH
60
0.8W A 175
2N3124 AP
G P
90W e 100
40
2N3124 AP
G P
90W e 100
80
2N3124 AP
G P
90W e 100
100
G P
2N3127 AH
O.lW A 100
30
A
S N
20
0.15W A 150
S N
A
0.15W A 150
45
A
S N
0.15W A 150
60
S N
SH
0.15W A 150
40
G P
90W e 100
100
2N3132 SP
2N3133 SH
S P
0.6W A 200
50
2N3133 SH
S P
0.6W A 200
SO
2N3133 SH
S P
0.4W A 200
50
2N3133 SH
S P
0.4W A 200
50
AH
S N
600M A 200
40
S N 2N5477
2N5477 AHP
20W e 200
65
AHP
S N
20W e 200
140
2N5477 AHP
20W e 200
S N 2N5477
65
AHP
S N
20W e 200
140
S N 2N5477
25W e 200
65
2N5477 AHP
AHP
25W e 200
S N
140
2N5477 AHP
25W e 200
S N 2N5477
65
AHP
S N
25W e 200
140
G P 2N3616
150W e 100
150
2N3615 AP
G P 2N3616
150W e 100
180
2N3615 AP
G P
0.45M A 100
11
S
S N
300W e 200
SP
80
S N
300W e 200
100
SP
S N
300W e 200
150
SP
S N
25M e 200
120
SH
S N
0.3W A 200
15
se
G P
37.5W e 100
40
SP
G P
60
37.5W e 100
SP
G P
37.5W e 100
80
SP
G P
37.5W e 100
100
SP
G
G
G
G

S
S
S
S
S
S
S
S
S
S
S
S

P
P
P
P
N
P
P
P
P
P
P
P
P
P
P
P

2N3411

2N4901
2N4902
2N4903
2N6226

2N3409
2N4182
2N4182
2N4182
2N4184
2N4901
2N4901
2N4901

SP
SP
SP
SP
AN
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP

0.8wjAj200j
800M A 200

I

I 1 I

37.5W
37.5W
37.5W
37.5W
300M
85W
85W
85W
85W
85W
85W
85W
85W
75W
75W
75W

e
e
e
e
A
e
e
e
e
e
e
e
e
e
e
e

100
100
100
100
200
200
200
200
200
200
200
200
200
200
200
200

40
60
80
100
45
40
60
80
100
40
60
80
100
40
60
80

25
40
55
65
25
40
60
80
100
40
60
80
100
40
60
80

2-38

0

0
0
0
0
0

0

0
0
0
0
0
0
0
0
0
0
V
V

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

0
0
0
0
0
0
0
0

0

E

60
60
60
60

180
180
180
180

0.5A
0.5A
0.5A
0.5A

l.1
l.1
1.1
l.1

3.0A
3.0A
3.0A
3.0A

60M
400M
2.5K
5.0K
6.0K
400M
60M
60M
60M
250M
3.0K
200M
200M
200M
200M
500M
LOOM
100M
100M
100M
100M
100M
100M
100M
200K
200K
25M
O.lM
O.lM
O.lM
200M
30M
15K
15K
15K
15K

30
30
30
30
50
12
12
12
12
12
12
12
12
12
12
12

75
75
75
75
200
36
36
36
36
36
36
36
36
36
36
36

O.SA
0.5A
0.5A
O.SA
10M
l. OA
1.0A
1.0A
l.OA
l.OA
l.OA
l.OA
l.OA
l.OA
l.OA
1.0A

1.4
l.4
l.4
1.4
0.5
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75

3.0A
3.0A
3.0A
3.0A
10M
1.0A
l.OA
l.OA
l.OA
l.OA
l.OA
l.OA
l.OA
l.OA
1.0A
l. OA

10K
10K
10K
10K
300M
l.OM
l.OM
l.OM
l.OM
l.OM
l.OM
l.OM
l.OM
l.OM
l.OM
1. OM

10
10
10
10
10
10
10
10
30
30
70
10
10
10
40

90
90

l.OA
l.OA
l.OA
l.OA
l.OA
l.OA
l.OA
l.OA
5.0A
5.0A
20M
50A
50A
50A
30M

20
10
10
20
75
160
110

E
E
E
E
E

E
E

20
20
80

E

20

E

10
10
10
10
10
10
10
10
10
10
10

E
E

E
E
E
E

E
E

E
E
E
E
E

T

T
T

E

T
T
T
T

T
E

T
T
T
T
T
T
T
T
T
T
T
T
T

T
T
T
T
T
T
T
T
E

E
E
E
E

E
E
T
T
T
T
T

T
T
T
T

T
T
T

2N3174-2N3261
MAXIMUM RATINGS

>~ !::

TYPE

co

REPLACE·
=
::3

~
::E

""

...

2N3174
2N317s
2N3176
2N3177
2N3178
2N3179
2N3180
2N3181
2N3182
2N3183
2N3184
2N318s
2N3186
2N3187
2N3188
2N3189
2N3190
2N3191
2N3192
2N3193
2N3194
2N319s
2N3196
2N3197

S
S
S
8
8
8
5
5
5
5
5
8
5
S
S
S
5
5
5
5
5
5
5
5

P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P

2N3198
2N3199
2N3200
2N3201
2N3202
2N3203
2N3204
2N320s
2N3206
2N3207
2N3208
2N3209

5
5
5
5
5
S
S
5
5
5
5
5

P
P
P
P
P
P
P
P
P
P
P
P

2N3210
2N3211
2N3212
2N3213
2N3214
2N3215
2N3216
2N3217
2N3218
2N3219
2N3220
2N3221
2N3222
2N3223
2N3224
2N3225
2N3226
2N3227
2N3228
2N3229
2N3230
2N3231
2N3232
2N3233

5 N
5 N
G P
G P
G P
G P
G P
S P
S P
5 P
S N
5 N
5 N
5 N
S P
S P
5 N
5 N
5
5
5
5
5

N
N
N
N
N

2N3234
2N3235
2N3236
2N3237
2N3238
2N3239
2N3240
2N3241
2N3241A
2N3242
2N3242A
2N3244
2N3245
2N3246
2N3247
2N3248
2N3249
2N3250
2N3250A
2N3251
2N3251A
2N3252
2N3253
2N3254

5
5
5
5
S
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
8
5
5

N
N
N
N
N
N
N
N
N
N
N
P
P
N
N
P
P
P
P
P
P
N
N

thru
2N3259
2N3260
2N3261

ELECTRICAL CHARACTERISTICS

REF.

MENT

C>

2N6182
2N6182
2N6182
2N6l84
2N4901
2N4902
2N4903
2N6226
2N3l83
2N3184
2N318s
2N6226
2N6l82
2N6l82
2N6182
2N6184
2N4901
2N4902
2N4903
2N6226
2N3l9s
2N3196
2N3197
2N3198
2N6l92
2N6192
2N6l92
2N3202
2N3203
2N3204
2N6l82
2N6l82
2N3207
2N3208

2N6182
2N6182
2N6182
2N6182
2N4901
2N4901
2N4901

2N6l82
2N6l82
2N6l82
2N6l82
2N4901
2N490l
2N4901
2N6226

2N6190
2N6l90
2N6l90

2N6182
2N6l82
2N3209
2N3210
2N3211

2N2944
2N2945
2N2945
2N5477
2N5477
2N5477
2N5479
2N3498
2N3498
2N5873

2N2944
1N2944
2N2944
2N5477
2N5477
2Ns477
2Ns477
2N3498
2N3498
2Ns871
2N2369

USE

PD

:5
~

TJ

Vce

VCE _

i

hFE @ Ic

VCE/sAl]@lc

!

L

-:;.

~

)

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

12
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10

36
30
30
30
30
30
30
30
30
30
30
30
30
30
30
30
30
30
30
30
30
30
30
30

LOA
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A

0.75
l.0
1.0
l.0
l.0
l.0
1.0
1.0
1.0
1.0
1.0
l.0
1.0
0.9
0.9
0.9
0.9
0.9
0.9
0.9
0.9
0.9
0.9
0.9

l.OA
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A

10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10

E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E

l.OM
l.OM
l.OM
1.0M
l.OM
1. OM
1. OM
1. OM
1. OM
1. OM
1. OM
1. OM
1. OM
1. OM
1. OM
1. OM
1. OM
LOM
LOM
LOM
LOM
1.0M
LOM
LOM

T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T

0
0
0
0
0
0
0
0
0
0
0
0

10
20
20
20
20
20
20
20
20
20
20
30

30
60
60
60
60
60
60
60
60
60
60
120

3.0A
LOA
LOA
LOA
LOA
LOA
l.OA
O.sA
O.sA
O.sA
0.5A
30M

.0.9
0.3
0.3
0.3
0.3
0.3
0.3
0.4
0.4
0.4
0.4
0.2

3.0A
LOA
LOA
l.OA
l.OA
l.OA
LOA
O.sA
O.sA
O.SA
O.sA
30M

10
10
10
10
10
10
10
10
10
10
10

E
E
E
E
E
E
E
E
E
E
E

LOM
1. OM
1.0M
l.OM
l.OM
1. OM
1. OM
l.OM
1.0M
l.OM
LOM
400M

T
T
T
T
T
T
T
T
T
T
T
T

15
15
80
60
40
30
10
10
20
35
80
80
60
60
100
100
35
20

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

30
50
30
30
30
25
60

120
150
90
90
90
100

10M
10M
3.0A
3.0A
3.0A
3.0A
200M

0.75
0.2
0.5
0.5
0.5
0.5
0.22

0.2A
10M
s.OA
5.0A
5.0A
5.0A
200M

300M
350M

T
T

3.0
3.0
3.0
3.0

E
E
E
E

20
40
20
40
20
40
20
100

60
120
60
120
60
120
50
300

l.OA
LOA
LOA
1.0A
sOM
50M
2.0A
10M

l.25
l.25
1.25
1.25

LOA
LOA
1.0A
1.0A

1.2
0.25

2.7A
10M

10M
l.OM
l.OM
l.OM
10M
10M
10M
10M
60M
80M
30K
500M

T
T
T
T
T
T
T
T
T
T
E
T

105
80
100
80
110

60
60
80
60
100

0
0
0
0
0

5.0
2K
2K
18
18

20K
20K
55
55

2.5A
2.0A
2.0A
3.0A
3.0A

1.0
1.4
1.4
2.5
2.5

2.5A
2.0A
2.0A
3.0A
3.0A

150M
40M
40M
1. OM
LOM

T
T
T
E
E

200
200
200
200
200
200
200
175
175
175
175
200
200
200
150
200
200
200
200
200
200
200
200

160
65
90
90
80
80
160
30
30
30
40
40
50
60
60
15
15
50
60
50
60
60
75

160
55
90
75
80
80
160
25
25
25
40
40
50
45
45
12
12
40
60
40
60
30
40

0
0
0
0
0
0
0
a
0
0
0
0
a
a
a
0
0
0
0
0
0
a
a

18
20
17
12
8.5
8.5
8.5
50

55
70
60
36
25
25
25
300

3.0A
4.0A
5.0A
lOA
lOA
lOA
lOA
10M

2.5
1.1
1.1
2.0
3.0
1.0
LO

3.0A
4.0A
5.0A
lOA
lOA
lOA
lOA

50
30
200
200
50
100
50
50
100
100
30
25

150
90
600
600
150
300
150
150
300
300
90
75

0.5A
0.5A
10*
10*
O.lM
O.lM
10M
10M
10M
10M
0.5A
375M

0.3
0.35
0.5
0.5
0.125
0.125
0.25
0.25
0.25
0.25
0.3
0.35

0.15A
0.15A
5.0M
S.OM
10M
10M
10M
10M
10M
10M
0.15A
0.15A

1. OM
1. OM
1. OM
1. OM
LOM
LOM
LOM
5aM
100M
50M
100M
175M
150M
60M
60M
250M
300M
250M
250M
300M
300M
200M
175M

E
E
E
E
E
E
E
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T

200
175

200
40

200
15

0
0

10
40

40
150

20A
10M

1.5
0.35

20A
O.lA

0.6M
600M

T
T

@2S"C

~

"C

AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
5

7SW
8SW
8SW
8SW
8SW
8SW
8SW
8SW
8SW
7SW
7SW
7SW
7SW
8SW
8SW
8SW
8SW
8SW
8SW
8SW
8SW
7SW
7SW
7SW
7SW
40W
40W
40W
8.8W
8.8W
8.8W
40W
40W
40W
8.8W
0.36W

e
e
C
C
e
e
e
e
e
C
e
e
e
e
C
e
e
e
e
e
e
e
e
e

200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200

100
40
60
80
100
40
60
80
100
40
60
80
100
40
60
80
100
40
60
80
100
40
60
80

e
e
e
C
e
e
e
e
e
e
e
A

200
200
200
200
200
200
200
200
200
200
200
200

100
40
60
80
40
60
80
40
60
100
40
20

100
40
60
80
100
40
60
80
100
40
60
80
100
40
60
80
100
40
60
80
100
40
60
80
100
40
60
80
40
60
80
40
60
100
40
20

5H
5H
AP
AP
AP
AP
S
5e
5e
5e
AHP
AHP
AHP
AHP
AH
AH
AP
5H

0.36W
0.36W
14W
14W
14W
14W
150M
400M
400M
400M
6.0W
6.0W
6.0W
6.0W
0.7W
0.7W
75W
0.36W

A
A
e
e
e
e
A
A
A
A
e
e
e
e
A
A
e
A

200
200
110
110
110
110
100
200
200
200
175
175
175
175
200
200
200
200

40
40
100
80
60
40
20
15
25
40
100
100
80
80
100
100
35
40

AHp· 17.SW
25W
5HP
25W
5HP
117W
AHP
117W
AHP

e
e
e
e
C

200
200
200
200
200

117W
117W
15 OW
200W
150W
150W
150W
0.5W
50aM
O.SW
500M
1. OW
LOW
0.35W
0.15W
0.36W
0.36W
0.36W
0.36W
0.36W
0.36W
LOW
LOW

e
e
e
e
e
e
e
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

Ie

(volts) (volts)

(min) (max)

~ (volts)

'"

~

'"

h,_

ill

20
40
20
40
20
40
20

E
E
E
E
E
E
E

'"

Thyristors, see Table on Page 2-66

2N3232
2N3233
2N5760
2N3235
2N3236
2N3237
2N3239
2N5882
2N5631
2N2219

2N930A
2N930A

2N3232

2N5879
2N5629
2N2218

2N3244
2N3244
2N929
2N929
2N3248
2N3248
2N3250
2N3250
2N3250
2N3250
2N3252
2N3252

AHP
AHP
AHP
AHP
AHP
AHP
AHP
A
A
A
A
5H
5H
8H
5H
5H
5H
5H
5H
5H
5H
5H
5H

75

10M

10

10
10

E
E

10
10
10
10
10
10
70
175
100
200

E
E
E
E
E
E
E
E
E
E
E

200
200

E
E

50
50
100
100

E
E
E
E

Thyristors, see Table on Page 2-66

~ I~ I

I

I I
5P
5H

200W
0.3W

A

2-39

•

2N3262-2N3371
~

:s

TYPE

>!::

~ ...c'"S

MAXIMUM RATINGS
REPLACE·
MENT

REF.

USE

:IE

2N3262
2N3263
2N3264
2N3265
2N3266
2N3267
2N3268
2N3269
thru
2N3276
2N3277
2N3278
2N3279
2N3280
2N3281
2N3282
2N3283
2N3284
2N3285
2N3286
2N3287
2N3288
2N3289
2N3290
2N3291
2N3292
2N3293
2N3294
2N3295
2N3296
2N3297
2N3298
2N3299
2N3300
2N3301
2N3302
2N3303
2N3304
2N3305
2N3306
2N3307
2N330S
2N3309
2N3309A
2N3310
2N3311
2N3312
2N3313
2N3314
2N3315
2N3316
2N3317
2N3318
2N3319
2N3320
2N3321
2N3322
2N3323
2N3324
2N3325
2N3326
2N3327
ZN3328
thru

2N3336
2N3337
ZN3338
ZN3339
ZN3340
2N3341
2N334Z
2N3343
2N3344
2N3345
2N3346
2N3347
2N3348
2N3349
2N3350
2N3351
2N3352
2N3353
thru

2N3364
2N3365
thru
2N3370
2N3371

SH
SP
SP
SP
SP
AH
A

S
S
S
S
S

N
N
N
N
N
G P
S N

ELECTRICAL CHARACTERISTICS

Po

:5

TJ

VCB

VCE _

@25'C

~

'C

(volts)

(volts)

8.75W
75W
75W
125W
125W
75M
0.15W

c

200
200
200
200
200
100
200

100
150
120
150
120
15
45

80
90
60
90
60
8.0
45

of

C
C
C

C
A
A

hFE @ Ic
%
~on (min) (max)

0
0
0
0

0
0
0

40
20
20
20
20
10
12

55
80
55
80
500
80

:e.

VCElSAn @Ic
(volts)

~
=>

0.5A
15A
15A
15A
15A
3.0M
10M

0.6
1.0
1.6
1.0
1.6

LOA
20A
20A
20A
20A

l.0

5.0M

3.0M
3.0M
3.0M
3.0M
3.0M
3.0M
3.0M
3.0M
2.0M
2.0M
2.0M
2.0M
2.0M
2.0M
2.0M
2.0M
10M
40M
0.4A
10M
0.15A
0.15A
0.15A
0.15A

0.3
0.3
0.5
0.5

5.0M
5.0M
5.0M
5.0M

0.22
0.22
0.22
0.22

0.15A
0.15A
0.15A
0.15A

0.3A
10M
0.1M
O.lM
2.0M
2.0M
30M
50M
20M
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A

0.33
0.16
0.2
0.2
0.4
0.4
0.5
0.5
0.5
0.1
0.1
0.1
0.1
0.1
0.1

0.3A
10M
10M
10M
3.0M
3.0M
0.25A
0.25A
20M
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A

20M
10M
40M
3.0M
3.0M
3.0M
0.15A
0.5A

0.19
0.12
0.25

40M
10M
20M

~

=>

t;

C

%

~

.;;

~
=>

15
40

E
E

150M
20M
20M
20M
20M
900M
2.5M

T
T
T
T
T
T
B

10
10
10
10
10
10
5.0
5.0
15
15
10
10
10
10
10
10

E
E
E
E
E

400M
400M
300M
300M
250M
250M
250M
250M
350M
350M
300M
300M
250M
250M
250M
250M
200M
100M
50M
200M
250M
250M
250M
250M

T
T
T
T
T

hi_

.lll

Thyristors, see Table on Page 2-66
Field-Effect Transistors, see Table on Page 2-78
G
G
G
G
G
G
G
G

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
G
G
G
G
G
G

S
S
S
G
G
G
G
G
G

S
S

2N3279
2N3279
2N3279
2N3279
2N3283
2N3283
2N3283
2N3283
2N3287
2N3287
2N3287
2N3287
2N3291
2N3291
2N3291
2N3291
2N3295
2N3296
2N3297
2N3298
2N3299
2N3299
2N3299
2N3299
2N3303
2N3304

P
P
P
P
P
P
p

P
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
P
P
P
P
P
N 2N3553
N 2N3553
N

2N3307
2N3307
2N3375
2N3375

p

2N3311
2N3311
2N3311
2N3311
2N:l:ll.l
2N3311

P
P
P
P

p

P
P
P
P
P
P
P
P
P
N
N

2N3323
2N3323
2N3323
2N221SA ZNZ21S

AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
AHP
AHP
AHP
AHP
SH
SH
SH
SH
SH
S
A
A
AH
AH
AHP
AHP
AHP

7,p

AP
AP
AP
AP
AP
SC
SC
SC
SH
SH
SH
AH
AH
AH
SH
AHP

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

O.lW
O.lW
O.lW
O.lW
O.lW
O.lW
O.lW
O.lW
0.2H
0.2W
0.2W
0.2H
0.2W
0.2W
0.2W
0.2W
800M
700M
25W
LOW
0.8W
0.8W
0.36W
0.36W
0.6W
0.3H
0.6W
0.6W
0.2W
0.2W
3.5W
5.0W
0.3W
170W
l70W
170W
l70W
17 OW
170W
0.15H
0.15W
0.15W
60M
60M
60M
0.15H
0.15H
0.15W
O.SH
20H

c

C

A
A
A
A
A
A
A
A
A
A
C
C

A

c

C

C

C

c

C
A
A
A
A
A
A
A
A
A
A
C

100
100
100
100
100
100
100
100
200
200
200
200
200
200
200
200
175
175
175
175
200
200
200
200
200
200
200
200
200
200
175
200
200
110
110
110
110
110
110
140
140
140
100
100
100
100
100
100
175
ZOO

30
30
30
30
25
25
20
20
40
40
30
30
25
25
20
20
60
60
60
25
60
60
60
60

20
20
15
15
25
25
20
20
20
20
15
15
25
25
20
20
60
60
60
15
30
30
30
30

25
6.0
50
50
40
30
50
60
35
30
45
60
30
45
60
30
15
10
15
12
12
35
35
35
60
65

12
6.0
40
40
35
25
50
60
15
30
45
60
30
45
60
30
15
6.0
10
7.0
7.0
35
35
35
45
65

0

0
0
0

S
S
S
S
0
0
0
0
S
S
S
S
S
S
S
0
0
0
0
0
0
0
0
0
0

0
S
S
0
S
S
S
S
S
S
0
0
0
0
0
0
S
S
S
0

10
10
10
10
10
10
5.0
5.0
15
15
10
10
10
10
10
10
20
5.0
6.0
80
40
100
40
100
30
30
40
100
40
25
5.0
8.0
10
60
60
60
100
100
100

70
70
100
100

100
100
150
150

60
50
60
240
120
300
120
300
120
120
120
300
250
250
100
SO
120
120
120
200
200
200

0

50
100
30
30
30
30
40
10

40 0
40 0
40 0
ZO 0
20 0
8.0 0
8.0 0
30 0
50 0
50 0
45 0
45 0
45 0
45 0
45 0
45' 0

30
30
30
40
40
30
20
25
15
25
40
40
40
100
100
100

300
300
300

300
300
300
300
300
300

4.0M
4.0M
4.0M
10*
10*
5.0M
0.25M
l.OM
l.OM
l.OM
10*
10"
10*
10*
10*
10*

20

300

12M

200
200
200
120

0.3
0.3
0.4
0.4

0.5
0.5
0.5

5.0M
5.0M
5.0M
5.0M

E
E
E
E

E
E
E
E

0.15A
0.4A
LOA

40
70
40
25

30
30
30
40
40
40

30
30
30
0.4

E
E
E

E

E
E
E

E
E
E
E
E
E

E
E
E

0.15A

T
T

T
T
T

T
T
T
T
T

T
T
T
T

T
T
T
T

T

450M
500M
20M
20M
300M
300M
300M
300M
300M
1. OK
1. OK
1. OK
1. OK
1. OK
1. OK
6.4M
7.6M
12M
600M
600M
600M
200M
200M
200M
250M
100M

T
T

400M
400M
400M
70M
50M

T
T
T
T
T

T

T

T
T

T
T

T
T
T
E
E
E
E
E

E
T
T
T

T
T
T
T
T
T

T
T

Field-Effect Transistors, see Table on Page 2-78

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N

2N3Z87
2N3289
ZN3288

2N3287
ZN3287
2N3287

P
P
P
P

P
P

AH
AH
AH
S
S
S
SC
SC
SC
SC

P

AM

P

AM

P
P

AM
AM
AM

P
P

AM

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

0.3H
0.3H
0.3H
0.4H
0.4H
0.Z5H
0.25H
0.25H
0.25W
0.25H
300M
300M
300M
300M
300M
300M

200
200
200
175
175
175
175
175
175
175
175
175
175
175
175
175

40
40
40
30
30
ZO
25
30
50
50
60
60
60
60
60
60

30
30
30
0.2
0.25
0.1

0.5
0.5
0.5
0.5
0.5
0.5

E

E
E

10*
10*

5.0M

10M
10M
10M
10M
10M
10M

60
60
60
150
150
150

E
E
E
E
E
E

2.0M
2.0M
2.0M
2.0M
60M
60M
60M
60M
60M
60M

25

E

320M

T
T
T
T
T

T
T
T

T

Thyris tors, see Table on Page 2-66
Field-Effect Transistors, see Table on Page 2-78
GI

pi

I

I AH I

150M

I A I 100 I

25

I

2-40

10

0

2N3374-2N3475
TYPE
2N3374
2N3375
2N3376
thru

2N3387
2N3388
2N3389
2N3390
2N3391
2N3391A
2N3392
2N3393

:s !:
REPLACE·
ffi

'"
!;;: :5
co
::E a..
S
S

N
N

5.0W
1l.6W

C
C

YCB

YCE-

(volts) (volts)

200
200

80
65

80
40

f
'"0

hFE @ Ic
(min) (max)

YCElsAn@lc

=i
= (volts)

0

10
10

100

0.17A
0.25A

800
500
500
300
180

2.5M
7.0M
2.0M
2.0M
2.0M
2.0M
2.0M

0
0
0
0
0
0
0

60
60
400
250
250
150
90

25
25
25
25
25
20
20
25
25
MPS6513 MPS6512
25
MPS6515 MPS6512
50
50
Unijunction Transistors, see Table on Page 2-86
0.2W A 200
35
S N
AH
4.0W A 200
40
S P
AH
60
AM
0.5W A 200
S N
MD3409
60
0.5W A 200
S N
AM
MD3409
0.5W A 200
60
S N
AM
20
60M A 100
G P
A
A
0.4W A 200
150
S P
A
0.36W A 160
25
S N MPS6513 MPS6512
A
0.36W A 160
25
S N MPS6515 MPS6512
A
0.36W A 160
50
S N MPS6515 MPS6512
A
0.36W A 160
50
S N MPS6515 MPS6512
2N5334 SP
S N 2N5334
0.8W A 175
85
2N5334 SP
S N 2N5335
0.8W A 175
125
2N5336 SP
O.BW A 175
S N 2N5336
85
2N5336 SP.
S N 2N5336
125
0.8W A 175

25
25
25
25
25

0
0
0
0
0

20
25
25
25
50
50

0
0
0
0
0
0

55
150
90
55
55
10
50

18
25
30
30
30
20
150
25
25
50
50

N
N
N
N
P
P
N

2N3430
2N3431
2N3432
2N3433
2N3434
2N3435
2N3436

S
S
S
S
S
S

N
N
N
N
N
N

2N3460
2N3461
2N3462
2N3463
2N3464
2N3465
2N3466
2N3467
2N3468
2N3469
2N3470
2N3471
2N3472
2N3473
2N3474
2N3475

'C

100
160
25
25
25
25
25

N
N
N
N
N
N
N

S
S
S
S
G
G
S

thru

TJ

@25'C ~

125
195
25
25
25
25
25

S
S
S
S
S
S
S
S
S
S
S
S
G
G
S
S
S
S
S

thru

AHP
AHP

2N3498
2N3375

~

·0

a..

~
=

0.3
l.0

0.15A
0.25A

1.0
l.0

2.5M
7.0M

hf _

f f
L

~
=

230M
400M

'"T
T

Field-Effect Transistors, see Table on Page 2-78

2N3394
2N3395
2N3396
2N3397
2N3398
2N3399
2N3400
2N3401
2N3402
2N3403
2N3404
2N3405
2N3406
2N3407
2N3408
2N3409
2N3410
2N3411
2N3412
2N3413
2N3414
2N3415
2N3416
2N3417
2N3418
2N3419
2N3420
2N3421
2N3422
2N3423
2N3424
2N3425
2N3426
2N3427
2N342B
2N3429

2N3438
2N3439
2N3440
2N3441
2N3442
2N3443
2N3444
2N3445
2N3446
2N3447
2N344B
2N3449
2N3450
2N3451
2N3452

Po

USE

REF.

MENT

2N3500

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

~

N
N
N
N
N
P
P
P
N
N
N
N

MPS6521
MPS6515
MPS6520
MPS3392
MPS3393

MPS6512
MPS6512
MPS6512
MPS3392
MPS3392
MPS3394 MPS3392
MPS3395 MPS3392
MPS3396
MPS3397
MPS3398

S
S
A
A
A
A
A
A
A
A
A
A
AH
SH
SC
A
A
A
A

Thyris tors, see Table on
2N3425

2N5B77
2N5632
2N5634

2N3427
2N3427
2N5875
2N5632
2N5632

0.6W
0.6W
0.2W
0.2W
0.2W
0.2W
0.2W
0.2W
0.2W
0.2W
0.2W
0.2W
80M
0.15W
0.25W
0.56W
0.56W
0.56W
0.56W

P~ge

A
A
A
A
A
A
A

175
175
125
125
125
125
125

A
A
A
A
A
A
A
A
A
A
A
A

125
125
125
125
125
100
100
150
150
150
150
150

N
N
N
N
P
N
N
N
N
N
P
N
P

2N3439
2N3440
2N3441
2N3442
2N3445
2N3446
2N3447
2N3448

2N3439
2N3439

2N3252
2N3445
2N3445
2N3445
2N3445

2.0M
2.0M
2.0M
2.0M
2.0M
l.5M
10M

75
180
75
180

225
540
225
540

2.0M
2.0M
2.0M
2.0M

0.15
0.25
0.3
0.3
0.3
0.3

0
0
0
0
0
S
0
0
0
0
0

10
10
30
20
20
30
10
75
180
75
180

100
100
120
100
100
200
45
225
540
225
540

10M
40M
0.1M
10*
10*
10M
50M
2.0M
?OM
2.0M
2.0M

0.15
0.15
0.15
0.2
l.2
0.3
0.3
0.3
0.3

10M
10M
10M
10M
O.lA
50M
50M
50M
50M

60
80
60
80

0
0
0
0

20
20
40
40

60
60
120
120

l.OA
l.OA
l.OA
l.OA

0.25
0.25
0.25
0.25

l.OA
l.OA
l.OA
l.OA

0
0
0
0
0

20
20
30
30
100
150
10

200
200
120
120
350
400
35

3.0M
3.0M
10M
0.3A
O.lA
O.lA
5.0A

0.4
0.4
0.4
0.33
0.2
0.19
1.0

10M
10M
10M
0.3A
O.lA
O.lA
5.0A

1.0
1.0
1.0
1.0
1.0

5.0A
5.0A
5.0A
5.0A
5.0A

2-66

300

10M
5.0M
50M
50M
50M
50M

AM
AM
AHP
SH
A
A
SP

O.W
0.3W
0.3W
0.6W
0.2W
0.2W
150W

A
A
A
A
A
A
C

200
200
200
200
100
100
175

30
30
40
25
45
45
50

15
15
15
12
30
30
50

SP
SP
SP
SP
SP
AHP

150W
150W
15 OW
15 OW
150W
LOW

C
C
C
C
C
A

175
175
175
175
175
200

100
150
200

100
150
200
250
300
60

0
0
0
0
0
0

10
10
10
10
10
50

35
35
35
35
35
200

5.0A
5.0A
5.0A
5.0A
5.0A
10M

350

0
0
0
0
0
0
0
0
0
0
0
0
0

40
40
20
20
20
20
20
20
40
40
20
40
30

160
160
BO
70
150
60
60
60

20M
20M
0.5A
3.0A
10M
0.5A
3.0A
3.0A
5.0A
5.0A
10M
0.15A
10M

5.0

2.7A
lOA

0.35
1.5
1.5
1.5
1.5
0.2
0.5
0.16

0.15A
3.0A
3.0A
5.0A
5.0A
2.0M
0.15A
10M

30
35
45
40

0
0
0
0

90
100
120
35

0.5A
10*
10*
0.2A

0.4

300
360
100

0.35
1.0

40
50
25
50
100
150
200
50
100

0
0
0
0
0
0
0
0
0

40
25
100
100
100
100
100
700
700

120
75
350
500
500
500
500
10K
10K

0.5A
0.5A
0.5A
9.0A
9.0A
9.0A
9.0A
4.0A
4.0A

Field-Effect Transistors J see Table on
S
S
S
S
G
S
S
S
S
S
G
S
S

110
500
500
500
800

AH
AH
AP
AP
AH
SH
AP
AP
AP
AP
SH
SH
SH

LOW
LOW
25W
100W
0.3W
LOW
115W
115W
115W
115W
150M
0.6W
0.3W

A
A
C
C
A
A
C
C
C
C
A
A
A

Pa~e

200
200
200
200
100
200
200

200
200
200
100
200
200

250
300
80

R
R

400
250
250
150
90

E
E
E
E
E

55
150
90
55
55

E
E
E
E
E

4.0
75
180
75
100

E

10
10

E
E

25

E

75
180
75
100

E
E

36M
36M

T
T

400M
150M
0.1M

T
T

300M
200M
250M
250M
250M
100M
0.25M

T
T
T
T
T
T
T

40M
40M
40M
40M

T
T
T
T

600M
600M
300M
450M
4.0M
5.0M
20K

T
T
T
T
T
T
E

20K
20K
20K
20K

E

140M

T

15M
15M
0.2M
BOK
750M
150M
10M
10M
10M
10M
300M
100M
500M

T
T
T
T
T
T
T
T
T
T
T
T
T

10K
10M
45M
50M

E
T
T
T

175M
150M
20M
7.0K
7.0K
7.0K
7.0K
4.0K
4.0K

T
T
T
E
E
E
E
E
E

B

E
E
E
E

E
E

20

E

200
350

E
E

E
E

E

2-78
450
300
160
160
20
80
8e
100
BO
100
15
120
6.0

250
140
140
15
50
60
BO
60
BO
6.0
60
6.0

120
120
120

120

6.0

25
25
15
12
20

E

E
E
E

E

20
20
40
40

E
E
E
E

LOA
5.0M
loOM
0.2A

40
150
150
30

E

0.15A
0.15A
. LOA
9.0A
9.0A
9.0A
9.0A
9.0A
9.0A

100
50
50
50
50
100
100

E
E
E
E
E

Field-Effect Transistors, see Table on Page 2-7B

fI~

I

III I I I
0.3W
5.0W
0.3W
5.0W

A
ci
A
C

llo
200
200
200

50
60
60
60

12N5334
Field-Effect Transistors J see Table on Page 2-78
2N3467
SH
LOW A 200
S P
40
2N3467
SH
LOW A 200
S P
50
S N 2N5337
2N5336 AHP 1.25W A 200
35
AP
150W C 150
50
S N 2N6057
AP
S N 2N6059
150W C 150
100
AP
S N
150W C 150
150
AP
S N
15 OW C 150
200
AP
S N 2N6055
15 OW C 150
50
AP
S N
150W C 150
100

2-41

150

0.35

0.3

0.35
0.5
3.5
3.5
3.5
3.5
3.5
3.5

E
E
E

E
E

•

2N3476-2N3581

.. :s'"
>:;;;! !::

TYPE

•

2N3476
2N3477
2N3478
2N3479
thru
2N3484
2N3485
2N3485A
2N3486
2N3486A
2N3487
2N3488

:E

~

...

8
8
8

N
N
N

e:>

MAXIMUM RATINGS
REPLACE·
MENT

REF.

USE

Po

c

~

@25'C ~
AP
AP
AH

150W
150W
0.2W

C
C
A

TJ
'C

VCB

(volts)

(volts)

150

150

150

200

150
200

200

30

i'"

hIE

@ Ic

(min) (max)
700
700

15

0
0
0

25

10K
10K
150

VCEISATI

·c

= (volts)

4.0A

4.0A

3.5
3.5

@Ic

.§-

~

hi_

9.0A
9.0A

100
100

E
E

25

E

=

2.0M

j

L

.~

~

=i

4.0K
4.0K
750M

E

E
T

Unijunction Transistors, see Table on Page 2-86
8
8
8
8
8
8

P
P
P
P
N
N

2N3487
2N3488

2N2904
2N2904
2N2904
2N2904
2N3487
2N3487

8H
8H
8H
8H
AP
AP

2.01-1
2.01'
2.01'
2.01-1
1151-1
115W

2N3487
2N3487
2N3487
2N3487

AP
AP
AP
AP
8H
AH
AH
AH
AH
AH
AH
AH
AH
SH
SH
8H
8H
8H
SH
8H
8H
SH
8H
SH

1151-1
1151-1
1151-1
1151-1
0.15W
0.61-1
0.61-1
0.41-1
0.41-1
1.01-1
1. OW
1.01,
1.01'
0.7W
0.7W
0.41-1
0.4W
1. OW
1.01-1
0.4W
0.4W
0.36W
0.36W
0.8W

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

AM
AM
AM
AM
AM
AM
AM
AM
AM
AM
AM
AM

0.25W
0.25W
0.25W
0.25W
0.25W
0.25W
0.25W
0.25W
0.31-1
0.25W
0.25W
0.25W

A
A
A
A
A
A
A
A
A
A
A
A

2N3489
2N3490
2N3491
2N3492
2N3493
2N3494
2N3495
2N3496
2N3497
2N3498
2N3499
2N3500
2N3501
2N3502
2N3503
2N3S04
2N3505
2N3506
2N3507
2N3508
2N3509
2N3510
2N3511
2N3512

8
8
8
8
8
8
8
8
8
8
8
8
8
S
8
8
8
8
S
8
S
8
S
S

N
N
N
N
N
P
P
P
P
N
N
N
N
P
P
P
P
N
N
N
N
N
N
N

2N3489
2N3490
2N3491
2N3492

2N3513
2N3514
2N3515
2N3516
2N3517
2N3518
2N3519
2N3520
2N3521
2N3522
2N3523
2N3524

S
S
S
S
S
S
S
8
S
8
8
8

N
N
N
N
N
N
N
N
N
N
N
N

2N2480A
2N2480A

2N3525
2N3526
2N3527
2N3528

Thyristors, see Table on Page 2-66

thru

Thyristors, see Table on Page 2-66

2N3541
2N3543
2N3544
2N3545
2N3546
2N3547
2N3548

8
8
8
8
8
8

N
N
P
P
P
P

2N3549
2N3550
2N3551
2N3552
2N3553
2N3554
2N3555

8
8
8
8
8
8

P
P
N
N
N
N

thru

Thyristors, see Table on Page 2-66

2N3494
2N3494
2N3494
2N3494
2N3498
2N3498
2N3498
2N3498
2N2904
2N2904
2N2904
2N2904
2N3506
2N3506
2N3508
2N3508
2N3510
2N3510
2N2537
2N2060
2N2060

2N2905
2N2905A
2N2907
2N2907A

2N2537

~I ~I

I !H I

I

2N3798
2N3799

2N3553

2N3544
2N3798
2N3546
2N3799

2N3375

C
C
C
C
C

C
C
C
C

C

0.8WI A
0.4W A

60
60
60
60
80
100

40
60
40
60
60
80

0
0
0
0
0
0

100
100

120
120
300
300

20
20

60
60

200

120

100

80
100
120
12
80

60
80
100
8.0
80
120
80
120
100
100
150
150
45
60
45
60
40
50
20
20
10
15
35

15
40
40
30
40
35
35
35
35
40
100
40
100
115
115
115
115
40
30
40
100
25
30
10

45

200
200
200

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

40
40
40
60
60
60
30
30
55
55
55
55

0
0
0
0
0
0
0
0
0
0
0
0

50
50
50
50
50
50

200
200
200
200
200
200

150

600

150
100
100

600
300

100

10"

300

10"

100

300

10"

130
30

120
30

0
0

30
25

120
75

30H
O.lN

65
25
20
15
60
60

60
25
20
12
60
45

0
8
0
0
0
0

10
25
40
30
100
100

80

4. SA
ION

l.0

4. SA

120
120
500
300

10M

0.2

10M
l.OM

0.15
l.0
1.0

10M
10M
10M
10M

120
150

60
60
115
140
65
60

60
45
60
80
40
30

0
0
0
0
0
0

100
200
20
20
10
25

10M
5.0M

150
300

30
30
30
40
80
80
80
30
25
25

12
15
25

0
0
0

20
20
150

30
40
60
40
15
15
13

0
0
0
0
0
0
0

150
40
40

15
80

0
0

60
60
40

0
0
0

200

200
200
200
200
200
ZOO
200
200
200
200

200
200

200
200

200
200
200

200
200

200
175
175

120
80

120
100
100
150
150
45
60
45
60
60
80
40
40
40
40
60
80
80
80

200

100

175
175
175
175

100

200
200
175
175
200

200

AHP
AH
8H
SH
A
A

60\,
0.3W
0.36W
0.36W
0.36W
0.4W

C
A
A
A
A
A

200
175
200

A
A
8HP
8HP
AHP
8H

0.4W
0.4\,
40W
4W
7.0W
0.8W

A
A
C
C
C
A

200

A
A
A
A
A
A
A
A
A
A

125
125
125
125
125
125
125

200
200
200

200
175
175
200
200

100
60
60
70
70
70
70

8
.8
8
8
8
8
8
8
8
8

thru

Field-Effect Transistors, see Table on Page 2-78

N
N
N
N
N
N
N
N
N
N

HP86514 MP8651Z
MPS6514 HP86512
MP86530 MP86530
MP86531 MP86530

AH
AH
A
A
A
A
A
AH
AH
AH

0.2W
0.2W
0.2W
0.3W
0.3W
0.3W
0.3W
0.2\,
0.2W
0.2W

200
200
200

I I
inrm~~~ p~n3sN8T~H rO:~1r:p~1r ~;71
81 pIZN3251 12N3250
8 N

8H
AHP

8
8

AH
AH

P
P

2N3799
2N3799

2N3798
2N3798

0.36wj Aj200j
20 I
85W C 175 100
0.4W
0.4W

A
A

o.15A
o.15A
0.15A
o.15A

200
200
200
200
200

200

2N3562
2N3563
2N3564
2N3565
2N3566
2N3567
2N3568
2N3569
2N3570
2N3571
2N3572
2N3573
2N35 75
2N3576
2N3577
2N3578
2N3579
2N3580
2N3S81

ELECTRICAL CHARACTERISTICS
VCE _

200
200

60
50

2-42

40
40

120
120
90
120

120

300
120
300

300
300
300
300

200
150
120
300
150
120

300

500

600

o .15A

0.15A
3.0A

0.4
0.4
0.4
0.4
0.3

3.0A

0.3

3.0A
5.0A

0.3

0.15A
0.15A

5.0A

0.3
0.3

1. OA
1. OA

20
20

E
E

1.0A
1.0A

20
40
40
40

E
E

5.0A

0.3

1. OA
1. OA

0.5M
O.lA
O.lM
O.lA
O.lM
o.15A

0.15

10"

0.3
0.35

10M
10M
10M

0.3

0.35

10M

O. Z

10M
10M

0.15A

0.2

0.15A
0.15A
50M
50M
50M
50M
1. SA
L5A
10M
10M

0.2
0.2

0.15A
O.lSA
0.5A
1. OM
1. OM
1. OM
1. OM
100M
100M
1. OM
1. OM
10*

10"
10"
10"

90
90
100
100

0.75A

200
500
600

8.0M
15M
LOM

lOA
lOA
0.25A

600

10M

120
120
300
150

0.15A
0.15A

10M

1.0
1.2
1.2
1.2
1.2
1.2
1.2
1.0
1.0
1.0
1.0
1.0
1.0

50M
50M
50M
50M
50M
50M
5.0M
5.0M
10M
10M
10M
10M

50
50
50
50
50
50
150
150

l.0

SOH
O.lN

25
100

0.25
0.25

0.25
0.25
1.0
1.0

0.25
0.25

0.25
0.25

1.0
0.9
1.0
1.0
LO
0.7

0.25A
0.75A

o. :3

20M

0.25

0.15A

300

40
12

120
60

10M
LOA

0.15
5.25

30
60
50

120
240
150

LOH
l.OM
O.lM

0.5
0.5
0.5

E
E

E
E
E

E
E
E
E
E
E
E
E

E

E
E
E

E
E
E

E
E
E

E
E

E

E
E
E

lOA

O.lSA
5.0M
5.0M
5.0M

200

20
20

E

lOA

O.lA
0.15A

20
20
20

40
40
40
40
50
75
50
75
135
135
135
135

10M
50M
50M
50M
50M
1. SA
1. SA
10M
10M
10M
10M
0.5A

LO
0.25
0.25

100

200M

20
20
120

E

E
E

200M
200N
200M

T
T
T
T

lON

T

10M

T

10M
10M
10M
10M
400M
200M
150M
200M
150M
150M
150M

T
T
T

T
T

T
T

T
T
T
T

150M

T

150M
200M
200M

T
T
T

200M

T
T

200M
60M
60'1
500M
500M
350M
450M
250M
50M
50M
50M
60M
60M
60M
60M
60M
30M
30M
30M
30M

T

T
T

T
T

T
T
T
T
T
T

T
T
T

T
T

T
T

T

40M
5.0H

T

150M
600H
250M
700H
45M
60M

T
T
T
T

60M
60M
40M
40M
400M
150N

T

600M
400M
40M

T
T
T

T
T

T

T
T
T
T

T
T
T

20
20
20

E
E

40M
60M
60M
60M
1.5G
L5G
l. OG

LOA

12

E

400M
10M

T
T

5.0M
5.0M
5.0M

30
60
50

E
E
E

80M
80H
30M

T
T
T

O.lSA

E

10M

T
T

T
T
T

2N3582-2N3673
TYPE

...g iii::s
=< ...
::IE

REPLACE·
MENT

co

Po

USE

REF•

@25·C

&

°3~~' t
j~~ g

YCB

VCE _

·C

(Yolts)

(yolts)

200
200
200
200
200
200
85
175
175
175
175
175
175
175
175
200
200
200
200
100
100
100
100
150
120
150
120
150

50
250
330
440
45
60
25
200
200
200
200
200
200
200
200
60
80
100
30
100
100
130
130
18
40
18
40
18

40
175
250
300
45
45

0
0
0
0
0
0

200
200
200
200
200
200
200
200
40
60
80
15
40
40
55
55
14
15
14
15
14

R
R
R
R
R

30
45
30
45
60
75
60
75
40
40
40
40
40
40
40
40
50
50
50
50

S
S
S
S
S
S
S
S
0
0
0
0
0
0

TJ

S
S
S
S
S
S
G
S
S
S
S
S
S
S
S
S
S
S
S
G
G
G
G
S
S
S
S
S

thru

Field-Effect Transistors, see Table on Page 2-78

thru

2N3658
2N3659
2N3660
2N3661
2N3662
2N3663
2N3664
2N3665
2N3666
2N3667
2N3668
thru
2N3670
2N3671
2N3672
2N3673

P 2N3799
2N3798 AH
AHP
N 2N3583
SP
N 2N3584
SP
N 2N3585
sc
P
AM
N
AM
P
N 2N3738
2N3738 AHP
N 2N6233
2N6233 AHP
AHP
N
N
AHP
AHP
N
AHP
N
AHP
N
AHP
N
N MJ7000 MJ7000 SHP
N MJ7000 MJ7000 SHP
N MJ7000 MJ7000 SHP
N
AH
SP
P
P
SP
P
SP
P
SP
N MPS3646 MPS3646 S
N
S
N MPS3646 MPS3646 S
N
S
N MPS3646 MPS3646 S

~

2N3582
2N3583
2N3584
2N3585
2N3586
2N3587
2N3588
2N3589
2N3590
2N3591
2N3592
2N3593
2N3594
2N3595
2N3596
2N3597
2N3598
2N3599
2N3600
2N3601
2N3602
2N3603
2N3604
2N3605
2N3605A
2N3606
2N3606A
2N3607
2N3608
2N3610
2N3611
2N3612
2N3613
2N3614
2N3615
2N3616
2N3617
2N3618
2N3619
2N3620
2N3621
2N3622
2N3623
2N3624
2N3625
2N3626
2N3627
2N3628
2N3629
2N3630
2N3631
2N3632
2N3633
2N3634
2N3635
2N3636
2N3637
2N3638
2N3638A
2N3639
2N3640
2N3641
2N3642
2N3643
2N3644
2N3645
2N3646
2N3647
2N3548
2N3649 }

12~~ A

0.3~

~ ~

2N3632

P
P
P
P

l.~~ A

l.Q~
l.0~

~ ~

S
S
S
S
S
S
S
S
S
S

P
P
N
N
N
P
P
N
N
N

lOQ~ c

tgQ~

g
O.?~ A
0.28~~ C
0.28~~ C

g:~~;~

AH
AH
AH
AH

~~

MPS3639
MPS3640
MPS6530
MPS6530
MPS6530

SH
SH
AHP
AHP
AHP
SH
SH
MPS3646 MPS3646 SH
2N3s10 SH
2N3510 SH

MPS3639
MPS3640
MPS6530
MPS6530
MPS6531

A
A

1.~~ A
1.~~ A
l.~~ A

~~P

2N3375
2N3634
2N3634
2N3634
2N3634

A

n~
~
2.~~ A

0.2W
32~~
O.~~

32~t

0.2

G P
2N3611 AP
G P
2N3611 AP
2N3611 AP
G P
G P
2N3611 AP
G P
2N3615 AP
2N3615 AP
G P
2N3615 AP
G P
2N3615 AP
G P
S N
AHP
AHP
S N
S N
AHP
AHP
S N
S N
AHP
S N
AHP
S N
AHP
S N
AHP
S N
AHP
AHP
S N
AHP
S N
AHP
S N
Field-Effect Transistors, see
S
S
S
S

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

8~~

g
A
A
A
A
A

110
110
110
110
110
110
8~~ C
110
8~~ CC 110
85
7.5W C 175
7j~ g 175
175
3~ C 175
175
7 .~~ C
175
7j~~ g 175
30W C 175
7.5. C 175
175
7 j~~ g 175
39~ C 175
Table on Page

40
60
40
60
80
100
80
100
75
75
75
75
75
75
75
75
100
100
100
100
2-78

1. Q~ A
1:~ A
1:~ A
l.
A

140
140
175
175

8~~
85
85W
85W

C
C
C
C
C

O~~~ ~ ~gg

gj~ ~

O.~~ A
O.~~ A
A

0.3~~ A

U~~
O.~~

A
A

O.~~ A
0.2~

U~

A
A
A

t~

f
en

R
R
R

0
0
0
0
0
0
0
0
0
0
0
0
0

hFE @ Ic
(min) (max)

80
20
30
75
30
75
30
75
30
75
40
40
40
20
60
60
60
60
30
30
30
30
30

500

l.OM
1.0M
0.2A
0.2A
0.2A
0.2A
0.2A
0.2A
0.2A
0.2A
lOA
IDA
lOA
3.0M
l.OA
l.OA
l.OA
l.OA
10M
10M
10M
10M
10M

l.0

10M

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
0.5
0.5
0.5

0.2A
0.2A
0.2A
0.2A
0.2A
0.2A
0.2A
0.2A
lOA
IDA
IDA

0.2
0.2
0.2
0.2
0.25
0.25
0.25
0.25
0.25

O.lA
O.lA
O.lA
O.lA
10M
10M
10M
10M
10M

3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
LOA
l.OA
l.OA
l.OA
l.OA
l.OA
l.OA
l.OA
LOA
l.OA
l.OA
l.OA

0.25
0.25
0.25
0.25
0.25'
0.25
0.25
0.25
0.75
l.0
1. 25
l.25
0.75
1.0
l. 25
1.25
0.75
l.0
l.25
l. 25

3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
LOA
3.0A
5.0A
5.0A
1.0A
3.0A
5.0A
5.0A
LOA
3.0A
5.0A
5.0A

0.25A
10M
50M
50M
50M
50M
50M
50M
10M
10M
0.15A
0.15A
0.15A
50M
50M
30M
0.15A
0.15A

1.0
0.21

l.OA
3.0M

150
150
150
300
150
300

0
0
0
0
0
0
0
0
0
0

10
50
50
100
50
100
30
100
30
30
40
40
100
80
80
30
25
30

0
0

0
0

125
125
125
125
125
125
125
125
200
200

6.0
12
60
60
60
45
60
40
40
40

40
6.0
140
140
175
175
25
25
6.0
12
30
45
30
45
60
15
10
15

200
200
200
125
125
200
200
200
200

220
40
60
18
30
60
120
120
50

170
30
50
12
12
60
80
80
50

0
0
0
0
0
S
0
0
0

20
25
25
20
20
8.0
40
100
15

200
200
200

60
60
60

50
50
50

0
0
0

75
75
75

200
200
200
200

m

~~

120

70
70
120
120
60
60
90
90

0

0
0

0
0
0

0
0
0

5.0M
l.OA
l.OA
l.OA

O.lM
O.SA
l.OA
l.OA

120

120
120
120
120
300
240
240
120
150
120

hI_

:::0

300
200
140
140

90
150
90
150
90
150
90
150
120
120
120
150
180
180
180
180

0.5
5.0
0.75
0.75

.I!l

'c

100
40
8.0
8.0

35
35
60
60
30
30
45
45
40
40
40
40
40
40
40
40
40
40
40
40

0

YCEISAn@lc

~ (yolts)

:::0

0.25
0.25
0.16
0.2
0.22
0.22
0.22
0.25
0.25
0.2
0.25
0.25

50M
50M
10M
10M
0.15A
0.15A
0.15A
50M
sOM
30M
10M
10M

~

j

100
25

E
E

30
75
30
75
30
75
30
75
75
75
75
40
50
50
50
50

E
E
E
E
E

40
40
60
60
40
40
60
60

E
E
E
E
E
E
E
E
E
E
E

L

~

:::0

30M
10M
10M
10M
O.lM
80M
200M
15M
15M
15M
15M
15M
15M
15M
15M
30M
30M
30M
850M
20M
20M
20M
20M
300M
300M
300M
300M
300M

f
en
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T

0.3M
0.3M
0.3M
0.3M
0.3M
0.3M
0.3M
0.3M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M

T
T
T
T
T

E
E
E

250M
l.3G
150M
200M
150M
200M
100M
150M

T
T
T
T
T
T
T
T

20
20

E
E

500M
500M
250M
250M
250M
200M
200M
350M
350M
450M

T
T
T
T
T
T
T
T
T
T

20

E

T
T
T
T
T
T
T
T
T

T
T
T

40
80
40
80
25
100

E
E
E
E
E
E
E
E

E
E

E

T
T
T
T
T
T
T
T
T
T
T
T
T
T
T

Thyristors, see Table on Page 2-66
S
S
S
S
S
S
S
S
S

N
P
P
N
N
N
N
N
N

2N3719
2N3720

2N5335

2N3664
2N5334

2N5881

2N5879

AH
AHP
AHP
AH
AH,
AHP
S
S
SP

4.0

C
C
5'Q~ C
5.0
O.~~ A
O.~~ A

~:Q~

c

C
5·2~ C
117~ C

1.2
1.2

0.5A
0.5A

80
120
300
60

10M
0.5A
0.5A
8.0M
8.0M
50M
0.15A
0.15A
8.0A

0.75
0.5
0.5
l.5

0.25A
0.15A
0.15A
8.0A

50M
25M
25M
700M
700M
300M
60M
60M
0.5M

225
225
225

0.15A
0.15A
0.15A

0.4
0.4
0.4

0.15A
0.15A
0.15A

200M
200M
200M

100
100

Thyris tors, see Table on Page 2-66

!I~ I

I

Ii I

O'~rAA

0.4
0.35

2-43

•

2N3675-2N3765
MAXIMUM RATINGS

~

TYPE

:s ~
ffi
:IE

•

2N3675
2N3676
2N3677
2N367S
2N3679
2N3680
2N3681
2N36S2
2N3683
2N36S4,A
thru
2N3687,A
2N36SS
2N3689
2N3690
2N3691
2N3692
2N3693
2N3694
2N3695
thru
2N369S
2N3700
2N3701
2N3702
2N3703
2N3704
2N3705
2N3706
2N3707
2N3708
2N3709
2N3710
2N3711
2N3712
2N3713
2N3714
2N3715
2N3716
2N3717
2N371S
2N3719
2N3720
2N3721
2N3722
2N3723
2N3724
2N3724A
2N3725

~gm~!
2ti13727
2N372S
2N3729
2N3730
2N3731
2N3732
2N3733
2N3734
2N3734
2N3735
2N3735A
2N3736
2N3736A
2N3737
2N3737A
2N373S
2ti13739
2N3740,A
2N3741,A
2N3742
2N3743
2N3744
2N3745
2N3746
2N3747
2N374S
2N3749
2N3750
2N3751
2N3752
2N3753
thru
2N3761
2N3762
2N3763
2N3764
2N3765

REPLACE·
MENT

!;:;: ::3
C>

....

REf.

Po

USE

@25·C

S N 2N423S
2N4237
SP
S N 2N4239
2N4237
SP
S P
SC
2N3019
SH
S N 2N3019
Unijunction Transistors, see

~

II I

S.SW
S.SW
0.4W
O.SW
Table

0.2W
I AH
AM 10.36W
O. 3W
AH

I

AH

0.2W

ELECTRICAL CHARACTERISTICS

:f

c

TJ

Vca

VCE _

&i

·C

(volts)

(volts)

200
90
200
90
A 200
30
A 200
75
on Page 2-86
60
A 200
10
A 200
40
A 200
30

55
90
20
55

C
C

r

1 1

50
7.0
15
12

f
'"

(min) (max)

0
0
0
0

12
12

60
60

l.OA
l. OA

O.S
O.S

l.OA
l. OA

40

120

0.15A

0.4

0.15A

0
0
0
0

150
20
40
20

600
220
120
150

10"
2.0M
10M
S.OM

0.7
0.37

10M
4.0M

0

0

30
30
30
40
100
40
100

160
400
160
400

4.0M
4.0M
4.0M
10M
10M
10M
10M

hFE @ Ic

VCE/sAn@lc
= (volts)
~

'2

::::>

hl_

::::>

300
20
45
30

f
'"
E
E
E
E

L

:e.

I

~
::::> ....,

l.OM
l.OM
5.0M
250M

T
T
T
T

60M
l.OG
600M
l.OG

T
T
T
T

400M
400M
400M
200M
200M
200M
200M

T
T
T
T
T
T
T

100M
SOM

T
T

100M
100M
100M
100M
100M

T
T
T
T
T

40M
30K

E

Field-Effect Transistors, see Table on Page 2-7S

S
S
S
S
S
S
S

N
N
N
N MPS6512 MPS6512
N MPS6513 MPS6512
N
N

AH
AH
AH
A
A
AH
AH

0.2W
0.2W
0.2W
0.2W
0.2W
0.2W
0.2W

A
A
A
A
A
A
A

125
125
125
125
125
125
125

40
40
40
35
35
45
45

40
40
40
20
20
45
45

0
0

0
0
0

0.7
0.7

10M
10M

40
100

E
E

SO
30

E
E

Field-Effect Transistors, see Table on Page 2-78

S
S

N
N

2N3019
2N3019

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
G
G
G
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

P
P
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
P
P
N
N
N
N
N
N
N
P
P
N
N
P
P
P
N
N
N

2N3250
2N3250
2N3251
2N3250
2N2222A 2N221S
2N2222A 2N221S
2N930
2N929

S
S
S
S
S

til

S

N
N
N
N
N

S

til

S

N
N

S

A
A

200
200

140
140

SO
SO

0
0

100
40

300
120

0.15A
0.15A

0.2
0.2

0.15A
0.15A

A
A
A
A
A
A
A
A
A
A
A
C
C

150
150
150
150
150
150
150
150
150
150
200
200
200
200
200
200
200
200
200
125
200
200
200

0
0
0

0
0
0

60
30
100
50
30
100
45
45
90
lS0
30
25

300
150
300
150
600
400
660
165
330
660
150
75

50M
50M
50M
50M
50M
O.lM
l.OM
l.OM
l.OM
l.OM
30M
l.OA

0.25
0.25
0.6
O.S
l.0
l.0
l.0
l.0
l.0
l.0
2.0
l.0

50M
50M
O.lA
O.lA
O.lA
10M
10M
10M
10M
10M
50M
5.0A

SO
60
SO
60
60
40
60
IS
60
80
30
30

0
0
0
S
S
0
0
0
0
0
0
0

25
50
50
2.0
2.0
25
25

75
150
150
100
100
ISO
180

LOA
1. OA
LOA
0.5A
0.5A
LOA
l.OA

1.0
0.8
O.S
1.0
1.0
1.5
l.5

5.0A
5.0A
5.0A
0.5A
0.5A
0.3A
3.0A

40
40
60
60

150
150
150
150

O.lA
O.lA
O.lA
100M

0.22
0.25
0.2
0.2

O.lA
10M
O.lA
100M

0
0
0
0
0
0
S
S
S
0
0
0
0
0
0
0
0
0
0
0
0

150
150
350
350
2S0
2S0

O.lA
100M
1. OM
l.OM
0.15A
0.15A

0.26
0.26
0.25
0.25
0.22
0.22

O.lA
100M
50M
50M
o.15A
0.15A

A
A

175
175
200
200
200
200

50
50
45
45
30
30
200
320
100
40
30
30
50
50
30
30
50
50
225
300
60
SO
300
300

60
60
135
135
SO
SO

2N373S
2N373S
2N3740
2N3740
2N3742
2N3743

40
50
50
50
40
30
30
30
30
30
150
SO
100
SO
100
60
60
40
60
IS
80
100
50
50
SO
SO
45
45
60
60
200
320
100
65
50
50
75
75
50
50
75
75
250
325
60
80
300
300

25
30
30
30
20
30
30
30
30
30
150
60

SH
SH
AM
AM
AM
AM
AP
AP
AP
AHP
SH
SH
SH
SH
SH
SH
SH
SH
AP
AP
AP
AP
AH
AH

0.3W
0.3W
0.36W
0.36W
0.36W
0.25W
0.25W
0.25W
0.25W
0.25W
O.SW
150W
150W
150W
150W
7.5W
lOW
6.0W
6.0W
0.2W
O.SW
O.SW
0.8W
1W
0.8W
lW
0.4W
0.4W
0.45W
0.45W
lOW
5.0W
3.0W
23W
l.OW
LOW
l.OW
l.OW
0.5W
0.5W
0.5W
0.5W
20W
20W
25W
25W
LOW
1.0W

0

15
35
10
30
30
20
20
30
30
20
·20
40
40
30
30
20
25

500
150
120
120
SO
SO
120
120
SO
SO
200
200
100
100
200
250

6.0A
0.7A
0.25A
l.OA
LOA
LOA
LOA
LOA
LOA
LOA
LOA
O.lA
O.lA
0.25A
0.25A
30M
30M

1.0
0.2
0.9
0.2
0.9
0.2
0.9
0.2
0.9
2.5
2.5
0.6
0.6
1.0
5.0

LOA
10M
LOA
10M
LOA
10M
LOA
10M
LOA
0.25A
0.25A
LOA
LOA
10M
10M

2N5346
2ti15346
2N5346
2N5346
2N5346
2N5346
2N5346
2N5346
2N5346

AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP

30W
30W
30W
30W
30W
30W
30W
30W
30W

C
C
C
C
C
C
C
C
C

200
200
200
200
200
200
200
200
200

60
SO
100
60
SO
100
60
SO
100

40
60
SO
40
60
SO
40
60
SO

0
0
0
0
0
0
0
0
0

20
20
20
40
40
40
100
100
100

60
60
60
120
120
120
300
300
300

1. OA
LOA
LOA
l.OA
LOA
LOA
l.OA
LOA
LOA

0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25

LOA
LOA
LOA
LOA
l.OA
LOA
LOA
LOA
l.OA

200
200
200
200

40
60
40
60

40
60
40
60

0
0
0
0

30
20
30
20

120
SO
120
SO

LOA
LOA
LOA
LOA

0.1
0.1
0.1
0.1

10M
10M
10M
10M

2N3713
2N3714
2N3715
2N3716

2N3712
2N3713
2N3713
2N3713
2N3713

2N3719
2N3720
MP3731

2N3719
2N3719

MM3724

2N3722
2N3722
MM3724

MM3725

MM3724

2N5334

til

N
N
N
N
N
N
N
P
P
N
P

0.5W
0.5W

2N3019
2N3019

2N3733
2N3734
2N5334
2N3734
2N3734
2N3734

2N373S
2N3739
2N3740,A
2N3741,A

2N5346
2N5346
2N5346
2N5347
2N5347
2N534S
2ti1534S
2N534S
2N534S

AH
AH
A
A
A
A
A
A
A
A
A
A
AH
AHP
AHP
AHP
AHP
AHP
AHP
SHP
SHP
A
S
SH
SH
SH

C

C
C
C
C
C
A
A
A
A
A
A
A
A
A
A
A
A
A
A
C
A
A
A
A
A
A
A
A
C
C
C
C

200
200
200
200
200
100
100
100
200
200
200
200
200

0
0
0
0

0
0

0
0

100
45
45
90
ISO
25
25

E
E

E
E
E

E
E

25
25
25

E
E
E

60

E

3
135
135
50
50

E
E
E

E
E

2.5

E

2.5

E

2.5

30K
30K
30K
250M
250M
60M
60M

T
E

E
E
T
T
T
T

300M
300M
300M

T
T
T

300M

T

200M
200M
250M
250M

T
T
T
T

1. OM
250M
300M

T
T
T

250M

T

300M

T

250M

T

15M
15M
4.0M
4.0M
30M
30M

T
T
T
T
T
T

30M
30M
30M
40M
40M
40M
50M
50M
50M

T
T
T
T
T
T
T
T
T

IS OM
150M
lS0M
150M

T
T
T
T

E

2.5
35
35
25
25
20
30

E

20
20
20
40
40
40
100
100
100

E
E
E

E

E
E
E
E

E

E
E

E
E
E
E

Thyristors, see Table on Page 2":-66

ilil

12N3762I
2N3762 SH
SH I LOW
L OW
2N3762 SH
O. 5W
'2N3762 SH
O. 5W

I

A
A
A
A

2-44

2N3766-2N3855A

TYPE

~
!;;;
:IE

2N3766
2N3767
2N3770
2N3771
2N3772
2N3773
2N3774
2N3775
2N3776
2N3777
2N3778
2N3779
2N3780
2N3781
2N3782
2N3783
2N3784
2N3785
2N3788
2N3789
2N3790
2N3791
2N3792
2N3793
2N3794
2N3795
2N3796
2N3797
2N3798,A
2N3799,A
2N3800
2N3801
2N3802
2N3803
2N3804
2N3804A
2N3805
2N3805A
2N3806
2N3807
2N3808
2N3809
2N3810
2N3810A
2N3811
2N381lA
2N3812
2N3813
2N3814
2N3815
2N3816
2N3816A
2N3817
2N3817A
2N3818
2N3819

thru
2N3824
2N3825
2N3826
2N3827
2N3828
2N3829
2N3830
2N3831
2N3832
2N3833
2N3834
2N3835
2N3836
2N3837
2N3838
2N3839
2N3840
2N3841
2N3842
2N3843
2N3843A
2N3844
2N3844A
2N3845
2N3845A
2N3846
2N3847
2N3848
2N3849
2N3850
2N3851
2N3852
2N3853
2N3854
2N3854A
2N3855
2N3855A

S
S
G

S
S
S
S
S
S
S
S
S
S
S
S
G

G
G
S
S
S
S
S
S
S
S

~
::s
co
....
N
N
P
N
N
N
P
P
P
P
p
p
P
P
P
P
P
P
N
P
p
P
P
N
N
P

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS
REPLACE·
MENT

REF.

USE

AP
AP
AH
2N3771
2N3771 AP
2N3772
2N3771 AP
2N3773
AP
2N4234
2N4234 SP
2N4235
2N4234 SP
2N4236
2N4234 SP
2N5679 SP
2N5679
2N4234
2N4234 SP
2N4235
2N4234
SP
2N4236
2N4234 SP
2N5679
2N5679 SP
2N4234
2N4234 SP
2N3783 AH
2N3783 AH
2N3783 AH
AP
2N3789 AP
2N3789 AP
2N3789
AP
2N3789 AP
MPS6530 MPS6530 A
MPS6531 MPS6530 A
SP

2N3766
2N3767

2N3766
2N3766

Po

~

TJ

Ve•

VeE _ ~

J

hFE @ Ie

~

@25"C

&1

"C

20W
20W
50M
150W
150W
15 OW
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W

C
C

175
175
100
200
200
200
200
200
200
200
200
200

80
100
10
50
100
160
40
60
80
100
40
60

60
80
6.0
40
60
140
40
60
80
100
40
60

0
0
0
0
0
0
0
0
0
0
0
0

40
40
10
15
15
15
20
20
20
20
10
10

160
160
200
60
60
60
60
60
60
60
40
40

0.5A
0.5A
1. OM
15A
lOA
8.0A
0.2A
0.2A
0.2A
0.2A
0.2A
0.2A

200
200
200
100
100
100
200
200
200
200
200
125
125
200

80
100
40
30
30
15
400
60
60
60
80
40
40
120

80
100
40
20
20
12
325
60
80
60
80
20
20
120

0
0
0
0

0
0
0
0
0
0
0
0
0

10
10
10
20
20
15
20
25
25
50
50
20
100
12

40
40
60
200
200
200
180
90
90
180
180
120
600
36

60
60
60
60
60
60
60
60
60
60

60
60
60
60
60
60
60
60
60
60

0
0
0
0
0
0
0
0
0
0

150
300
150
300
150
300
150
150
300
300

60
60
60
60
60
60
60
60
60
60
60
60
60
60
60
60

60
60
60
60
60
60
60
60
60
60
60
60
60
60
60
60

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

60

60

15
45
45
40
20
50
40
6.0
15
15
15
60
80
40
15
50
100
120
30
30

0
0
0
0
0
0
0
0
0
0
0
0

30
30
40
30
200
300
300
300
80
80
40
40
18
30
18
30

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

5.0W
5.0W
5.0W
0.15W
0.15W
0.15W
100W
150W
150W
15 OW
15 OW
0.25W
0.25W
5.0W

A

C
C
C
C
C
C
C
C
C
C
C
C
A
A
A

C
C
C

C
C
A
A
C

(vults) (volts)

(min) (max)

:: hI_ li

'c
=>

2.5
2.5

1.0A
1.0A

2.0
1.4
1.4
0.2
0.2
0.2
0.2
0.2
0.2

15A
lOA
8.0A
0.2A
0.2A
0.2A
0.2A
0.2A
0.2A

0.2A
0.2A
1.0A
3.0M
3.0M
3.0M
0.50A
1.0A
1.0A
1.0A
1.0A
10M
10M
10M

0.2
0.2
0.75
0.25
0.25
0.35

0.2A
0.2A
1.0A
5.0M
5.0M
5.0M

1.0
1.0
1.0
1.0
0.4
0.4
0.2

450
900
450
900
450
900
450
450
900
900

0.5M
0.5M
O.lM
0.1M
0.1M
O.lM
O.lM
100*
0.1M
100*

150
300
150
300
150
150
300
300
150
300
150
300
150
150
300
300

450
900
450
900
450
450
900
900
450
900
450
900
450
450
900
900

O.lM
O.lM
O.lM
O.lM
0.1M
100*
O.lM
100*
O.lM
O.lM
O.lM

S

5.0

50

0
0
0
0
0
0
0
0

20
40
100
30
30
30
35
25
20
20
20
2K
2K
100
30
30
15
10
20
20

a

~

VeElsAn@le

=> (volts)

40
40
10
40
40
40

L

~

tI

=>

E
E
E
E
E
E

15M
15M
100M
0.2M
0.2M
0.2M
1. OM
1. OM
1. OM
1. OM
1. OM
1. OM

20
20
15

E
E

5.0A
5.0A
5.0A
5.0A
10M
10M
10M

25
25
25
25

E
E

0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2

O.lM

150
300
150
300
150
300
150
150
300
300

E

O.lM
0.1M
O.lM
O.lM
0.1M
100*
O.lM
100*
O.lM
0.1M
0.1M
O.lM
0.1M
100*
0.1M
100*
0.1M
O.lM
0.1M
0.1M
0.1M
100*
O.lM
100*

150
300
150
300
150
150
300
300
150
300
150
300
150
150
300
300

E
E
E
E
E
E
E
E
E
E
E

0.1M
100*
0.1M
100*

0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2

400M

0.5

1.0A

3.0

E

2.0M
10M
10M
12M
30M
0.15A
0.15A
2.0M

0.25

2.0M

0.18
0.3
0.3
0.4

10M
0.15A
0.15A
10M

E

E

E

I'"
T
T
T
T
T
T
T
T
T
T
T
T

1. OM
1. OM
1. OM
0.8G
0.7G
0.7G
50K
30K
30K
30K
30K
100M
100M
0.5M

T
T
T
T
T
T
E
E
E
E
E
T
T
T

30M
30M
100M
100M
100M
100M
100M
30M
100M
30M

T
T
T
T

Field-Effect Transistors, see Table on Page 2-78
p

S
S
S
S
S
S
S
S
S
S

P
P
P
P
P
P
P
P

2N3798
2N3798
2N3800
2N3800
2N3800
2N3800
2N3800
2N3800
2N3800
2N3800

A
A
A
A
AM
AM
AM
AM
AM
AM

0.36W
0.36W
0.25W
0.25W
0.25W
0.25W
0.25W
0.25W
0.25W
0.25W

A
A
A
A
A
A
A
C
A
C

200
200
200
200
200
200
200

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P

2N3800
2N3800
2N3800
2N3800
2N3800
2N3800
2N3800
2N3800
2N3800
2N3800
2N3800
2N3800
2N3800
2N3800
2N3800
2N3800

A
A
AM
AM
AM
AM
AM
AM
AM
AM
AM
AM
AM

0.5W
0.5W
0.5W
0.5W
0.5W
0.50W
0.5W
0.50W
350M
350M
350M
350M
350M
0.25W
350M
0.25W

A
A
A
A
A
A
A
A
A
A
A
A
A
C
A
C

200
200
200
200
200

N

2N3818

S

P

AM
AM
AM

AHP

25W

C

200

200
200
200
200
200
200
200
175

D.IM

E
E

E
E
E
E

E
E
E

E
E
E

E
E

T
T

T
T
T
T

100M
100M
100M
100M
100M
30M
100M
30M
100M
100M
100M
100M
100M
30M
100M
30M

T
T
T
T
T
T
T
T
T

200M
200M
200M
360M
350M
200M
200M
800M

T
T
T
T
T
T
T

40M
40M
200M
2.0G
6.0M
1.5M
l.OM
60M
60M

T
T
T
T
T
T
T
T
T

90M
90M
126M
126M
10M
10M
10M
10M
20M
20M
20M
20M
100M
100M
130M
130M

T
T
T
T
T
T
T
T
T

T

T
T

T
T
T
T

Field-Effect Transistors, see Table on Page 2-78
S N MPS3398
AH
S N MPS3826
AH
S N MPS3827
AH
S N MPS6565 MPS6565 AH
S P 2N3250
2N3250 SH
2N2192
S N 2N2193
SH
2N2192
S N 2N2193
SH
S N
SH
AHP
S N
AHP
S N
AHP
S N
S N
SHP
S N
SHP
2N3838
S ~.p
SM
S N 2N3839
2N3839
AM
S P
SC
S P
SC
S P
SC
S N MPS6512 MPS6512 AM
S N MPS6512 MPS6512 AH
S N MPS6512 MPS6512 AM
S N MPS6512 MPS6512 AM
S N MPS6512 MPS6512 AM
S N MPS6513 MPS6512 AM
AP
S N
AP
S N
AP
S N
AP
S N
S N
SMP
S N
SMP
S N
SMP
S N
SMP
S N MPS6512 MPS6512 AH
S N MPS6512 MPS6512 AM
S N MPS6512 MPS6512 AM
S N MPS6512 MPS6512 AM

0.25W
0.2W
0.2W
0.3W
0.36W
1. OW
1.0W
0.2W

A
A
A
A
A
A
A
A

1. OW
1. OW
0.25W
200M
0.4W
0.3W
0.3W
0.2W
0.2W

A
A
A
A
A
A
A
A
A

200
200
200
200
200
200
200
125
125

30
60
60
40
35
80
70
15
25
25
25
80
100
60
30
50
100
120
30
30

0.2W
0.2W
0.2W
0.2W
4.0W
4.0W
4.0W
4.0W
30W
30W
30W
30W
0.2W
0.2W
0.2W
0.2W

A
A
A
A
A

125
125
125
125
175
175
175
175
200
200
200
200
150
150
150
150

30
30
30
30
300
400
300
400
100
100
60
60
18
30
18
30

A
A
A
C
C
C
C
A
A
A

A

150
150
150
150
200
200
200
200

2-45

35
35
60
60
10
10
10

10
50
30
50
30
35
35
60
60

160
400
200
120
125

40
40

30M
30M
30M
2.0A
2.0A
0.15A
3.0M
0.2M
0.2M
1. OM
2.0M
2.0M

70
70
120
120
60
60
60
60
150
90
150
90
70
70
120
120

2.0M
2.0M
2.0M
2.0M
lOA
lOA
15A
15A
l.OA
l.OA
1.0A
l.OA
2.0M
2.0M
2.0M
2.0M

20K
20K
300

1.8
1.8
0.4

5.0A
5.0A
0.15A

0.1
0.12

5.0M
5.0M
5.0M

0.75
0.75
1.0
l.0
0.25
0.25
0.25
0.25

lOA
lOA
15A
15A
l.OA
l.OA
1.0A
1.0A

2.5
2.5
2.5

E

60

E

50
50
50
50

T

E
E

E
E
E
E

T

T
T
T
T
T
T

•

2N3856-2N3961

TYPE

3

!

i~

:Ii ~

2N3856
2N3856A
2'113857
2N3858
2N3858A
2N3859
2N3859A
2N3860
2N3861
2N3862
2N3863
2N3864
2N3865
2N3866
2N3866A
2N3867
2N3868
2N3869
2N3870
thru
2N3873
2N3876
2N3877
2N3877A
2N3878
2N3879
2N3880
2N3881
2N3882
2N3883
2N3884
thru
2N3899
2N3900
2N3900A
2N3901
2N3902
2N3903
2N3904
2N3905
2N3906
2N3907
2N3908
2N3909,A
2N3910
2N3911
2N3912
2N3913
2N3914
2N3915
2N3916
2N3917
2N3918
2N39r9
2N3920
2N3921
2N3922
2N3923
2N3924
2N3925
2N3926
2N3927
2N3928
2N3929
2N3930
2N3931
2N3932
2N3933

'~N3934

2N3935
2N3936
thru
2N3940
2N3941
2N3942
2N3943
2N3944
2N3945
2N3946
2N3947
2N3948
2N3950
2N3953
2N3954
2N3954,A

~:~m'~
thru

2N3958
2N3959
2N3960
2N3961

S
S
S
S
S
S
S
S
S
S
S
S
5
S
S
S
S
5

N
N
P
N
N
N
N
N
N
N
N
N
N
N
N
P
P
N

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS
REPLACE·
MENT

REF.

USE

MPS6513 MPS6512 AH
MPS6513 MPS6512 AH
A
MPS6512 MPS6512 AH
MPS6566 MPS6565 AH
MPS6513 MPS6512 AH
MPS6566 MP56565 A
MPS6514 MP56512 AH
AP
S
2N930
2N930
2N3713 SP
2N3715
2N5758 SP
2N5758
2N3760
.sl'
2N3866 AHP
A
2N3867
5H
2N3868
mL
AHP

~

Po

TJ

@25·C

';;

...

·C

0.2W
0.2W
0.6W
0.2W
200M
0.2W
200M
0.2W
2.0W
0.36W
117W
117W
117W
5.OW
5.0W
LOW
1.0W
2.5W

A
A
A
A
A
A
A
A
A
A
e
e
e
e
e
A
A
e

150
150
200
125
100
125
100
125
175
200
200
200
200
200

I

hFE @ Ic

Ycs

YCE-

(yoRs)

(yoRs)

18
30
45
30
60
30
60
30
530
50
70
110
160
55
55
40
60
40

18
30
45
30
60
30
60
30
530
20
50
90
150
30
30
40
60
20

140
70
85
120
120
30
60
2-78
25

50
70
85
50
75
15
35

a

15

0

30

18
18
18
400

0
0
0
0

250
250
350
20

500
500
700
100

2.0M
2.0M
2.0M
l.OA

2.5

2.5A

60
40
60
40
40
40
40
40
60
45
60
60
2-78
60
50
60
40
60
30
60
50
60
40
60
30
lS0
150
80
40
80
40
120
60
120
60
2-78
150
150
36
18
36
18
36
18
36
18
80
40
80
40
180
180
180
180
20
30
40
30

0
0
a
0
a
0

50
,100
50
100
60
100

150
300
150
300
300
500

10M
10M
10M
10M
10*
10*

0.2
0.2
0.25
0.25
0.35
0.35

10M
10M
10M
10M
1.0M
l.OM

0
0
0
0
a
0
0
0
0
0
0

40
60
90
40
60

160
240

0.3
0.3
0.3
0.3
0.3
0.3
5.0
1.2
1.2
1.2
1.2

10M
10M
10M
10M
10M
10M
0.15A
LOA
LOA
lOA
lOA

200
200
175

0
0
0
0
0
0
0
0

V

a
a

a
a
a
a
a
a
a

(min) (max)
100
100
50
60
45
100
75
150
30
50
30
30
30
25
40
30
20

300
200
150
90
90
90
200
200
200
150
150

25
20
20
40
12
30

150
250
250
200
100
200

lOA
2.0M
2.0M
0.5A
4.0A
3.0M

200

YCEfSAll@lc

= (volts)

2.0M
2.0M
LaM
2.0M
LaM
2.0M
1.0M
2.0M
25M
10M
3.0A
3.0A
3.0A
50M
0.05A
1.5A
1.5A
30M

10

200
200
200
120

:i

0.1

:i!

hl_

=

10M

45

I'"
E

L

"lit

"C

= 1i

:!!!

=a

140M
140M
4.0M
90M
90M
90M
90M
90M
50M
600M
0.5M
0.5M
0.5M
500
800M
60M
60M
0.4G

T
T
T
T
T
T
T
T
T
T
T
T
T

T
T
T
T

T

1.5
0.25
1.0
1.0
1.0
1.0
1.0
0.75
0.75
0.7

25M
10M
3.0A
3.0A
3.0A
O.LA
O.lA
1.5A
1.5A
0.45A

20

E

1.0

lOA

80

E

50M

T

2.0
1.2

4.0A
4.0A

40

E

50
50

E
E

40M
40M
l.2G
70M

T
T
T
T

100M

T

Thyristors, see Table on Page 2-66
150W e 175
S N 2N6274
AP
0.2W A 150
5 N 2N4410
2N4409 A
0.2W A 150
S N 2N4410
2N4409 A
S N 2N5428
35W e 200
2N5427 AHP
5 N
35W e 200
5P
5 N
AH
0.2W A 200
S N
0.6W A 200
AH
Field-Effect Transistors, see Table on Page
GI P I
12N3883I 5H I 0.3W I A 100

a
a
a
0
0
0

0.2A

1.5

0.15A

0.5

0.2A

Thyristors, see Table on Page 2 .. 66
S
S
5
S

N
N
N
N

2N5088
2N5088
2N5088
2N3902

S N
5 N
5 P
S P
5 N 2N2915
S N 2N2916
Field-Effect
5' P
5 P
5 P
5 P
S P
5 P
5 N
5 N
5 N
5 N
5 N
Field-Effect
5 N
5 N
S N
S N
5 N
5 N
5 N
5 P
S P
S N
5 N
Field-Effect

0.2W A 125
A
0.2W A 125
A
0.2W A 125
A
lOOW e 150
SP
O.31W A 135
2N3903 SH
5H
0.31W
A 135
2N3903
0.31W A 13~
2N3905 SH
0.3lW A 135
2N3905 5H
0.3W A 200
2N2913 AM
2N2913 AM
0.3W A 200
Transistors. see Table on Page,
0.5W A 200
se
0.5W A 200
se
0.5W A 200
se
5e
0.4W A 200
se
0.4W A 200
0.4W A 200
5e
AP
S.OW e 150
AP
20W e 150
AP
20W e 150
SP
15W e 150
15W e 150
SP
Transistors, see Table on Page
AH
0.8W A 200
2N3924 AHP
7.OW e 200
lOW e 200
2N3924 AHP
2N3924 AHP 11~6W e 200
2N3924 AHP 23.2W e 200
5HP
7.5W e 175
SHP
30W e 175
A
0.4W A 200
A
0.7W A 200
0.2W A 200
AH
0.2W A 200
AH
Transistors, see Table on Page
2N5088
2N5088
2N5088
2N3902

18
18
18
400

0
0

a
a
a
a
a
a
a
a
a

31>
100
40
100

200
120
300
120
300

LaM
1.0M
1. OM
LaM
1. OM
l.OM
0.15A
LOA
1.0A
2.0A
2.0A

30

120

25M

1.0

25M

20
20
80
80
40
60

300
300
300
300
150
200

1.5A
1.5A
10M
10M
2.0M
2.0M

5.0
5.0
0.25
0.25

1.5A
1.5A
10M
10M

48

160
240

170
170
350

E
E
E

50
100
50
100

E
E
E
E

30
15
30

E
E
E

20

E

100
100
50
60

E
E
E
E

300
300
300
300

E
E
E
E

50
100

E
E

40

E

13
16

E
E

40K

E

250M
300M
200M
250M
60M
60M

T
T
T
T
T

4.0M
8.0M
10M
4.0M
8.0M
10M
50M
50M
50M
80M
80M

T
T
T
T
T
T
T
T
T
T
T

40M
250M
250M
250M
200M
200M
200M
40M
40M
750M
750M

T
T
T
T
T
T
T

T

T
T
T
T

2-78

Thyristors, see Table on Page 2-66
S
5
S
5
S
S
5
5
5
5

N
N
N
N
N
N
N
N
N
N

2N5334

2N5334
2N3946
2N3946
2N3948
2N3950

AM
AM
AM
AM
S
SH
SH
AHP
AHP
AH

0.75W
0.75W
0.5W
0.5W
5.OW
360M
360M
LOW
70W
0.2W

e
e
e
e
e
A
A
A
e
A

200
200
200
200
200
200
200
200
200
200

60
60
60
60
70
60
60
36
65
15

45
45
45
45
50
40
40
20
35
12

0
0
0

a
a
a
a
a
a

400
400
400
400
40
50
100
15

250
150
300

10*
10*
10*
10*
0.15A
10M
10M
50M

a

30

360

2.0M

a
a

40
40

200
200

10M
10M

0.5
0.3
0.3

0.15A
50M
50M

200M
200M
200M
200M
60M
250M
300M
700M
150M
1.3G

T
T

T
T
T
T
T
T
T
T

Field-Effect Transistors, see Table on Page 2-78

il:1

12N395~
2N3959
2N3375

I ,I
5H
SH
AHP

400M
lOW

11J I I
A
e

200
200

20
65

12
12
40

2-46

0

0.3
0.3

30M
30M

1.3G
l.6G
400M

T
T
T

2N3962-2N4059

....

TYPE

... ~
!C S

:s
a:

0

::IE a..

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS
REPLACE·
MENT

2N3962
2N3963
2N3964
2N3965
2N3966
thru
2N3972
2N3973
2N3974
2N3975
2N3976
2N3977

S
S
S
S

S
S
S
S
S

N
N
N
N
P

2N3978
2N3979
2N3980
2N3981
2N3982
2N3983
2N3984
2N3985
2N3986
thru
2N3992
2N3993
2N3994
2N3995
2N3996
2N3997
2N3998
2N3999
2N4000
2N4001
2N4002
2N4003
2N4004
2N4005
2N4006
2N4007
2N4008
2N4009
2N4010
2N4011
2N4012
2N4013
2N4014
2N4015
2N4016
2N4017
2N4018
2N4019
2N4020
2N4021
2N4022
2N4023
2N4024
2N4025
2N4026
2N4027
2N4028
2N4029
2N4030
2N4031
2N4032
2N4033
2N4034
2N4035
2N4036
2N4037
2N4038
2N4039
2N4040
2N4041
2N4042
2N4043
2N4044
2N4045
2N4046
2N4047
2N4048
2N4049
2N4050
2N4051
2N4052
2N4053
2N4054
2N4055
2N4056
2N4057
2N4058
2N4059

S
S

P

S
S
S
S

N 2N2218
N
N
N

REF.

USE

Po

1;;

~

1D
@25'C a:

P
P
P
P

A
A
A
A

0.36W
0.36W
0.36W
0.36W

A
A
A
A

TJ
'C
200
200
200
200

VCB

VCE_

(volts) (volts)
60
80
45
60

60
80
45
60

f
'"

hA;

@ic

(min) (max)

VCEISAT1@l c

~ (volts)

==

=i==

h,_
100
100
250
250

0
0
0
0

100
100
250
250

300
300
500
500

10*
10*
10*
10*

0.25
0.25
0.25
0.25

10M
10M
10M
10M

100
200
100
200

10M
10M
10M
10M
5.0M

0.3
0.3
0.3
0.3
0.1

5.0M
5.0M

.!'
~
.'Jl

L

:i
==

I

.'Jl

40M
40M
50M
50M

T
T
T
T

0.15A
0.15A
0.15A
0.15A
5.0M

200M
200M
200M
200M
l.OM

T
T
T
T
T

0.15
0.15

5.0M
5.0M

l.OM
l.OM

T
T

0.4
0.4

0.15A
0.15A

250M
250M
500M
400M
300M

T
T
T
T
T

0.25
0.25
0.25
0.25
0.3
0.3

l.OA
l.OA
l.OA
l.OA
0.5A
0.5A

0.6G
40M
40M
40M
40M
40M
40M
30M
30M
30M
30M
20M
15M
15M

T
T
T
T
T
T
T
B
B
B
B

E
E
E
E

Field-Effect Transistors, see Table on Page 2-78

2N4400
2N4401
2N4400
2N4401

2N4400
2N4400
2N4400
2N4400

p

S
S
S
S
SC

0.36W
0.36W
0.36W
0.36W
0.4W

A
A
A
A
A

150
150
150
150
200

60
60
60
60
15

30
30
30
30
10

0
0
0
0
0

35
55
35
55
40

SC
SC

0.4W
0.4W

A
A

200
200

20
35

0
0

30
20

SH
AH
AH
AI!

0.8W
O. 2W
O. 2W
0.2W

A 200
AlSO
AlSO
A 150

25
40
2-86
60
50
30
30
30

30
20
12
12
12

0
0
0
0
0

30
40
30
20
20

120
140

0.15A
0.15A
4.0M
4.0M
4.0M

12
80
80
80
80
80
100
80
100
80
100
6.0
15
30

0
0
0
0
0
0
0
0
0
0
0
0
0
0

40
40
80
40
80
30
40
20
20
30
30

200
120
240
120
240
120
120
80
80
150
150

2.0M
l.OA
l.OA
l.OA
l.OA
0.5A
0.5A
15A
15A
lOA
lOA

40
40
50
60
60
80
60
45
45
60
60
45
60
60
60
80
60
80
60
80
60
80
40
40
65
40

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

4.0

40
40
60
45
60
45
30
50
30
45
60
30
45
60
300
250
200
150
30
30

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

TT,:i;;',11:lmr..··j '~~i:1 ": '~:ro
2N2218

Thyristors, see Table on Page 2-66
Field-Effect
Field-Effect
G P 2N2929
S N 2N5346
S N 2N5346
S N 2N5477
S N 2N5478
S N 2N3019
S N 2N3500
S N MJ7000
S N MJ7000
S N
S N
S P
S P
S P
Matched Pair
Matched Pair
Matched Pair
S N
S N
S N
S P
S P
S P
S P
S P
S P
S P
S P
S P
S P
S P
S P
S P
S P
S P
S P
S P
S P
S P
S p
S P
S P MM4036
S P MM4037
Field-Effect

S
S
S
S
S
S
S
S
G
G
G
G
G
G
S
S
S
S
S
S

N
N
N
N
N
N
N
N
P
P
P
P
P
P
N
N
N
N
P
P

Transistor~,

see Table on
see Table on
0.3W A
AH
2.0W A
SHP
SHP
2.0W A
2.0W A
SHP
2.0W A
SHP
l.OW A
SHP
l.OW A
SHP
4.0W A
AP
4.0W A
AP
l.2W A
AP
l.2W A
AP
A
400M A
S
400M A
S
400M A

Page 2-78
Page 2-78
100
20
200
100
200
100
200
100
200
100
200
100
200
120
100
120
100
120
200
10
200
20
200
35

AP
SH
SH

C
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
on

200
65
200
60
200
80
200
60
200
60
200
80
200
60
200
45
200
45
200
60
200
60
200
45
200
60
200
60
200
60
200
80
200
60
200
80
200
60
200
80
200
60
200
80
200
40
200
40
200
90
60
200
Page 2-78

C
C
C
C
C
C
A
A
C
C
C
C
C
C
C
C
C
C
A
A

200
200
200
200
200
200
200
200
110
110
110
110
110
110
150
150
150
150
150
150

Transistor~,

2N2929
2N5346
2N5346
2N5346
2N5346
2N3019
2N3498
MJ7000
MJ7000

2N4006
2N4007
2N4008
2N4012

AM
AM

A
A
A
AM
AM

AM
AM
AM
AM

A
A
A
A
A
A
A
A
SH
SH
S
S
Transistors,
AHP
AHP
AM
AM
AM

2N3052
2N2193

MJ400
MJ400
MJ400
MJ400
MPS6522
MPS6516

2N3052
2N2192
2N4048
2N4048
2N4048
2N4048
2N4048
2N4048
MJ400
MJ400
MJ400
MJ400
MPS6516
MPS6516

AM
SH
SH
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
A
A

11.6W
360M
360M
0.4W
0.4W
600M
400M
400M
0.4W
0.4W
0.4W
0.4W
0.4W
0.4W
0.5W
0.5W
0.5W
0.5W
0.8W
0.8W
0.8W
0.8W
0.36W
0.36W
7. OW
l.OW
see Table

17.5W
lOW
0.3W
0.3W
0.4W
0.4W
800M
800M
l70W
l70W
170W
l70W
170W
l70W
6.25W
6.25W
6.25W
6.25W
0.25W
0.25W

60
60
60
45
60
45
50
80
45
60
75
45
60
75
300
250
200
150
30
30

2-47

135
135
100

40
150
150
350
350
500

l.OA
100M
100M
l.OM
l.OM
l.OM

250
100
250
250
100
250
40
40
100
100
40
40
100
100
70
150
40
50

500
350
500
500
350
500
120
120
300
300
120
120
300
300
200
300
140
250

10
10
200
80
200
80

80
80
600
800
600
800
150
150
180
180
180
240
240
240
90
90
90
90
400
660

60
60
60
120
120
120
30
30
30
30
100
45

l.0

0.25A

0.25
0.25

50M
50M

10*
10*
10*
10*
10*
10*
O.lA
O.lA
O.lA
O.lA
O.lA
O.lA
O.lA
O.lA
10M
10M
0.15A
0.15A

0.25
0.25
0.25
0.25
0.25
0.25
l.0
0.5
l.0
0.5
l.0
0.5
l.0
0.5
0.13
0.13

10M
10M
10M
10M
10M
10M
l.OA
0.5A
l.OA
0.5A
l.OA
0.5A
l.OA
0.5A
l.OM
l.OM

O.lA
75M
10*
10*
10*
10*
100M
100M
15A
15A
15A
15A
15A
15A
50M
50M
50M
50M
O.lM
l.OM

2.0
2.0
0.35
0.35
0.35
0.35

l.OA
0.5A
l.OM
l.OM
l.OM
l.OM

0.30
0.30
0.30
0.30
0.30
0.30
5.0
5.0
5.0
5.0
0.7
0.7

60A
60A
60A
60A
60A
60A
75M
75M
75M
75M
10M
10M

150

E

30
30

E
E

40
30
20

E
E
E

135
135

E
E

100
250
250
100
250
250
100
250

E
E
E
E
E
E
E
E

50
150

100
45

E
E

E
E

T
T
T

400M
300M
300M
200M
200M
40M
7.0M
50M
50M
40M
50M
50M
40M
50M
100M
100M
150M
150M
100M
100M
150M
150M
400M
45 OM
60M
60M

T
T
T
T
T
T

400M
400M
200M
150M
200M
150M
250M
250M
2.0K
2.0K
2.0K
2.0K
2.0K
2.0K
15M
15M
15M
15M

T
T
T
T

E
T
T
T
T
T
T
T
T
T
T
T

T
T
T
T
T
T
T
T

T
T
T
T
E
E
E
E
E
E
T
T
T
T

•

2N4060-2N4227
MAXIMUM RATINGS
REPLACE·
MENT

REF.

MPS6516
MPS6517
MPS6518
2N3439
2N3440

MPS6516
MPS6516
MPS6516
2N3439
2N3439

TYPE
2N4060
2N4061
2N4062
2N4063
2N4064
2N4065

thru

•

2N4067
2N4068
2N4069
2N4070
2N4072
2N4073
2N4074
2N4075
2N4076
2N4080
2N4081
2N4082
thru
2N4085
2N4086
2N4087
2N4087A
2N4088

thru

2N409?
2N4096
thru
2N4098
2N4099
2N4100
2N4101

thru
2N4103
2N4104
2N4106
2N4108
thru
2N4110
2N4111
2N4112
2N4113
2N4114
2N4115
2N4116
2N4117,A

thru
2N4120,A
2N4121
2N4122
2N4123
2N4124
2N4125
2N4126
2N4127
2N4128
2N4130
2N4131
2N4132
2N4133
2N4134
2N4135
2N4136
2N4137
2N4138
2N4139
2N4140
2N4141
2N4142
2N4143
2N4144

thru
2N4149
2N4150
2N415J

thru
2N4204
2N4207
2N4208
2N4209
2N4210
2N4211
2N4212

thru

S
S
S
S
S

P
P
P
N
N

ELECTRICAL CHARACTERISTICS

VCE _ S.
hFE @ Ie
i;l
(volts) (volts) ti (min) (max)
VeB

USE
A
A
A
AP
AP

0.25W
0.25W
0.25W
lOW
lOW

A
A
A

C
C

150
150
150
200
200

30
30
30
450

300

30
30
30
350
250

o
a
o
o

150
150
80
20
20
40
80
80
15
40

a

30

a
a

0

30

0

165
330
660
160
160

l.OM
l.OM
l.OM
0.02A
0.02A

40
10
10

120

30M
0.03A
5.0A
25A
25A

90
150

0

30
50
20
40

12
12
12

0
0
0

150
250
250

175
175

a

45

VeElsATI@le

:§

90
180
40
40

(volts)
0.7
0.7
0.7

~
10M
10M
10M

45
90
180

E
E
E
15M
15M

E
E

50M
50M
10M
550M
550M

T

Field-Effect Transistors, see Table on Page 2-78

S
S
S
S

N
N
N
N

S

N

S

AH
AP
AP
AHP
AHP
AH
AP
AP
AHP
AH

2N3448 2N3445
2N40n
2N40n

N

S

N

S

N

S

P

S

N

2N3764 2N3762

500M
l.OW
115W
l. 5W
l.5W
400M
30W
30W
300M
200M

A
C
C
C
C
A
C
C
A
A

175
175
200
200
200
175
200
200
200

150
150
100
40
40
40
20
40

0.68
l.5

5.0A

a
0

0
0

40

400

E

T

T
T

T

E

180

3.0M
2.0M

40

E

300
500
500

2.0M
2.0M
2.0M

150
250
250

E
E
E

30M
30M
l.OG
600M

T
T

T
T

Field-Effect Transistors, see Table on Page 2-8
5

S
S

II
N
N
N

MPS65141MPS65121 A
MPS6515 MPS6512 A
MPS6515 MPS6512 A

200M
200M
200M

I AAI

121
12
12

A

Field-Effect Transistors, see Table on Page 2-78
Thyristors, see Table on Page 2-66

I I
AM
AM

300M
400M

I

A
A

200
200

55
55

55
55

0

A
A

175

60
25

60

0

100
100
120
120
120
120

60
60
80
80
80
80

0
0
0
0
0
0

40

0

70

90
90
90

40
30
25
30
25
40
40
65
80
80
80

0
0
0
0
0
0
0
0
0

150
50
120
50
120
10
10
10
10

0

10

0

10

30

30

30

30

0
0

40

40

0

30

30

0

30
30
40

0
0
0

40

0

100

80

0

6.0
12
15
80
100

6.0

0

12

0

15
60
80

0
0

0

l.OM
l.OM

150M
150M

T

540M

T

70M
80M
70M
80M
70M
80M

T
T
T
E
E
E

T

Thyristors, see Table on Page 2-66
300M
l.6W

I

70

350

5.0M

40
100
40
100
40
100

120
300
120
300
120
300

2.0A
2.0A
2.0A
2.0A
2.0A
2.0A

150
360
150
360
80
80
60
80
80

10M
2.0M
2.0M
2. OM
2.0M
0.2A
0.2A
2.0A
l.OA
0.2A
0.2A

1400! E

Thyristors, see Table on Page 2-66
S
S
S
S
S

N 2N5428
N
N 2N5428
N
N 2N5428

S

N

2N5427

30W
30W
30W
3.0W
37W
37W

AP
AP
AP
AP
AP
AP

2N5427
2N5427

C

C
C
A
C
C

Field-Effect Transistors, see Table on Page 2-78
S
S
S
S
S
S

P
P
N
N
P
P

S
S

N
N

S

N

S
S
S
S
S

Iii

N
N
N
N

Pair

AH

200M

A

125

AH
SH
SH
SH
SH
AP
AP
2N5869
2N5867
AP
AP
AHP
AHP
AH
AH
of 2N2430 and 2N2431

200M
310M
310M
310M
310M
25W
40W
120W
60W
7.5W
3.0W
200M
200M

A
A
A
A
A
C

125
135
135
135
135

2N3905
2N3906

2N3905
2N3905
2N4123
2N4123
2N4125
2N4125

C
C
C
C

C
A
A

175
175
200
200

iUl-Effect LnsistU~ see ~~~~)on~g~ge
~ IgI ~g~~g~ I~gttgg I!~ I ~gg~ I! m
S

P

S

P

2N4402 2N4402
2N4403 2N4402

AH
AH

300M
300M

A
A

125
125

40
40
40
30
30
25
60
60
80

2-78
60
60
60
60

10M

80

0.3
0.3
0.4

0.4

0.5
0.5

50M
50M
50M
50M

50

E

400M

T

150
50
120
50
120

E
E
E
E
E

450M
250M
300M
200M
250M
300M
200M
l. 25M
150M
200M
200M
350M
425M

T

0,6A
0.6A
200
200

40
50

120

10M

E
E

T

T
T
T
T

T
E
E

T
T
T
T

1. OM

500M
20M

T
T

120
300
120
300

150M
150M
150M
150M

250M
250M
200M
200M

T

40

120

5.0A

15M

T

50
30
50
20
20

120

10M
10M
10M
lOA
lOA

650M
700M
850M
10M
10M

T

120
120
100
100

T
T

40
40

150
150
150

l.OA
l.OA
150M

150M
150M
250M

T
T
T

T

T
T

Thyristors, see Table on Page 2-66
SiN

I

2N533712N5336

I

AP

I

5W

Thyristors, see Table on Page

II !I
S

MJ7000
N MJ7000

I

MJ7000
MJ7000

!;

I I
AP

IC

2 .. 66

I

~gg~ ~A 200
~gg

300M
100W
100W

C
C

0

T
T

Thyristors, see Table on Page 2-66

2N4219
2N4220,A
thru
Field-Effect Transistors, see Table on Page 2-78
2N4224,A
2N4225
AP
OW I C
C! I
I 100:
2N4226
SI N
N I 2N5334:
2N5334 12N5334I
2N5334
AP I 5.
5. OW
200 I
2N4227
2N4400 2N4400
S
300M A 125
S N
60

S~

2-48

40
60
30

0
0

0

2N4228-2N4319

....
TYPE

...

~ ~

~

.,::s

:IE a..
2N4228
2N4231
2N4232
2N4233
2N4234
2N4235
2N4236
2N4237
2N4238
2N4239
2N4240
2N4241
2N4242
2N4243
2N4244
2N4245
2N4246
2N4247
2N4248
2N4249
2N4250
2N4251
2N4252
2N4253
2N4254

S
S
S
S
S
S
S
S
S
S
S
G
G
G
G
G
G
G
S
S
S
S
S
S
S

P
N
N
N
P
P
P
P
P
P
N
P
P
P
P
P
P
P
P
P
P
N
N
N
N

2N4255
2N4256
2N4257
2N4275A
2N4258
2N4258A
2N4259
2N4260
2N4261
2N4262
2N4263
2N4264
2N4265

S
S
S
S
S
S
S
S
S
S
S
S
S

N
N
P
P
P
P
N
P
P
N
N
N
P

MAXIMUM RATINGS
REPLACE·
MENT
2N4402
2N4231
2N4232
2N4233
2N4234
2N4235
2N4236
2N4327
2N4328
2N4329
2N4240

2N5086
2N5086
2N5087

MPS6547
MPS6547
2N3904

~

Po

USE

REF.

@25·C ~

3~~~ ~

AH
AP
AP
AP
SP
SP
SP
AP
AP
AP
AP
A
AP
AP
AP
AP
AP
AP
2N5086 A
2N5086 A
2N5086 A
S
AH
AH
MPS6546 A
MPS6546 A
2N3903 A
SH
SH
SH
SH
AH
2N4260 SH
2N4260 SH
AP
AP
2N4264 S
2N4264 SH
2N4402
2N4231
2N4231
2N4231
2N4234
2N4234
2N4234
2N4237
2N4237
2N4237

35W
351'1
l.OW
l.OW
l.OW
5.0W
5.0W
5.0W
35W
37.51'1
1051'1
1051'1
1051'1
1051'1
1051'1
1051'1
200M
200M
200M
250M
200M
200M
200M

C
C
A
A
A
C
C
C
C
C
A
A
A
A
A
A
A
A
A
A
A
A
A

200M
200M
200M
0.51'1
200M
0.51'1
175M
200M
200M
l.5W
l.5W
310M
310M

A
A
A
C
A
C
A
A
A
C
C
A
A

TJ
·C
125
200
200
200
200
200
200
175
175
175

125
125
125
200
175
175
175
175
125
125
125
125
175
200
200
135
135

VCB
(volts)

ELECTRICAL CHARACTERISTICS
VCE _

!

hFE @ Ic

(volts) O! (min) (max)

60

40
60
80
50
80
100
440
32
80
60
40
80
60
40
40
60
40
15
30
30
30

40 0
40 0
60 0
80 0
40 0
60 0
80 0
400
60 0
60 0
300 0
20 0
600
45 0
30 0
600
45 0
30 0
40 0
60 0
40 0
100
18 0
18 0
18 0

30
30
6.0
6.0
12
12
40
15
5.0
25
25
30
30

18 0
30 S
6.0 0
6.0 0
12 0
12 0
30 0
15 0
15 0
100
10 0
15 0
12 0

30
30
75
75
40
100

140 0
140 0
1400
140 0
140 0
12 0
15 0
200
200
300

20
20
20
18
18
60
120
60

25
25
25
30
30
30
40
40
40
30
00
40
40
40
60
60
60

150
100
100
100
150
150
150
160
160
160
240
300
80
80
80
120
120
120

·i
::0

150M
l.5A
l.5A
l.5A
250M
250M
250M
500M
500M
500M
0.75A
300M
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A

VCEISAn@lc
(volts)

:i

h,_

::0

0.7
0.7
0.7
0.6
0.6
0.6
2.5
2.5
2.5

1.5A
l.5A
1. SA
l.OA
l.OA
l.OA
l.OA
l.OA
l.OA

0.35

5.0A

40
40
40

50
100
250

E
E
E

E
E
E

10M
2.0M
2.0M
2.0M

100
50
30
50
30
30
30
30
30

I'"

500
120
120
120
120
150
150
160
400

2.0M
2.0M
10M
10M
10M
10M
10M
15A
0.3A
0.3A
10M
15A

L

-;;:.

~
::0

"C

!ll

a

200M
l.OM
l.OM
l.OM
3.0M
3.0M
3.0M
10M
2.0M
2.OM
2.0M

T
T
T
T
T
T
T
T
T
T
T

500K
500K
500K
500K
500K
500K
40M
40M
40M
1300M
600M
600M

T
T
T
T
T
T
T
T
T
T
T
T

500M
500M
700M
500M

T
T
T
T
T
T
T
T
T
T

0.15

10M

0.15

10M

15
15

10M
10M

0.22

10M

1500M
2000M
600M
800M
300M
300M

0.15
0.15
0.15

15A
15A
15A

20M
10M
10M
400M
400M
2.0K
2.0K
2.0K

T
T
T
T
T
E
E
E

0.15
0.15
0.15
0.15
0.15

15A
15A
15A
15A
15A

2.0K
2.0K
2.0K
2.0K
2.0K

E
E
E
E
E

70
16
20

E
E
E

2N4267} Field-Effect Transistors,
see Table on Page 2-78
2N4268
2N4269
2N4270
2N4271
2N4272
2N4273
2N4274
2N4275
2N4276
2N4277
2N4278
2N4279
2N4280
2N4281
2N4282
2N4283
2N4284
2N4285
2N4286
2N4287
2N4288
2N4289
2N4290
2N4291
2N4292
2N4293
2N4294
2N4295
2N4296
2N4297
2N4298
2N4299
2N4300
2N4301
2N4302

2~~~~4

2N4305
2N4306
2N4307
2N4308
2N4309
2N4310
2N4311
2N4312
2N4313
2N4314
2N4316
thru
2N4319

S
S
S
S
S
S
S

G
G
G
G
G
G
G
G
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
P
P
P
P
P
P
P
P
P
P
N
N
P
P
P
P
N
N
N
N
N
N
N
N
N
N

A
A
AP
AP
AP
SH
SH
2N4276 AP
2N4276 AP
2N4276 AP
2N4276 AP
2N4276 AP
2N4276 AP
2N4276 AP
2N4276 AP
A
A
MPS6515
A
MPS6566
A
MPS6518 MPS6516 A
2N5086
A
MPS6533 MPS6530 A
MPS6534 MPS6530 A
MPS918 MPS91B A
MPS918 MPS918 A
2N4264 2N4264 SH
2N4264 2N4264 SH
2N3738 2N3738 SP
2N3738 2N3738 AP
2N3739 2N3739 AP
2N3739 2N3739 AP
2N5336 2N5336 AP
2N5477 2N5477 AP
2N5682 2N5681
2N5682 2N5681

360M
580M
5.01'1
5.0W
25W
280M
280M
170W
170W
170W

A
A
C
C
C
A
A
C
C
C

200
200

125
125
110
110
110

200
200
175
175
175
30
40
30
30
45

170W
170W
170W
170W
170W
250M
250M
250M
250M
250M
250M
250M

C
C
C
C
C
A
A
A
A
A
A
A

110
110
110
110
110
165
165
150
150
150
150
150

45
60
60
75
75
25
35
30
45
30
60
30

300
45 0
45 0
60 0
60 0
25 0
35 0
25 0
45 0
25 0
45 0
20 0

250M
200M
200M
200M
200M
20W
20W
20W
20W
15W
SOW

A
A
A
A
A
C
C
C
C
C
C

150
150
150
150
150

40
30
30
30
40
350
350
500
500
100
100

30 0
15 0
15 0
12 0
15 0
250 0
250 0
350 0
350 0
80 0
800

30
40
50
75
25
50
30
30

120
120
150
300
75
150
120
120

80 0
80 0
60 0
60 0
80 0
800
60 0
60 0
12 0
65 0

50
50
50
50
50
40
40
40
30
50

150
150
150
150
150
120
120
120
120
250

120
60
120
60
120

180
240
180

10M
10M
0.2A
LOA
l.OA
100M
100M
15A
15A
15A

240
180
240
180
240
600
600

15A
15A
15A
15A
15A
l.OM
l.OM

200
200
140
140
140

600
600
600
600
600

E
E
E
E
E

40M
40M
40M
40M
40M

T
T
T
T
T

600

E

60

E

10M
10M
0.05A
0.05A
0.05A
0.05A
l.OA
5.0A

40M
600M
600M
400M
500M
20M
20M
20M
20M
30M
40M

T
T
T
T
T
T
T
T
T
T
T

l.OA
l.OA
l.OA
l.OA
l.OA
l.OA
l.OA
l.OA
30M
0.15A

700M
200M

T
T

3.0M

20

Field-Effect Transistors, see Table on Page 2-78
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
P
P

AP
AP
AP
AP
AP
AP
AP
AP
SC
AP

2N5337 2N5336
2N5337 2N5336
2N5337 2N5336
2N5337 2N5336

l.5W
4.0W
1.5W
4.0W
l.5W
4.0W
l.5W
4.0W
200M
l.OW

A
A
A
A
A
A
A
A
A
A

125

120
120
100
100
120
120
100
100
12
90

Thyristors, see Table on Page 2-66

I I

I

I

I

I

2-49

•

2N4338·2N4450
MAXIMUM RATINGS

~

TYPE

>:s li2
ffi

!;;:
::IE

•

2N4338
thru
2N4343
2N4346
2N4347
2N4348
2N4350
2N4351
2N4352
2N4353
2N4354
2N4355
2N4356
2N4357
2N435B
2N4359
2N4360
2N4361
thru
2N43BO
2N4381
2N4382
2N4383
2N4384
2N4385
2N4386
2N4387
2N438B
2N4389
2N4390
2N4391
thru
2N4393
2N4395
2N4396
2N4397

:s

...
0

REPLACE·
MENT

S
S
S

N
N
N

;f

VCE _

@25"C &l

"C

(volts)

(volts)

I
V)

(min) (max)

I

~

I'"

2.0A
5.0A
0.35A

300M

T

0.1M
O.lM

500M
500M

T
T

500M
40M
40M

T
T
T

120M
120M
120M
120M

T
T
T
T

50M

T

4M
4M
600M

T
T
T

4M
4M
200M
250M
150M
200M
200M
200M
150M
150M

T
T
T
T
T
T
T
T
T
T

400M
100M
20M
100M
20M
100M
20M
100M
20M

T
T
T
T
T
T
T
T
T

500M
400M
350M
300M
350M
400M

T
T
T
T
T
T

500M
700M
700M
600M
600M

T

hFE @ Ic

·i
::>

VCE{sAn@lc
(volts)

~

hf _

::>

V)

L

::>

5.0W
100W
120W
7.0W

A
C
C
C

60
120
120
40

0
0
0
0

15
15
10

60
60

0
0

25
60

80
240
240
45

0
0
0
0

25
80
80
50

30
30
30
30
40
60
12
120

0
0
0
0
0
0
0
0

25
25
30
20

100
100
180

60
80
40

40
60
40

0
0
0

50
40
40

170
170
180

2.0A
2.0A
2.0M

40
60
40
40
40
40
80
80
80
80
50
80

0
0
0
0
0
0
0
0
0
0
0
0

15
15
50
100
50
100
40
100
30
80
60
60

60
60
150
300
150
300
120
300
120
240
400
400

15A
15A
150M
150M
150M
150M
150M
150M
500M
500M
1. OM
1. OM

12
30
60
30
60
30
60
30.
60

0
0
0
0
0
0
0
0
0

40

40
30
40
30
40

40
30
30
25
30
40
180
10
20
20
20
20
40
80
40
100
40
100
10

2M
200
O.lA
200 0.05A
200 0.05A
200
O.lA
200
O.lA
130
6.0M
150
6.0M
120
150M
300
150M
120
50M
240
50M
200 0.125A

40
75

10M
10M

140
140
65

60
60
200

Field-Effect Transistors) see Table on Page 2·78
A
350M A 125
60
A
60
350M A 125
A
P
S
350M A 125
80
A
S P
400M A 200
240
A
S P
400M A 200
240
A
S P
360M A 200
45
Field-Effect Transistors, see Table on Page 2·78
S
S

P
P

Thyristors, see Table on Page

2~6!
I

300
300
600

O.lM
10M
10M
1. OM

0.5
0.5
0.25

10M
10M
10M

100
100
50

E
E
E

1000
1000
1000
1000

E
E
E
E

4.0

E

I

I I

Field-Effect Transistors, see Table on Page 2·78
S
S
S
S
S
S
S
S

N
N
N
N
P
P
P
N

AH
AH
AH
AH
2N3740
2N3740

2N3740
2N3740
SH
S

800M
500M
BOOM
500M
20W
20W
200M
500M

A
A
A
A
A
A
A
A

200
200
200
200
200
200
125
175

40
40
40
40
40
60
12
120

500M
500M
10M
2.0M

0.15

10M

Field-Effect Transistors, see Table on Page 2-78
2N3715
2N3715

2N4398
2N4399
2N4400
2N4401
2N4402
2N4403
2N4404
2N4405
2N4406
2N4407
2N4409
2N4410

S
S
S
S
S
S
S
S
S
S
S
S

P
P
N
N
P
P
P
P
P
P
N
N

2N4398
2N4399

2N4411
2N4412
2N4412A
2N4413
2N4413A
2N4414
2N4414A
2N4415
2N4415A
2N4416
2N4416A
2N4417

S
S
S
S
S
S
S
S
S

P
N
P
P
P
P
P
P
P

thru

ELECTRICAL CHARACTERISTICS

Vcs

AP
AP
AP
AP

2N4347
2N4348

N
N
N

2N4448
2N4449
2N4450

~

TJ

Po

USE

Field-Effect Transistors, see Table on Page 2·78

S
S
S

2N4418
2N4419
2N4420
2N4421
2N4422
2N4423
2N4424
2N4425
2N4427
2N4428
2N4429
2N4430
2N4431
2N4432
2N4432A
2N4436
2N4437
2N4438
2N4439
2!l4440
2N4441
thru
2N4444
2N4445

REF.

2N3713
2N3713
2N4398
2N4398
2N4400
2N4400
2N4402
2N4402
2N4404
2N4404
2N4406
2N4406
2N4409
2N4409

AP
AP
AM
AP
AP
SH
SH
SH
SH
A
A
A
A
S
S

62.5W
62.5W
200M

C
C
A

200W
200W
310M
310M
310M
310M
5.0W
5.0W
5.0W
5.0W
310M
310M

C
C
A
A
A
A
C
C
C
C
A
A

200
200
135
135
135
135

135
135

40
60
60
60
40
40
80
80
80
80
80
120

S
AH
AH
AH
AH
AH
AH
AH
AH

150M
600M
600M
400M
400M
600M
600M
400M
400M

A
A
A
A
A
A
A
A
A

200
200
200
200
200
200
200
200
200

15
40
60
40
60
40
60
40
60

1.0
1.0
0.4
0.4
0.4
0.4
0.15
0.15
0.2
0.2
0.2
0.2

15A
15A
150M
150M
150M
150M
10M
10M
150M
150M
1. OM
1. OM

40

E

40
40
20
40
30
60

E
E
E
E
E
E

1000
120
1000
120
1000
100
1000
100

E
E
E
E
E
E
E
E

0.5M

Field-Effect Transistors, see Table on Page 2·78
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N

2N4264
2N4264
MPS3646
MPS3646
MPS3646
MPS3640
MPS3711

2N4264
2N4264
MPS3646
MPS3646
MPS3646
MPS3646
MPS3707
2N4427
2N4428

S
S
S
S
S
S
S
S
AP
AP
AP
AP
AP
AH
AH
AHP
AHP
A
A
AP

250M
250M
250M
250M
250M
250M
360M
560M
3.5W
3.5W
5.0W
lOW
18W
600M
600M
200M
200M
LOW
1.0W
11.6W

A
A
A
A
A
A
A
A
C
C
C
C
C
A
A
A
A
A
A
C

125
125
125
125
125
125
150
150

125
125
200
200

40
30
40
30
40

12

12

40
40
40
55
55
55
55
50
50
60
60
300
300
65

40
40
20
35
35
40
40
30
30
30
30
300
300
40

S
S
S
S
S
S
0
0
0
0
0
0
0
0
0
0
0
0
0
0

40
30

0
0

120
120
120
150

10M
10M
30M
30M
30M
30M
180

45
90

E

E
E

T
T
T
T

0.22
0.22
1.0
1.0

150M
150M
100M
100M

250M
250M
30M
30M
400M

T

0.18
0.22

10M
150M

500M
250M

T
T

T

T
T
T

Thyristors, see Table on Page 2-66

:r:r

I
ffect rnsistF~ rO~::TAT

S

N

SH

O. 3W

C

pagi2.781
200
60

2-50

2N44512N4942
TYPE

~~

::E

2N4451
2N4452
2N4453
2N4576
2N4851
thru
2N4853
2N4854
2N4855
2N4856
thru

2N4861
2N4862
2N4863
2N4864
2N4865
2N4866
2N4867,A
thru
2N4869,A
2N4870
2N4871
2N48n
2N4873
2N4874
2N4875
2N4876
2N4877
2N4878
2N4879
2N4880
2N4881
thru

2N4886
2N4888
2N4889
2N4890
2N489l
thru
2N4894
2N4895
2N4896
2N4897
2N4898
2N4899
2N4900
2N4901
2N4902
2N4903
2N4904
2N4905
2N4906
2N4907
2N4908
2N4909
2N4910
2N4911
2N4912
2N4913
2N4914
2N4915
2N4916
2N4917
2N4918
2N4919
2N4920
2N4921
2N4922
2N4923
2N4924
2N4925
2N4926
2N4927
2N4928
2N4929
2N4930
2N4931
2N4932
2N4933
2N4934
2N4935
2N4936
2N4937
2N4938
2N4939
2N4940
2N4941
2N4942

S
S
S
S

S
e

a..

P
P
P
N

MAXIMUM RATINGS
REPLACE·
MENT

REF.

2N3716

2N3713

Po

USE
S
S
S
AHP

:5 TJ

Vca

8!

@25"C

&i

0.3W
0.35W
0.3W
150W

A
A
A
C

ELECTRICAL CHARACTERISTICS
VCE _

"C

(volts) (rolts)

200

45

200

100

12
45
18
80

I'"

hFE @ Ic
(min) (max)

VCElsAn@lc

~ (volts)

==

30M
15M
30M
5.0A

i

40
115
40
50

40
40

0
a

50
25

120
120
120
80
120

0
0
0
0
0

50
50
50
10
10

150
150
150
40
40

0.5A
0.5A
0.5A
70A
70A

0.2
0.2
0.2
l.5
l.5

0.5A
0.5A
0.5A
50A
50A

50
50
50

E
E
E

12
15
20
25
30
60
60
55
45

0
0
0
0
0
0
0
0
0

50
110

120
150

10M
10M

0.13
0.2

l.OM
10M

9.0
7.0
200
200
200

E
E
E
E
E

20
200
150
80

100
600
600
800

4.0A
10*
10*
10*

1.0
0.35
0.35
0.35

150
150

0
0

40
80

400
300

10M
10M

40

0

50

250

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
a
a
a
0
a
0
0
0
0
0
0
0
0
0
0

40
100
40
20
20
20
20
20
20
25
25
25
20
20
20
20
20
20
25
25
25
70
150
20
20
20
20
20
20
40
40
20

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

20
25
25
20
20
10
10
40
60
60
50
50
50
50
50
50

150

0.25
0.4
0.25
0.8

hI_

0
0
0
a

300

30M
SaM
30M
1.0A

~

==
135

E

25

E

'-

=i==

400M
200M
400M
30K

I'"
T
T
T

E

Unijunction Transistors, see Table on Page 2-86

I I

I

Complementary Pair

S
S
S
S
S

N
N
N
N
N

300M I A
A 1200
300M
200 I

ISH
SH

I I
I
Field-Effect Transistors,

60
60

l.OM
1.0M

200M
200M

T
T

SaM
50M
50M
10M
10M

T
T
T
T
T

4.0A
l.OM
1.0M
1.0M

900M
800M
650M
4.0M
200M
150M
150M

T
T
T
T
T
T
T

0.5
0.5

10M
. 10M

30M
40M

T
T

150M

1.4

150M

5.0

120
300
120
100
100
100
80
80
80
100
100
100
80
80
80
100
100
100
100
100
100
200
300
100
100
100
100
100
100
200
200
200

2.0A
2.0A
2.0A
0.5A
0.5A
0.5A
l.OA
1.0A
1.0A
2.5A
2.5A
2.5A
4.0A
4.0A
4.0A
0.5A
0.5A
0.5A
2.5A
2.5A
2.5A
10M
10M
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
150M
150M
30M

1.0
1.0
1.0
0.6
0.6
0.6
0.4
0.4
0.4
1.0
1.0
1.0
0.75
0.75
0.75
0.6
0.6
0.6
1.0
1.0
1.0
0.14
0.14
0.6
0.6
0.6
0.6
0.6
0.6
0.4
0.4
2.0

5.0A
5.0A
5.0A
1.0A
1.0A
1.0A
l.OA
l.OA
1.0A
2.5A
2.5A
2.5A
4.0A
4.0A
4.0A
1.0A
1.OA
1.0A
2.5A
2.SA
2.5A
10M
10M
l.OA
1.0A
l.OA
l.OA
l.OA
l.OA
50M
50M
30M

2.5
4.0
2.5
25
25
25
20
20
20
40
40
40

T
T
T
T
T

25

E

3.0M
3.OM
3.OM
4.0M
4.0M
4.0M
4.OM
4.OM
4.0M
4.0M
4.0M
4.0M
3.0M
3.OM
3.0M
4.OM
4.0M
4.0M
400M
450M
3.0M
3.0M
3.0M
3.OM
3.0M
3.OM
100M
100M
300M

200
200
200
200
200
100
100
170
200
250
250
250
250
250
250
250

30M
10M
10M
10M
10M
l.OA
LOA
2.OM
2.0M
2.0M
1.OM
1.0M
l.OM
l.OM
l.OM
l.OM

2.0
0.5
0.5
5.0
5.0

30M
10M
10M
10M
10M

25

E

70
50
50
50
50
50
50

E
E
E
E

300M
100M
100M
20M
20M
100M
100M
700M
700M
700M
300M
300M
300M
300M
300M
300M

T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T

I

see Table on Page 2-78

AP
AP
AP
SP
SP

350W
350W

C
C

200
200
200
200
200

140
140
140
100
140

Field-Effect Transistors, see Table on Page 2-78

I I
Unijunction
S
S
S
S
S
S
S
S
S

P
N
N
N
N
N
N
N
N

I
I
Transistors,

2N4877

2N4877

SH
SH
AH
AH
AH
AP
AM
AM
AM

2N5401

I
see

I I I
Table on Page 2 .. 86
700M
360M
120M
120M
120M

lOW
300M
300M
300M

C 200
A 200
A 175
A 175
A 175
C 200
C
C
C

12
40
30
40
40
60
55
45

Field-Effect Transistors, see Table on"Page 2-78
S
S
S

P
P
P

2N4890

A
A
S

300M
300M

A 1125.1 150
A 125
150

l.OW

A

I I

60

E

Unijunction Transistors, see Table on Page 2-86
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S

S
S
S
S
S

N
N
N
P
P
P
P
P
P
P
P
P
P
P
P
N
N
N
N
N
N
P
P
P
P
P
N
N
N
N
N
N
N
P
P
P
P
N
N
N
N
N
P
P
P
P
P
P

2N4337
2N4898
2N4899
2N4900
2N4901
2N4902
2N4903
2N4904
2N4905
2N4906
2N4907
2N4908
2N4909
2N4910
2N4911
2N4912
2N4913
2N4914
2N4915

2N4898
2N4898
2N4898
2N490l
2N490l
2N4901
2N4904
2N4904
2N4904

2N4918
2N4919
2N4920
2N4921
2N4922
2N4923

2N4918
2N4918
2N4918

2N5477
2N5477

2N4910
2N4910
2N4910
2N4913
2N4913
2N4913

~N4921

N4921
2N4921
2N4924
2N4924
2N4926
2N4926
2N4928
2N4928
2N4928
2N4928
2N5477
2N5477

2N4937
2N4937
2N4937
2N4937
2N4937
2N4937

SP
SP
SP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
SH
SH
AP
AP
AP
AP
AP
AP
AH
AH
AH

4.0W
4.0W
4.0W
25W
25W
25W
87.SW
87.SW
87.SW
87.5W
87.5W
87.5W
150W
150W
150W
25W
25W
25W
87.5W
87.5W
87.5W
500M
500M
30W
30W
30W
30W
30W
30W
1.0W
l.OW
l.OW

C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C

AH
A
A
A
A
AP
AP
AH
AH
AH
AM

l.OW
3.0W
5.0W
5.0W
5.0W
70W
70W
200M
200M
200M
600M
600M
600M
600M
600M
600M

A
C
C
C
C
C
C
A
A
A
A
A
A
A
A
A

AM
AM
AM
AM
AM

C
C
C
C
C
C
C
C
C
A
A
A

200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
125
125
150
150
150
150
150
150
175
175
175

120
120
150
40
60
80
40
60
80
40
60
80

40
60
80
40
60
80
30
30
40
60
80
40
60
80
100
150
200

60
60
80
40
60
80
40
60
80
40
60
80
40
60
80
40
60
80
40
60
80
30
30
40
60
80
40
60
80
100
150
200

175

250
100
150
200
250
50
70
40
50
50
50
50
50
50
50
50

250
100
150
200
250
25
35
30
40
40
40
40
40
40
40
40

200
200
200
200
200
200

2-51

25
25
25
20
20
20
25
25
25
25
25
25

E

E
E
E
E
E
E
E
E

E
E
E

E
E
E

E
E
E
E

E
E
E
E
E

E
E
E

T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T

•

2N4943·2N5041
TYPE
2N4943
2N4944
2N4945
2N4946
2N4947
2N4948
2N4949
2N4950
2N4951
2N4952
2N4953
2N4954
2N4955
2N4956
2N4957
2N4958
2N4959
2N4960
2N4961
2N4962
2N4963
2N4964
2N4965
2N4966
2N4967
2N4968
2N4969
2N4970
2N4971
2N4972
2N4973
2N4974
2N4975
2N4976
2N4977
thru
2N4979
2N4980
2N4981
2N4982
2N4983
thru
2N4993
2N4994
2N4995
2N4996
2N4997
2N4998
2N4999
2N5000
2N5001
2N5002
2N5003
2N5004
2N5005
2N5006
2N5007
2N5008
2N5009
2N5010
2N50H
2N5012
2N5013
2N5014
2N5015
2N5016
2N5017
2N5018
thru

2N5021
2N5022
2N5023
2N5024
2N5025
2N5026
2N5027
2N5028
2N5029
2N5030
2N5031
2N5032
2N5033
2N5034
2N5035
2N5036
2N5037
2N5038
2N5039
2N5040
2N5041

...is '"~

i\i ....co~
S
S
S
S

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS
REPLACE·
MENT

REF•

Po

USE

@25'C

N
N
N
N

AP
A
A
A

800M
600M
600M
600M

~

i

TJ
'C

A
C 125
C 125
C 125

YCB

YCE-

(yolts) (yolts)
120
80
80
80

80
40
60
40

J

hFE @ Ic

(min) (max)

0
0
0
0

100
40
40
100

60
30
30
30
30
25
25
30
30
30
60
80
60
80
40
40
40
40
25
30
30
40
40
15
30
30
30

0
0
0
0
0

10
60
100
200
60

300
100
100
300

YCE(sAn@lc

~ (yolts)
=

~ IIJ-

=
150M

J =J
L

.=

-a

150M
150M
150M
150M

0.25
0.25
0.25
0.25

150M
150M
150M

45M
60M
60M
60M

T
T
T
T

50A
150M
150M
150M
150M

1.5
0.3
0.3
0.3
0.3

50A
150M
150M
150M
150M

lOOK
250M
250M
250M
250M

T
T
T
T
T

0
60
0
60
0
20
0
20
0
20
0 100
0 100
0 100
0 100
0
30
0
80
0
40
0 100
40
0
0
40
0 100
0
40
0 100
20
0
0 5000 9000
0 000 4000
20 250
0

10*
10*
2.0M
2.0M
2.OM
150M
150M
150M
150M
10*
10*
10*
10*
10*
150M
150M
150M
150M
3.0M
1.0*
1.0*
50M

0.35
0.35

1.0M
100M

Unij unction Transistors, see Table on Page i--S"6

S
S
S
S
S

N MJ7000
N
N
N
N

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

N
N
P
P
P
N
N
N
N
P
P
N
N
N
N
N
P
P
P
P
P
N

MJ7000

SP
A
A
A
A

AL
AM

2N4957 A
2N4957 A
2N4957 A
A

A
A
A
A
A
A
A
A
SH
SH
SH
SH
AH
2N4974 AL
2N4974 AL
AHI'

300W
360M
360M
360M
360M

C
A
A

750M
750M
200M
200M
200M
800M
500M
800M
500M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
800M
800M
5.0W

C
C
A
A
A
A

A
A

A

A
A

150
150
150
150
125
125
200
200
200
200
200
200
200

A
A
A
A
A
A
A

A
A
A
A
A
C

200
200

80
60
60
60
40
30
30
30
30
30
60
80
60
80
50
50
50
50
30
50
50
50
50
20
40
40
55

200
300
600
600
600
600
40
40
40
300
300
300
300
120
400
200
600
200
120
350
120
300

0.7
0.7
0.7
0.7
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.5

10M
10M
10M
10M
10M
10M
10M
10M
10M
150M
150M
150M
150M
10M

150
150

40
100
40
100
40

~5000
5000

E
E

60M
60M
1200M
1000M
1000M
250M
250M
250M
250M

T
T

T
T
T
T
T
T
T

E
E
E
E
E

E

E

150M

T

175M
175M
1000M

T
T
T

10M
5.OM
3.0M

T
T
T

50M
50M
60M
60M
60M
60M
70M
70M
30M
30M
40M
40M

T

Field-Effect 1ransist,rs, jee Table on Page 2·78

S
S
S

I I I

P
P
P

SC
SC

400M
400M

A
A
A

30
50
70

30
50
70

0
0
0

60
40
30

300
200
150

1.OM
1.OM
100M

A
A
A
A
C

60
60
30
30
100
100
100
100
100
100
100
100
100
100
100
100
500
600
700
800
900
1000
65
65

45
45
18
18
80
80
80
80
80
80
80
80
80
80
80
80
500
600
700
800
900
1000
30
30

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

160
400
150
90
90
200
200
90
90
200
200
90
90
200
200
180

10M
10M
2M
2M
1.0A
1.0A
1.0A
1.0A
2.5A
2.5A
2.5A
2.5A
5.0A
5.0A
5.0A
5.0A
25M

0
0

40
100
50
30
30
30
70
70
30
30
70
70
30
30
70
70
30
30
30
30
30
30
10
10

180
180
180
180
180
200
200

50
30
10
75
90
30
30
15
12
10
10

0
0
0
0
0
0
0
0
0
0
0

25
40
25
20
20
50
100
40
30
25
25

100
100

45
45
60
60
150
120
25
40

R
R
R
R
V
V
0
0

20
20
20
20
20
20
30
40

Thyris tors J see Table on Page 2-6

S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S

S
S
S
S
S
S
S

N
N
N
N
N
P
N
P
N
P
N
P
N
P
N
P
N
N
N
N
N
N
N
N

2N5347
2N6186
2N5348
2N6187
2N5347
2N6186
2N5348
2N6187

2N5016

200M
200M
200M
200M
35W
35W
35W
35W
58W
58W
58W
58W
H8W
H8W
H8W
H8W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W

AH
AH
AH
2N5346 AP
2N6186 AP
2N5346 AP
2N6186 AP
2N5346 AP
2N6186 AP
2N5346 AP
2N6186 AP
AP
AP
AP
AP
A
A
A
A
A
A
2N5016 AHP
AHP

C
C

C
C
C

C
C
C
C

C
C
C
C
C
C
C
C

200
200
200
200
200
200
200
200
200
200
200
200

R
R
R
R
R
R

5.0
5.0
5.0
5.0
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.4

3.0A
3.0A
3.0A
3.0A
5.0A
5.0A
5.0A
5.0A
lOA
lOA
lOA
lOA
25M

25M
25M
20M
20M
20M
0.5A
0.5A

1.5
1.6
1.6
1.6
1.8

25M
25M
20M
20M
20M

0.2
0.17

100M
100M

1.0
1.0
0.45
0.45
0.25
0.25

300
300

500M
500M
10M
2.0A
2.0A
150M
150M
10M
10M
1.0M
1.0M

2.0A
2.0A
150M
150M
10M
10M

70
70
70
70
100
100
600
150

2.5A
3.0A
2.5A
3.0A
12A
lOA
150M
150M

2.5
3.0
2.5
3.0
2.5
2.5
1.0
0.5

6.0A
8.0A
6.0A
8.0A
20A
20A
0.5A
0.5A

20
20
50
50
20
20
50
50
20
20
50
50

E
E
E
E
E
E
E
E
E
E
E
E

T
T
T
T
T
T
T
T

T
T
T

500M
500M

T
T

1300M

T

100M
80M

T
T

Field-Effect Transistors, see Table on Page 2·78

S
S
S
S
S
S
S
S

P
P
N 2N5024
N
N
N
N
N
S N
S N
S N
Field-Effect
S N 2N5877
S N 2N5877
S N 2N5877
S N 2N5877
S N
S N
S P
S P

1. OW

SH
SH
SH
AHP
AHP
S
S
2N5031
2N5031

AH
AH

2N5875
2N5875
2N5875
2N5875

AP
AP
AP
AP
SP
SP
A
A

Transistor~,

see

A
50
A
30
A
20
C 300
75
C 300
90
A 120
A 120
A 120
A 120
15
A
A
15
~3~le Co\~O~e 25~8
83W C 150
55
83W C 150
70
83W C 150
70
140W C 200
150
120
140W C 200
25
300M A 125
300M A 125
40

LOW
200M
45W
45W
320M
320M
320M
320M
200M
200M

2-52

150
300
120

13

E

15
15
15
15

E
E
E
E

2NS042·2NS140
TYPE
2N5042
2N5043
2N5044
2N5045

thru
2N5047
2N5048
2N5049
2N5050
2N5051
2N5052
2N5053
2N5054
2N5055
2N5056
2N5057
2N5058
2N5059
2N5060

thru
2N5064
2N5065
2N5066
2N5067

I ...~
~

::IE

c:::I

S
G
G

P
P
P

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS
REPLACE·
MENT

REF.

1;;
Po
;f
@25'C
*@75'C ;il

USE
A
A
A

800M
30M
30M

A
A
A

TJ
'C
200
125
125

VCB

VCE _

(Yolts) (YO Its)
40
15
15

40
7.0
7.0

=

I

hFE @ Ic
(min) (max)

VCElsAn@lc

~ (Yolts)

=>

~
=>

hl_

~

.iii

c7l

L

t

:i
=> a!

0
0
0

40

150
150
150

150M
3.0M
3.0M

1.1

0.5A

100M

T

0
0
0
0
0
0

15
15
35
35
35
25

60
60
105
105
105
150

lOA
lOA
0.5A
0.5A
0.5A
2.0M

2.0
2.5
0.9
0.9
0.9

lOA
lOA
0.5A
0.5A
0.5A

10M
10M
20M
20M
20M
1300M

T
T
T
T
T
T

2.0M
30M
30M
30M
30M
30M

1300H

T

0.13
0.13
0.13

1. OM
1. OM
1.0M

550M
5.0M
4.0M

T
T
T

4.0M
4.0M
100M
100M
40M
40M
40M
40M
40M
40M

T
T
T
T

T
T

400M
500M
600M
600M
SOM
80M
50M
40M
40M
50M
50M
500M
20M

T
T
T
T
T
T
T
T
T
T
T
T
T

Field-Effect Transistors, see Table on Page 2·78
S
S
S
S
S
S

N
N
N
N
N
N

S
S
S
S
S
S

N
P

2N5050
2N5050
2N5050

2N5050
2N5051
2N5052

P
P
N
N

SP
SP
AP
AP
AP
AH
AH
SH
SH
SH
A
A

100W
100W
40W
40W
40W
200M

C
C
C
C
C
A

175
175
200
200
200
200

120
60

30

100
50
120
150
200
15

200M
200M
360M
360M
1. OW
1. OW

A
A
A
A
C
C

200
125
200
200
200
200

30
12
15
15
300
250

15
12
15
15
300
250

0
0
0
0
0
0

25
30
30
40
35
30

150
100
100
100
150
150

25
30
40

15
20
40

0
0
0

50

120

300M

0.23

100M

20

80

LOA

0.4

1.0A

20

E

60
80
30
30
100
120
200
200
250
250

0
0
0
0
R
0
0
0
0
0

20
20
10
10
15
30
30
90
30
90

80
80
100
100
60
120
110
250
110
250

LOA
LOA
3.0A
3.0A
3.0A
200M
0.5A
O.SA
0.5A
O.SA

0.4
0.4

1.0A
1.0A

20
20

E
E

30
30
30
30

E
E
E
E

30
30
50
30
60
60
80
50
50
30
25
30
300

0
0
0
0
0
0
0
0
0
0
0
0
0

100
200
100
100
40
100
40
150
250
300
400
10
40

300
500
400
400
120
300
120
500
800
900
200
200
250

100
100

E

350
350
400
400
450
450
500
550
400
400
50

0
0
0
0
0
0
0
0
0
0
R

50
40
40
50
40
50
50
50
40
50
10

30
30
55
20
40
80
40
80

0
0
R
0
0
0
0
0

0
0

Thyristors, see Table on Page 2-66
S
S
S

N
N
N

S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N

2N5067

2N5067
2N5067
2N5067
2N5070

SH
SC
AP

2.5W
400M
87.SW

C
A
C

200

AP
AP
AHP
AHP
AHP
AH
AP
AP
AP
AP

87.5W
87.5W
70W
70W
12SW
600M
70W
70W
70W
70W

C
C
C
C
C
A
C
C
C
C

200
200

200

2N5068
2N5069
2N5070
2N5071
2N50n
2N5073
2N5074
2N5075
2NS076
2N5077
2N5078
2N5079
2N5080
2N5081
2NS082
2N5083
2N5084
2N5085
2N5086
2N5087
2N5088
2N5089
2N5090
2N5091

60
80
65
65
2N5071
100
180
200
200
200
200
250
200
200
250
Field-Effect Transistors, see Table on Page 2-78
60
S N
A
1.8W C
S N
1.8W C
60
A
70
S N
1.2W C
AH
S N
1.2W C
60
AH
35W C 200
120
S N
SP
35W C 200
120
S N
SP
35W C 200
150
S N
SP
2N3586 A
310M A 135
50
S P
310M A 135
50
S P
2N3586 A
S N
2N5088 A
310M A 135
35
310M A 135
30
S N
2N5088 A
2N5090 AHP
S N
4.0W
200
55
350
175
S P
A

2N5092
2N5093
2N5094
2N5095
2N5096
2N5097
2N5098
2N5099
2N5100
2N5101
2N5102
2N5103
thru
2N5105
2N5106
2N5107
2N5108
2N5109
2N5110
2N5111
2N5112
2N5113
2N5114
thru

S
S
S
S
S
S
S
S
S
S
S

2N5068
2N5069

A
A
A
A
A
A
A
A
A
A
AHP

N
P
P
N
P
N
N
N
P
N
N

175
175
175
175
175
175
175
175
175
175
70W

C

400
400
450
500
500
600
700
800
450
500
90

1.0

lOA

2.0
2.0
2.0
2.0

3.0A
3.0A
3.0A
3.0A

150M
150M
1. OM
1. OM
2.0A
2.0A
2.0A
O.lM
O.lM
0.1M
0.1M
50M
25M

0.2
0.2
0.2
0.2
1.0
1.0
1.0
0.3
0.3
0.5
0.5
1.0
3.0

150M
150M
10M
10M
lOA
lOA
lOA
10M
10M
10M
10M
100M
25M

300
250
250
300
250
300
300
300
250
300
100

25M
25M
25M
25M
25M
25M
25M
25M
25M
25M
500M

0.5
3.0
3.0
0.5
3.0
0.5
0.5
0.5
3.0
0.5

25M
25M
25M
25M
25M
25M
25M
25M
25M
25M

50M
20M
20M
50M
20M
50M
50M
50M
20M
SOM
150M

T
T
T
T
T

100
100

300
300

150M
150M

0.22
0.22

150M
150M

T
T

70
15
15
15
15

210
60
60
60
60

50M
500M
500M
500M
500M

0.9
0.9
0.9
0.9

500M
500M
500M
SOOM

10
10
10
10

250M
250M
1.2G
1.2G
1.0M
1. OM
1. OM
1. OM

0
0
0

100
100
50
100
100
50
100
100
50

300
300
800
300
300
800
300
300
800

0.010M
0.010M
O.O.OM
0.010M
0.010M
0.010M
0.010M
0.010M
0.010M

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

20
15
35
35
15
30
30
60
20
50
20
20
50
40
20

350
300
350
350
250
500
400
000
150
600
400
400
800

4M
2M
50M
50M
8M
10M
10M
1.OM
10M
10M
150M
150M
100*
1.OM
10M

2.0
0.3
0.25
0.25
0.6
1.0
2.0
0.4
0.2
1.0
0.25
0.25
0.3
0.15
0.2

10M
10M
150M
150M
10M
10M
10M
1. OM
10M
100M
150M
150M
10M
1. OM
10M

15
12

E
E

150M
150M

T
T

12
25
20
50

E

E
E

40

E

150
250
350
450

E

E
E

E
E

T
T
T
T

T
T
T
T
T
T

Field-Effect Transistors, see Table on Page 2-78
S
S
S
S
S
S
S
S

N
N
N
N
P
P
P
P

2N5108
2N5109

Field-Effect

2N5116
2N5117
2N5118
2N5119
2N5120
2N5121
2N5122
'2N5123
2N5124
2N5125

S
S
S
S
S
S
S
S
S

P
P
P
P
P
P
P
P
P

2NS126
2N5127
2N5128
2N5129
2N5130
2N5131
2N5132
2N5133
2N5134
2N5135
2N5136
2N5137
2N5138
2N5139
2N5140

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N
N
N
P
P
P

A
A
AHP
AHP
AP
AP
AP
AP

Transistors~

MPS6539
MPS918
2NS220
2N5220
MPS3563
2NS223
MPS6539
MPS2714
2N5224
2NS225
MPS3706
MPS6560
MPS6516
MPS6516
MP86518

800M
360M
3.5W
*2.5W
5.0W
5.0W
34W
34W

A
A
C
C
C
C
C
C

200
200
175
175
175
175

60
60
55
40
40
80
40
80

E
E

E
E

T
T
T

T
T
T

see Table on Page 2-78

AM
AM
AM
AM
AM
AM
AM
AM
AM

400M
400M
400M
300M
300M
300M
400M
400M
400M

C
C
C
C
C
C
C
C
C

A
A
A
A
A
A
A
A
SH
A
A
A
A
SH
8H

200M
200M
200M
300M
200M
200M
200M
200M
200M
300M
220M
300M
200M
200M
200M

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

125
125
125
125
125
125
125
125
125
125
125
125
125
125
125

45
45
45
45
45
45
45
45
45

45
45
45
45
45
45
45
45
45

20
20
15
15
30
20
20
20
20
30
30
30
30
20
5.0

20
12
12
12
12
15
20
18
10
25
20
20
30
20
5.0

2-53

a
0
a
a

140

E

•

2N5141.2N5243
TYPE

•

2N5141
2N5142
2N5143
2N5144
2N5145
2N5146
2N5147
2N514S
2N5149
2N5150
2N5151
2N5152
2N5153
2N5154
2N5155
2N5156
2N5157
2N515S
2N5159
2N5160
2N5161
2N5162
2N5163
2N5164,R
thru
2N5171,R
2N5172
2N5174
2N5175
2N5176
2N5177
2N5178
2N5179
2N51S0
2N5181
2N5182
2N51S3
2N5184
2N5185
2N5186
2N5187
2N51SS
2N5189
2N5190
2N5191
2N5192
2N5193
2N5194
2N5195
2N5196
thru

2N5199
2N5200
2N5201
2N5202
2N5204
thru
2N5207
2N520S
2N5209
2N5210
2N5211
2N5212
2N5213
2N5214
2N5215
2N5216
2N5217
2N521S
2N5219
2N5220
2N5221
2N5222
2N5223
2N5224
2N5225
2N5226
2N5227
2N5228
2NS229
2N5230
2N5231
2N5232
2N5233
2N5234
2N5235
2N5236
2N5237
2N5238
2N5239
2N5240
2N5241
2N5242
2N5243

~

MAXIMUM RATINGS

>!::

REPLACE·
MENT

""
~ ::5
co
:IE ...

@25'C

TJ

Vca

VCE _

~

'C

(volts)

(volts)

S P
SH
200M A 125
S P
SH
300M A 125
S P
SH
200M A 125
S N
SH
360M A 200
SH
S N
SOOM A 200
S P
400M A
2N5146 A
S P 2N6190
2N6190 AP
LOW A 200
S N 2N5336
2N5335 AP
LOW A 200
S P 2N6191
1.01, A 200
2N6190 AP
S N 2N5337
1.01, A 200
2N5335 AP
S P 2N6190
2N6190 AP
LOW A 200
S N 2N5336
2N5335 AP
LOW A 200
2N6190 AP
S P 2N6191
LOW A 200
2N5335 AP
S N 2N5337
LOW A 200
G P
2N5155 SP
110
G P
93W C 100
SP
G P 2N5157
2N3902 SP
150
Field-Effect Transistors, see Table on Page

~ ~

I II I

6.0
20
20
50
50
40
100
100
100
100
100
100
100
100
140
100
700
2-78

\2N5160
5.20W
ow cC
60
2N5161 \ AHP
AHP
60 \
2N5161 AHP
SOW C
60
Field-Effect Transistors, see Table on Page 2-78

\

\

I

I

I

I

I

ELECTRICAL CHARACTERISTICS

~
·s

...

Po

USE

REF.

6.0
20
20
30
30
40
SO
SO
80
SO
SO
SO
80
80
120
60
500

f

hFE @ Ic

(min) (max)

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

30
30
30
60
60
20
30
30
70
70
30
30
70
70
25
25
30

40
40
40

0
0
0

10
10
10

25
75
100
100
35
35
12
15

0
0
0
0
0
0
0
0

lS
120
120
5.0
25
55
55
40
60
SO
40
60
80

0
0
0
S
S
S
S
0
0
0
0
0
0

100
40
55
140
10
10
25
20
27
27
75
10
10
25
30
25
15
25
25
20
25
25
20

20
20
75

V

0
0
0
0
0
0
S
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

150
150
90
90
200
200
90
90
200
200
100
60
90

.l:l
=>

'"
30M
50M
50M
100M
100M
LOA
LOA
LOA
LOA
LOA
2.5A
2.5A
2.5A
2.5A
8.0A
5.0A
LOA

VeElsATI @Ic
(volts)
0.2
0.5
0.5
0.2
0.2
1.0
5.0
5.0
5.0
5.0
1.5
1.5
1.5
1.5
0.9
1.0
2.5

.l:l
=>

'"
10M
50M
50M
100M
100M
LOA
3.0A
3.0A
3.0A
3.0A
5.0A
5.0A
5.0A
5.0A

hf_

20
20
50
50
20
20
50
50

I'"
E
E
E
E

E
E
E
E

25A
lOA
3.5A

50M
250M
2.0A

L

=

·c

~ ~

=>

tl

300M
300M
150M
50M
50M
60M
60M
60M
60M
70M
70M
100G
150G
2.8M

T
T
T
T
T
T
T
T
T

500M

T

200M
200M
900M
650M
400M
400M
62.5M

T
T
T
T
T
T
T

50M

T

900M
1100M
60M

T
T
T

200M
200M
350M
150M
400M
350M
350M
40M

T
T

T
T
T
T
T

I

Thyristors, see Table on Page 2-66
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
P
P
P

112N5179

2N5190
2NS191
2N5192
2NS193
2NS194
2N5195

2N5190
2N5190
2N5190
2N5193
2N5193
2N5193

200M A
200M Ii
200M A
200M A
40W C
70W C
200M A
180M A
lS0M A
180M A
500M A
500M A
LOW A
300M A
LOW A
800M A
LOW A
40W C
40W C
40W C
40W C
40W C
40W C

A
A
"AHP
AHP
AH
AH
AH
AH
AH
AH
AH
SH
SH
SH
SH
AP
AP
AP
AP
AP
AP

200
200

150
150
150
150
150
150

25
90
130
130
60
60
20
30
45
35
lS
10
25
60
60
40
60
80
40
60
80

Field-Effect Transistors, see Table on Page 2-7S
.J
I
I
I
250
20
S N
A
1.2W C 250
20
1.2WJ
S N 2N5427
2N5427 SP
35W C 200
100

sr,[ J

/A

J

0
0

500
600
160
300
150
150
250
200

0.25
0.95
0.95
0.95

10M
10M
10M
10M

100
40
55
140

E

0.4

10M

25

E

70

E

100
100
80
100
100
SO

10M
10M
10M
10M
100M
200M
3.0M
2.0M
100M
1. OM
10M
50M
SOM
10M
10M
150M
1. OM
1. SA
1. SA
1. SA
1.5A
1. SA
1.5A

0.3
0.25
0.5
1.0
1.4
1.4
1.4
1.4
1.4
1.4

10M
10M
150M
LOA
4.0A
4.0A
4.0A
4.0A
4.0A
4.0A

50
75
10

150
150
100

10M
10M
4.0A

0.5
0.5
1.2

50M
50M
4.0A

20
100
200
10
10
10
10
10
10
10
15
35
30
30
20
50
40
30
30
50
30
50
50
50
250
100
250
400
30
40
40
20
20
15
25
25

120
300
600
60
60
SO
75
SO
60
SO
120
500
600

2.0M
0.1M
O.lM
0.2A
0.2A
0.2A
LOA
0.5A
0.5A
0.2A
5.0A
2.0M
SOM
50M
4.0M
2.0M
10M
50M
50M
2.0M
10M
100*
100*
100*
2.0M
10M
10M
10M
50M
5.0A
5.0A
2.0A
2.0A
2.5A
500M
500M

0.7
0.7
0.5
0.5
0.5
1.5
0.5
1.2
0.5
0.6
0.4
0.5
0.5
1.0
0.7
0.35
O.S
1.0
0.4
0.4

10M
10M
0.54A
O.54A
0.5A

0.125
0.125
0.125
0.] 25
0.2
0.6
0.6
5.0
5.0
2.5
0.3S
0.3S

10M
10M
10M
10M
50M
5.0A
5.0A
4.5A
4.5A
5.0A
500M
500M

20
20
20
20
20
20

E
E
E

E

E
E
E
E
E

Thyristors, see Table on Page 2-66

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

P
N
N
N
N
N
N
N
N
N
N
N
N
P
N
N
N
N
P
P
P
P
P
P
N
N
N
N
N
N
N
N
N
N
P
P

2N520S
2N5Z09
2N5209

2N5219
2N5220
2N5221
2N5222
2N5223
2N5224
2N5225
2N5226
2N5227
2N5228

2N5337

2N5335

2N5241

2N5241

AH
A
A
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AP
A
A
A
A
A
SH
A
A
A
SH
SC
SC
SC
A
A
A
A
AH
SP
SP
AP
AP
SP
SH
SH

310M
310M
310M
3.0W
7.5W
7.5W
60W
23W
25W
7.5W

A
A
A
C
C
C
C
C
C
C

310M
310M
310M
310M
310M
310M
310M
310M
310M
310M
2.0W
2.0W
2.0W
330M
330M
330M
330M

A
A
A
A
A
A
A
A
A
A
C
C
C
A
A
A
A
C

1. ow

100W
100W
0.5W
0.5W

C
C
A
A

200
200
200
200
200
200
200
200

200
200
200
200
200
150

30
50
50
SO
SO
70
95
70
SO
SO
220
20
15
15
20
25
25
25
25
30
5.0
15
30
50
70
80
80
80
40
150
200
300
375
400

25
50
50
SO
SO
40
95
70
SO
SO
200
15
15
15
15
20
12
25
25
30
5.0
10
20
30
50
60
60
60
20
120
170
400
20
30

2-54

00
~fO

SOO
400
600
600
700

500
300
500
SOO
120
120
120
80
80
35
100
100

4.5A
LOA
1. SA
0.5A
5.0A
10M
150M
150M
4.0M
10M
10M
100M
100M
10M
10M

150
250

E

E

40
35
30
30
20
50

E
E
E
E
E
E

30
30
50

E
E
E

250
100
250
400

E
E
E
E

2.5
2.5
20
20

E
E
E
E

T
T
T
T
T
T

500M T
25M T
25M T
5.0M T
5.0M T
2.5M T
170M T
170M T

2N5244·2N5346
TYPE

I

~

:5
co

MAXIMUM RATINGS
REPLACE·
MENT

Po

USE

REF.

@25'C

::E Do.

.S

TJ

Yca

YCE-

&!

'C

(yolts)

(yolts)

Ie.

2N5244
S P
SH
l.OW C 200
2N5245
Field-Effect Transistors I see Table on Page
thru
2N5248
2N5249
S N
A
330M A
2N5249A S N
A
330M A
A
2N5252
S N
7.0W C
S N
2N5253
A
7.0W C
S P
AL
2N5254
0.8W C 125
2N5255
S P
AM
0.8W C 125
2N5256
S P
AM
0.8W C 125
2N5257
thru
Thyristors, see Table ,on pare 2-66,
2N5261
2N5262
OW C 200
2N5264
S N
SP I l .87W
Sf'!'
fH
IA
2N5265
thru
2N5270
: i F f f e c t TnSistT:H
6 : : : lon Page
2N5271
2N5272
S N
SH
360M A
2N5273
thru
ThfiJtors, sei Table in par- 2-66
1
2N5275
2N5276
SiN I
S
360M, lA
2N5277
Field-Effect Transistors, see Table on Page
2N5278
2N5279
S N
5.0W C
AH
2N5280
S N
15W C 175
AH
S P
2N5281
A
175
2N5282
S P
A
175
s N 2N5346 2N5346 AP
2N5284
200
2N5285
s N 2N5347 2N5346 AP
200
2N5286
S P 2N6188
200
2N6186 AP
s P
2N5287
AP
200
2N5288
S N 2N5349
2N5346 AP
200
AP
2N5289
s N
200
AP
S P
200
2N5290
AP
2N5291
S P
200
SH
S P
LOW C 200
2N5292
AP
2N5293
S N 2N4922
36W C 150
2N4921
2N5294
s N 2N4922 2N4921 AP
36W C 150
AP
2N5295
S N 2N5190
36W C 150
2N5190
2N5296
S N
36W C 150
2N5190 2N5190 AP
AP
2N5297
S N
2N5190 2N5190
36W C 150
AP
2N5298
S N 2N5190 2N5190
36W C 150
AP
2N5301
S N 2N5301 2N5301
200W C 200
2N5302
S N 2N5303
2N5301 AP
200W C 200
AP
200W C 200
2N5301
2N5303
S N 2N5303
2N5305
s N
AL
450M A
S N
400M A
2N5306
AL
400M A
2N5306A S N
A
S N
400M A
AL
2N5307
S N
AL
400M A
2N5308
A
2N5308A S N
400M A
330M A
2N5309
S N
A
330M A
2N5310
S N
A
330M A
2N5311
S N
A
SOW C 200
2N5312
S P
AP
SOW C 200
AP
2N5313
S N
AP
SOW C 200
2N53l4
s P
AP
SOW C 200
2N5315
S N
AP
SOW C 200
2N5316
S P
AP
SOW C 200
s N
2N53l7
AP
SOW C 200
2N53l8
S P
AP
SOW C 200
S N
2N5319
SP
lOW C 200
2N5320
S N
lOW C 200
2N5321
S N
SP
lOW C 200
S P
SP
2N5322
lOW C 200
S P
SP
2N5323
2N5324
56W C 110
G P
SP
2N5324
2N5324
56W C 110
SP
G P
2N5325
400W* C 200
S N
SP
2N5326
SP
450W* C 200
2N5327
S N
SP
450W* C 200
S N
2N5328
SP 1000W* C 200
2N5329
S N
SP 1000W* C 200
2N5330
S N
2N5331
S N
SP 1000W* C 200
360M A
2N5332
S P
SH
LOW A 200
S P
2N5333
AP
2N5334 SP
6.0W C 200
S N 2N5334
2N5334
2N5334 SP
6.0W C 200
S N 2N5335
2N5335
2N5336 SP
6.0W C 200
S N 2N5336
2N5336
2N5336 SP
6.0W C 200
2N5337
S N 2N5337
6.0W C 200
2N5336 SP
2N5338
S N 2N5338
6.0W C 200
2N5336
S N 2N5339
2N5339
SP
40W C 200
2N5344 SP
S P 2N5344
2N5344
40W C 200
2N5344 SP
S p
2N5345
2N5345
2N5346
60W C 200
S N 2N5346
SP
2N5346

J
J

T

I

.l

ELECTRICAL CHARACTERISTICS

40

f

hFE @ Ic
(min) (mal)

~

'"

YCElsAn@lc
(yolts)

~

hl _

'"

0

150

300

10M

0.12

10M

f

L

:i
'"

f

iii

450M

T

30M
30M
40M
40M
40M

T
T
T
T
T

2-78
70
70
300
300
40
40
40

50
50
300
300
40
40
40

0
0
0
0
0
0
0

400
400
40
80
50
150
150

800
800
120
250
750
750
750

2.0M
2.OM
100M
100M
0.1M
0.10M
0.10M

0.125
0.125
1.0
1.0
0.25
0.25
0.25

10M
10M
200M
200M
10M
10M
10M

75
400

50
180

0
0

35
30

300

100M
LOA

0.8
1.25

l.OA
7.0A

50M

T

40

40

S

100

400

10M

0.25

10M

500M

T

25
2-78

15

0

30

90

1.OM

0.2

20M

600M

T

150
300
80
80
100
100
100
100
100
100
12
75
75
50
50
70
70
40
60
80
25
25
25
40
40
40
50
50
50
80
80
100
100
80
80
100
100
75
50
75
50
150
200
80
80
80
90
90
90
12
80
60
80
80
80
100
100
250
300
80

0
0
0
0
0
0
0
0
0
0
S

40
40
20
20
30
70
30
70
30
70
30
70
40
30
30
30
30
20
20
15
15
15
2K
7K
7K
2K
7K
7K
60
100
250
30
30
30
30
30
30
30
30
30
40
30
40
20
20
50
100
100
40
40
40
20
30
30
30
30
60
30
60
25
25
30

160
160
200
200
90
200
90
200
90
200
90
200
100
120
120
120
120
80
80
60
60
60
20K
70K
70K
20K
70K
70K
12(}
300
500
90
90
90
90
90
90
90
90
130
250
130
250
60
60
150
300
300
120
120
120
80
120
150
150
120
240
120
240
100
100
120

20M
20M
1.OM
1.0M
2.5A
2.5A
2.5A
2.5A
5.0A
5.0A
5.0A
5.0A
30M
0.5A
0.5A
1.0A
l.OA
l.5A
1.5A
15A
15A
lOA
2.0M
2.OM
2.OM
2.OM
2.OM
2.OM
"10'1"
10*
10*
lOA
lOA
lOA
lOA
5.0A
5.0A
5.0A
5.0A
500M
500M
500M
500M
5.0A
5.0A
l.OA
1.0A
LOA
lOA
lOA
lOA
1.OM
1.0A
l.OA
1.0A
2.0A
2.0A
2.0A
2.0A
500M
500M
2.0A

0.5
0.5
2.0
2.0
0.75
0.75
0.75
0.75
0.9
0.9
0.9
0.9
0.12
2.0
2.0
2.0
2.0
2.0
2.0
0.75
0.75
1.0
1.4
1.4
1.4
1.4
1.4
1.4
0.125
0.125
0.125
1.5
1.5
1.5
1.5
0.6
0.6
0.6
0.6
0.5
0.8
0.7
1.2
0.5
0.5
1.0
0.3
0.6
1.8
0.6
0.6
0.2
1.0
0.7
0.7
0.7
0.7
0.7
0.7
3.0
3.0
0.7

50M
50M
10M
10M
2.5A
2.5A
2.5A
2.5A
5.0A
5.0A
5.0A
5.0A
10M
3.6A
3.6A
3.6A
3.6A
3.6A
3.6A
lOA
lOA
lOA
200M
200M
200M
200M
200M
200M
10M
10M
10M
lOA
lOA
lOA
lOA
5.0A
5.0A
5.0A
5.0A
500M
500M
500M
500M
lOA
lOA
5.0A
3.0A
5.0A
20A
lOA
lOA
20M
2.0A
2.OA
2.0A
2.0A
2.0A
2.0A
2.0A
l.OA
1.0A
2.0A

15M
1SM
20M
20M
60M
70M
60M
70M
30M
40M
30M
40M
800M

T
T
T
T
T
T
T
T
T
T
T
T
T

400
400

E
E

70

E

2·78

400
400
175
325
120
120
100
100
120
120
100
100
80
80
60
60
80
80
40
60
80
25
25
25
40
40
40
70
70
70
80
80
100
100
80
80
100
100
100
75
100
75
250
325
100
100
100
150
150
150
20
100
60
80
80
80
100
100
250
300
80

*Pulsed

2-55

R
R
R
R
R
R

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

20
50
20
50
20
50
20
50

E

15
15
20
20
25
25
40
40
40
2000
7000
7K
2000
7000
7K
66
110

E
E
E

E

E
E

E
E
E

E

E

E
E
E
E
E
E
E
E
E

E
E
E
E

30
30
30
30
30
30
30
30

E

30

E

E

E
E
E
E
E
E

6011 T
60M

T

60M
60M

T
T

30M
30M
30M
30M
30M
30M
30M
30M
50M
50M
50M
50M
2.0M
2.OM

T
T
T
T
T

600M
30M
40M
40M
30M
30M
30M
30M
60M
60M
30M

T
T
T
T
T
T
T
T
T
T
T

T

T
T
T
T
T
T
T
T

•

2N5347 -2N5454

...:5...

3
TYPE

•

2N5347
2N5348
2N5349
2N5350
2N5351
2N5354
2N5355
2N5356
2N5357
2N5358
thru

2N5364
2N5365
2N5366
2N5367
2N5368
2N5369
2N5370
2N5371
2N5372
2N5373
2N5374
2N5375
2N5376
2N5377
2N5378
2N5379
2N5380
2N5381
2N5382
2N5383
2N5384
2N5385
2N5386
2N5387
2N5388
2N5389
2N5390
2N5391
thru

2N5398
2N5399
2N5400
2N5401
2N5404
2N5405
2N5406
2N5407
2N5408
2N5409
2N5410
2N5411
2N5412
2N5413
2N5414
2N5415
2N5416
2N5417
2N5418
2N5419
2N5420
2N5421
2N5422
2N5423
2N5424
2N5424A
2N5425
2N5426
2N5427
2N5428
2N5429
2N5430
2N5431
2N5432
2N5433
2N5434
2N5435
2N5436
2N5437
2N5438
2N5439
2N5440
2N5447
2N5448
2N5449
2N5450
2N5451
2N5452
thru

2N5454

MAXIMUM RATINGS

!::

~

::IE

...

S
S
S
S
S
S
S
S
S

N
N
N
N
N
P
P
P
P

REPLACE·
MENT

Q

REF.

PD

USE

c

~

&l ·c

@25·C
2N5347
2N5348
2N5349

2N5346
2N5346
2N5346

2N5345

60W
60W
60W
350W
350W
360M
360M
360M
30W

SP
SP
SP
SP
SP
A
A
A
SP

2N5357

VCE _

.~

(volts)

(volts)

80
100
100
125
180
25
25
25
300

80
100
100
100
150
25
25
25
300

~

200
200
200
200
200

C
C
C
C
C

A
A
A
200

C

ELECTRICAL CHARACTERISTICS

Vcs

TJ

hFE @ Ic
(min) (max)

0
0
0
0
0
0
0
0
0

30
60
60
10
10
40
100
250
25

40
40
40
30
30
30
30
30
30
30
30
30
30
30
30
40
40
40
40
80
80
80
200
250
300
80

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

40
100
250
60
100
200
60
40
100
200
40
100

15
120
150
80'
100
80
100
80
100
80
100
60
40
50

0
0
0
0
0
0
0
0
0
0
0
0
0
0

35
25
25
25
18
18
18
18
18
60
60
80
80
100
100

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

30
40
60
20
20
40
40
20
20
40
40
10
25
25
30
30
80
40
100
250
10
10
20
20
20
500
1000
30
60
30
60

90
180
240
60
60
120
120
60
60
120
120
160
100
100
150
120
250
120
300
500
60
60
70
100
10l>

80
110
140
80
110
140

60
90
120
60
90
120

0
0
0
0
0
0

40
50
50
50
40

25
30
30
30
20

0
0
0
0
0

120
240
240
40
40
120
300
500
100

~

VCEISAn@lc

'c
(volts)
=

2.0A
2.0A
2.0A
70A
70A
50M
50M
50M
500M

0.7
0.7
0.7
5.0
5.0
0.25
0.25
0.25
0.3

·i

h,_

=

2.0A
2.0A
2.0A
90A
90A
50M
50M
50M
100M

32
80
200

1
..

L

:i

I

= tl

30M
30M
30M
10M
lOM

T

50M

T

T
T
T

T

E
E
E

Field-Effect Transistors, see Table on Page 2-78

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

P
P
P
N
N
N
N
P
P
P
P
N
N
P
P
P
P
P
N
P
P
P
N
N
N
N

's

S
S
S
S
S
S
S
S
S

2N6186
2N6186
2N3490

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
AP
AP
AP
AP
A
A
A
A
A
A
AL

2N6186
2N6186

360M
360M
360M
360M
'360M
360M
360M
360M
360M
360M
360M
360M
360M
360M
360M
360M
360M
360M
360M
2.0W
2.0W
3.5W
3.5W
3.5W
3.5W
l.OW

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

40
40
40
60
60
60
40
60
60
60
40
60
60
40
40
60
60
40
40
100
100
100
200
250
300
120

150
150
150
150
150
150
150
150
150
150
150
150
150
150
150
150
200
200
200
200
200
200
200

c

120
300
500
200
300
600
600
120
300
400
400
500
40 200
100 500
40 200
150
50
100 300
150 150
100 300
20
80
20
80
20
80
25 100
25 100
25 100
2K 20K

50M
50M
50M
150M
150M
150M
150M
150M
150M
150M
150M
0.010M
0.010M
0.010M
o.010M
10
M
10
M
10
M
10
M
2.0A
2.0A
6.0A
2.0A
2.0A
2.0A
2.0A

0.25
50M
0.25
50M
0.25
50M
0.3
150M
0.3
150M
0.3
150M
0.3
150M
0.3
150M
0.3
150M
0.3
150M
0.3
150M
0.2
10M
0.2
10M
0.2
10M
0.2
10M
0.2 10 M
0.2 10 M
0.25 ,10 M
0.25 10 M
l.4
5.0A
l.4
5.0A
l.4
12A
2.2
7.0A
2.2
7.0A
2.2
7.0A
2.5
5.0A

32
80
200

E
E
E

120
100
120
100
50
100
50
100
20
20
20
20
20
20

E
E
E
E
E
E
E
E
E
E
E
E
E

30
40
20
20
40
40
20
20
40
40
20

E
E
E
E
E
E
E
E
E
E
E

E

250M
250M
250M
250M
150M
150M
150M
150M
300M
300M
200M
200M
250 M
300 M
200 M
250 M
30M
30M
30M
15M
15M
15M
40M

T

T
T
T
T
T
T
T
T
T
T
T

T
T
T
T
T
T
T
T

T
T
T

Field-Effect Transistors, see Table on Page 2-78

SH
S N
S P
2N5400 A
S P
2N5400 A
S P 2N6190
2N6190 AP
2N6190 AP
S P 2N6192
S P, 2N6191
2N6190 AP
2N6190 AP
S P 2N6193
2N6186 AP
S P 2N6186
2N6186 AP
S P 2N6188
2N6186 AP
S P 2N6187
2N6186 AP
S P 2N6189
AP
S N
SH
S N
SH
S N
AH
S P
AH
S P
SH
S N
S N
A
S N
A
S N
A
S N
AHP
S N
AHP
S N
AHP
S N
AHP
S N
AH
S N
AH
S N
AH
2N5427 SP
S N 2N5427
2N5427 SP
S N 2N5428
2N5427 SP
S N 2N5429
2N5427 SP
S N 2N5430
Unijunction Transistors, see

I

I

I

I

25
130
160
200
80
200
100
200
80
200
100
C 200
80
100
C 200
80
C 200
C 200
100
80
C 175
60
A
80
A
200
C
C
350
40
A
25
A
25
A
25
A
36
C
36
C
36
C
36
C
C
36
60
60
80
35W C 200
80
35W C 200
100
35W C 200
100
35W C 200
Table on Page 2-86

360M
310M
310M
l.OW
l.OW
l.OW
l.OW
30W
30W
30W
30W
100W
l.OW
l.OW
lOW
lOW
500M
360M
360M
360M
3.0W
5.0W
12W
20W
20W

I

A
A
A
A
A
A
A

I

I

0.2
0.25
0.25
0.6
0.6
0.6
0.6
0.6
0.6
0.6
0.6
l.0
0.25
0.25

20N
SON
50M
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
lOA
150M
150M

120
240
120
240

l.ON
10M
10M
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
50M
50M
150M
50M
50M
50M
O.lA
0.5A
l.OA
2.0A
2.0A
5.0A
5.0A
2.0A
2.0A
2.0A
2.0A

0.55
0.25
0.25
0.25
0.5
0.5
0.5
0.5
0.5
2.5
2.2
0.7
0.7
0.7
0.7

150M
50M
50M
50M
0.2A
0.5A
l.OA
2.0A
2.0A
5.0A
5.0A
2.0A
2.0A
2.0A
2.0A

20
20
20
40
40
40

60
60
60
120
120
120

25A
25A
25A
25A
25A
25A

0.75
0.75
0.75
0.50
0.50
0.50

60A
60A
60A
60A
60A
60A

60
30
100
50
30

300
150
300
150
600

50M
50M
50M
50M
50M

0.25
0.25
0.6
0.8
l.0

50M
50M
100M
100M
100M

I

Field-Effect Transistors, see Table on Page 2-78
G
G
G
G
G
G
S
S
S
S
S

P
P
P
P
P
P
N
N
N
N
N

2N5435
2N5435
2N5435
2N5435
2N5435
2N5435

SP
SP
SP
SP
SP
SP
A
A
A
A
A

F\eli-Effect \ransistrrs,

120W
120W
120W
120W
120W
120W

C
C
C
C
C
C

360M
360M
360M
360M
360M

A

110
110
110
110
110
110

A
A
A
A

lee Table In paie

2-78

1

2-56

600M
100M
100M
40M
40M
40M
40N
40M
40N
40N
40N

T
T
T

ISM

T
T
T

15N
250M
25
70
150

500
1000

T
T
T

T
T
T
T
T

E
E
E

300M
300M
300M
250M
250M

T
T
T
T

30M
30M
30M
30M

T
T

E
E

T

T

-

2N5455 2N5594
TYPE

......s :::5i;...
!C

c:o

::IE a..

2N5455
2N5456
2N5457

2N5484
thru
2N5486
2N5487
2N5488
2N5489
2N5490
2N5491
2N5492
2N5493
2N5494
2N5495
2N5496
2N5497
2N5498
2N5515
thru
2N5524
2N5525
2N5526
2N5527
2N5528
2N5529
2N5530
2N5531
2N5532
2N5533
2N5534
2N5535
2N5536
2N5537
2N5538
2N5539
2N5540
2N5541
2N5542

REPLACE·
MENT

REF•

USE

Po
@25'C

S P
S P

SH
SH

340M
340M

'E

~

TJ

YCB

&! 'c
A
A

ELECTRICAL CHARACTERISTICS
YCE-

f

hFE @ Ic

VCEISAn@lc

~ (volts)

(volts) (volts) tI (min) (max)

200
200

15
25

'"

~

hf_

'"
300M

15
25

0
0

30
30

120
120

30M
30M

0.50
0.55

400
400
400
400
55

0
0
0
0

15
15
15
15

60
60
60
60

R

3.0A
3.0A
3.0A
3.0A

0.5
0.5
0.5
0.5

3.0A
3.0A
3.0A
3.0A

80
80
100
100
30
30
30

0
0
0
0
0
0
0

30
60
30
60
20
20
20

120
240
120
240

2.0A
2.0A
2.0A
2.0A
50M
50M
100M

0.7
0.7
0.7
0.7

2.0A
2.0A
2.0A
2.0A

300
120
50
100
100
100
100
100
100
100
100
50

LOA
LOA
40A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
15A

0.25
0.25
1.5
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
1.5

LOA
1.0A
40A
6.5A
6.5A
6.5A
6.5A
6.5A
6.5A
7.0A
7.0A
15A

1.0
1.0
1.25
1.25
1.25
1.25
1.25
1.25
1.25
1.25
1.25
1.25
1.25
1.25
3.0
2.5
2.5
2.5

50M
50M
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
5.0A
5.0A
5.0A
5.0A
20A
lOA
lOA
lOA

oS
~

300M

~

I

450M

T
T

L

'"
450M

tI

Field-Effect Transistors, see Table on Page 2-78

thru

2N5465
2N5466
2N5467
2N5468
2N5469
2N5470
"2NS471
thru
2N5476
2N5477
2N5478
2N5479
2N5480
2N5481
2N5482
2N5483

MAXIMUM RATINGS

S
S
S
S
S

N
N
N
N
N

AP
AP
AP
AP
AH

3.5W

C

200
200
200
200

500
700
500
700
55

25
25
25
25

E

E
E
E

Field-Effect Transistors, see Table on Page 2-78

S
S
S
S
S
S
S

N
N
N
N
N
N
N

2N5477
2N5478
2N5479
2N5480

2N5477
2N5477
2N5477
2N5477

SP
SP
SP
SP
AHP
AHP
AHP

60W
60W
60W
60W
5.0W
lOW
20W

C
C
C
C
C
C
C

200
200
200
200

80
80
100
100
50
50
45

30M
30M
30M
30M

T
T

T
T

Field-Effect Transistors J see Table on Page 2-78

S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N
N
N

MJ7201
MJE5978
MJE5978
MJE5979
MJE5979
MJE5977
MJE5977
MJE5979
MJE5979

MJ7200
2N5977
2N5977
2N5977
2N5977
2N5977
2N5977
2N5977
2N5977

SP
SP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP

15W
15W

C
C

50W
50W
50W
50W
50W
50W
50W
50W
200W

C
C
C
C
C
C
C
C
C

200
200
200
150
150
150
150
150
150
150
150
200

120
150
100
60
60
75
75
60
60
90
90
150

80
100
100

0
0
0

130

0

100
40
15
20
20
20
20
20
20
20
20
10

30
30
40
40
40
40
75
75
75
75
50
50
75
75
130
300
130
130

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

5000
1000
40
40
40
40
30
30
30
30
30
30
20
20
25
20
30
30

200
200
200
200
150
150
150
150
150
150
150
150
75
60
90
90

10M
10M
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
lOA
lOA
lOA
lOA
lOA
5.0A
5.0A
5.0A

0
0
0

60
80
50

250
250
150

10M
10M
5.0A

0.25
0.20
0.5

50M
50M
5.0A

X
X

20
30

60
gO

4.0A
15A

0.75
0.8

4.0A
15A

a

40
100
25
40
5.0
5.0
5.0

120
300
100
120

150M
150M
100M
lOA
100M
250M
200M

0.3
0.3
0.8
1.8

150M
150M
100M
20A

10
20
20
25
25
30
30
30
30
25

E

5000
1000
20
20
20
20
20
15
15
15
25
25
20
20

E

E
E
E
E

E
E
E
E
E

0.8M
0.8M
0.8M
0.8M
0.8M
0.8M
0.8M
0.8M

T
T

200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
150M
150M
150M
150M
20M
20M
20M
20M

T
T

T
T
T
T
T
T

Field-Effect Transistors, see Table on Page 2-78
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N

MJ7000

AL
AL
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
MJ7000 SP
SP
SP
SP

360M
360M
5.0W
35W
35W
35W
5.0W
35W
35W
35W
50W
SOW
50W
SOW

A
A
C
C
C
C
C
C
C
C
C
C
C
C

40
40
60
60
60
60
90
90
90
90
60
60
90
90
175
325
175
175

200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200

E
E
E
E
E
E
E
E
E
E
E
E
E

T
T
T
T

T
T
T
T
T
T
T
T
T
T
T
T

2N5543
Field-Effect Transistors, see Table on Page 2-78

thru

2N5549
2N5550
2N5551
2N5552
2N5555
thru
2N5558

S
S
S

2N5559
2N5560

S
S

N
N
N

thru

2N5574
2N5581
2N5582
2N5583
2N5584
2N5589
2N5590
2N559l
2N5592
thru
2N5594

A
A
SP

1310M
310M

15W

A
A
C

200

1

180
160
120

160
140
80

1

100M
100M

Field-Effect Transistors, see Table on Page 2-78

N
N

2N5561
thru
2N5566
2N5567

2N5550
2N5550

2N5633

2N5632

200
200

SP

150
175

120
120

Field-Efject TrarSist,rs t see Table on Page 2-78

Thyris tors) See Table on Page 2-66
S
S
S
S
S

S
S

N
N
N
N
N
N
N

2N5581
2N5581
2N5583
2N5589
2N5890
2N5891

Field-Eftt

SH
SH
SH
SP
AP
AP
AP

2.0W
2.0W
5.0W

C
C
C

15W
30W
70W

C
C
C

200

TrTiSTS' S]

TIe

75
75
30
225
36
36
36

r

40
40
30
180
18
18
18

pagI2-78,

2-57

0
0
0
0
0
0

250M
300M
1.3G
200M
200M
200M

T
T

•

2N5595·2N5664
MAXIMUM RATINGS

~

TYPE

:s ~
~ :::s
C>

... ...

REPLACE·
MENT

REF.

USE

::E

•

ELECTRICAL CHARACTERISTICS

c

TJ

VCB

VCE _

@25·C

~

·c

(volts)

(volts)

'5
.g
'"

Po

~

'"

hFE @ Ic
(min) (max)

2N5595
2N5596
2N5597
2N5598
2N5599
2N5600
2N5601
2N5602
2N5603
2N5604

S
S
S
S
S
S
S
S
S
S

N
N
P
N
P
N
P
N
P
N

AP
AP
AP
AP
AP
AP
AP
AP
AP
AP

30W
40W
20W
20W
20W
20W
20W
20W
20W
20W

C
C
C
C
C
C
C
C
C
C

200
200
200
200
200
200
200
200
200
200

55
55
80
80
100
100
100
100
120
120

30
30
60
60
80
80
80
80
100
100

0
0
0
0
0
0
0
0
0
0

20
20
70
70
30
30
70
70
30
30

2N5605
2N5606
2N5607
2NS608
2N5609
2N5610
2NS611
2N5612
2NS613
2N5614

S
S
S
S
S
S
S
S
S
S

P
N
P
N
P
N
P
N
P
N

AP
AP
AP
AP
AP
AP
AP
AP
AP
AP

25W
25W
2SW
25W
25W
25W
25w
25W
S8W
58W

C
C
C
C
C
C
C
C
C
C

200
200
200
200
200
200
200
200
200
200

80
80
100
100
100
100
120
120
BO
80

60
60
80
80
80
80
100
100
60
60

0
0
0
0
0
0
0
0
0
0

2NS615
2N5616
2N5617
2N5618
2N5619
2NS620
2N5621
2N5622
2N5623
2N5624

S
S
S
S
S
S
S
S
S
S

P
N
P
N
P
N
P
N
P
N

AP
AP
AP
AP
AP
AP
AP
AP
AP
AP

S8W
58W
S8W
58W
58W
58W
116W
116W
116W
116W

C
C
C
C
C
C
C
C
C
C

200
200
200
200
200
200
200
200
200
200

100
100
100
100
120
120
80
80
100
100

80
80
80
80
100
100
60
60
80
80

2N5625
2N5626
2N5627
2N5628
2N5629
2NS630
2N5631
2N5632
2N5633
2N5634

S
S
S
S
S
S
S
S
S
S

P
N
P
N
N
N
N
N
N
N

2NS629
2N5629
2N5629
2N5632
2NS632
2NS632

AP
AP
AP
AP
AP
AP
AP
AP
AP
AP

116W
116W
116)-1
116W
200W
200W
200W
150W
150W
150W

C
C
C
C
C
C
C
C
C
C

200
200
200
200
200
200
200
200
200
200

100
100
120
120
100
120
140
100
120
140

2N5635
2NS636
2N5637
2N5638

S

N
N
N

2N563S
2N563S
2NS635

A
A
A

7.SW
lSW
30W

C
C
C

60
60
60

S
S

(volts)

€=>

hf _

f'"

L

~

~
~
=>

t!

E
E
E
E
E
E
E
E

L5G
L5G
60M
60M
50M
50M
60M
60M
50M
50M

T
T
T
T
T
T
T
T
T
T

50
50
20
20
50
50
20
20
50
50

E
E
E
E
E
E
E
E
E
E

70M
70M
60M
60M
70M
70M
60M
60M
70M
70M

T
T
T
T
T
T
T
T
T
T

2.SA
2.SA
2.5A
2.5A
2.SA
2.5A
5.0A
S.OA
5.0A
5.0A

20
20
50
50
20
20
50
50
20
20

E
E
E
E
E
E
E
E
E
E

60M
60M
70M
70M
60M
60M
40M
40M
30M
30M

T
T
T
T
T
T
T
T
T
T

5.0A
5.0A
S.OA
S.OA
16A
16A
16A
lOA
lOA
lOA

50
50
20
20
15
15
15
15
15
15

E
E
E
E

40M
40M
30M
30M
1. OM
1. OM
1. OM
1. OM
LOM
1. OM

T
T
T

100M
200M
SOOM

SOOM
450M
400M

T

200
200
90
90
200
200
90
90

50M
50M
1.0A
1.0A
1.0A
1.0A
1.0A
1.0A
l.OA
1.0A

0.46
0.46
0.46
0.46
0.46
0.46
0.46
0.46

1.0A
l.OA
l.OA
l.OA
1.0A
l.OA
l.OA
l.OA

50
50
20
20
50
50
20
20

70
70
30
30
70
70
30
30
70
70

200
200
90
90
200
200
90
90
200
200

2.5A
2.5A
2.5A
2.SA
2. SA
2. SA
2. SA
2. SA
2.SA
2.5A

0.75
0.75
0.75
0.75
0.75
0.75
1.45
0.75
0.75
0.75

2. SA
2.5A
2. SA
2.SA
2.5A
2.5A
2.5A
2.SA
2.SA
2. SA

0
0
0
0
0
0
0
0
0
0

30
30
70
70
30
30
70
70
30
30

90
90
200
200
90
90
200
200
90
90

2. SA
2. SA
2.5A
2.5A
2.5A
2.SA
5.0A
S.OA
5.0A
S.OA

0.75
0.75
0.75
0.75
0.75
0.75
0.9
0.9
0.9
0.9

80
80
100
100
100
120
140
100
120
140

0
0
0
0
0
0
0
0
0
0

70
70
30
30
25
20
15
25
20
15

200
200
90
90
100
80
60
100
180
60

S.OA
S.OA
5.0A
5.0A
8.0A
8.0A
8.0A
5.0A
5.0A
S.OA

0.9
0.9
0.9
0.9
2.0
2.0
2.0
2.0
2.0
2.0

35
35
35

0
0
0

5.0
5.0
5.0

E
E
E
E
E
E

T

T
T
T
T
T

T

T

T

Field-Effect Transistors, see Table on Page 2·78

thru

2N5640
2NS641
2N5642
2N5643
2N5644

2N5626
2NS630
2NS631
2N5632
2NS633
2N5634

VCElsAn@lc
~

=>

S
S

N
N
N
N

2N5641
2N5641
2NS641
2N5644

A
A
A
AP

lSW
30W
60W
3.SW

C
C
C
C

65
65
65
36

35
35
35
18

0
0
0
0

5.0
5.0
5.0
15

100M
200M
200M
100M

300M
250M
200M
400M

T
T
T
T

S
S

N
N

2N4545
2N4546

AP
AP

12W
30W

C
C

36
36

18
18

0
0

15
15

500M
1.0A

400M
400M

T
T

2N5649
2NS650
2N5651
2NS6S2
2N5653
2NS654

S
S
S

N
N
N

20
AHI150M
A
20
AH 150M
A
A
I
I AH 150M
I 20 I
Field-Effect Transis.tors, see Table on Page
Field-Effect Transistors, see Table on Page

15
0
1S
0
0
15
2-78
2-78

30
30
30

300
300
300

3.0M
3.0M
3.0M

2.0G
2.0G
2.0G

T
T
T

2N5655
2N5656
2N5657
2N5658
2NS6S9
2N5660
2NS661
2NS662
2N5663
2N5664

S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N

2N5655
2N5656
2N5657

2N5655
2N5655
2N5655

2N6233
2N6234

2N6233
2N6233
2N6233

250
250
250
150
150
150
150
150
150
120

100M
100M
100M
5.0A
5.0A
SOOM
SOOM
500M
SOOM
1.0A

30M
30M
20M
20M
20M
20M
20M

T
T
T
T
T
T

2N6233

30
30
30
50
50
40
40
40
40
40

2N564S
2N5646
2N5647

S
S

Field-Effect Transistors, see Table on Page 2-78

thru

II

AP
AP
AP
SP
SP
SP
SP
SP
SP
SP

20W
20W
20W
30W
30W

C
C
C
C
C

150
150
150
200
200
200
200
200
200
200

275
325
375
120
120
250
400
250
400
250

I

250
300
350
80
80
200
300
200
300
200

2-58

0
0
0
0
0
0
0
0
0
0

1.0
1.0
1.0
1.0
l.0
0.4
0.4
0.4
0.4
0.4

100M
100M
100M
l.OA
l.OA
LOA
1.0A
1.0A
1.0A
3.0A

20
20
20

E
E
E

T

2N5665·2N5764

....
:s
TYPE

ffi

~

!;:c =s
co
~

REPLACE·
MENT

REFo

USE

a.

2N5665
2N5666
2N5667
2N5668
thru
2N5670
2N5675
2N5676
2N5677
2N5678
2N5679
2N5680
2N5681
2N5682

S
S
S

N
N
N

S
S
S
S
S
S
S
S

P
P
P
P
P
P
N
N

2N5683
2N5684
2N5685
2N5686
2N5687
2N5688
2N5689
2N5690
2N5691
2N5692

S
S
S
S
S
S
S
S
S
G

P
P
N
N
N
N
N
N
N
P

2N5693
3N5694
2N5695
2N5696
2N5697
2N5698
2N5699
2N5700
2N5701
2N5702

G
G
G
G
S
S
S
S
S
S

P
P
P
P
N
N
N
N
N
N

2N5703
2N5704
2N5705
2N5706
2N5707
2N5708
2N5709
2N5710
2N5711
2N5712

S
S
S
S
S
S
S
S
S
S

2N5713
2N5714
2N5715
2N5716

S
S
S

MAXIMUM RATINGS
oS TJ
Po
VCB VCE _
hFE @ Ic
'5
rf
.:g
@25"C ':;; "C (volts) (volts) ti (min) (max)

2N6233

SP
SP
SP

200
200
200

400
250
400

300
200
300

.~

VCEISATl@lc

'"

(volts)

~

0
0
0

40
40
40

120
120
120

LOA
LOA
LOA

004
004
004

300A
300A
300A

'"

2N6234

ELECTRICAL CHARACTERISTICS
hf _

I
en

'"

L

~

'"

I
en

20M
20M
20M

T
T
T

Field-Effect Transistors, see Table on Page 2-78
AP
AP
SP
SP
AP
AP
AP
AP

lOW
lOW
lOW
lOW

C
C
C
C

AP
AP
AP
AP
AP
AP
AP
AP
AP
AP

300W
300W
300W
300W
500W
lOW
25W
50W
88W
125W

C
C
C
C
C
C
C
C
C
C

SP
SP
SP
SP
AP
AP
AP
AP
AP
AP

125W
125W
125W
125W
305W
500W
lOW
35W
35W
880M

C
C
C
C
C
C
C
C
C
C

N
N
N
N
N
N
N
N
N
N

AP
AP
AP
AP
AP
AP
AP
AP
AP
AP

750M
25W
44W
80W
70W
100W
140W
305W
101,
25W

C
C
C
C
S
S
S
C
C
C

N
N
N

A
A
AP

45W
45W
600W

c
c

2N5679
2N5680
2N5681
2N5682

2N5679
2N5679
2N5681
2N5681

2N5683
2N5684
2N5685
2N5686

2N5683
2N5683
2N5685
2N5685

2N5692

2N5693
2N5694
2N5695
2N5696

LOW A
200W A

125
125
125
125
100
120
100
120

100
100
100
100
100
120
100
120

0
0
0
0
0
0
0
0

50 150
50 150
30
90
25
75
40 150
40 150
40 . 150
40 150

005A
005A
500A
lOA
250M
250M
250M
250M

200
200
205
300
006
006
006
006

200A
200A
lOA
20A
250M
250M
250M
250M

40
40
40
40

E
E
E
E

30M
30M
30M
30M

T
T
T
T

200
200
200
200

60
80
60
80
40
40
60
50
50
50

60
80
60
80
20
20
40
30
30
30

0
0
0
0
0
0
0
0
0
0

15
15
15
15
15
15
15
10
10
20

60
60
60
60

25A
25A
25A
25A
50M
50M
100M
100M
100M
25A

500
500
500
500

50A
50A
50A
50A

15
15
15
15

E
E
E
E

200M
200M
2,OM
200M

T
T
T
T

0075

60A

200M

T

80
100
120
140
40
40
40
40
40
40

60
80
100
120
18
18
18
18
18
18

0
0
0
0
0
0
0
0
0
0

20
20
20
20
30
30
15
30
30
15

65
65
65
65

0075
0075
0075
0075

60A
60A
60A
60A

200M
200M
200M
200M

T
T
T
T

40
40
36
36
70
70
70
40
60
60

18
18
18
18
50
50
50
20
36
40

0
0
0
0
0
0
0
0
0
0

15
15
15
15
500
500
500
20
20
10

50M
50M
50M

T
T
T

60
60
50

40
40
300

0
0
0

10
10
20

200

10M
10M
50M

305W

T

30M
30M
30M
30M

T
T
T
T

T
T
T
T
T
T
T
T
T
T

110

110
110
110
110

200
200
200

S

65

50
50
50

25A
25A
25A
25A
40M
40M
50M
50M
50M
50M

40
40

E
E

200
200
200
200
200
200
200
200

50M
50M
100M
100M
100M
100M
200M
10M
50M
100M

Field-Effect Transistors, see Table on Page 2-78

thru
2N5718
2N5729
2N5730
2N5731
2N5732

S
S
S
S

N
N
N
N

2N5336
2N5346
2N5347

2N5336
2N5346
2N5346

SP
SP
SP
SP

2N5733
2N5734
2N5735
2N5736
2N5737
2N5738
2N5739
2N5740
2N5741
2N5742

S
S
S
S
S
S
S
S
S
S

N
N
N
N
P
P
P
P
P
P

MJ7000

MJ7000

SP
SP
SH
SH

2N5743
2N5744
2N5745
2N5754
thru
2N5757
2N5758
2N5759
2N5760
2N5761
2N5762
2N5763
2N5764

S
S
S

P
P
P

360M
360M

AP
AP
AP
AP
AP

2N5745

AP
AP
AP

200W

Thyristors, See Table on Page 2-66
S
S
S
S
S
S
S

N
N
N
N
N
P
N

2N5758
2N5759
2N5760

2N5758
2N5758
2N5758

AP
AP
AP
AH
AH
SP
AH

150W
150W
150W
250M
300M
400M
lOW

100
100
100
100

80
80
80
80

0
0
0
0

30
30
30
30

300
300
300
300

2 00A
2.0A
500A
500A

1.5
1.2
1.5
1.2

500A
500A
lOA
lOA

1.5
1.5
1.5
1.5

E
E
E

200
200

80
80
30
30
60
100
60
100
60
100

0
0
0
0
0
0
0
0
0
0

30
30
40
100
20
20
20
20
20
20

300
300
120
300
80
80
80
80
80
80

lOA
lOA
150M
150M
500A
500A

20A
20A
150M
150M
500A
500A
500A
500A
lOA
lOA

E
E

500A
lOA
lOA

1.2
1.2
004
004
005
005
005
005
1.5
1.5

1.5
1.5

200
200
200
200
200
200

100
100
60
60
60
100
60
100
60
100

20
20
20
20
20
20

E
E
E
E
E
E

30M
30M
200M
200M
10M
10M
10M
10M
10M
10M

200
200
200

60
100
80

60
100
80

0
0
0

20
20
15

80
80
60

lOA
lOA
lOA

1.5
1.5
1.0

lOA
lOA
lOA

20
20
40

E
E
E

10M
10M
200M

T
T
T

200
200
200

100
120
140
20
20
65
55

100
120
140
15
15
60
25

0
0
0
0
0
0
0

25
20
15
30
30
70
20

100
80
60
300
300

300A
300A
300A
10M
15M
10M
0010A

1.0
1.0
1.0

300A
300A

15
15
15
1805
1605

E
E
E
E
E

loOM
100M
100M

T
T
T

004

150M

200M

T

A
A

AP

2N5745

200
200
200
200

C

500A

E

I

C
C
C
A
A
A
S

2-59

300A

•

2N5765-2N5870

....
TYPE

i=:5

~ ...=

:IE
2N5765
2N5766
2N5767
2N5768
2N5777
2N5778
2N5779
2N5780
2N5781
2N5782
2N5783
2N5784

S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
P
P
P
N

2N5785
2N5786
2N5793
2N5794
2N5795
2N5796
2N5797

S
S
S
S
S
S

N
N
N
N
N
N

S
S

N
N

S
S
S
S
S
S
S
S
S

N
N
N
P
N
P
N
P
N
P
N
P
N
P
N
N
N
N
N
P
N

thru
2N5803
2N5804
2N5805

MAXIMUM RATINGS
REPLACE·
MENT

2N3720
2N3720

REF.

USE

Po
@25"C

2N5777
2N5777
2N5777
2N5777
2N3719
2N3719

AP
AP
AP
AP

19W
5.0W
lOW
20W
200M
200M
200M
200M
lOW
lOW
lOW
lOW

AP
AP
SH
SH
SH
SH

lOW
lOW
500M
500M
500M
500M

AH
AH
AH
AH
RD
RD
RD
RD

ELECTRICAL CHARACTERISTICS
VCE _ ~

'E

TJ

VCR

=

"C

(volts)

(volts)

55
55
55
55
25
40
25
40
80
65
45
80

25
25
25
25
25
40
25
40
80
65
45
80

65
45
75
75
60
60

65
45
40
40
60
60

;f
S
S
S
S
A
A
A
A
C
C
C
C

C
C
A
A
A
A

I

100
100
100
100
200
200
200
200
200
200

j

hFE @ Ic
(min) (max)

0
0
0
0
0
0
0
0

20 I
20:
20
20

:i=>

VCEISAn@lc
(volts)

~

hl_

=>

~

J

'-

~

f

=> en

0.05A
I O
.lOAI
0.10A
0.10A

Photo Darlington Amplifiers
See Data Sheet for Details

R
R
R
R

4.0
4.0
4.0
4.0

R
R

0
0
0
0

4.0
4.0
40
100
40
100

3.2A
3.2A
3.2A
3.2A

2.0
2.0
2.0
2.0

3.2A
3.2A
3.2A
3.2A

25
25
25
25

E
E
E
E

8.0M
8.0M
8.0M
2.5M

T
T
T
T

3.2A
3.2A
150M
150M
150M
150M

2.0
2.0
0.9
0.9
1.6
1.6

3.2A
3.2A
300M
300M
500M
500M

25
25

E
E

2.5M
2.SM

T

120
300
120
300

15M
15M

T
T

T

Field-Erect TrnSisrors, see rb1e on Page 2-78
SP
SP

Thyrist~rs,

2N5806

thru
2N5809
2N5810
2N5811
2N5812
2N5813
2N5814
2N5815
2N5816
2N5817
2N5818
2N5819
2N5820
2N5821
2N5822
2N5823
2N5824
2N5825
2NS826
2N5827
2N5828
2N5829
2N5830

S
S
S
S
S
S
S
S
S
S
S
S

2N5831
2N5832
2N5833
2N5834
·2N5835
2N5836
2N5837
2N5838
2N5839
2N5840
2N584-1
2N5842
2N5843
2N5844
2N5845
2N5845A
2N5846
2N5847
2N5848
2N5849
2N5851
2N5852
2N5853
2N5854
2N5855
2N5856
2N5857
2N5858
2N5862
2N5864
2N5865
2N5867
2N5868
2N5869
2N5870

S N
S N
S N
S P
S N
S N
S N
S N
S N
S N
S N
S N
S P
S P
S N
S N
S N
S N
S N
S N
S N
S N
S P
S N
S P
S N
S P
S N
S N
S P
S P
S P
S P
S N
S N

seJ

Tabl~
A
A
A
A
A
A
A
A
A

2N5829

2N5838
2N5839
2N5840

2N5835
2N5835
2N5835

2N5843
2N5844
2N5846

2N5851
2N5851

2N5867
2N5868
2N5869
2N5870

2N5864
2N5865
2N5867
2N5867
2N5867
2N5867

A
A
A
A
A
A
A
A
A
A
AH
A
A
A
A
SP
SH
SH
SH
SP
SP
SP
SH
SH
AM
AM
S
S
AH
AW
AP
AP
S
S
AP
AP
A
A
A
A
A
S
AP
AP
AP
AP

on

300
375

300
375

X
X

10
10

100
100

5.0A
5.0A

2.0
2.0

5.0A
5.0A

135
135
135
135
135
135
135
135
135

35
35
35
35
50
50
50
50
50

25
25
25
25
40
40
40
40
40

0
0
0
0
0
0
0
0
0

60
60
150
150
60
60
100
100
150

200
200
500
500
120
120
200
200
300

2.OM
2.0M
2.0M
2.0M
2.0M
2.0M
2.0M
2.OM
2.0M

0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75

500M
500M
500M
500M
500M
500M
500M
500M
500M

A 135
A 135
A 135
A 135
A 135
A 125
A 125
A 125
A 125
A 125
A 125
A 135
A 135
A 135
A 135
A
A 125
C
C
200
200
200
C
C
A
A
C
C
C
C
C
C
C
C
C 200
C 200
A
A
A
A
200
A
A
C 200
C 200
C 200
C 200

50
70
70
70
70
50
50
50
50
50
30
120

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

150
60
60
100
100
60
100
150
250
400
20
80

160
160
200

40
60
60
60
60
40
40
40
40
40
30
100
140
140
180

300
120
120
200
200
120
200
300
500
800
150
500
250
500
250

2.0M 0.75
2.OM 0.75
2.0M 0.75
2.0M 0.75
2.0M 0.75
2.0M 0.125
2.0M 0.125
2.OM
2.0M
2.0M
2.OM
10M 0.25
10M
0.25
10M
0.25
0.25
10M

15
15
10
275
300
375

10
10
5.0
275
300
375

0
25
0
25
0
25
X 8.0
X
10
X
10

200
200

pa~e ~-66

500M
500M
500M
500M
500M
500M
500M
500M
500M
500M
500M
500M
500M
500M
360M
360M
360M
360M
360M
200M
310M
310M
310M
310M
5.0W
200M
2.0W
2.0W

350M
350M
500M
500M
1.2W
1.2W
lOW
20W
50W
100W
500M
500M
66W
66W
750M
750M
750M
750M
80W
1.25W
1.25W
87.5W
87.5W
87.5W
87.5W,

A
A
A
A
A
A
A
A
A

80
175
50

50
50
50
50
36

40
40
40
40
18

0
0
0
0
0

50
100
25
35
5.0

48
48
30
30
100
100
60
60
80
80
65
90
70
60
80
60
80

24
24
15
15
80
80
60
60
80
80
35
70
50
60
80
60
80

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

3.0
3.0
40
40
30
30
50
50
50
50
5.0
50
40
20
20
20
20

2-60

40
50
501

150
300
150
150

90
90
300
300
300
300
500
200
100
100
100
100

10M
50M
100M
3.0A
2.0A
2.0A

0.1M
0.1M
500M
500M
250M
lo2A
2.4A
10M
10M
5.0A
5.0A
150M
150M
150M
150M
3.0A
150M
150M
1.5A
1.5A
1.5A
1.5A

1.0
1.5
1.5

500M
500M
500M
500M
500M
10M
10M

60
100

50M
50M
50M
50M

60
60
125
50

3.0A
2.0A
2.0A

5.0
5.0
5.0

E

E

E
E

E
E

E
E
E

0.6
0.5

500M
500M

0.9
0.9
0.4
0.4
0.4
0.4

5.0A
5.0A
150M
150M
150M
150M

20
20
1.0
1.0
1.0
1.0

0.9
1.25
2.0
2.0
2.0
2.0

300M
500M
3.0A
3.0A
3.0A
3.0A

50

E

20
20
20
20

E

E

E
E

100M
250M

T
T

800M
1l0OM
ISM
20M

T
T

50M
100M
4.0M
4.0M
4.0M
4.0M

T
T
T

T

T

E

E
E

E

E
E

T

T
T

2N5871-2N6003

....
:$
TYPE

ffi

~

~
'"

:s

MAXIMUM RATINGS
REPLACE·
MENT

REF.

USE

::E Do.

2N5871
2N5872
2N5873
2N5874
2N5875
2N5876
2N5877
2N5878
2N5879
2N5880
2N5881
2N5BB2
2N5883
2N5884
2N5885
2N5886
2N58B7
2N588B
2N5B89
2N5890
2N5891
2N5B92
2N5893
2N5894
2N5895
2N5896
2N5897
2N5898
2N5899
2N5900
2N5901
2N5902

S
S
S
S
S
S

s

S
S
5
'5
5
5
5
5
5
G
G
G
G
G
G
G
G
G
G

G
G
G
G
G

P
P

N
N
P
P
N
N
P
P
N
N
P
P
N
N
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P

Po
@25·C

'100·e
2N5B71
2N5872
2N5B73
2N5874
2N5875
2N5876
2N5877
2N5878
2N5879
2N5880
2N5881
2N5882
2N5883
2N5884
2N5B85
2N5886
2N5887
2N5888
2N5B89
2N5892
2N5B91
2N5892
2N5893
2N5894
2N5895
2N5896
2N5897
2N5898
2N5899
2N5900
2N5901

2N5B71
2N5871
2N5B71
2N5871
2N5875
2N5875
2N5875
2N5875
2N5879
2N5879
2N5879
2N5B79
2N5883
2N5B83
2N5883
2N5883
2N5B87
2N5B87
2N58B7
2N5887
2N5877
2N5B77
2N5B77
2N5877
2N5877
2N5877
2N5877
2N5877
2N5877
2N5877
2N5877

AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP

~ TJ

Do.

i

·C

100W
100W
100W
100W
150W
150W
150W
150W
160W
160W

C
C
C
C
C
C
C
C
C
C
169~ C
16Q~ C

200
200
200
200
200
200
200
200
200
200
200
200
200
~gg~
200
200
200
5Z~ C 110
57. C 110
110
110
57W C 110
57W C 110
57W C 110
57W C 110
57W C 110
57W C 110
57W C 110
57W C 110
57W C 110
57W C 110
57W C 110

g
~g2~ g
H~

g

Vca

ELECTRICAL CHARACTERISTICS
VCE _

(volls) (volls)
60
80
60
80
60
80
60
80
60
80
60
BO
60
80
60
80
20
30
30
45
60
75
30
45
60
75
30
45
60
75
30

hFE @ Ic

'S

.~

.g

en (min) (max)

60 0
BO 0
60 0
80 0
60 0
80 0
60 0
80 0
60 0
80 0
60 0
80 0
60 0
BO 0
60 0
BO 0
15 0
25 0
25 0
35 0
45 0
60 0
25 0
35 0
45 0
60 0
25 0
35 0
45 0
60 0
25 0

20
20
20
20
20
20
20
20
20
20
20
20
20
20
20

~~

H

30
30
30
60
60
60
60
100
100
100
100
175

100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
350
350
70
70
70
70
120
120
120
120
200
200
200
200
350

::>

2.5A
2.5A
2.5A
2.5A
4.0A
4.0A
4.0A
4.0A
6.0A
6.0A
6.0A
6.0A
lOA
IDA
lOA
IDA
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A

VCEISATl@lc
(volts)
2.0
2.0
2.0
2.0
3.0
3.0
3.0
3.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
0.35
0.35
0.35
0.35
0.35
0.35
0.35
0.35
0.35
0.35
0.35
0.35
0.35
0.35
0.35

~
::>
5.0A
5.0A
5.0A
5.0A
8.0A
8.0A
8.0A
8.0A
12A
12A
12A
12A
20A
20A
20A
20A
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A

hl_
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
12.5
12.5
12.5
12.5
12.5
12.5
12.5
12.5
12.5
12.5
12.5
12.5
12.5
12.5
12.5

~

~

en
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E

L

:!2

:5
~.OM

4.0M
4.0M
4.0M
4.0M
4.0M
4.0M
4.0M
4.0M
4.0M
4.OM
4.0M
4.0M
4.0M
4.0M
4.0M
0.25M
0.25M
0.25M
0.25M
0.25M
0.25M
0.25M
0.25M
0.25M
0.25M
0.25M
0.25M
0.25M
0.25M
0.25M

I
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T

T
T

T
T
T
T
T
T
T
T
T
T
T

Field-Effect Transistors, see Table on Page 2-78

thru
2N5909
2N5913
2N5914
2N5915
2N5916
2N5917
2N5918
2N5919
2N5920
2N5921
2N5926

5
5
S
5
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N

2N5927
2N5928
2N5929
2N5930
2N5931
2N5932
2N5933
2N5934
2N5935
2N5936
2N5937
2N5938

S
5
S
5
S
S
S
S
S
5
5
5

N
N
N
N
N
N
N
N
N
N
N
N

SP
SP
SP
5P
SP
SP
5P
5P
5P
5P
5P
AP

*200W
*200W
100W
100W
100W
100W
100W
100W
100W
100W
100W
2.5W

2N5939
2N5940
2N5941
2N5942
2N5943
2N5944
2N5945
2N5946
2N5947
2N5949

5 N
5 N
5 N
S N
5 N
5 N
5 N
5 N
5 N

AP
AP
2N5941 AH
2N5942 AH
2N5943 A
AP
AP
AP
2N5947 A

2.0W
2.0W
80W
140W
3.5W
5.0W
15W
p7.5W
16W

thru

AH
AH
AH
AH
AH
AH
AH
AH
AH
5P

14.5W
*200W

C
C

200

36
36
36
55
55
60
65
50
50
150

C
C
C
C
C
C
C
C
C
C
C
A

200
200
200
200
200
200
200
200
200
200
200
200

150
120
90
130
170
70
110
150
90
130
70
60

A 200
A 200
C
C
C
C
C
C
C

80
70
65
65
40
36
36
36
40

14
14
14
24
24
24
30
50
50
120

0
0
0
0
0
0
0

20
20

50M
50M

R
R

0

10

40

50A

0.6

50A

120
120
80
120
160
60
100
140
80
120
160
50

0
0

X
X
0

10
10
20
20
20
20
20
20
20
20
20
30

40
40
100
100
100
100
100
100
100
100
100
150

70A
100A
lOA
lOA
lOA
lOA
20A
20A
30A
30A
30A
LOA

0.75
1.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
0.75

70A
100A
lOA
lOA
lOA
20A
20A
20A
30A
30A
30A
3.0A

20

E

80
70
35
35
30
16
16
16
30

0
0
0
0
0
0
0
0
0

40
40
10
10
25
20
20
20
25

200
200

5.0A
5.0A
o:500A
LOA
300
50M
O.lA
0.2A
0.5A
75M
250

1.0
1.0

lOA
lOA

30
30

E
E

0.2

100M

25

E

25

E

X

X
X
X
X
X
X

0.35 200M

30M
30M
30M
30M
30M
30M
30M
30M
30M

T
T
T
T
T
T
T
T
T

50M
50M

T

T
T
T
T
T
T
T
T
T
T
T

T
T
T

T

Field Effect Transistors, See Table on Page 2-78

2N5953
2N5961
2N5962
2N5963
2N5964
2N5965
2N5970
2N5971
2N5972
2N597.3
2N5980
2N5981
2N5982

5
S
5
5
5
5
5
5
5
S
S
S

N
N
N
N
N
N
N
N
N
P
P
P

2N5983
2N5984
2N5985
2N5992
2N5993
2N5994
2N5995
2N5996
2N6000'
2N6001
2N6002
2N6003

5
S
S
S
S
S
5
5
S
S
S
5

N
N
N
N
N
N
N
N
N

P
N
P

2N5970
2N5971
2N5972
2N5973

AH
AH
AH
AH
AH
5P
5P
SP
5P
AP
AP
AP

625M
625M
625M
700M
700M
··85.5W
i>85.5W
··85.5W
-85.5W
90W
90W
90W

A
A
A
A
A
C
C
C
C
C
C
C

AP
AP
AP
AP
AP
AP
AP
AP
A
A
A
A

90W
90W
90W
35.7W
35.7W
35.7W
1O.7W
35.7W
800M
800M
800M
800M

C
C
C
C
C
C
C
C
C
C
C
C

135
135
200
200
200
200
150
150
150
150
150
150

125
125
125
125

250
250
60
150
75
75
120
120
120

10M
10M
10M
10M
10M
5.0A
5.0A
5.0A
0.5A
4.0A
4.0A
4.0A

0.2
0.2
0.2
0.2
0.2
1.0
0.7
1.0
1.0
0.6
0.6
0.6

10M
10M
10M
10M
10M
5.0A
5.0A
5.0A
5.0A
4.0A
4.0A
4.0A

150
600
1200
50
50

E
E
E
E
E

20
20
20

E
E
E

100M
100M
150M
100M
100M
4.0M
4.OM
4.0M
4.OM
2.0M
2. OM
2.0M

20
20
20

120
120
120

4.0A
4.0A
4.0A

0.6 4.0A
0.6 4.0A
0.6 4.0A

20
20
20

E
E
E

2.0M
2.0M
2.OM

100
100
250
250

300
300
500
500

60
45
30
160
200
80
80
100
120
60
80
100

60
45
30
150
180
60
60
70
80
40
60
80

0
0
0
0
0
0
0
0
0
0
0
0

150
600
.2K
50
50
20
50
25
25
20
20
20

60
80
100
65
36
65
36
36
35
35
35
35

40
60
80
30
18
30
14
18
25
25
25
25

0
0
0
0
0
0
0
0
0
0
0
0

2-61

700
.4K

~:2K

10M
10M
10M
10M

0.080
0.100
0.080
0.100

10M
10M
10M
10M

70
85
175
235

E
E
E
E

350M
700M
450M
800M

T

T
T

T
T

•

2N6004-2N6134

....
s
TYPE

.. :s
~

1:

'"
Q

:E "-

2N6004
2N6005
2N6006
2N6007
2N6010
2N6011
2N6012
2N6013
2N6014
2N6015
2N6016
2N6017
2N6021
2N6022
2N6023
2N6024
2N6025
2N6026
2N6027
2N6028
2N6029
2N6030
2N6031
2N6032
2N6033
2N6046
2N6047
2N604S
2N6049
2N6055
2N6056
2N6060
2N6061
2N6062
2N6063
2N6064
2N6065
2N6066
2N6067
2N606S
thru
.2N6075
2N6076
2N6077
2N607S
2N6079
2N60S0
2N60S1
2N60S2
2N60S3
2N6084
2N60S5
2N60S6
2N60S7
2N608S
2N6089
2N6090
2N6091
2N6092
2N6093
2N609S
2N6099
2N6100
2N6101
2N6102
2N6103
2N6104
2N6105
2N6106
2N6107
2N61OS
2N6109
2N6110
2N6111
2N6112
2N6116
thru
2N6120
2N6121
2N6122
2N6123
2N6124
2N6125
2N6126
2N6127
2N6128
2N6129
2N6130
2N6131
2N6132
2N6133
2N6134

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

N
P
N
P
N
P
N
P
N
P
N
P
P
P
P
P
P
P

MAXIMUM RATINGS
REPLACE·
MENT

2N4920
2N4920
2N491S
2N491S
2N4919
2N4919

REF.

2N491S
2N491S
2N491S
2N4918
2N491S
2N4918

USE
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

Progrannnable Unij unctions,
S P
AP
S P
AP
S P
AP
S N
SP
S N
SP
S N
SP
S N
SP
S N
SP
S P
AP
S N
AP
S N
AP
S N
AP
S P
AP
S N
AP
S P
AP
G P
2N6064
2N6064 SP
G P
2N6065
2N6064 SP
G P
2N6066
2N6064 SP
S P
S

PD
c
@25·C ~
*@75·C -:;;
*@10O'C '"
SOOM
SOOM
SOOM
SOOM
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
36W
36W
36W
36W
36W
36W

C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C

c

TJ

Ves

·c

(volts)

125
125
125
125
150
150
150
150
150
150
150
150
150
150
150
150
150
150

50
50
50
50
50
50
50
50
70
70
70
70
SO
SO
60
60
SO
SO

veE_ '5
'"0
40

h,.

ELECTRICAL CHARACTERISTICS
@ Ie

(volts) ~ (min) (max)
300
300
500
500
300
300
500
500
300
300
500
500
120
120
120
120
SO
SO

.~

=>

10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
0.5A
O. SA
LOA
LOA
1. SA
1. SA

VeElsAn@le

~

(volts)
O.OSO
0.100
O.OSO
0.200
0.050
O.OSO
0.050
O.OSO
0.050
O.OSO
0.050
O.OSO
1.0
1.0
1.0
1.0
1.0
1.0

'"

hf _

10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
0.5A
0.5A
LOA
l.OA
1. SA
1. SA

70
85
175
235
65
90
155
225
65
90
155
255
15
15
20
20
25
25

15
15
15

:e.

I
E
E
E
E
E
E
E
E
E
E
E

40
40
40
40
40
40
40
60
60
·60
60
70
70
40
40
60
60

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

100
100
250
250
100
100
250
250
100
100
250
250
30
30
30
30
20
20

100
120
140
120
150
70
110
150
90
60
SO
100
100
100
100
SO
120
160
50

100
120
140
90
120
60
100
140
55
60
SO
100
100
100
100
SO
120
160
40

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

25 100 S.OA
20
80 S.OA
15
60 S.OA
10
50A
50
10
50
40A
20 100
20A
20 100
20A
20 100
20A
25 100 500M
750 lS000 4.0A
750 lS00C 4.0A
20 120
20A
20 120
20A
20 120
20A
20 120
20A
20 50
3.0 A
20 50
3.0 A
20 50
3.0 A
50 200 100 M

2.0
2.0
2.0
1.3
1.0
2.0
2.0
2.0
2.0
2.0
2.0
1.0
1.1
1.0
1.1
O.S
O.S
O.S
0.3

16A
16A
16A
50A
40A
20A
20A
20A
4.0A
4.0A
4.0A
20A
2O"
20A
20A
10
A
10
A
10
A
100 M

25
300
275
375
36
36
36
36
36
45
45
45
45
45
45
60
60
70
70
70
SO
SO
45
45
65
65
SO
SO
60
60
40
40
50

25
300
275
375
lS
lS
lS
lS
IS
45
45
45
45
45
45
60
60
35
60
60
70
70
40
40
30
30
70
70
50
50
30
30
30

100
12
12
12
5.0
5.0
5.0
5.0
5.0
60
150
60
150
60
150
60
150
20
20
20
20
20
15
15

10M
1.2A
1. 2A
1.2A
0.25A
0.5A
LOA
LOA
1.0 A
10
"
10
10
*
10
*
10
10
*
10
*
10
"
5.0 A
4.0 A
4.0 A
5.0 A
5.0 A
S .0 A
S .0 A

0.25
0.5
0.5
0.5

10M
1.2A
1. 2A
1. 2A

0.35
0.35
0.35
0.35
0.35
0.35
0.35
0.35

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

M
M
M
M
M
M
M
M

2.5
2.5
2.5
2.5
2.5
2.5

10
10
10
10
16
16

A
A
A
A
A
A

15
15
15
15
15
15

E
E

150
150
150
150
150
150
600

2.0 A
2.0 A
2.5 A
2.5 A
3.0 A
3.0 A
2.0M

2.0
2.0
2.0
2.0
2.0
2.0
0.3

6.5 A 20
6.5 A 20
6.5 A 20
6.5 A 20
6.5 A 20
6.5 A 20
10M
ISS

E
E
E
E
E
E

E

E
E

E
E

E
E

L

~

i

'" '"T

350M
700M
450M
SOOM
330M
240M
42GM
360M
330M
240M
420M
360M
O.SM
o.SM
O.SM
o .SM
O.SM
0.8M

T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T

See Table on Page 2-88

200W
200W
200W
"SOW
~"'80W

114W
114W
114W
75W
100W
10m,
'150W
.. 150W
·'150W
"150W
56 W
561'1
56 W
625M

C 1200
C 200

gI ~gg

C 200
C 200
C 200
C 200
C 200
C 200
C 200
C 200
C 200
C 200
C 200
C 110
C 110
C 110

A

25
300
300
40
40
40
40
10
10
10

iE

E
E

E
E
E

E
E
E
E
E

100M
100M
100M
50M
50M
30M
30M
30M
3.0M
4.0M
4.0M
10M
20M
10M
20M

T
T
T
T
T
T
T
T
T
T
T
T
T
T
T

E

E

150 M

T

loOM
loOM
1. OM

T
T
T

Thyristors, See Table on Page 2-66

S P
S N
S N
S N
S N
S N
S N
S N
S N
S N
S N
S N
S N
S N
S N
S N
S N
S N
S N
S N
S N
S N
S N
S N
S N
S N
S P
S P
S P
S P
S P
S P
S N
P og

2N6077
2N6078
2N6079

2N59S3
2N59S3
2N5991
2N5991

A
SP
SP
SP
2N60S0 A
2N6080 A
2N60S2 A
2N60S2 A
2N6082 A
A
A
A
A
A
A
A
A
AH
2N59S3 AP
2N59S3 AP
2N59S6 AP
2N59S6 AP
AP
AP
AH
AH
A
A
A
A
A
A
AH

360M
A
1**25.7W C
.*25.7W C
7W C
12W
C
13W
C
C
SOW
SOW
C
75 W C
0.75W C
0.75W C
0.751'1 C
0.75W C
0.75W C
0.75W C
0.751; C
0.75W C
;'S3. 3 W C

~b'(25.

75 W
75 W
75 1'1
75 1'1
75 1'1
75 1'1
*36 W
;'36 W
40 W
40 W
40 W
40 W
40 I<
40 W
360M

C

C
C
C
C
C
C
C
C
C
C
C
C
C

200
200
200

150
150
150
150
150
150
150
150
150
150
150
150

C

0
X
X
X

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

30
30
30
30
30
30
ISS

500
70
70
50

240
600
240
600
240
600
240
600
80
SO
SO
SO
60
60

*
*

N
N
N
P
P
P
P
N

AP
AP
AP
AP
AP
AP
AP

40W
40W
40W
401<
40W
40\,
*67H
*67W

C
C
C
C
C
C
C
C

150
150
150
150
150
150
200
200

45
60
80
45
60
SO
100
100

45
60
SO
45
60
80
80
80

0
0
0
0
0
0
0
0

25
25
20
25
25
20
30
30

100
100
SO
100
100
SO
120
120

1. SA
1. SA
1. SA
1. SA
1. SA
1. SA
5.0A
5.0A

0.6
0.6
0.6
0.6
0.6
0.6
2.2
2.2

1. SA
1. SA
1. SA
1. SA
1. SA
1. SA
lOA
lOA

25
25
25
25
25
25
20
20

S
S
S
S
S

N

AP
AP
AP
AP
AP
AP

SOH
SOH
SOW
SOW
SOW
SOW

C

150
150
150
150
150
150

40
60
SO
40
60
SO

40
60
SO
40
60
SO

0
0
0
0
0
0

20
20
20
20
20
20

100
100
100
100
100
100

2.5A
2.SA
2.5A
2.SA
2.5A
2.5A

1.4
1.4
2.0
1.4
1.4
1.S

7.0A
7.0A
7.0A
7.0A
7.0A
7.0A

25
25
25
25
25
25

S

M
M
M
M
M
M
M
M
M
M
M
M
M
M
M

10 M
10 M
10 M
10 M
10 M
10 M
E 160M

T
T
T
T
T
T
T
T
T

T
T
T
T
T
T
~,'

E
E
E
E
E
T

mmab1e 1 Inijunct on T ansistc rs, See Table Ion Page 2-S8

S
S
S
S
S
S
S
S

N
N
P
P
P

E
E
E
E

60
60
60
60
60
60
60
60
100
O.S
O.S
O.S
O.S
O.S
O.S

AP

C

C
C
C
C

2·62

E
E

E
E
E
E
E
E

E
E
E

E
E
E

2.5M
2.5M
2.5M
2.5M
2.5M
2.5H
40H
50M

T

2.5M
2.5M
2.5M
2.5M
2.5M
2.5M

T

T

T
T

T
T
T
T
T
T

T
T
T

2N6135-2N6269
>:;;! !::

TYPE

ffi ""
~ :5
c
:IE

....

S
S

N
N

2N6135
2N6136
2N6137
2N6138
2N6166
2N6175
2N6176
2N6177
2N6178
2N6179
2N6180
2N6181
2N6182
2N6183
2N6184
2N6185
2N6197
2N6198
2N6199
2N6200
2N6201
2N6202
2N6203
2N6204
2N6205
2N6206
2N6207
2N6208
2N621l
2N6212
2N6213
2N6226
2N6227
2N6228
2N6229
2N6230
2N6231
2N6233

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

2N6234
2N6235
2N6246
2N6247
2N6248
2N6249
2N6250
2N6251
2N6253
2N6254
2N6257
2N6258
2N6259
2N6260
2N6261
2N6262
2N6263
2N6264
2N6265
2N6266
2N6267
2N6268
2N6269

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS
REPLACE·
MENT

REF.

USE

Po

1;;
·0

@25·C ....
*@75·C
**@10O'C .:ll

TJ
·C

AH
5.0W C
60W C
AH
Programmable Unijunction Transis tors.
N
1l7W C
AH
C
N
AP
20\1
C
N
20\1
AP
N
AP
20W C
N
SP "*10W C 150
N
SP
''''lOW C 150
P
SP ""lOll C 150
P
SP ""lOW C 150
P
SP
60\1
C 200
p
SP
60\' C 200
SP
60W C 200
P
SP
C 200
P
60\1
N
AHP
lOW C
N
AHP
25W C
AHP
N
SOW C
N
AHP
85W C
AHP
N
140W C
N
AHP
lOW C
N
AHP
20W C
AHP
N
40W C
N
AHP
80W C
AHP
N
lOW C
N
20W C
AHP
N
C
40W
ARP
P
SP **20W C 200
P
SP *"2 OW C 200
P
SP ''''2 OW C 200
P
AP
150W C 200
P
AP
150W C 200
P
150W C 200
AP
P
150W C 200
AP
P
AP
150W C 200
P
AP
150W C 200
N
SOW C 200
SP
N
SOW C 200
SP
N
SOW C 200
SP
AP
P
125W C 200
P
AP
125W C 200
P
AP
125W C 200
N
SP
100W C 200
SP
100W C 200
N
SP
100W C 200
N
AP
N
115W
C 200
AP
150W C 200
N
AP
N
150W C 200
AP
250W
N
C 200
N
AP
250W
C 200
N
AP
29W
C 200
N
AP
SOW
C 200
N
AP
150W
C 200
N
AP
20W
C 200
AP
N
SOW C 200
N
AHP "7.5W C
ARP **14.8W C
N
ARP
N
**2111 C
N
AR 1**6.25. C
N
AR

Vca

VCE _ ~

(volls) (volls)

~
'"0

hFE @ Ic
(min) (max)

I

35
25
25
250
18
36
0 20
See Table on Page 2-88.
65
35
0 5.0
300
250
0 30
350
300
0 30
450
350
0 30
100
130
75
X 30
75
250
50
X 40
100
75
130
X 30
75
250
50
X 40
80
80
120
0 30
80
240
80
0 60
0 30
100
100
120
100
100
0 60
240
60
0
35
0
60
35
60
0
35
0
60
35
60
0
35
60
0
33
0
60
33
60
0
33
0
60
33
0
50
30
50
30
0
30
50
0
275
X
10 100
275
350
X
10 100
350
400
X
10 100
400
100
0 25
100
100
120
0 20
120
80
140
0 15
60
140
100
0 25
100
100
120
0 20
80
120
140
0 15
60
140
250
0 25
125
225
275
300
0 25 125
350
325
0 25 125
R
105
110
85
R
90
65
R
70
12
50
225
X
300
300
X
10
50
375
50
X 8.0
400
450
0
20
70
45
55
70
20
80
0
100
40
0
15
75
50
20
60
80
0
100
60
170
150 0
15
50
40
0
20 100
0
80
25 100
90
20
170
150 0
70
0
20 100
140
120
0
20
60
170
150
50 R
50
50
50 R
50
50 R
45 R
45
R
45
45

2-63

.~
::0

VCElsAn@lc
(volls)

~
::0

80M
l.OA

Ilj25

50m!
20M
20M
50M
0.5A
0.5A
0.5A
0.5A
2.0A
2.0A
2.0A
2.0A

0.5
0.8
0.7
l.2
0.7
0.7
0.7
0.7

0.5A
0.5A
0.5A
0.5A
2.0A
2.0A
2.0A
2.0A

l.OA
l.OA
l.OA
3.0A
3.0A
3.0A
5.0A
5.0A
5.0A
l.OA
l.OA
l.OA
15A
15A
15A
IDA
IDA
IDA
3.0A
5.0A
8.0A
15A
8.0A
1. SA
l.5A
3.0A
0.5A
l.OA

l.4
l.6
2.0
l.0
l.0
l.0
l.0
l.0
l.0
0.5
0.5
0.5
2.5
3.5
3.5
l.0
l.5
l.5
4.0
4.0
l.5
0.75
1.0
1.5
0.5
0.5
1.2
0.5

1.0A
1.0A
l.OA
3.0A
3.0A
3.0A
7.5A
7.5A
7.5A
1.0A
l.OA
1.0A
15A
15A
15A
IDA
lOA
IDA
15A
15A
8.0A
15A
8.0A
1. SA
l.5A
3.0A
0.5A
LOA

25
25
25

15
15
15
15
15
15

I 1
L

~
::0

'"T

E

l.lG

E
E
E

21M
21M
21M
50M
50M
50M
50M
30M
30M
30M
30M

T
T
T

5.0M
5.0M
5.0M
l.OM
l.OM
l.OM
l.OM
l.OM
l.OM
20M

T
T
T
T

E
E
E
E
E
E

25
25
25

E
E
E

10
10
40
40
40
25
25
10
25
25

E
E
E
E
E
E
~
E
E
E

20M
20M
10M
10M
10M
2.5M
2.5M
2.5M
0.8M
0.8M
0.2M
0.4M
0.2M
0.8M
0.8M
0.8M
3.2M
0.8M

T
T

T
T
T

T
T

T

T
T

T
T
T

T
T
T
T
T
T
T

T
T

T
T

T
T
T
T
T

T
T

T

•

3N22-3N120
~

TYPE

•

3N22
3N34
3N35
3N35A
3N39
thru
3N44
3N45
3N46
3N47
3N48
3N49
3NSO

3N51
3N52
3N58
thru
3N60
3N62
3N63
3N64
3N65
3N66

!:::

'"

~ :5
0
:IE

s
s
S

REPLACE·
MENT

REF.

USE

1;l

PD

;f

@25·C .!i!
AH

N
N
N
N

TJ
·C

125M
125M
125M

VCE _

.'"=

hFE @ Ic

15
30
30
30

30
30

~

VCElsAn@le

~
=>

"-a (volts)
=>

hl_

j

tl
0.96

B

25
10

E
E

5.0A
5.0A

30

E

S.OA

30

E

0
0

L

~

=>

.~

~

Reference Amplifiers, see Table on Pa e 2-84
G
G
G
G
G

P
P
P
P
P

SP
SP
SP
SP
SP

75W
75W
75W
75W
94W

C
C
C
C
C

100
100
100
100
100

60
80
40
60
60

35
50
25
40
35

30
20
30
20
30

120
80
120
80
120

G
G
G

P
P
P

SP
SP
SP

94W
94W
94W

C
C
C

100
100
100

80
40
60

50
25
40

20
30
20

80
120
80

5.0A
S.OA

5.0A
5.0A
S.OA

5.0A
5.0A
S.OA

0.4
0.4
0.4
0.4
0.4

5.0A
5.0A

30

E

0.4
0.4
0.4

5.0A
5.0A
S.OA

30

E

600K
300K
500K
300K
600K
300K
500K
300K

Thyristors, see Table on Page 2-66
S

N

sc

10

Voff = 200

~V

S

N

SC

10

N

SC

10

100
50

~V

s

Voff=
Voff=

S

N
N

SC

Voff=

200 ~V

SC

100

SC

Voff=
Voff=
Voff =

100 ~V
50 ~V
50 ~V
100
100
50
100

s
S

3N68A
3N69

S

s

N
N
N
N

3N70

S

N

SC

3N71

S

N

SC

100M

200

3N72
3N73
3N74
3N75
3N76

S

N

SC

100M

200

S

N

SC

100M

200

s

SC

300M
300M

S

N
N
N

SC

175
175
175

3N77
3N78

s

N

SC

S

SC

3N79

S

N
N

3N80
thru
3N86

Thyris tors, see Table on Page 2-66

S

S

:I: I

10

SC

100M

A

200

SC

SC

SC

I

300M
300M
200M
300M

175
175
175

I

SC

3N91
3N92

200M
200M
Field-Effect Transistors, see Table
SC
S P
300M
SC
S P
300M
S P
SC
300M

A
A
on
A
A
A

3N93
3N94

S

P

SC

300M

A

S

P

SC

3N9S
3N96
thru
3N99
3N100
3Nl0l

S

P

SC

300M
300M

A
A

S

P

SC

S

P

SC

3N102
3N103
3Nl04

S
S

P
P

S

3N105
3N106
3Nl07
3Nl08
3N109
3NllO
3Nlll
3N1l2
3N1l3
3N1l4
3N1l5
3N1l6
3N1l7
3N1l8
3N1l9
3N120

Vce

~ (min) (max)
(volts) (volts) tl

85

3N67
3N68

3N87
3N88
3N89
3N90

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

>-

. ...

:s
co:

I I
SC

10
10
10
15
15
15
50
50
50
40

8.0

0

40

2.0M

Voff=
Vo£f=
Voff=

8.0
8.0

0
0

40
40

2.0M
2.0M

Vo£f=
Voff=
Voff=
Voff=

~V

~V

50 ~V
200 ~V

~V
~V
~V
~V

100M

T

100M
100M

T

T

30M
30M
30M

T

30M

T

30M
30M

T

T

40

Voff=

40

Voff=

200 ~V
50 /,V
100 p.V
200 p.V

Voff =
Voff=

50 p.V
100 p.V

100M
100M

T

Voff=
Voff=

50 JJ.V
100 p.V

T

Voff=

200 JJ.V

6.0M
6.0M
6.0M

Voff
Voff=

50 JJ.V
100 /lV
200 }J-V

6.0M
6.0M
6.0M

T

12M
12M
12M
12M

T
T
T
T

12M
12M
12M
12M
12M
12M

T
T
T
T
T
T

20
20
Page 2-78
"200
50
200
200

50
50

200
200
200

50
50
50

Voff=
Voff=

10
10

0
0

5.0
5.0

0.5M
O.SM

Voff=

T

T

T

T
T
T
T

Field-Effect Transistors, see Table on Page 2-78
300M
300M

A

SC

300M

A

SC

300M

P

SC

S

P

SC

300M
300M

A
A
A

S
S

P
P
P
P
P
P
P
P
P
P
P
P
P
P
N

SC
SC

S
S
S
S
S
S
S
S
S
S

s

S
S

SC
SC
SC
SC
SC
SC
SC
SC
SC
SC
SC
SC
SC

300M
300M
300M
300M
300M
300M
200M
200M
300M
300M
300M
300M
300M
300M
200M

A

A
"A
A

A
A
A
A
A
A
A
A
A
A
A
A

200
200
200

20
30

Voff=
Voff=
Voff=

40

200

50

200
200

60
20

200
200
200
200
200
200
200
200
200
200
200
200
200
200
200

40
60
50
50
50
50
50
50
30
30
30
50
50
50
30

Voff =
Voff=
Voff=
Voff=
Voff=

2-64

50 JJ.V
50 JJ.V
50 JJ.V
50 JJ.V
50 JJ.V
250 u V
250 pV
250 JJ.V

3N121·3N193

....
~

TYPE

...""~

::E

3N121
3N123
3N124
thru
3N126
3N127
3N128
3N129
3N130
3N131
3N132
3N133
3N134
3N135
3N136
3N138
thru
3N143
3N145

thru
3N186
3N188
thru
3N191
3N192
3N193

S

s

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

~
S
....

REPLACE·
MENT

CI

REF.

Po

N
p

~

;f

TJ

YCB

YCE-

@25"C c'l!

"C

(volts)

(volts)

125
200

30
30

USE
SC
SC

200M
100M

A
A

.~

~
on

hFE @ Ic
(min) (max)

YCEISATI@lc

~ (volts)

:::0

.=
J!l

:::0

hf _

~

~

on

~

t~

40M
6.0M

E

L

:::0

T

Field-Effect Transistors, see Table on Page 2·78

I

I

I

I

I I

I

SiN
sc 200M A 200 30
Field-Effect Transistors, see Table on Page
S

P

S
S
S

P
P
P
p

s

s
s
s

sc
sc

p

SC
SC
SC
SC
SC
SC

p
p

300M
300M
300M
300M
300M
300M
300M
300M

A
A
A
A
A
A
A
A

20

2.0M
2.0M
2.0M

Field-Effect Transistors, see Table on Page 2·78

I I
I I

I
I

I I
I I

I I I
I orI I

Field-Effect Transistors, see Table on Page 2·78
F~e1dl-Effect yansist~rs, slee Tab1 e
1

0
2.0M
2.0M
2.OM
2.0M
2.0M

20
30
40
50
60
20
40
60

I
I

pag~ 2-78 1

Field-Effect Transistors, See Table on Page 2-78

2·65

E

E
E
E

E
E

E
E

•

THYRISTORS

This table contains a numerical listing and short-form specifications for thyristors with
EIA-registered 2N and 3N numbers_ Specific types of thyristors listed include silicon
controlled rectifiers, gate-controlled switches, and silicon controlled switches_

KEY

TYPE

REPLACEME NT

REFERENCE

ITiRMS)
Amp

VORMIVRRM
Volts

Numerical Listing of
Registered Type Numbers_ • Device with gate
turn-off characteristics
Type number of recommended
replacement or of nearest
electrical equivalent fully characterized
in this book
Reference device nu mber indicates specific Data
Sheet on which device is characterized
On-State (RMS) Current
Peak Forward Blocking Voltage
Peak Reverse Blocking Voltage
Maximum Junction Temperature, Maximum Case Temperature( 1)
Gate Trigger Current
Gate Trigger Voltage

2-66

T J TC(I)

°c

IGT
mA

VGT
Volts

THYRISTOR INDEX
TYPE

REPLACEMENT

REF.

Ir'RMsl

Amp

2N681- 2N1601

VORM/VRRM

Yolts

TJ Tell)

VGT

DC

IGT

rnA

volts

2N681
2N681A
2N682
2N682A
2N683
2N683A
2N684
2N684A
2N685
2N685A

2N681
2N681
2N682
2N682
2N683
2N683
2N684
2N684
2N685
2N685

2N681
2N681
2N681
2N681
2N681
2N681
2N681
2N681
2N681
2N681

25
28
25
28
25
28
25
28
25
28

25
25
50
50
100
100
150
150
200
200

125
125
125
125
125
125
125
125
125
125

40
40
40
40
40
40
40
40
40
40

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

2N686
2N686A
2N687
2N687A
2N688
2N688A
2N689
2N689A
2N690
2N690A

2N686
2N686
2N687
2N687
2N688
2N688
2N689
2N689
2N690
2N690

2N681
2N681
2N681
2N681
2N681
2N681
2N681
2N681
2N681
2N681

25
28
25
28
25
28
25
28
25
25

250
250
300
300
400
400
500
500
600
600

125
125
125
125
125
125
125
125
125
125

40
40
40
40
40
40
40
40
40
40

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

25
25
0.39
0.20
0.20
0.20
0.35
0.35
0.35
0.35

700
800
30
60
100
200
15
30
60
100

125
125
125
125
125
125
150
150
150
150

40
40
1.0
1.0
1.0
1.0
0.2
0.2
0.2
0.2

2.0
2.0
1.0
1.0
1.0
1.0
0.8
0.8
0.8
0.8

0.35
0.35
0.35
0.35
0.35
0.35
0.35
0.35
0.35
0.35

150
200
300
400
15
30
60
100
150
200

150
150
150
150
150
150
150
150
150
150

0.2
0.2
0.2
0.2
0.02
0.02
0.02
0.02
0.02
0.02

0.8
0.8
0.8
0.8
0.6
0.6
0.6
0.6
0.6
0.6

2N890
2N891
2N892*
2N893*
2N894*
2N895*
2N896*
2N897*
2N898*
2N899*

0.35
0.35
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250

300
400
15
15
30/15
30/15
60/15
60/15
100/15
100/15

150
150
125
125
125
125
125
125
125
125

0.02
0.02
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.6
0.6
0.70
0.70
0.70
0.70
0.70
0.70
0.70
0.70

2N900*
2N901*
2N948
2N949
2N950
2N951
2N1595
2N1595A
2N1596
2N1596A

2N1596

2N4212
2N4212
2N4212
2N4212
2N1595
2N1595
2N1595
2N1595

0.250
0.250
0.26
0.26
0.26
0.26
1.6
1.6
1.6
1.6

200/15
200/15
30
60
100
200
50
50
100
100

125
125
150
150
150
150
125
150
125
150

0.05
0.05
0.02
0.02
0.02
0.02
10
2.0
10
2.0

0.70
0.70
1.0
1.0
1.0
1.0
3.0
2.0
3.0
2.0

2N1597
2N1597A
2N1598
2N1598A
2N1599
2N1599A
2N1600
2N1600A
2N1601

2N1597
2N1597
2N1598
2N1598
2N1599
2N1599
2N41611
2N4168
2N4169

2N1595
2N1595
2N1595
2N1595
2N1595
2N1595
2N4151
2N4151
2N4151

1.6
1.6
1.6
1.6
1.6
1.6
4.0
4.0
4.0

200
200
300
300
400
400
50
50
100

125
150
125
150
125
150
125
125
125

10
2.0
10
2.0
10
2.0
10
4.5
10

3.0
2.0
3.0
2.0
3.0
2.0
3.0
3.0
3.0

2N691
2N692
2N764*
2N765*
2N766*
2N767*
2N876
2N877
2N878
2N879
2N880
2N881
2N882
2N883
2N884
2N885
2N886
2N887
2N888
2N889

2N4212
2N4212
2N4213
2N4214

2N4212
2N4212
2N4212
2N4212

2N4215
2N4216

2N4212
2N4212

2N4212
2N4213
2N4214
2N4214
2N4215
2N4216

2N4212
2N4212
2N4212
2N4212
2N4212
2N4212

2N4212
2N4213
2N4214
2N4215
2N1595
2N1595

2-67

2N1602-2N1850A

THYRISTOR INDEX (continued)

TYPE

REPLACEMENT

REF.

hARMSI

2N1602
2N1603
2N1604
2N1686*
2N1687*
2N1688*
2N1689*
2N1765
2Nl770
2Nl770A

2N4170
2N4171
2N4172

2N4151
2N4151
2N4151

2N1765
2N4167

2N4151

2Nl771
2N1771A
2N1772
2Nl772A
2Nl773
2Nl773A
2Nl774
2Nl774A
2N1775
2Nl775A

2N4168

2N4151

2N4169

2N4151

2N4170

2N4151

2Nl776
2Nl776A
2Nl776B
2Nl777
2Nl777A
2Nl778
2Nl778A
2N1792
2N1793
2N1794
2N1795
2N1796
2N1797
2N1798
2N1799
2N1800
2N1801
2N1802
2N1803
2N1804
2N1805
2N1806
2N1807
2N1842
2N1842A
2N1842B
2N1843
2N1843A
2N1843B
2N1844
2N1844A
2N1844B
2N1845
2N1845A
2N1845B
2N1846
2N1846A
2N1846B
2N1847
2N1847A
2N1847B
2N1848
2N1848A
2N1848B
2N1849
2N1849A
2N1849B
2N1850
2N1850A

4.7
4.7
4.7
4.7
4.7
4.7
4.7
4.7
4.7
4.7

50
50
100
100
150
150
200
200
250
250

125
150
125
150
125
150
125
150
125
150

15
15
15
15
15
15
15
15
15
15

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

4.7
4.7
4.7
4.7
7.0
7.4
7.0
110
110
110

300
300
300
400
400
500
500
60
120
180

125
150
150
125
150
125
150
125
125
125

15
15
15
15
15
15
15
75
75
75

2.0
2.0
2.0
2.0
2.0
2.0
2.0
3.0
3.0
3.0

110
110
110
110
110
110
110
110
110
110

240
300
360
480
600
720
840
960
1080
1200

125
125
125
125
125
125
125
125
125
125

75
75
75
75
75
75
75
75
90
90

3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0

2N1842
2N1842A
2N1842A
2N1842
2N1842A
2N1842A
2N1842

110
110
110
16
16
20
16
16
20
16

500
600
700
25
25
25
50
50
50
100

125
125
125
100
125
125
100
125
125
100

75
75
75
80
80
75
80
80
75
80

3.0
3.0
3.0
2.0
2.0
3.0
2.0
2.0
3.0
2.0

2N1842A
2N1842A
2N1842
2N1842A
2N1842A
2N1842
2N1842A
2N1842A
2N1842
2N1842A

16
20
16
16
20
16
16
20
16
16

100
100
150
150
150
200
200
200
250
250

125
125
100
125
125
100
125
125
100
125

80
75
80
80
75
80
80
75
80
80

2.0
3.0
2.0
2.0
3.0
2.0
2.0
3.0
2.0
2.0

2N1842A
2N1842
2N1842A

20
16
16
20
16
16
20
16
16

250
300
300
300
400
400
400
500
500

125
100
125
125
100
125
125
100
125

75
80
80
75
80
80
75
80
80

3.0
2.0
2.0
3.0
2.0
2.0
3.0
2.0
2.0

2N4151

2N4172

2N4151

2N4173

2N4151

2N1792
2N1793
2N1794
2N1795
2N1796
2N1797
2N1798
2N1800
2N1801
2N1802
2N1803
2N1804
2N1805
2N1806
2N1807

2N1847A
2N1848A
2N1849A

VeT

10
10
10
1.0
1.0
1.0
1.0
10
15
15

2N4171

2N1846A

1ST

125
125
125
125
125
125
125
85
125
150

2N4151

2N1845A

·C

4.0
4.0
4.0
0.5
0.5
0.5
0.5
0.5
4.7
4.7

2N4151

2N1844A

TJ Te(l)

Yolts
3.0
3.0
3.0
1.0
1.0
1.0
1.0
1.5
2.0
2.0

2N4171

2N1843A

VDRM/VRRM

Yolts
200
300
400
30
60
100
200
400
25
25

2N4170

2N1842A

rnp

2N18~A

2N1842
2Nl842A
2N1842A
2N1842
2N1842A

2-68

rnA

THYRISTOR INDEX (continued)
TYPE

REPLACEMENT

REF.

2N1850B
2N1869
2N1869A
2N1870
2N1870A
2N1871
2N1871A
2N1872
2N1872A
2N1873

2N1850A
2N4212

2N1842A
2N4212

2N4213

2N4212

2N4214

2N4212

2N1873A
2N1874
2N1874A
2N1875
2N1875A
2N1876
2N1876A
2N1877
2N1877A
2N1878
2N1878A
2N1879
2N1879A
2N1880
2N1880A
2N1881
2N1882
2N1883
2N1884
2N1885

2N4214

2N4212

2N4215

2N4212

2N4216

2N4212

2N4212

2N4212

2N4213

2N4212

2N4214

2N4212

2N4214

2N4212

2N4215

2N4212

2N4216

2N4212

2N4212
2N4213
2N4214
2N4215
2N4216

2N4212
2N4212
2N4212
2N4212
2N4212

2N1909
2N1910
2N1911
2N1912
2N1913
2N1914

2N1850B-2N2261

Amp
20
l. 25
l. 25
l. 25
l. 25
l. 25
l. 25
l. 25
l. 25
l. 25

volts
500
15
15
30
30
60
60
100
100
150

TJ Te(l)
°C
125
150
150
150
150
150
150
150
150
150

l. 25
l. 25
l. 25
l. 25
l. 25
l.25
l. 25
l. 25
1. 25
l. 25

150
200
200
15
15
30
30
60
60
100

l. 25
l. 25
1. 25
l. 25
1. 25
l.0
1.0
1.0
1.0
1.0

IrIRMSl

VDRM/VRRM

IGr

VGr

rnA
75
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2

volts

150
150
150
150
150
150
150
150
150

0.2
0.2
0.2
0.020
0.020
0.020
0.020
0.020
0.020
0.020

0.8
0.8
0.8
0.6
0.6
0.6
0.6
0.6
0.6
0.6

100
150
150
200
200
30
60
100
150
200

150
150
150
150
150
150
150
150
150
150

0.020
0.020
0.020
0.020
0.020
2.0
2.0
2.0
2.0
2.0

0.6
0.6
0.6
0.6
0.6
2.0
2.0
2.0
2.0
2.0

70
70
70
70
70
70
70
70
70
70

25
50
100
150
200
250
250
250
300
400

125
125
125
125
125
125
125
125
125
125

75
75
75
75
75
75
75
75
75
75

3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0

3.0
0.8
0.8
0.8
0.8
0.8
0.8
0.8
0.8
0.8

2N1909
2Nl910
2N1911
2N1912
2N1913
2N1914
2N1914A
2N1914B
2N1915
2N1916

2N1915
2N1916

2N1929
2N1930
2N1931
2N1932
2N1933
2N1934
2N1935
2N2009
2N2010
2N2011

2N4191
2N4192
2N4193
2N4194
2N4194
2N4195
2N4195
2N4212
2N4213
2N4214

2N4151
2N4151
2N4151
2N4151
2N4151
2N4151
2N4151
2N4212
2N4212
2N4212

0.75
0.75
0.75
0.75
0.75
0.75
0.75
1.3
l.3
1.3

25
50
100
150
200
250
300
25
50
100

125
125
125
125
125
125
125
150
150
150

15
15
15
15
15
15
15
0.2
0.2
0.2

2.0
2.0
2.0
2.0
2.0
2.0
2.0
l.0
1.0
l.0

2N2012
2N2013
2N2014
2N2023
2N2024
2N2025
2N2026
2N2027
2N2028
2N2029

2N4216

2N4212

1.3
1.3
1.3
70
70
70
70
70
70
70

200
300
400
25
50
100
150
200
250
300

150
150
150
150
150
150
150
150
150
150

0.2
0.2
0.2
75
75
75
75
75
75
75

1.0
1.0
1.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0

70
110
150
150
150
150
1.0
200
200

400
50
200
300
400
500
50
30
30

150
125
125
125
125
125
150
100
100

75
75
80
80
80
80
0.2
0.25
0.5

3.0
3.0
3.0
3.0
3.0
3.0
0.65

2N2030
2N2031
2N2044
2N2045
2N2046
2N2047
2N2074
2N2260~'
2N2261~'

2N2023
2N2024
2N2025
2N2026
2N2027
2N2028
2N2029
2N2030

2N4213

2N4212

2-69

•

,

2N2262- 2N2888
TYPE
2N2262*
2N2322
ZN2322A
2N2323
2N2323A
2N2324
2N2324A
2N2325
2N2325A
2N2326

•

2N2326A
2N2327
2N2327A
2N2328
2N2328A
2N2329
2N2329A
2N2344
2N2345
2N2346
2N2347
2N2348
2N2503
2N2504
2N2505
2N2506
2N2507
2N2508
2N2542
2N2543
2N2544
2N2545
2N2546
2N2547
2N2548
2N2549
2N2550
2N2573
2N2574
2N2575
2N2576
2N2577
2N2578
2N2579
2N2619
2N2653
2N2679
2N2679A
2N2680
2N2680A
2N2681
2N2681A
2N2682
2N2682A
2N2683
2N2683A
2N2684
2N2684A
2N2685
2N2685A
2N2686
2N2686A
2N2687
2N2688
2N2689
2N2690
2N2888

THYRISTOR INDEX (continued)

REPLACEMENT

REF.

2N2322

2N2322

2N2322

2N2322

IrfRMS)

Amp

30
25
25
50
50
100
100
150
150
200

TJ Tefl)
·C
100
125
125
125
125
125
125
125
125
125

VORM/VRRM

volts

1ST

VST

mA

volts

5.0
0.2
0.02
0.2
0.02
0.2
0.02
0.2
0.02
0.2

0.8
0.6
0.8
0.6
0.8
0.6
0.8
0.6
0.8

2N2324

2N2322

2N2325

2N2322

2N2326

2N2322

200
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6

MCR1906-1
MCR1906-2
MCR1906-3

MCR1906-1
MCR1906-1
MCR1906-1

1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6

200
250
250
300
300
400
400
25
50
100

125
125
125
125
125
125
125
100
100
100

0.02
0.2
0.02
0.2
0.02
0.2
0.02
0.02
0.02
0.02

0.6
0.8
0.6
0.8
0.6
0.8
0.6
0.8
0.8
0.8

MCR1906-4
MCR1906-4

MCR1906-1
MCR1906-1

1.6
1.6
225
225
225
225
225
225

150
200
50
100
200
300
400
500

100
100
125
125
125
125
125
125

0.02
0.02
100
100
100
100
100
100

0.8
0.8
2.5
2.5
2.5
2.5
2.5
2.5

230
230
230
230
230
230
230
150
150
25

50
100
200
300
400
500
600
800
1000
25

125
125
125
125
125
125
125
125
125
125

125
125
125
125
125
125
125
125
125
40

3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.5

25
25
25
25
25
25
7.4
3.0
0.35
0.35

50
100
200
300
400
500
600
400
30
30

125
125
125
125
125
125
125
105
150
150

40
40
40
40
40
40
45
35
0.02
0.02

3.5
3.5
3.5
3.5
3.5
3.5
2.0
3.0
0.7
0.7

0.35
0.35
0.35
0.35
0.35
0.35
0.28
0.28
0.28
0.28

60
60
100
100
200
200
30
30
60
60

150
150
150
150
150
150
125
125
125
125

0.02
0.02
0.02
0.02
0.02
0.02
0.02
0.02
0.02
0.02

0.7
0.7
0.7
0.70
0.7
0.70
0.8
0.80
0.8
0.80

0.28
0.28
0.28
0.28
0.28
0.28
0.28
0.28
25

100
100
200
2·00
30
60
100
200
200

125
125
125
125
125
125
125
125
125

0.02
0.02
0.02
0.02
0.2
0.2
0.2
0.2
40

0.8
0.80
0.8
0.80
1.0
1.0
1.0
1.0
1.5

2N2573

2N2573

2N2574
2N2575
2N2576
2N2577
2N2578
2N2579
2N4174
2N4172

2N2573
2N2573
2N2573
2N2573
2N2573
2N2573
-2N4151
2N4151

MCR103

MCR201

MCR204

MCR201

MCR206

MCR201

MCR202

MCR201

MCR203

MCR201

MCR204

MCR201

MCR206

MCR201

MCR202
MCR203
MCR204
MCR20.6
MCR1907-4

MCR201
MCR201
MCR201
MCR201
MCR1907-1

2-70

THYRISTOR INDEX (continued)
VORM/VRRM

2N2889-2N3536
Tj Te(l)
DC

IGT

rnA

volts

VGT

TYPE

REPLACEMENT

REF.

ITIRMSI

2N2889
2N3001
2N3002
2N3003
2N3004
2N3005
2N3006
2N3007
2N3008
2N3027

MCR1907-4
MCR202
MCR203
MCR204
MCR206
MCR202
MCR203
MCR204
MCR206
MCR202

MCR1907
MCR201
MCR201
MCR201
MCR201
MCR201
MCR201
MCR201
MCR201
MCR201

25
0.35
0.35
0.35
0.35
0.35
0.35
0.35
0.35
0.5

250
30
60
100
200
30
60
100
200
30

125
150
150
150
150
150
150
150
150
150

40
0.02
0.02
0.02
0.02
0.2
0.2
0.2
0.2
0.2

1.5
0.7
0.7
0.7
0.7
0.8
0.8
0.8
0.8
0.8

2N3028
2N3029
2N3030
2N3031
2N3032
2N3091
2N3092
2N3093
2N3094
2N3095

MCR203
MCR204
MCR202
MCR203
MCR204

MCR201
MCR201
MCR201
MCR201
MCR201

0.5
0.5
0.5
0.5
0.5
llO
llO
llO
llO
llO

60
100
30
60
100
600
700
800
900
1000

150
150
150
150
150
125
125
125
125
125

0.2
0.2
0.02
0.02
0.02
70
70
70
70
70

0.8
0.8
0.6
0.6
0.6
2.0
2.0
2.0
2.0
2.0

llO
llO
110
llO
llO
llO
llO
llO
llO
llO

llOO
1200
1300
600
700
800
900
1000
llOO
1200

125
125
125
125
125
125
125
125
125
125

70
70
70
70
70
70
70
70
70
70

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

llO
3.2
0.25
0.25
0.25
0.25
0.25
0.25
8.0
8.0

1300
200
15
30
60
15
30
60
100
200

125
100
150
150
150
150
150
150
150
150

70
15
0.02
0.02
0.02
0.2
0.2
0.2
0.2
0.2

2.0
2.0
0.75
0.75
0.75
0.75
0.75
0.75
0.8
0.8

8.0
8.0
2.2
2.2
2.2
2.2
400
400
400
400

300
400
100
200
300
400
50
100
200
300

150
150
150
150
150
150
125
125
125
125

0.2
0.2
0.2
0.2
0.2
0.2
200
200
200
200

0.8
0.8
0.8
0.8
0.8
0.8
4.0
4.0
4.0
4.0

400
400
400
400
400
400
400
400
196
3.2

400
500
600
700
800
900
1000
1200
600
400

125
125
125
125
125
125
125
125
125
100

200
200
200
200
200
200
200
200
150
15

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
3.0
2.0

1.3
1.3
400
400
400
400
400
400
400

200
400
50
100
200
300
400
500
600

100
100
125
125
125
125
125
125
125

15
15
300
300
300
300
300
300
300

2.0
2.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

2N3096
2N3097
2N3098
2N3099
2N3100
2N3101
2N3102
2N3103
2N3104
2N3105
2N3106
2N3228
2N3254
2N3255
2N3256
2N3257
2N3258
2N3259
2N3269
2N3270
2N3271
2N3272
2N3273
2N3274
2N3275
2N3276
2N3353
2N3354
2N3355
2N3356
2N3357
2N3358
2N3359
2N3360
2N3361
2N3362
2N3363
2N3364
2N3422
2N3525
2N3528
2N3529
2N3530
2N3531
2N3532
2N3533
2N3534
2N3535
2N3536

2N4154
MCR202
MCR202
I1CR203
MCR202
MCR202
MCR203
2N4169
2N4170

2N4151
MCR201
MCR201
MCR201
MCR201
MCR201
MCR201
2N4151
2N4151

2N4171
2N4171

2N4151
2N4151

2N4156

2N4151

2N4186
2N4188

2N4151
2N4151

Amp

2-71

volts

•

2N3537 - 2N4097
TYPE

REPLACEMENT

THYRISTOR INDEX (continued)
REF.

IrIRMSI

Amp

VORM/VRRM

volts

TJ Te(l)

·C

leT

VeT

mA

volts

2N3537
2N3538
2N3539
2N3540
2N3541
2N3555
2N3556
2N3557
2N3558
2N3559

400
400
400
400
400
1.6
1.6
1.6
1.6
1.6

700
800
900
1000
1200
30
60
100
200
30

125
125
125
125
125
150
150
150
150
150

300
300
300
300
300
0.020
0.020
0.020
0.020
0.20

4.0
4.0
4.0
4.0
4.0
0.7
0.7
0.7
0.7
0.8

2N3560
2N3561
2N3562
2N3649
2N3650
2N3651
2N3652
2N3653
2N3654
2N3655

1.6
1.6
1.6
16
16
16
16
16
16
16

60
100
200
50
100
200
300
400
50
100

150
150
150
120
120
120
120
120
120
120

0.20
0.20
0.20
180
180
180
180
180
180
180

0.8
0.8
0.8
3.0
3.0
3.0
3.0
3.0
3.0
3.0

2N3656
2N3657
2N3658
2N3668
2N3669
2N3670
2N3753
2N3754
2N3755
2N3756

16
16
16
13
13
7.5
7.5
7.5
7.5

200
300
400
100
200
400
50
100
200
300

120
120
120
100
100
100
120
120
120
120

180
180
180
40
40
40
100
100
100
100

3.0
3.0
3.0
2.0
2.0
2.0
3.0
3.0
3.0
3.0

7.5
7.5
7.5
7.5
7.5
22
22
22
22
175

400
500
600
700
800
100
200
400
600
50

120
120
120
120
120
100
100
100
100
125

100
100
100
100
100
40
40
40
40
300

3.0
3.0
3.0
3.0
3.0
2.0
2.0
2.0
2.0
4.0

175
175
175
175
175
175
175
175
175
175

100
200
300
400
500
600
700
800
900
1000

125
125
125
125
125
125
125
125
125
125

300
300
300
300
300
300
300
300
300
300

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

175
22
22
22
22
7.0
7.0
7.0
7.0
7.0

1200
100
200
400
600
100
200
300
400
500

125
100
100
100
100
125
125
125
125
125

300
40
40
40
40
60
60
60
60
60

4.0
2.0
2.0
2.0
2.0
3.2
3.2
3.2
3.2
3.2

70
70
70
70
70
70
70
0.2
0.2

500
600
700
800
900
1000
1100
50
100

125
125
125
125
125
125
125
125
125

150
150
150
150
150
150
150
0.2
0.2

3.0
3.0
3.0
3.0
3.0
3.0
3.0
0.8
0.8

2N3757
2N3758
2N3759
2N3760
2N3761
2N3870
2N3871
2N3872
2N3873
2N3884

MCR649P-3
MCR649P-4
MCR649P-6

2N3870
2N3871
2N3872
2N3873

MCR649-1
MCR649-1
MCR649-1

2N3870
2N3870
2N3870
2N3870

2N3885
2N3886
2N3887
2N3888
2N3889
2N3890
2N3891
2N3892
2N3893
2N3894
2N3895
2N3896
2N3897
2N3898
2N3899
2N3936
2N3937
2N3938
2N3939
2N3940
2N3986
2N3987
2N3988
2N3989
2N3990.
2N3991
2N3992
2N4096
2N4097

2N3896
2N3897
2N3898
2N3899

2N4213
2N4214

2N3870
2N3870
2N3870
2N3870

2N4212
2N4212

13

2-72

THYRISTOR INDEX (continued)
TYPE

REPLACEMENT

REF.

2N4098
ZN4101
ZN4102
ZN4103
2N4108
2N4109
2N4110
ZN4144
ZN414S
ZN4146

2N4Z16
ZN4166
ZN4166
MCR649P-8
ZN4Z13
2N4214
ZN4216
MCR1906-1
MCR1906-1
MCR1906-Z

ZN4z1Z
ZN41S1
ZN41S1
MCR649-1
ZN421Z
2N421Z
ZN4Z12
MCR1906-1
MCR1906-1
MCR1906-1

ZN4147
2N4148
ZN4149
2N41S1
2N41S2
2N41S3
2N4154
2N41SS
2N4156
2N41S7

MCR1906-3
MCR1906-4
MCR1906-4
2N41S1
ZN41S2
2N41S3
ZN41S4
ZN41SS
ZN41S6
ZN41S7

2N41S8
ZN4159
2N4160
2N4161
2N4162
2N4163
2N4164
ZN416S
ZN4166
2N4167

ZN41S8
ZN41S9
ZN4160
2N4161
ZN4162
2N4163
ZN4164
2N4165
2N4166
ZN4167

MCR1906-1
MCR1906-1
MCR1906-1
2N41S1
2N41S1
ZN41S1
2N4151
2N41S1
2N41S1
2N41S1
ZN41S1
ZN41S1
2N41S1
2N41S1
2N4151
2N41S1
2N41S1
2N4151
2N4151
2N4151

2N4168
ZN4169
2N4170
ZN4171
2N4172
ZN4173
2N4174
2N4175
2N4176
ZN4177

ZN4168
2N4169
2N4170
2N4171
2N4172
ZN4173
2N4174
ZN4175
2N4176
2N4177

2N4178
2N4179
2N4180
2N4181
2N4182
2N4183
2N4184
2N4185
2N4186
2N4187

ITIRMSI

Amp

VDRM/VRRM

volts

2N4098-2N4213
TJ TePI

°C

IGT

VGT

mA

volts

1ZS
100
100
100
1ZS
1ZS
1ZS
ISO
ISO
ISO

O.Z
IS
IS
40

0.8
Z.O
Z.O
Z.O

O.ZSO
O.ZSO
O.ZSO

SO
600
600
600
SO
100
ZOO
IS
30
60

l.0
l.0
l.0

0.8
0.8
0.8

O.ZSO
0.2S0
O.ZSO
8.0
8.0
8.0
8.0
8.0
8.0
8.0

100
ISO
ZOO
2S
SO
100
200
300
400
SOO

ISO
ISO
ISO
100
100
100
100
100
100
100

l.0
l.0
l.0
20
ZO
20
ZO
ZO
20
20

0.8
0.8
0.8
l.S
l.S
l.5
l.S
l.5
l.S
l.5

8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0

600
2S
SO
100
200
300
400
SOO
600
Z5

100
100
100
100
100
100
100
100
100
100

20
20
20
20
20
20
20
20
20
20

l.5
l.S
l.5
l.S
l.S
l.S
l.S
l.5
l.5
l.5

2N4151
2N4151
2N4151
2N41S1
2N41S1
2N41S1
2N4151
2N41S1
2N4151
2N41S1

8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0

50
100
200
300
400
SOO
600
ZS
50
100

100
100
100
100
100
100
100
100
100
100

20
20
20
20
20
ZO
20
20
20
20

l.5
l.5
l.5
l.5
l.5
l.5
l.5
l.S
l.5
l.5

2N4178
ZN4179
2N4180
ZN4181
ZN4182
ZN4183
ZN4184
2N4185
ZN4186
ZN4187

2N41S1
ZN41S1
2N41S1
2N41S1
ZN4151
2N41S1
2N41S1
2N4151
2N41S1
2N4151

8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0

200
300
400
500
600
25
50
100
200
300

100
100
100
100
100
100
100
100
100
100

20
20
20
ZO
ZO
ZO
20
20
20
20

l.S
l.5
l.5
l.5
l.5
l.5
l.5
l.5
l.5
l.5

2N4188
ZN4189
ZN4190
ZN4191
2N419Z
ZN4193
ZN4194
2N4195
ZN4196
2N4197

2N4188
ZN4189
ZN4190
ZN4191
2N419Z
ZN4193
2N4194
ZN419S
ZN4196
ZN4197

2N4151
ZN41S1
ZN41S1
ZN41S1
ZN4151
ZN4151
ZN41S1
ZN4151
ZN41S1
ZN41S1

8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0

400
500
600
25
50
100
ZOO
300
400
SOO

100
100
100
100
100
100
100
100
100
100

20
20
ZO
ZO
ZO
ZO
20
20
20
20

l.5
l.5
l.5
l.S
l.5
l.5
l.S
l.5
l.5
l.5

2N4198
ZN4199
2N4200
ZN4Z01
2N4202
2N4203
2N4204
2N4212
2N4Z13

ZN4198
ZN4199
2N4200
2N4201
2N4202
2N4203
2N4204
2N4212
2N4213

ZN41S1
2&4199
2N4199
2N4199
2N4199
2N4199
2N4199
2N4Z12
2N4Z12

8.0
100*
100''''
100>"
100*
100*
100>"
l.6
l.6

600
300
400
500
600
700
800
2S
50

100
105
105
lOS
105
lOS
lOS
125
1ZS

ZO
50
50
50
50
SO
50
0.1
0.1

l.5
l.5
l.5
l.5
l.5
l.5
l.S
l.S
l.5

O.Z
3.Z
l.3
8.0

2-73

•

2N4214-2N5275

REF.

ITIRMSl

VDRM/VRRM

2N4214
2N4215
2N4216

2N4212
2N4212
2N4212

MCR3918-3
MCR3918-4
MCR3918-5
MCR3918-6
2N4361
2N4362
2N4363

MCR3918
MCR3918
MCR3918
MCR391S
2N4361
2N4361
2N4361

1.6
1.6
1.6
1.0
1.0
1.0
9.2
9.2
9.2
9.2
70
70
70

100
150
200
250
300
400
100
200
300
400
100
200
400

2N4364
2N4365
2N4366
2N4367
2N4368
2N4369
2N4370
2N4371
2N4372
2N4373

2N4364
2N4365
2N4366
2N4367
2N436S

2N4361
2N4361
2N4361
2N4361
2N4361

2N4371
2N4372
2N4373

2N4361
2N4361
2N4361

70
70
70
70
70
70
70
70
70
70

2N4374
2N4375
2N4376
2N4377
2N4378
2N4379
2N4380
2N4441
2N4442
2N4443

2N4374
2N4375
2N4376
2N4377
2N437S

2N4361
2N4361
2N4361
2N4361
2N4361

2N4441
2N4442
2N4443

2N4441
2N4441
2N4441

TYPE

•

THYRISTOR INDEX (continued)

2N4214
2N4215
2N4216
2N4217
2N4218
2N4219
2N4316
2N4317
2N4318
2N4319
2N4361
2N4362
2N4363

REPLACEMENT

2N4444
2N4983
2N49S4
2N4985
2N49S6
2N4987
2N49SS
2N49S9
2N4990
2N4991
2N4992
2N4993

MBS4991
MBS4992
2N4993

MBS4991
MBS4991
2N4993

2N5060
2N5061
2N5062
2N5063
2N5064
2N5164
2N5165
2N5166
2N5167
2N516S
2N5169
2N5170
2N5171

2N5060
2N5061
2N5062
2N5053
2N5064
2N5164
2N5165
2N5166
2N5167
2N516S
2N5169
2N5170
2N5171

2N5060
2N5060
2N5060
2N5060
2N5060
2N5164
2N5164
2N5164
2N5164
2N5164
2N5164
2N5164
2N5164

2N5204
2N5205
2N5206
2N5207
2N5257
2N525S
2N5259
2N5260
2N5261
2N5273
2N5274
2N5275

2N4441

Amp

volts

TJ Te(l)

°C

IGT

VGT

mA

volts

0.1
0.1
0.1

1.5
1.5
1.5

15
15
15
15
250
250
250

1.2
1.2
1.2
1.2
5.0
5.0
5.0

600
SOO
1000
1200
1400
1600
IS00
100
200
400

250
250
250
250
250
250
250
250
250
250

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

70
70
70
70
70
70
70
S.O
S.O
S.O

600
SOO
1000
1200
1400
1600
IS00
50
200
400

100
100
100

250
250
250
250
250
250
250
30
30
30

5.0
5.0
5.0
5.0
5.0
5.0
5.0
1.5
1.5
1.5

S.O
0.175
0.200
0.200
0.175
0.175
0.200
0.200
0.175
0.175
0.200
0.200

600
30
30
30
30
30
30
30
30

100
125
150
150
125
125
150
150
125
125
150
150

30
0.50
0.15
0.30
0.20
0.50
0.15
0.30
0.20
0.50
0.12
0.50

1.5
6.0
7.5
7.5
7.0
6.0
7.5
7.5
7.0
6.0
7.5
6.0

0.8
0.8
0.8
0.8
0.510
13

13

30
60
100
150
200
50
200
400
600
50
200
400
600

125
125
125
125
125
100
100
100
100
100
100
100
100

0.2
0.2
0.2
0.2
350
75
75
75
75
75
75
75
75

O.S
O.S
O.S
O.S
1.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2

200
200
200
200
200
200
200
200
200
25
25
25

600
600
600
600
400
600
SOO
1000
1200
200
400
600

105
105
105
105
105
125
125
125

SO
80
SO
SO
SOO
SOO
SOO
SOO
SOO
150
150
150

3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.5
3.5
3.5

13
13
13
13
13

13

2-74

125
125
125
125
125
125
150
150
150
150

2N5567-2N6241
TYPE

REPLACEMENT

2N5567
2N5568
2N5569
2N5570
2N5571
2N5572
2N5573
2N5574

THYRISTOR INDEX (continued)
REF.

ITIRMS)

VORM/VRRM

TJ Tell)

volts

·C

2N5567
2N5568
2N5569
2N5570
2N5571
2N5572
2N5573
2N5574

Amp
10
10
10
10
15
15
15
15

200
400
200
400
200
400
200
400

100
100
100
100
100
100
100
100

2N6068
2N6069
2N6070
2N6071
2N6072
2N6073
2N6074
2N6075
2N6139
2N6140
2N6141
2N6142
2N6143
2N6144
2N6145
2N6146
2N6147

2N6068
2N6068
2N6068
2N6068
2N6068
2N6068
2N6068
2N6068

100
200
400
600
200
400
500
600
25
50
100
200
300
400
500
600

100
100
100
100
115 (1)

2N6068
2N6069
2N6070
2N6071
2N6072
2N6073
2N6074
2N6075
2N6139
2N6140
2N6141
2N6142
2N6143
2N6144
2N6145
2N6146
2N6147

2.5
2.5
2.5
2.5
25
25
25
25
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
10
10
10
10
10
10
15
15
15

2N6148
2N6149
2N6150
2N6151
2N6152
2N6153
2N6154
2N6155

2N6148
2N6149
2N6150
2N6151
2N6152
2N6153
2N6154
2N6155

2N6148
2N6148
2N6148
2N6151
2N6151
2N6151
2N6151
2N6151

2N6156
2N6157
2N6158
2N6159
2N6160
2N6161
2N6162
2N6163

2N6156
2N6157
2N6158
2N6159
2N6160
2N6161
2N6162
2N6163

2N6164
2N6165
2N6167
2N6168
2N6169
2N6170
2N6171
2N6172
2N6173
2N6174
2N6236
2N6237
2N6238
2N6239
2N6240
2N6241

1ST

Vsr

rnA
100
100
100
100
150
150
150
150

volts

60
60
60
60
±120
±120
±120
±120

3.0
3.0
3.0
3.0
± 4.0
±4.0
±4.0
±4.0

200
400
600
200
400
600
200
400
600

110
110
110
110
110
110
110
110
100
100
100
100
100
100
150
150
150

60
60
60
60
60
60
60
60
125
125
125
125
125
125
150
150
150

2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
4.0
4.0
4.0

10
10
10
10
10
10
10
10

200
400
600
200
400
600
200
400

100
100
100
100
100
100
100
100

125
125
125
100
100
100
100
100

2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5

2N6151
2N6157
2N6157
2N6157
2N6157
2N6157
2N6157
2N6157

10
30
30
30
30
30
30
30

600
200
400
600
200
400
600
200

100
125
125
125
125
125
125
125

100
200
200
200
200
200
200
200

2.5
3.4
3.4
3.4
3.4
3.4
3.4
3.4

2N6164
2N6165
2N6167
2N6168
2N6169
2N6170
2N6171
2N6172

2N6157
2N6157

30
30
240
240
240
240
350
350

400
600
100
200
400
600
100
200

125
125
100
100
100
100
100
100

200
200
75
75
75
75
80
80

3.4
3.4
2.5
2.5
2.5
2.5
3.0
3.0

2N6173
2N6174
2N6236
2N6237
2N6238
2N6239
2N6240
2N6241

2N3870
2N3870
2N6236
2N6236
2N6236
2N6236
2N6236
2N6236

350
350

400
600
30
50
100
200
400
600

100
100
110
110
110
110
110
110

80
80
500*
500*
500*
500*
500*
500*

3.0
3.0
1.0
1.0
1.0
1.0
1.0
1.0

2N5754
2N5755
2N5756
2N5757
2N5806
2N5807
2N5808
2N5809

2N6139
2N6139
2N6139
2N6139
2N6139
2N6139

2N3870
2N3870

2-75

115~1~

115 1
115(1)

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

•

THYRISTOR INDEX (continued)
TYPE
3N5B
3N59
3N60
3NBO
3NB1
3NB2
3NB3
3NB4
3NB5
3NB6

REPLACEMENT

REF.

hARMsl

mp

0.064
0.064
0.064
0.127
0.127
0.127
0.032
0.111
0.111
0.127

•

2-76

VORM/VRRM

YOlts

40
40
40
40
65
100
70
40
100
65

3N58-3N86
TJ Te(l)
·C

1ST

mA

YOlts

150
150
150
150
150
150
125
125
125
150

0.001
0.001
0.001
0.001
0.001
0.001
0.15
0.01
0.01
0.001

0.65
0.65
0.65
0.65
0.65
0.65
O.BO
0.65
0.65
0.65

VST

•

2-77

FIELD-EFFECT TRANSISTORS
INDEX AND SHORT·FORM SPECIFICATIONS

•

This table contains a numerical listing and short-form specifications for field-effect transistors with
EIA-registered 2N and 3N numbers .

KEY
~

TYPE

a; ..:
en
5CI zCI

...

.

NEAREST
EQUIV.

Numerical listing
of Registered
Type Numbers

N = n-channel
P = p-channel
J = Junction FEY
M = MOS FET

Type number of nearest
electrical equivalent fully
characterized in this book

Reference device number indicates
specific Data Sheet on which device
is characterized

REF.

,,,

Breakdown
Voltage
IGSS
lOGo' VI"I SubMin
Max
Min
Max
mA mAI'nA) nA Volts script J.lmhos J.lmhos
loss

CISS
pF

Minimum and
Maximum
Drain Current
with gate
connected
to source

NF @ f
NOTE
0= Dual
dB
~
MP=
/LV'
Matched
y1IZ
Pair

'"'"

Noise Figure in dB or ",
/LV /
at a specified
frequency

ym

Maximum Gate
Current (leakage)
with drain
connected to source
"Maximum leakage
from drain to gate
with source open

frequency units:
H=Hz
K= kHz
M=MHz
Maximum Input Capacitance
Minimum and Maximum Forward Transadmittance

Minimum Breakdown Voltage (Subscript defines conditions)
GS = Gate to source, drain connection not specified
GSS = Gate to source, drain connected to source
GO = Gate to drain, source connection not specified
GDS = Gate to drain, source connected to drain
DGO = Drain to gate, source open
DGS = Drain to gate, source connected to drain
OS = Drain to source, gate connection not specified
DSX = Drain to source, gate biased to cutoff or beyond

2-78

FIELD-EFFECT TRANSISTORS INDEX

TYPE

.....

!; ti
z
:5
Q
..,
Q

NEAREST
EQUIVALENT

loss
REF.

Max
mA

Min
mA

.

GSS
lOGO
nA

Breakdown
Voltage
VIBR1
Volts

Sub·
script

20
20
20
20
20
20
30
30
30
30
50
20

GS
DGO
GD
GD
GD
GS
GDS
GDS
GDS
GDS
DGO
DGO

• nA
2N2386
2N2386A
2N2497
2N2498
2N2499
2N2500
2N2606
2N2607
2N2608
2N2609
2N2620
2N2794

p
P
P
P
P
P
p
P
P
P

2N2841
2N2842
2N2843
2N2844
2N3066
2N3066A
2N3067
2N3067A
2N3068
2N306SA
2N3069

P
P
P
P

N
N
N
N
N
N
N

2N3069A
2N3070
2N3070A
2N3071
2N3084
2N3085
2N3086
2N3087
2N3088
2N3088A
2N3089
2N3089A
lN3112
lN3113
2N3277
2N3178
2N3328
2N3329
lN3330
lN3331
lN3332
2N3333
2N3334

P
p
P
P
p
P
P
P
P
P
p

N

p

J
J
J
J
J
J
J
J
J
J
J
J

2N5266-9
2N5267-70
2N5267
2N5268
2N5269-70
2N5267-8
2N5473-4
2N5475-6
2N5266-8
2N5268-70

2N5265
2N5265
2N5265
2N5265
2N5265
2N5265
2N5471
2N5471
2N5265
2N5265

J
J

2N5471-2
2N5472-3
2N5265
2N5265-7
MFE2095

2N5471
2N5471
2N5265
2N5265
MFE2093

J
J
J
J
J

J

MFE2093-4 MFE2093

J
J
J

MFE2093
MFE2093
MFE2093
MFE2093
2N4220A-2A 2N4220

N
N
N
N
N
N
N
N
N
N
N

J
J

2N4220A

N

J
J
J

2N3335
2N3336
2N3365
2N3366
2N3367
2N3368
2N3369
2N3370
2N3376
2N3377
2N3378
2N3379

p
p
N
N
N
N
N
N

2N3380
2N3381
2N3382
2N3383
2N3384
2N3385
2N3386
2N3387
2N3436
2N3437
2N3438
2N3452

P
P
P
p

2N3453
2N3454
2N3455
2N3456
2N3457
2N3458
2N3459
2N3460
2N3465
2N3466
2N3573
2N3574

N
N
N
N
N
N
N
N
N
N

2N3575
2N3578
2N3608
2N3609
2N3610
2N3631
2N3684

P

p

P
P
p

p
p
p

p
N
N
N
N

P
P
P

P
p
p

N
N

J
J

J
J
J
J
J
J
J

J

J
J
J
J
J
J
J
J
J
J
J

2N4220

2N4220A
MFE2095
MFE2095
MFE2095
MFE2095
MFE2094-5
MFE2094-5
MFE2094-5

2N4220
MFE2093
MFE2093
MFE1093
MFE2093
MFE2093
MFE2093
MFE2093

MFE2094-5
2N5471-3
2N5471-3
2N5473-4
lN5475
2N5473-5
2N5266-7
lN5267-8
2N5269-70
2N5267-8

MFE2093
2N5471
lN5471
2N5471
2N5471
lN5471
2N5265
2N5265
lN5265
2N5165

2N2386-2N3684

Yr.
Min
"mhos

.

Max
"mhos

pF

v'Hz

1.5

5.0

0.025
0.065
0.2
1.0
0.8
0.8
0.2
0.2
0.05
0.05
2.0

0.125
0.325
1.0
2.2
4.0
4.0
1.0
1.0
0.25
0.25
10

1.0
3.0
10
30
1.0
1.0
1.0
1.0
1.0
1.0
1.0

50
50
50
50
50
50
50

DGO
DGO
DGO
DGO
DGO
DGO
DGO

60
180
540
1400
400
400
400
300
200
200
1000

2.0
0.5
0.5
0.1
0.8
0.8
0.8
0.8
0.5
0.5
0.5

10
2.5
2.5
0.6
3.0
3.0
3.0
3.0
2.0
2.0
2.0

1.0
1.0
1.0
1.0
0.1
0.1
1.0
1.0
1.0
1.0
1.0

50
50
50
50
15
15
30
30
10
10
10

DGO
DGO
DGO
DGO
DGO
DGO
DGS
DGS
DGS
DGS
DGS

1000
750
750
500
400
400
400
400
300
300
300

2500

0.5
0.035
0.035
0.15
0.4
1.0
1.0
2.0
5.0
1.0
0.3
0.3

2.0
0.175
0.175
0.5
0.9

10
10
20
15
25
20
20
20
20
20
20
20

DGS
GDS
GDS
DGO
DGO
GSS
GSS
GSS
GSS
GSS
GSS
GSS

300
50
50
100
150
100
1000
1500
1000
1000
600
600

2000
115
115

3.0
6.0
15
6.0
1.0
1.0

1.0
0.05
0.05
0.4
0.4
1.0
10
10
10
10
10
10

1.0
1.0
4.0
1.0
0.25
12
2.5
0.6
6.0
6.0
6.0
6.0

10
10
5.0
5.0
5.0
5.0
5.0
5.0
3.0
3.0
3.0
3.0

20
20
40
40
40
40
40
40
30
30
30
30

GSS
GSS
DGO
DGO
DGO
DGO
DGO
DGO
DGS
DGS
DGS
DGS

600
600
250
250
100
1000
600
300
800
800
1500
1500

1800
1800
1000
1000
1000
4000
2500
2500

15
5.0
6.0
15
6.0
0.5
1.5
4.5
10

1000
2200
1000
1500
2000
1000
110
330
1000
2500

5000
2000
3000
4000
2000

NOTE

1:5

1000
1000
1000
1000

2500
2500
2000
2000
2000
2000
2000
2000
2000

2000
3000
4000
1200
1800
1800

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

K
K
K
K
K
K
K
K

6.0
10
17
30
10
10
18
10
18
10
15

3.0
3.0
3.0
3.0
3,0
0.25
3.0
0.25
3.0
0.25
3.0

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

K
K
K
K
K
K
K
K
K
K
K

15
15
15
15
14
14
14
14
14
14
14

0.25
3.0
0.25
3.0

1.0
1.0
1.0
1.0

K
K
K
K

3.0
0.5
3.0

10

H

14
3.5
1.0
3.0
3:0
3.0
20
20
10
20
30
30

0.5

10

H

3.0
3.0
3.0
4.0
1.0
Dual

Dual

2N4220A 1A
MFE2093-4
MFE2093
2N4221A-2A
2N4220A
MFE2093
2N5265-8
2N5265-8
2N5268
2N5268

2N4220
MFE2093
MFE2093
2N4220
2N4220
MFE2093
2N5265
2N5265
2N5265
2N5265

J
J
J
J
J
J
J
J
J
J
J
J

2N5268-70
2N5268-70
2N3994
2N3994
2N3993
2N3993
2N3993
2N3993
2N4222A
2N4220A
2N4222A
MFE2095

2N5265
2N5265
2N3993
2N3993
2N3993
2N3993
2N3993
2N3993
2N4220
2N4220
2N4220
MFE2093

3.0
3.0
3.0
3.0
15
15
13
13
3.0
0.8
0.2
0.8

20
20
30
30
30
30
50
50
15
4.0
1.0
4.0

3.0
3.0
15
15
15
15
15
15
0.5
0.5
0.5
0.1

30
30
30
30
30
30
30
30
50
50
50
50

DGS
DGS
DGS
DGS
DGS
DGS
DGS
DGS
DGO
DGO
DGO
DGO

1500
1500
4500
4500
7500
7500
7500
5000
2500
1500
800
200

3000
3000
12500
12500
12500
12500
12500
10000
10000
6000
4500
1200

4.0
4.0

18
18
18
6.0

2.0
2.0
2.0
2.0

J
J
J
J
J
J
J
J
J
J
J
J

MFE2094
MFE2093
MFE2095
MFE2094
MFE2093
2N4222A
2N4220A
2N4220A
MFE2095
MFE2095
2N5471-2
2N5472-4

MFE2093
MFE2093
MFE2093
MFE2093
MFE2093
2N4220
2N4220
2N4220
MFE2093
MFE2093
2N5471
2N5471

0.2
0.05
0.8
0.2
0.05
3.0
0.8
0.2
1.0
1.0
0.02
0.075

1.0
0.25
4.0
1.0
0".25
15
4.0
1.0
5.0
5.0
0.1
0.375

0.1
0.1
0.04
0.04
0.04
0.25
0.25
0.25
1.0
1.0
0.6
0.6

50
50
50
50
50
50
50
50
40
40
25
25

DGO
DGO
DGO
DGO
DGO
DGO
DGO
DGO
DGO
DGO
GSS
GSS

150
100
400
300
150
2500
1500
800
400
400
100
200

900
600
1200
900
600
10000 "
6000
4500
1200
1200
300
600

6.0
6.0
5.0
5.0
5.0
18
18
18
15
15
6.0
6.0

2.0
2.0
4.0
4.0
4.0
6.0
4.0
4.0
5.0
5.0
3.0
3.0

J
J
M
·M
M
M
J

2N5474-5
2N5266-8
2N4352

2N5471
2N526"5
2N4352
MFE3020
2N4352
2N3796
2N4221

0.2
0.9
30
35
10
2.0
2.5

1.0
4.5
0.025
0.02
0.02
10
7.5

0.6
15

25
20

GSS
GSS

300
1200

900
3500

6.0
65

3.0

0.1

20
50

DSX
GS

1400
2000

2800
3000

7.5
4.0

0.5

2N4352
2N3797
2N422lA

I

:;!

3.0
3.0
4.0
1.0
3.0
3.0
3.0
3.0

J
J
J
J
J
J
J
J

MFE3020~1

I

50
10
32
32
32
32
6.0
10
17
30

0.3
0.3
0.8
0.2
0.005
2.0
0.5
0.1
0.6
0.6
3.0
3.0

J

@

mmhos

10
10
10
10
10
10
1.0
3.0
10
30
100
10

1.0
1.0
2.0
5.0
1.0
0.1
0.3
0.9
2.0

C,SS

NF
dB
ILV·

2-79

2300
2300
2300

30
30
15
15
15
20
20
20
5.0
4.0
5.0
4.0

Dual
Dual

FIELD· EFFECT TRANSISTORS INDEX (continued)

2N3684A·2N4342
TYPE

.. ...:;;
>!:::

:3
~

:z:
co

NEAREST
EQUIVALENT

loss

REF .

Min
mA

Max
mA

Breakdown
Voltage

GSS
lOGO *

nA

VI' RI
Volts

Sub·
script

* nA

•

2N3684A
2N3685
2N3685A
2N3686
2N3686A
2N3687
2N3687A
2N3695
2N3696
2N3697
2N3698
2N3796
2N3797
2N3819
2N3820
2N3821

N
N
N
N
N
N
N
P
P
P
P
N
N
N
P
N

2N4221A
2N4220A
2N4221
2N4220A
2N4221
J 2N4220A
J 2N4220A
J 2N5358
2N5358
J 2N4220A
J 2N5267
2N5265
J 2N5266
2N5265
J 2N5265
2N5265
J 2N5265
2N5265
M 2N3796
2N3796
M 2N3797
2N3796
J MPFl02
MPFl02
J 2N5460·2 2N5460
J 2N3821
2N3821

2N3822
2N3823
2N3824
2N3882
2N3909
2N3909A
2N3921
2N3922
2N3934
2N3935
2N3954
2N3954A

N
N
N
P
P
P
N
N
N
N
N
N

2N3822
2N3823
2N3824
M MFE3003
J 2N5460·2
J 2N5460·2
J MMF1,2
J MMF3,4
J MMF1,2
J
J
J

MMF5,6

2N3955
2N3955A
2N3956
2N3957
2N3958
2N3966
2N3967
2N3967A
2N3968
2N3968A
2N3969
2N3969A

N
N
N
N
N
N
N
N
N
N
N
N

J
J
J
J
J
J
J
J
J
J
J
J

MMF1
MMF1,2
MMFl
MMF1,2
MMFl
MMF5,6
MMFl
MMF5,6
MMF1
MMF5,6
2N4221
2N4220
2N4221A·2A2N4220
2N4221A·2A2N4220
2N4221A 2N4220
2N4221A 2N4220
2N4220A 2N4220
2N4220A 2N4220

0.5
0.5
0.5
0.5
0.5
2.0
2.5
2.5
1.0
1.0
0.4
0.4

2N3970
2N3971
2N3972
2N3993
2N3994
2N4038
lN4039
lN4065
lN4066
lN4067
2N4081
lN4083

N
N
N
P
P
N
N
P
P
P
N
N

J

2N4091
2N4091·2
2N4093
2N3993
2N3994
2N3796
2N3796
2N4352
lN4066
lN4067
MMF1,2
MMF3,4

2N4091
2N4091
2N4091
2N3993
2N3993
2N3796
lN3796
lN4352
lN4066
lN4066
MMF1
MMF1

50
25
5.0
10
2.0

2N4084
2N4085
2N4088
2N4089
lN4090
lN4091
lN4091A
2N4092
lN4092A
lN4093
2N4093A
2N4094
lN4095
2N4117
2N4117A

N
N
P
P
P
N
N
N
N
N
N
N
N
N
N

J
J
J
J
J
J
J

MMFl,2
MMF3,4
MPF161
MPFl61
MPFl61
lN4091
2N4091
lN4092
2N4091
2N4093
2N4093
2N4091
2N4092
MFE2093
MFE2093

MMFl
1.0
MMF1
1.0
5.0
MPFl61
MPF161
1.0
MPFl61
0.4
lN4091
30
lN4091
30
lN4091
15
2N4091
15
2N4091
8.0
lN4091
8.0
lN4091
75
2N4091
20
MFE2093 0.03
MFE2093 0.03

10
10
15
8.0
2.5

0.25
0.25
0.1
0.1
0.1
0.2*
0.04
0.2*
0.04
0.1*
0.04

0.09
0.09

2N4118
2N4118A
2N4119
2N4119A
2N4120
2N4139
2N4220
2N4220A
2N4221
2N4221A
2N4222
2N4222A

N
N
N
N
P
N
N
N
N
N
N
N

MFE2093
MFE2093
MFE2093
MFE2093
M 2N4352
lN4222A
J
J
2N4220
J
2N4220A
J
2N4221
J
2N422lA
J
2N4222
J
2N4222A

MFE2093
MFE2093
MFE2093
MFE2093
2N4352
2N4221
2N4220
2N4220
2N4220
2N4120
2N4210
2N4220

2N4223
2N4224
2N4267
2N4268
lN4302
lN4303
2N4304
2N4338
2N4339
2N4340
2N4341
2N4342

N
N
P

J
J

p

N
N
N
N
N
N
N
P

2.5
1.0
1.0
0.4
0.4
0.1
0.1
1. 25
0.5
0.2
0.05
0.5
2.0
2.0
0.3
0.5

7.5
3.0
3.0
1.2
1.2
0.5
0.5
3.75
1.5
0.6
0.25
3.0
6.0
20
15
2.5

0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.001
0.001
2.0
20
0.1

50
50
50
50
50
50
50
30
30
30
30
25
20
25
20
50

GSS
GS
GSS
GS
GSS
GS
GSS
GS
GS
GS
GS
DSX
DSX
GSS
GSS
GSS

2N3821
2.0
2N3823
4.0
2N3821
MFE3003 0.25
2N5460
0.3
1.0
MMF1
1.0
MMF1
1.0
MMFl
0.25
MMFl
0.25
MMF1
0.5
MMF1
0.5

10
20

0.1
0.5
0.1
0.1
10
10
0.25
0.25
0.1
0.1
0.1
0.1

50
30
50
30
20
20
50
50
50
50
50
50

GSS
DGS
GSS
DS
DGS
GSS
GSS
GSS
GSS
GSS
GSS
GSS

0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1

50
50
50
50
50
30
30
30
30
30
30
30

GSS
GSS
GSS
GSS
GSS
DGS
DGS
GSS
DGS
GSS
DGS
GSS

0.25*
0.25*
0.25*
1.2*
1.2*

40
40
40
25
25
15
15
25
25
30
50
50

DGS
DGS
DGS
GSS
GSS
DSX
DSX
GSS
GSS
DSS

J
J
J

J
J
J

J
J
J
J

M
M
M
M
M
J
J

J
J
J
J
J
J
J
J
J
J
J
J

M
M
J
J
J
J
J
J
J
J

MMF1,2
MMF1,2

lN4210
2N4113
lN4223
2N4124
2N4123
2N4352
lN4352
lN4352
2N4352
2N5457
2N5457
2N5458
lN5457·9 lN5457
2N4120A
2N4220
2N4220A
2N4110
2N4220A-1A 2N4220

~~mty~gmg

15
15
10
10
1.3
1.3
5.0
5.0
5.0
5.0
5.0
5.0
5.0
10
10
5.0
5.0
2.0
2.0
150
75
30

0.1

0.1
1.5
0.005

0.25
0.15

1.0*
1.3
1.3

0.08
0.08
0.2
0.2
8.0
0.5
0.5
2.0
2.0
5.0
5.0
3.0
2.0

0.2
0.5
1.2
3.0
4.0

0.0025
0.0025
0.1
0.1

0.01
0.001

50
50
30
30
30
40
50
40
50
40
50
40
40
40
40

GSS
GSS
GSS
DGO
GSS
DGO
GSS
DGO
GSS
GSS
GSS
GSS
DGO

0.24
0.24
0.6
0.6
500*
11
3.0
3.0
6.0
6.0
15
15

0.01
0.001
0.01
0.001
0.0015
1.0
0.1
0.1
0.1
0.1
0.1
0.1

40
40
40
40
30
50
30
30
30
30
30
30

GSS
DGO
GSS
DGO
DSS
DGO
GSS
GSS
GSS
GSS
GSS
GSS

18
20
0.001
0.001
5.0
10
15
0.6
1.5
3.6
9.0
12

0.25
0.5
0.005
0.005
1.0
1.0
1.0
0.1
0.1
0.1
0.1
10

30
30.
30
30
30
30
30
50
50
50
50
25

GSS
GSS
GSS
GSS
DGO
DGO
DGO
DGO
DGO
DGO
DGO
DGO

2-80

NF

y"
Max
Min
."mhos
,"mhos
*mmhos
1500

2500

1000

2000

500

1500

1000
750
500
250
900
1500
2000
800
1500

1750
1250
1000
750
1800
3000
6500
5000
4500

3000
3500

6500
6500

1000
1000
2000
1500
1500
300
300
1000
1000

2400
5000
7500
7500
900
900

6000
4000

pF
4.0
4.0
4.0
4.0
4.0
4.0
4.0
5.0
5.0
5.0
5.0
6.0
8.0
8.0
32
6.0
6.0
6.0
6.0
4.0
32
9.0
18
18
7.0
7.0
4.0
4.0

2400
2400
2000
2000
1450
1450

4.0
4.0
4.0
4.0
4.0
6.0
5.0
5.0
5.0
5.0
5.0
5.0

12000
10000

25
25
25
16
16

1000
1000
1000
1000
1000
1600
1600
1400
1400
950
950

CISS

@

f

dB

I

1.I'l

!tY*

1'2

0.5
0.5
0.5
0.5
0.5
O.15~\:'

0.5
0.2*
0.2*
0.2"
0.2"
4.0
4.0

!

100H
100H
100H
100H

5.0

10

H

5.0
2.5

10
100

H
M

. 2.0
2.0
2.0
2.0
0.5
0.5

1.0
1.0
100
100
100
100

K
K
H
H
H
H

0.5
0.5
0.5
0.5
0.5

100
100
100
100
100

H
H

Dual

H

Dual
Dual
Dual

3.0

H
H

1.5

100

H

1.5

100

H

1.5

100

H

4.5
7.0
7.0
7.0
7.0

2500
300
300
1500
1500
1000
800
500

1600
1300
900

70

210

80

250

100

330

700
1000
1000
2000
2000
2500
1500

4000
4000
5000
5000
6000
6000

3000
2000

7000
7500

18
18
10
10
10
16
16
16
16
16
16
32
32
3.0
3.0
3.0
3.0
3.0
3.0
0.7
18
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
15
15
6.0
6.0
6.0
6.0
6.0
6.0
6.0
5.0

NOTE

I=>

VHZ

Dual
Dual
Dual

Dual
Dual

Dual
Dual
Dual
Dual

1.5
1.5
1.5

1.0
1.0
1.0

K
K
K

2.5

1.0

H

2.5

1.0

H

2.5

1.0

H

5.0

200

M

FIELD-EFFECT TRANSISTORS INDEX (continued)

TYPE

~

ti
""
S :z:
co

.

loss

NEAREST
EQUIVALENT

REF.

"-

co

...

2N4343
2N4351
2N4352
2N4353
2N4360
2N4382
2N4391
2N4392
2N4393
2N4416
2N4416A
2N4417
2N4445
2N4446
2N4447
2N4448

P
N
P
P
P
P
N
N
N
N
N
N
N
N
N
N

J
M
M
M
J
J
J
J
J
J
J
J
J
J
J
J

2N4352
2N4352
2N4360
2N3994
2N4391
2N4392
2N4393
2N4416
2N4416
2N4416
MFE2012
MFE2012
MFE2012
MFE2012

2N4856
2N4856A
2N4857
2N4857A
2N4858
2N4858A
2N4859
2N4859A
2N4860
2N4860A
2N4861
2N4861A

N
N
N
N
N
N
N
N
N
N
N
N

J
J
J
J
J
J
J
J
J
J
J
J

2N4867
2N4867A
2N4868
2N4868A
2N4869
2N4869A
2N4881
2N4882
2N4883
2N4884
2N4885
2N4886
2N4977

N
N
N
N
N
N
N
N
N
N
N
N
N

J
J
J
J
J
J
J
J
J
J
J
J
J

MFE2009

2N4978
2N4979
2N5018
2N5019
2N5020
2N5021
2N5033
2N5045
2N5046
2N5047
2N5078
2N5103
2N5104
2N5105

N
N
P
P
P
P
P

J
J
J
J
J
J
J
J
J
J
J
J
J
J

MFE2008
MFE2007
2N3993
2N3993
2N5265·6
2N5266-7
2N5265-7
MMF5,6
MMF5,6
MMF5,6
2N4416
2N3823
2N3823
2N3823

2N3993
MFE2012
MFE2012
MPFl02
MMF1,2
MMFl,2
MMF5,6
2N5486
2N5485

2N3993
MFE2010
MFE2010
MPF102
MMF1
MMF1
MMF1
MMFl
2N5484
2N5484

J
J
J
J
J
J
J
J
J
J
J
J

2N5486
MPFl02
2N5265
2N5266
2N5267
2N5268
2N5269
2N5270
2N3822
2N5364
2N5358
2N5359

N
N
N
N
N
'N
N
N
N
N
N
N
N
N

J
J

N

J

N

J

2N5360
2N5361
2N5362
2N5363
2N5364
2N5358
2N5360
2N5360
2N5361
2N5362
2N5362
MFE2001
MFE2001
MFE2012
MFE2012
MFE2012

GSS
lOGO

Max
mA

Min
mA

Breakdown
Voltage

2N5114
2N5115
2N5116
2N5158
2N5159
2N5163
2N5196
2N5197
2N5198
2N5199
2N5245
2N5246
2N5247
2N5248
2N5265
2N5266
2N5267
2N5268
2N5269
2N5270
2N5277
2N5278
2N5358
2N5359
2N5360
2N5361
2N5362
2N5363
2N5364
2N5391
2N5392
2N5393
2N5394
2N5395
2N5396
2N5397
2N5398
2N5432
2N5433
2N5434

N
N
N
N
N
N
P

p

P
N
N
N
N
N
N
N
N
N
N
N
P
P
P

P
P
P
N
N
N
N

J
J
J
J
J
J
J
J
J
J
J
J

J

J
J
J
J
J
J
J
J
J
J

J

y"
Min
/Lmhos

Max
/Lmhos

ClSs

VI"!
Volts

Sub·
script

3.0
3.0
3.0
3.0

25
25
25
3.0
20
25
40
40
40
30
35
30
25
25
20
20

DGO
DSS
DSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS

0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25

40
40
40
40
40
40
30
30
30
30
30
30

GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS

0.25
0.25
0.25
0.25
0.25
0.25
2.0
2.0
1.0
1.0
1.0
1.0
0.5

40'
40
40
40
40
40
100
100
100
100
75
75
30

GSS
GSS
GSS
GSS
'GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS

0.5
0.5
2.0
2.0
1.0
1.0
10
0.25
0.25
0.25
0.25
0.1
0.1
0.1

30
30
30
30
25
25
20

GSS
GSS
GSS
GSS
GSS
GSS
GSS

1000

5000

30
25
25
25

GSS
GSS
GSS
GSS

4500
2000
3500
5000

10000
8000
7500
10000

35
35
45
45
25
25
25
8.0
8.0
8.0
6.0
5.0
5.0
5.0

30
30
30
40
40
25
50
50
50
50
30
30

GSS
GSS
GBS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS

2000
1000
1000
1000
1000
4500
3000

9000
4000
4000
4000
4000
7500
6000

25
25
25
50
50
12
6.0
6.0
6.0
6.0
4.5
4.5

nA

• nA

N

2N4343·2N5434

.

pF

v1lZ

5.0
5.5
6.5
12
20
5.0

2N4351
2N4352
2N4352
2N4360
2N3993
2N4391
2N4391
2N4391
2N4416
2N4416
2N4416
MFE2010
MFE2010
MFE2010
MFE2010

30
0.01
0.005

10
0.01
0.01

3.0
10
50
25
5.0
5.0
5.0
5.0

30
30
100
75
30
15
15
15

10
1.0
0.1
0.1
0.1

2N4091
2N4091
2N4092
2N4092
2N4093
2N4093
2N4091
2N4091
2N4092
2N4092
2N4093
2N4093

2N4091
2N4091
2N4091
2N4091
2N4091
2N4091
2N4091
2N4091
2N4091
2N4091
2N4091
2N4091

50
50
20
20
8.0
8.0
50
50
20
20
8.0
8.0

2N4220A
2N4220A
2N4220A
2N4220A

2N4220
2N4220
2N4220
2N4220
2N4220
2N4220

MFE2007

0.4
0.4
1.0
1.0
2.5
2.5
0.4
1.5
0.4
1.5
0.4
1.5
50

MFE2007
MFE2007
2N3993
2N3993
2N5265
2N5265
2N5265
MMF1
MMF1
MMF1
2N4416
2N3823
2N3823
2N3823

15
7.5
10
5.0
0.3
1.0
0.3
0.5
0.5
0.5
4.0
1.0
2.0
5.0

1.2
3.5
3.5
8.0
8.0
8.0
25
8.0
6.0
15

30
15
5.0

90
60
25

1.0
0.7
0.7
0.7
0.7
5.0
1.5

40
7.0
7.0
7.0
7.0
15
7.0

0.5
0.5
0.5
1.0
1.0
10
0.025
0.025
0.025
0.025
1.0
1.0

2N5484
MPFl02
2N5265
2N5265
2N5265
2N5265
2N5265
2N5265
2N3821
2N5358
2N5358
2N5358

8.0
4.0
0.5
0.8
1.5
2.5
4.0
7.0
2.5
10
0.5
0.8

24
20
1.0
1.6
3.0
5.0
8.0
14.0
12.5
25
1.0
1.6

1.0
5.0
2.0
2.0
2.0
2.0
2.0
2.0
5.0
5.0
0.1
0.1

30
30
60
60
60
60
60
60
150
150
40
40

GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS

4500
3500
900
1000
1500
2000
2200
2500
2000
3000
1000
1200

8000
6500
2700
3000
3500
4000
4500
5000
5000
6000
3000
3600

4.5
6.0
7.0
7.0
7.0
7.0
7.0
7.0
25
25
6.0
6.0

2N5358
2N5358
2N5358
2N5358
2N5358
2N5358
2N5358
2N5358
2N5358
2N5358
2N5358
MFE2000
MFE2000
MFE2012
MFE2012
MFE2012

1.5
2.5
4.0
7.0
9.0
0.5
1.0
2.5
4.0
5.5
7.5
10
5.0
150
100
30

3.0
5.0
8.0
14
18
1.5
3.0
4.5
6.0
8.0
10
30
40

0.1
0.1
0.1
0.1
0.1
0.2
0.2
0.2
0.2
0.2
0.2
0.1
0.1
0.2
0.2
0.2

40
40
40
40
40
70
70
70
70
70
70
25
25
25
25
25

GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS

1400
1500
2000
2500
2700
1500
2000
3000
4000
4500
4500
6000
5500

4200
4500
5500
6000
6500
4500
6000
6500
7000
7000
7500
10000
10000

6.0
6.0
6.0
6.0
6.0
18
18
18
18
18
18
5.0
5.5
30
30
30

MMF3,4

@

f

:~
,e

NOTE

,=

mmhos

10

~gmlliJ

NF
dB
/LV'

100
100
80
80
100
100
80
80
1.2
1.2
3.0
3.0
7.5
7.5
2.0
7.5
2.0
7.5
2.0
7.5

0.1

2-81

1000
1000
1000
2000

4000
8000

4500

7500

4.0
4.0
3: 5
50
50
50
50

5.0

100

H

4.0

400

M

•

18
10
18
10
18
10
18
10
18
10
15
10
700
700
1000
1000
1300
1300

2000
2000
3000
3000
4000
4000

25
25
25
25
25
25
15
15
15
15
15
15
35

1.0
1.0
1.0
1.0
1.0
1.0

1.0
1.0
1.0
1.0
1.0
1.0

2.0

1.0

K

3.0
1.5
1.5
1.5

200
100
100
100

M

1.0
1.0
1.0
1.0
2.0

100
100
100
100
100

H
H
H
H

2.5
2.5
2.5
2.5
2.5
2.5
3.0
3.0
2.5
2.5

100
100
100
100
100
100
1.0
1.0
100
100

H
H
H
H
H
H
K
K
H
H

2.5
2.5
2.5
2.5
2.5
1.0
1.0
1.0
1.0
1.0
1.0
3.5

100
100
100
100
100
100
100
100
100
100
100
450

H
H
H
H
H
H
H
H
H
H
H

K
K
K

K
K

K

H
H
H

M

M

!

FIELD-EFFECT TRANSISTORS INDEX (continued)

2N5452-2N5953
TYPE

~

'"Q
:5

....

..

ti

:z
Q

MEAlIEST
EQUIVAlENT

loss
REF.

Min
mA

Breakdown
Voltage

GSS

Max
mA

loso*

nA

YIBRI

Volts

Sub·
script

• nA

•

2N5452
2N54S3
2N5454
2N5457
2N5458
2N5459
2N5460
2N5461
2N5462
2N5463
2N5464
2N5465

N
N
N
N
N
N

2N5471
2N5472
2N5473
2N5474
2N5475
2N5476
2N5484
2N5485
2N5486
2N5505
2N5506
2N5507

P
p
P
P
P
P

2N5508
2N5509
2N5510
2N5511
2N5512
2N5513
2N5514
2N5515
2N5516
2N5517
2N5518
2N5519
2N5520
2N5521
2N5522
2N5523
2N5524
2N5543
2N5544
2N5545
2N5546
2N5547
2N5548
2N5549

P
P
P
P
P
P

N
N
N
P
P
P

5.0
5.0
5.0
5.0
9.0
16
5.0
9.0
16
5.0
9.0
16

0.1
0.1
0.1
1.0
1.0
1.0
5.0
5.0
5.0
5.0
5.0
5.0

50
50
50
25
25
25
40
40
40
60
60
60

GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS

1000
1000
1000
1000
1500
2000
1000
1500
2000
1000
1500
2000

3000
3000
3000
5000
5500
6000
4000
5000
6000
4000
5000
6000

4.0 0.02*
4.0 0.02*
4.0 0.02*
7.0
7.0
7.0
7.0
2.5
7.0
2.5
2.5
7.0
2.5
7.0
7.0
2.5
7.0
2.5

100
100
100
100
100
100

J
J
J
J
J
J
J
J
J
J
J
J

2N5471
2N5472
2N5473
2N5474
2N5475
2N5476
2N5484
2N5485
2N5486

2N5471
2N5471
2N5471
2N5471
2N5471
2N5471
2N5484
2N5484
2N5484

0.02
0.05
0.10
0.20
0.40
0.80
1.0
4.0
8.0

0.06
0.12
0.25
0.50
1.0
2.0
5.0
10
20

0.5
0.5
0.5
0.5
0.5
0.5
1.0
1.0
1.0
0.25
0.25
0.25

40
40
40
40
40
40
25
25
25
30
30
30

GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS

60
90
120
160
200
260
3000
3500
4000
1000
1000
1000

180
225
300
400
500
650
6000
7000
8000
3500
3500
3500

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
16
16
16

2.5
2.5
2.5
2.5
2.5
2.5
3.0
2.0
2.0
2.0
2.0
2.0

1.0 K
1.0 K
1.0 K
1.0 K
1.0 K
1.0 K
100 M
100 M
100 M
1.0 K Dual
1.0 K Dual
1.0 K Dual

30
30
30
30
30
30
30
40
40
40
40
40

GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS

1000
1000
500
500
500
500
500
1000
1000
1000
1000
1000

3500
3500
3000
3000
3000
3000
3000
4000
4000
4000
4000
4000

16
16
16
16
16
16
16
25
25
25
25
25

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

Dual
Dual
Dual
Dual
Dual
Dual
Dual
H Dual
H Dual
H Dual
H Dual
H Dual

GSS
GSS
GSS
GSS
GSS

1000
1000
1000
1000
1000
750
750
1500
1500
1500
3500
6000

4000
4000
4000
4000
4000
3000
3000
6000
6000
6000
6500
15000

25
25
25
25
25
10
10
6.0
6.0
6.0
10
8.0

1.0
1.0
1.0
1.0
1.0

1.0
1.0
1.0
1.0
1.0

H
H
H
H
H

Dual
Dual
Dual
Dual
Dual

3.5
5.0

10
10

H
H

Dual
Dual
Dual

1500
1500
1500

6500
6500
6500-

5.0
6.0
6.0
6.0
7.0
7.0
7.0
12
12
12
20
20
20

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
2.6
6.0
10

10
10
10
10
10
10
10
10
10
10
10
10

H
H
H
H
H
H
H
H
H
H
H
H

3.0
3.0
3.0
10
10
7.0
7.0

10
10
10
1.0
1.0
1.0
2.5
2.5
2.5
2.5

1.0
1.0
1.0

K
K
K

2.5

100 M

N
N
N
N
N
N
N
N
N
N

J
J
J
J
J
J
J
J
J
J

0.5
0.5
0.5
0.5
0.5
2.0
2.0
0.5
0.5
0.5

7.5
7.5
7.5
7.5
7.5
10
10
8.0
8.0
8.0
10*
60

0.25
0.25
0.25
0.25
0.25
1000
1000
0.1
0.1
0.1
0.05
0.25

40
40
40
40
40

40

GSS

2.5
5.0
10
10
10
10
30
30
30
10
10
10

1.0
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.25
0.25
0.25

25
30
30
30
50
50
50
40
40
40
50
50
50

GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS

5,0
10

1.0
1".0
1.0
0.01
0.01
0.01
1.0
1.0
2;0
2.0

30
30
30
59
50
50
30
30
25
25

GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS

8.0
0.02
0.08
0.25
0.70
2.0
10
30
0.03
0.03

20
0.10
0.40
1.00
2.00
15
40
80
0.5
0.5

2.0
3.0
3.0
3.0
3.0
0.1
0.1
0.1
0.005
0.005

25
40
40
40
40
-40
-40
-40
-40
-40

GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS

0.03
0.03
0.03
0.03
0.03
0.03
12
10
7.0
4.0
2.5

0.5
0.5
0.5
0.5
0.5
0.5
18
15
13
8.0
5.0

0.005
0.005
0.002
0.002
0.002
0.002
-1.0
-1.0
-1.0
-1.0
-1.0

-40
-40
-40
-40
-40
-40
30
30
30
30
30

GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS

M

N

J

2N5555
2N5556
2N5557
2N5558
2N5561
2N5562
2N5563
2N5564
2N5565
2N5566
2N5592
2N5593
2N5594

N
N
N
N
N
N
N
N
N
N
N
N
N

J
J
J
J
J
J
J
J
J
J
J
J
J

2N5638
2N5639
2N5640
2N5647
2N5648
2N5649
2N5653
2N5654
2N5668
2N5669

N
N
N
.N
N
N
N
N
N
N

J
'J
J
J
J
J
J
J
J
J

2N5670
2N5797
2N5798
2N5799
2N5800
2N5801
2N5802
2N5803
2N5902
2N5903

N

J
J
J
J
J
J
J
J
J
J

2N5904
2N5905
2N5906
2N5907
2N5908
2N5909
2N5949
2N5950
2N5951
2N5952
2N5953

N
N
N
N
N
N
N
N
N
N
N

N

NOTE

0.5
0.5
0.5
1.0
2.0
4.0
1.0
2.0
4.0
1.0
2.0
4.0

7.5
7.5
7.5
7.5
7.5

N

VHZ

l:i
I=>

MMF1
MMF1
MMF1
2N5457
2N5457
2N5457
2N5460
2N5460
2N5460
2N5460
2N5460
2N5460

0.5
0.5
0.5
0.5
0.5

N
N
N

pF

f

MMF1,2
MMF1.2
MMF3,4
2N5457
2N5458
2N5459
2N5460
2N5461
2N5462
2N5463
2N5464
2N5465

N
N
N
N
N

P
P
P
P

C'SS

@

J
J
J
J
J
J
J
J
J
J
J
J

0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25

p

.

Max
I'mhos

Min
I'mhos

NF
dB
I'V'

mmhos

J
J
J
J
J
J
J
J
J
J
J
J

P
P
P
P
P
P
P

Yft

J
J
J
J
J
J
M
M
M
M
M

2N3822
2N3822
MMFl-6
MMFl-6
MMFl-6
MFE3003
2N4093

2N3819
2N3819
MMF1
MMF1
MMF1
MFE3001
2N4088
2N5555
2N5556
2N5556
2N5556

2N5592
2N5592
2N5592

2N5556
2N5556
2N5556

2N5555
2N5555
2N5555
2N5668
2N5668
2N5669

10
15
0.5
2.0
4.0
1.0
1.0
1.0
5.0
5.0
5.0
1.0
1.0
1.0

0.3
0,5
0.8
40
15
1.0
4.0

50
25
5.0
0.6
1.0
1.6

2-82

70
70

250
250

7.0
5.0
5.0
5.0
5.0
15
15
15
3.0
3.0

70
70
70
70
70
70
3.0
3.0
3.0
1.0
1.0

250
250
250
250
250
250
7.5
7.5
6.5
6.5
6.5

3.0
3.0
3.0
3.0
3.0
3.0
6.0
6.0
6.0
6.0
6.0

60*
100*
160*
250*

225*
440*
500*
700*

1.0K
1.0K
1.0K

H
H
H
H
H
H

K
K
K
K
K
K
K

MP

MP
MP
MP
MP
MP

100 M
100 M
MP
MP
MP

1.0
1.0
1.0
3.0
3.0

100
100
100
100
100

H
H
H
H
H

3.0
3.0
1.0
1.0
1.0
1.0
2;0
2.0
2.0
2.0
2.0

100
100
100
100
100
100
1.0
1.0
1.0
1.0
1.0

H
H
H
H
H
H

K
K
K
K
K

MP
MP
MP
MP
MP
MP
MP

MP
MP
MP

MP

FIELD-EFFECT TRANSISTORS INDEX (continued)

TYPE

i:: :;;
02 :z:
:s
..,c
c
Q..

loss
NEAREST
EQUIVALENT

REF.

GSS
lOGO *

Max
rnA

Min
rnA

Breakdown
Voltage
V"Rl
Volts

nA

Sub·
script

p

J

P

J
J

N
N
N

H
H

p

N

N
N
N

3N139
3N140
3N141
3N142
3N143
3N145
3N146
3N147
3N148
3N149
3N150
3N151

N
N
N
N
N

3N152
3N153
3N154
3N155
3N155A
3N156
3N156A
3N157
3N157A
3N158
3N158A
3N159
3N160
3N161
3N162
3N163
3N164

N
N
N

3N165
3N166
3N167
3N168
3N169
3N170
3Nl71
3Nl72
3N173
3N174

P

P
P
P
P

P
P

P
P
P
P
P
P
P

P

N
P
P
P
P

p
p

P
P
p

N
N
N
P
p

p

3N175
3N176
3Nl77
3N178
3N179
3N180
3N181
3N182
3N183
3N184

N
N
N

3N135
3N186
3N188
3N189
3N190
3N191
3Nl92
3N193
3N200
3N201
3N202
3N203

p

P
p
p
p
p
p

p
p
p

p
p

P
N
N
N
N
N
N

HFE3004-5
HFE3004-5
3N124
3N125
3N126
HFE3004-5
HFE3004-5

HFE3004
HFE3004
3N124
3N124
3N124
HFE3004
HFE3004

H
H
H
H
H
H
H
H
H
H
H
H

HFE3004-5
3N140
HFE3006-7
HFE3004-5
HFE3004-5
2N4352
3N157A

HFE3004
3N140
HFE3006
HFE3004
NFE3004
2N4352
3N157

H
H
H
H
H
H
H
H
H
H
H
H

HFE3004-5 HFE3004
HFE3004-5 HFE3004
HFE3004-5 HFE3004
3N155
3N155
3N155
3N155A
3N155
3N156
3N155
3N157
3N157
3N157
3N157A
3N157
3N158
3N157
3N158A
HFE3007
HFE3007
HFE3001
HFE3003
HFE3003
HFE3001
HFE3003
NFE3001
MFE3003
MFE3001

J
J
J

H
H

N
H
H
H
H
H
H
H
H
H
H
H
H

0.5
0.5
0.5
3.5
5.0
0.2
l.5
3.0
5.0
5.0
5.0
5.0
5.0
10

2.5
2.5
2.5
7.7
10.5
2.0
4.5
9.0
25
25
30
30
50
50

Max
I,mhos

30
30
30
32
32
50
50
50

30

10

25
l.0
0.25
l.0
0.25
l.0
0.25
l.0
0.25
30
10"
lG*
150"
0.2"
0.4"

3N169
3N169
3N169
2N4352

2N4352

GSS
GSS
GSS

45

GD

l.0
l.0
l.0
5.0
l.0

45
20
20
20

GD
DS
DS
DS

30
30
30
30
30
30

DB
DB
DB
DB
DB
DB

1300
1300
1300
3000
4500
2000
2400
3600
12000

3.0
4.0
4.0
7.0
7.0
14
14
14
7.0
5.0

3000

7500

7.0
7.0
7.0
10
7.0

l.0
0.05
0.05
l.0
l.0
l.0
1.0
0.010
0.010
0.010
0.010
1.0
0.01

f

l~

IJ.Y*

NOTE

Ie
I=>

$I

0.01
0.01

0.01
0.2"
0.01
0.2"
-0.5*
-1.0*
10"
10*
10*
0.4*
10*
5.0" 0.0025

3.0
3.0
35
35
5.0
5.0
5.0
3.5
3.5
4.0

5.0*
10*
0.2*
0.2*
0.2*
0.2*
30
20
12
30
30
15

Dual

Dual

4.0
4.0
4.0
5.0

1.0
l.0
1.0
200

K
K
K

4.5

200

H

H

10

100

H

3.5

200

H

5.0

200

H

3.5

200

H

4.5
4.5
6.0

200
200
45

N
N
H

8.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
7.0
10
10
20
2.5
2.5

H
H
H
H
H
N
N
N

35
35
35
35
35
50
35
50

DSS
DSS
DSS
DSS
DSS
DSS
DSS
DSS

1000
1000
1000
1000
1000
1000
1000
1000
7000
3500
3500

4000
4000
4000
4000
4000
4000
4000
4000
18000
6500
6500

0.2
0.2
0.2
0.2

5.0
5.0
7.0
3.5
4.5
5.0
25
25
30
9.0

2.0*

3.0
1.0
0.5
6.0
6.0
3.0

pF

12

5.0

5.0

C'SS

450
450
450
1000
1000
500
800
1200
5000

-5.0*

5.0*
10"
25*
0.5*
1.Oi(
1.0"
0.5"
2.5*
10*

J
J
J

5.0
5.0
5.0
0.05
0.05
0.25
0.25
0.25
0.05
0.010

3N157A-8A 3N157
3N157A-8A 3N157

H
H
H
H
H
H
H
H
H
H

J

Yr,
Min
"mhos

@

* mmhos

* nA
3N89
3N96
3N97
3N98
3N99
3N124
3N125
3N126
3N128
3N138

3N89-3N191
NF
dB

10

11
0.01
0.01
-1.0
-1.0
-50
-10
-10
-10

-6.0
-6.0
6.5
6.0
6.0
6.0

2-83

GSSR
GSSR
GSS
GSS
GSS
GSS

8000
6000

24000
22000

8.0
8.0
7.0

20
20
15

4.5
4.5
4.5
4.5
6.0
7.0
Dual
Dual
Dual
Dual

•

REFERENCE AMPLIFIERS

INDEX AND SHORT·FORM SPECIFICATIONS

•

This table contains a numerical listing and short-form specifications for reference amplifiers with EIA-registered 3N numbers_ In addition, short-form specifications are also
provided for special house numbered reference amplifiers_

KEY

TYPE

REF.

VREF
(volts)

t;.Vm
(volts)

Tal

,-----°rc

±%

IZT

ZzT

(mA)

(ohms)

T,
·C

T.
·C

(%I"C)
Numerical listing
of Registered
Type Numbers

Nominal
Reference
Voltage

Tolerance
of Nominal
Reference
Voltage

Temperature Range over
which t;.VREF is specified

·Maxlmum Operating
Temperature

Reference device number Indicates
specific Data Sheet on which device
Is characterized_
Maximum Voltage Variation over the Temperature Range from T, to T.
.•
t;.V,IOO
·Temperature Coefficient V (T. _ T,)

Maximum Zener Impedance
Zener Test Current

2-84

REFERENCE AMPLIFIER INDEX

3N39-MCA2234

flV REF

TYPE

REF.

VREF

(volts)

Tol

±%

(volts)
-------*TC

IZT

(rnA)

ZZT

(ohms)

TI

DC

T2

DC

(%I"C)

MCA1911
MCA1911
MCA1911

9.0
9.0
9.0
9.0
9.0
9.0
9.0
6.8
6.8
6.8

9.0
9.0
9.0
9.0
9.0
9.0
10
10
10
10

MCA1914
MCA1921
MCA1922
MCAl923
MCA1924
MCA1931
MCA1932
MCA1933
MCA1934
MCA2011

MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911

6.8
6.8
6.8
6.8
6.8
6.8
6.8
6.8
6.8
8.6

MCA2012
MCA2013
MCA2014
MCA2021
MCA2022
MCA2023
MCA2024
MCA2031
MCA2032
MCA2033

MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911

MCA2034
MCA2111
MCA2112
MCA2113
MCA2114
MCA2121
HCA2122
MCA2123
MCA2124
MCA2131

3N39
3N40
3N41
3N42
3N43
3N44
3N44A
MCA1911
MCA1912
MCA1913

0.003'"
0.002*
0.001'"
0.051
0.025
0.010

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

40
40
40

0
0
0

75
75
75

10
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
10

0.005
0.105
0.052
0.020
0.010
0.139
0.069
0.026
0.013
0.060

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

40
40
40
40
40
40
40
40
40
40

0
-55
-55
-55
-55
-55
-55
-55
-55
0

75
100
100
100
100
150
150
150
150
75

8.6
8.6
8.6
8.6
8.6
8.6
8.6
8.6
8.6
8.6

10
10
10
5.0
5.0
5.0
5.0
5.0
5.0
5.0

0.030
0.012
0.006
0.124
0.062
0.024
0.012
0.164
0.082
0.032

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

40
40
40
40
40
40
40
40
40
40

0
0
0
-55
-55
-55
-55
-55
-55
-55

75
75
75
100
100
100
100
150
150
150

MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911

8.6
9.5
9.5
9.5
9.5
9.5
9.5
9.5
9.5
9.5

5.0
10
10
10
10
5.0
5.0
5.0
5.0
5.0

0.016
0.071
0.035
0.014
0.007
0.147
0.073
0.028
0.014
0.194

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

40
40
40
40
40
40
40
40
40
40

-55
0
0
0
0
-55
-55
-55
-55
-55

150
75
75
75
75
100
100
100
100
150

MCA2132
MCA2133
MCA2134
MCA2211
MCA2212
MCA2213
MCA2214
MCA2221
MCA2222
MCA2223

MCiA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911

9.5
9.5
9.5
11.0
11.0
11.0
11.0
11.0
11.0
11.0

5.0
5.0
5.0
10
10
10
10
5.0
5.0
5.0

0.097
0.038
0.019
0.082
0.041
0.016
0.008
0.170
0.085
0.034

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

40
40
40
40
40
40
40
40
40
40

-55
-55
-55
0
0
0
0
-55
-55
-55

150
150
150
75
75
75
75
100
100
100

MCA2224
MCA2231
MCA2232
MCA2233
MCA2234

MCA1911
MCA1911
MCA1911
MCA1911
MCA1911

11.0
11.0
11. 0
11.0
11.0

5.0
5.0
5.0
5.0
5.0

0.017
0.225
0.112
0.044
0.022

5.0
5.0
5.0
5.0
5.0

40
40
40
40
40

-55
-55
-55
-55
-55

100
150
150
150
150

O. DOS'"

0.003'"
0.002'"
O. ODS'"

2-85

71'"
71>"
71",
100'"
100'"
100*
ISO'"

•

•

UNIJUNCTION TRANSISTORS

This table contains a numerical listing and short-form specifications for unijunction transistors
with EIA-registered 2N numbers.

KEY

TYPE

REPLACEMENT

REF

Po
(mW)

Rss

Numerical Listing
of Registered
Type Numbers

Power
Dissipation
@250 C

Type number of nearest
electrical equivalent fully
characterized in this book

Interbase Resistance

Referenca device number indicates
specific Data Sheet on which devico
is characterized

1/

Iv(Min)
mA

Ip(Max)
(j.lA)

IEO@VS2E
(j.lA@VMax)

Emiller Reverse
Current at indicated
VB2E

Intrinsic Standoff Ra,io
Emiller Saturation
Voltage

Valley Current
Peak Point Current

2-86

VESl {sad
IE@50mA

UNIJUNCTION TRANSISTORS INDEX

TYPE

REPLACE MENT

REF.

2N489
2N489A
2N489B
2N490
2N490A
2N490B
2N490C
2N491
2N491A
2N491B

Po

(rnW)

450
450
450
450
450
450
450
450
450

450

2N492
2N492A
2N492B
2N492C
2N493
2N493A
2N493B
2N494
2N494A
2N494B

450
450
450
450
450
450
450

450
450
450

450
450

2N494C
2N1671
2N1671A
2N1671B
2N1671C
2N2160
2N2417
2N2417A
2N2417B
2N2418

450
450
450
450

390
390
300
390

RBB
(k!l)

~

2N489-2N6115

IvIrnin)
(rnA)

Ip(rnax)
(1lA)

IEo@V B2E
(IlA@Vrnax)

VEBlIsAn
IE@50rnA)

6.8
6.8
6.8
9.1
9.1
9.1
9.1
6.8
6.8
6.8

0.62
0.62
0.62
0.62
0.62
0.62
0.51
0.68
0.68
0.68

8.0
8.0
8.0
8.0
8.0
8.0

20
15
6.0
20
15
6.0

12
12
0.2
12
12
0.2

@ 60
@ 60
@ 60
@ 60
@ 60
@ 60

5.0
4.0
4.0

8.0
8.0
8.0

20
15
6.0

12 @ 60
12 @ 60
0.2 @ 60

5.0
4.3
4.3

9.1
9.1
9.1
9.1
6.8
6.8
6.8
9.1
9.1
9.1

0.68
0.68
0.68
0.56
0.75
0.75
0.75
0.75
0.75
0.75

8.0
8.0
8.0

20
15
6.0

12 @ 60
12 @ 60
0.2 @ 60

5.0
4.3
4.3

8.0
8.0
8.0
8.0
8.0
8.0

20
15
6.0
20
15
6.0

12 @ 60

5.0

0.2
12
12
0.2

@ 60
@ 60
@ 60
@ 60

4.6

9.1
9.1
9.1
9.1

0.62
0.62
0.62
0.62

8.0
8.0
8.0
8.0

2.0
25
25
6.0

0.02
12
12
0.2

@ 60
@ 30
@ 30
@ 30

4.6
5.0
5.0
5.0

0.47 -0.80

0.62
0.62
0.51 -0.62
0.62

8.0
8.0
8.0
8.0
8.0

25
20
20
6.0
20

12
12
12
0.2
12

@ 30
@ 60
@ 60
@ 30
@ 60

5.0
4.0
4.0
5.0

4.1 -9.1

4.0
0.68
0.68
6.8
0.68

-12

5.0
4.0
4.0

5.0
5.0
4.6

2N2418A
2N2418B
2N2419
2N2419A
2N2419B
2N2420
2N2420A
2N2420B
2N2421
2N2421A

390
300
390
390
300
390
390
300
390
390

9.1
9.1
4.7 -6.8
6.8
6.8
9.1
9.1
9.1
6.8
6.8

0.62
0.51 - 0.62
0.68
0.68
0.56 -0.68
0.68
0.68
0.56 -0.68
0.75
0.75

8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0

20
6.0
20
20
6.0
20
20
6.0
20
20

12
0.2
12
12
0.2
12
12
0.2
12
12

@ 60
@ 60
@ 60
@ 60
@ 30
@'60
@ 60
@ 30
@ 60
@ 60

4.0
4.0

2N2421B
2N2422
2N2422A
2N2422B
2N2646
2N2647
2N2840
2N3406
2N3479
2N3480

300
390
390
300
300
300
300

6.8
9.1
9.1
9.1
4.7 (min)
4.7 (min)
4.7 -9.1

0.62 -0.75
0.75
0.75
0.62 -0.75
0.56
0.68
0.4 -0.85

0.2
8.0
8.0
8.0

6.0
20
20
6.0
25
2.0
10

0.2
12
12
0.2
12
0.2
1.0

@ 30
@ 60
@ 60
@ 30
@ 30
@ 30
@ 30

4.6
5.0
4.6

4.7 -9.1
9.1

0.47 -0.62
0.75

12 @ 30
12 @ 30

5.0
5.0

400
400
400
250
360
300
300
300
300

9.1
4.7
9.1
9.1
9.1
8.0
4.7
4.7
4.7
4.0

0.85
0.51 -0.62
0.72
0.85
0.80

6.0
8.0
8.0
8.0
4.2

15
2.0
2.0
2.0

12
0.02
1.0
0.2

@ 30
@ 30
@ 30
@ 30

5.0
5.0
5.0
5.0

0.56
0.70
0.70
0.56

(min)
(min)
(min)
(min)

2.0
6.0
2.0

2.0
2.0
0.4
5.0

0.1
0.1
0.05
0.05

@ 30
@ 30
@ 30
@ 30

2.5
2.5
2.5
2.5

300
300
300
300
300
360
360
360
360
300
300

4.0 (min)
4.0 (min)
4.0 (min)
4.0 (min)
4.0 (min)
4.0 -9.1
4.0 (min)
4.0 (min)
6.0 -8.5
5.5/8.2
5.0/2.5

0.70 (min)
0.55 (min)
0.51 (min)
0.55 (min)
0.74 (min)
0.51 -0.69
0.55 (min)
0.74 (min)
0.72 -0.80
0.58/0.02
0.58/0.62

4.0
2.0
4.0
2.0
2.0
4.0
2.0
2.0
2.0
1.0
1.0

5.0
5.0
2.0
2.0
1.0
2.0
2.0
1.0
4.0
5.0
15

0.05
0.01
0.01
0.01
0.01
0.01
0.01
0.01

@ 30
@ 30
@ 30
@ 30
@ 30
@ 30.
@ 30
@ 30

0.01
0.1

5.0
5.0

2.5
4.0
4.0
4.0
4.0
2.5
2.5
2.5
3.0
1.5
1.5

2N3481
2N3482
2N3483
2N3484
2N3679
2N3980
2N4851
2N4852
2N4853
2N4870
2N4871
2N4891
2N4892
2N4893
2N4894
2N4947
2N4948
2N4949
2N5431
2N6114
2N6115

2N2646
2N2647

2N2646
2N2646

450
400

400
400

2N3980
2N4851
2N4852
2N4853
2N4870

2N3980
2N4851
2N4851
2N4851
2N4870

MU4891
MU4892
MU4893
MU4894

2N4870
MU4891
MU4891
MU4891
MU4891

2N4948
2N4949
2N5431

2N4948
2N4948
2N5431

-6.8

(min)
(min)
(min)
(min)

2-87

4.0

8.0
0.70
8.0
6.0
4.0

4.0

20
15

5.0
4.3
4.3
5.0
4.3
4.3
5.0
4.6

2.0
2.0

•

•

PROGRAMMABLE
UNIJUNCTION TRANSISTORS

KEY
REPLACEMENT

TYPE

REFERENCE

Ip

IGAO

IV

VGKF

Vo

PF

VF

IT

ITRM

T stg

Numerical Li')tings of

2N Registered Type
Numbers
Type number of recommended
replacement or of nearest
electrical equivalent fully characterized

in this book
Reference device number indicates specific Data
Sheet on which device is characterized

Peak Current
Gate to Anode Leakage Current
Valley Current
Gate to Cathode Forward Voltage
Forward Power Dissipation @ 25 0 C
Peak Output Voltage
Forward Voltage
DC Forward Anode Current
Repetitive Peak Forward Current
Storage Temperature Range

PROGRAMMABLE UNIJUNCTION TRANSISTORS - PUT
IT(pulsel
Iv

IGAO
Ip
Peak Current

RG = RG =
10kn 1.0Mn

Replace-

f.lA

f.lA

TYPE

ment

REF.

(Maxi

(Max)

2N6027
2N6028
2N6116
2N6117
2N6118
2N6119
2N6120
2N6137
2N6138

2N6027
2N6028
2N6116
2N6117
2N6118
2N6116
2N6118

2N6027
2N6027
2N6116
2N6116
2N6116
2N6116
2N6116

5.0
1.0
5.0
2.0
1.0
5.0
1.0
5.0
5.0

2.0
0.15
2.0
0.3
0.15
2.0
0.15
2.0
2.0

Leakage
Current
@

Valley
Current
RG =
RG =

40V
nA

10kn

(Max)

(Min)

70
25
70
50
50
70
25
70
70

10
10
5.0
5.0
5.0
10
10
10
10

f.lA

1.0Mn

VGKF
Gate to
Cathode
Forward

VF

Min
Output

Forward

Voltage

(Maxi

f.lA

Voltage
Volts
(Max)

PF
mW

Voltage
Volts

VF
Volts

50
25
50
50
25
50
25
50
50

+ 40
+ 40
40
40
40
40
40
40
100

300
300
250
250
250
400
400
400
400

6.0
6.0
6.0
6.0
6.0
9.0
9.0
9.0
9.0

1.5
1.5
1.5
1.5
1.5
1.0
1.0
1.0
1.0

2-88

IT
OC

Vo

@

Anode
Current

mA

IF
mA

(Max)

50
50
50
50
50
50
50
50
50

150
150
200
200
200
300
300
300
300

Peak
Anode
Current

20JJs
*10~s

1.0% DC
Amp
(MaJId

2.0
2.0
2.0
2.0
2.0
8.0"
8.0*
8.0*
8.0*

Tstg
Storage
Temp.
Range

°c
-55to
+l50'C
-65°c
+26o oc
-5S0 Co to
+l~0 C

-~i5go~o

IN-HOUSE
NON-REGISTERED DEVICES
INDEX

Zener Diodes, Rectifiers, Signal Diodes,
Reference Diodes
Hot Carrier Diodes
Hot Carrier Rectifiers
Rectifier Assemblies
Varactors
Switching Diodes
PIN Switching Diodes
Light-Emitting Diodes
Optoelectronic Devices
Thyristors
Bilateral Trigger Diacs
Bidirectional Switch
Unidirectional Switch
Unijunction Transistors
Programmable Unijunction Transistors
Transistors
Field-Effect Transistors

3-1

3-3
3-15
3-16
3-17
3-20
3-23
3-24
3-25
3-26
3-28
3-33
3-34
3-35
3-36
3-37
3-38
3-50

•

3-2

RECTIFIERS,
ZENER DIODES, SIGNAL DIODES
and REFERENCE DIODES

This table contains an alpha-numerical listing and short-form specifications for Motorola
in-house, non-registered rectifiers, zener diodes, signal diodes and reference diodes_

KEY

ZENER DIODES

RECTIFIERS
VR = DC Blockmg Voltage
VF = Average Forward Voltage Drop
10 = Average Recllher Forward
Current

Vz/Nom) = Nominal Zener Break-

down Voltage (Volts)
IZT = Test Current for Zener
Voltage (rnA)
Tol = Tolerance for Specified

I R =Average Reverse Current
IFSM

=

Nommal Zener Breakdown
Voltage

Peak Surge Current

Po

= Maximum Power DISSipatIOn
M = Mllhwatts

W = Watts
Z
0

--'

:!
TYPE

'"w

I-

<
:;:

REPLACE·
MENT

REF.

;::
<
u
LL

;::
zw
9

ZENER DIODES

RECTIFIERS

VA I Volts
VF I Amps
10
I rnA
IA IIFSM
Volts

VZ (nom) IIZT
I VZ
Tol I
mA
%

REFERENCE DIODES

SIGNAL DIODES

PRY
Volts

I VF

@

IF

Volts

I I
IR

Po

t

I"

(~Sl

Vz

(nom)

I I I
TC
"jOe

In
rnA

Temp
Range

Numerical Listing

of Registered
Type Numbers
S = Silicon
G = Germanium

SE = Selenium

Type number of recommended
replacement Dr of nearest
electrical equivalent fully
characterized in this book.
Reference device number indicates
specific Data Sheet on which device
is characterized_

SHADING INDICATES
SIGNAL DIODES
PRY = Peak Reverse Voltage

VF @IF = Maximum Forward
Voltage at Indicated Forward
current - M = Milliamp.
A =amp
I R = Reverse Current M = milliamp. = mlcroamp
N = nanoamp
trr = Reverse Recovery Time
It

The codes listed below define the listed device and
IOdlcates the appropriate specificatIOn column heading.
o R - Rectifiers, Fast Recovery DZ - DIOde, Zener
DS - Diode, Signal
DR - DIOde, Reference

3-3

SHADING INDICATES
REFERENCE DIODES
Vz(Nom)

=

Nommal Zener Break-

down Voltage (Volts)

Te = Average Temperature Coefflclent over Temperature
Range
III = Test Current for Zener
Voltage (mAl
Temp Range .. Operating Range of
Average TC

•

DIODE, RECTIFIER INDEX

.
CI

j::

...cc
...0:

cc

u

Ref.

.e...

14M2.4AZ
14M2.7AZ
14M3.0AZ
14M3.3AZ
14M3.6AZ
14M3.9AZ
14M4.3AZ
%M4.7AZ
%M5.1AZ
%M5.6AZ

14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
%M2.4AZ
14M2.4AZ
%M2.4AZ
%M2.4AZ

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

%M6.2AZ
%M6.8Z
%M7.5Z
%M8.2Z
%M9.1Z
%Ml0Z
%MllZ
%MI2Z
%MI3Z
%MI4Z

%M2.4AZ
%M2.4AZ
14M2.4AZ
%M2.4AZ
%M2.4AZ
%M2.4AZ
%M2.4AZ
%M2.4AZ
14M2.4AZ
14M2.4AZ

%MI5Z
%MI6Z
%M17Z
%MI8Z
%MI9Z
%M20Z
%M22Z
%M24Z
%M25Z
%M27Z

u:
j::

I-

Type

cc
:;:

Replacement

ZENER DIODES

RECTIFIERS
VR
Volts
" .
..
.'

PRV··
Vak.'

VF
Volts

10
Amp

IR
mA

IFSM
Amp

Vz(Nom)

V"

VollS

.'

ill

Tol
VZ±%

tit.
/.1$

VZ(NOIII)
2.4

PD

.rcI]2§
. We'

HE.FERENCE OIOon

' ···S1GNALOfOOES
~. If.'

IZT
mA

... '

~>

3.0
3.3
3.6
3.9
4.3
4.7
5.1
5.6

10
10
10
10
10
10
10
10
10
10

20
20
20
20
20
20
20
20
20
20

25W
25W
25W
25W
25W
25W
25W
25W
25W
25W

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

6.2
6.8
7.5
8.2
9.1
10
11
12
13
14

10
9.2
8.3
7.6
6.9
6.3
5.7
5.2
4.8
4.5

20
20
20
20
20
20
20
20
20
20

25W
25W
25W
25W
25W
25W
25W
25W
25W
25W

14M2.4AZ .
%M2.4AZ
.14M2.4AZ
14M2.4AZ
%M2.4AZ
14M2.4AZ
14M2.4AZ
%M2.4AZ
%M2.4AZ
%M2.4AZ

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

15
16
17
18
19
20
22
24
25
27

4.2
3.9
3.7
3.5
3.3
3.1
2.8
2.6
2.5
2.3

20
20
20
20
20
20
20
20
20
20

25W
25W
25W
25W
25W
25W
25W
25W
25W
25W

%M30Z
%M33Z
%M36Z
%M39Z
%M43Z
%M45Z
%M47Z
14M50Z
%M52Z
%M56Z

%M2.4AZ
%M2.4AZ
14M2.4AZ
%M2.4AZ
%M2.4AZ
%M2.4AZ
%M2.4AZ
%M2.4AZ
%M2.4AZ
%M2.4AZ

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

30
33
36

2.1
1.9
1.7
1.6
1.5
1.4
1.3
1.2
1.2

1.1

20
20
20
20
20
20
20
20
20
20

25W
25W
25W
25W
25W
25W
25W
25W
25W
25W

%M62Z
14M68Z
%M75Z
%M82Z
14M91Z
14Ml00Z
%Ml05Z
%Mll0Z
%M120Z
%M130Z

%M2.4AZ
%M2.4AZ
%M2.4AZ
%M2.4AZ
14M2.4AZ
%M2.4AZ
14M2.4AZ
%M2.4AZ
%M2.4AZ
%M2.4AZ

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

62

1.0
0.92
0.83
0.76
0.69
0.63
0.60
0.57
0.52
0.48

20
20
20
20
20
20
20
20
20
20

25W
25W
25W
25W
25W
25W
25W
25W
25W
25W

2.7

39
43
45
47
50
52
56

68
75
82
91
100
105
110
120
130

3-4

DIODE, RECTIFIER INDEX(continued)

....

c(

'-'

c(

~
I-

Type

c(

:;;

VR
Volts

;;:

;::

w

2:

w

Replacement

Ref.

!:

ZENER DIODES

RECTIFIERS

2:

c

;::

I'flV
VOlt,

IR
VF
10
Amp
rnA
Volts
SIGNAL DIODES

VF
Volts

@

IF

IFSM
Amp

Vz(Nom)

Tal
VZ±"/o

Po

REFERENCE DIODES

TC

Vz(tlloml

'Iofe

mA

Temp
Range

trr

IR

IZT
rnA

jJ$

Izt

%M140Z
%M150Z
%M175Z
%M200Z
lM3.3AZ10
lM3.6AZ10
lM3.9AZIO
lM4.3AZ10
lM4.7AZIO
lM5.1AZ10

%M2.4AZ
%M2.4AZ
%M2.4AZ
%M2.4AZ
lN3821
lN3821
lN3821
lN3821
lN3821
lN3821

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

140
150
175
200
3.3
3.6
3.9
4.3
4.7
5.1

0.45
0.42
0.36
0.31
76
69
64
58
53
49

20
20
20
20
10
10
10
10
10
10

25W
25W
25W
25W
1.0W
LOW
LOW
LOW
LOW
LOW

lM5.6AZ10
lM6.2AZ10
lM6.8AZ10
lM7.5AZ10
lM6.8Z
lM7.5Z
lM8.2Z
lM9.1Z
lMl0Z
lMllZ

lN3821
lN3821
lN3821
lN3821
lN3821
lN3821
lN3821
lN3821
lN3821
lN3821

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

5.6
6.2
6.8
7.5
6.8
7.5
8.2
9.1
10
11

45
41
37
34
37
34
31
28
25
23

10
10
10
10
10
10
10
10
10
10

LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW

lM12Z
lM13Z
lM15Z
lM16Z
lM18Z
lM20Z
lM22Z
lM24Z
lM27Z
lM30Z

lN3821
lN3821
lN3821
lN3821
lN3821
lN3821
lN3821
lN3821
lN3821
lN3821

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

12
13
15
16
18
20
22
24
27
30

21
19
17
15.5
14
12.5
11.5
10.5
9.5
8.5

10
10
10
10
10
10
10
10
10
10

1.0W
1.0W
LOW
LOW
LOW
1.0W
1.0W
1.0W
LOW
LOW

lM33Z
lM36Z
lM39Z
lM43Z
lM47Z
lM51Z
lM56Z
lM62Z
lM68Z
lM75Z

lN3821
lN3821
lN3821
lN3821
lN3821
lN3821
lN3821
lN3821
lN3821
lN3821

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

33
36
39
43
47
51
56
62
68
75

7.5
7.0
6.5
6.0
5.5
5.0
4.5
4.0
3.7
3.3

10
10
10
10
10
10
10
10
10
10

LOW
LOW
LOW
LOW
LOW
LOW
LOW
1.0W
LOW
LOW

lM82Z
lM91Z
lMl00Z
lMll0Z
lM120Z
lM130Z
IM150Z
lM160Z
lM180Z
lM200Z

lN3821
lN3821
IN3821
lN3821
lN3821
lN3821
lN3821
lN3821
1N3821
lN3821

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

82
91
100
110
120
130
150
160
180
200

3.0
2.8
2.5
2.3
2.0
1.9
1.7
1.6
1.4

10
10
10
10
10
10
10
10
10
10

LOW
LOW
LOW
LOW
LOW
1.0W
1.0W
LOW
LOW
1.0W

lM3.3ZS10
lM3.6ZS10
lM3.9ZS10
lM4.3ZS10
lM4.7ZS10
lM5.1ZS10
lM5.6ZS10
lM6.2ZS10
lM6.8ZS10
lM7.5ZS10

lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

3.3
3.6
3.9
4.3
4.7
5.1
5.6
6.2
6.8
7.5

76
69
64
58
53
49
45
41
37
34

10
10
10
10
10
10
10
10
10
10

1.0W
1.0W
1.0W
1.0W
LOW
LOW
LOW
LOW
LOW
1.0W

3-5

•

DIODE, RECTIFIER INDEX(continued)

.,
0

;::

~

-'

:::c::

~

.,w

w

•

c[

:2

VF
Volts

Replacement

Ref.

e

10
Amp

ZENER DIDOES
IR
rnA

IFSM
Amp

SIGNAL DIODES

;::

l-

Type

RECTIFIERS
VR
Volts
PIW

VF

Volts

Volts

@

IF

fR

"

t"
jJS

Vz{Nornl

..

IZT
rnA

Tal

Vz ±%

Po

REfERENCE DIODES

Temp

%fc

Izt

Vz INorn}

rnA

Rang.

TC

lM8.2ZS10
lM9.1ZS10
lMl0ZSlO
lMllZS10
lM12ZS10
lM13ZS10
lM15ZS10
lM16ZS10
lM18ZS10
IM20ZSlO

1N4728
1N4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
IN4728
IN4728

OZ
OZ
OZ
OZ
OZ
OZ
OZ
OZ
OZ
OZ

8.2
9.1
10
11
12
13
15
16
18
20

31
28
25
23
21
19
17
15.5
14
12.5

10
10
10
10
10
10
10
10
10
10

LOW
1.0W
LOW
1.0W
LOW
LOW
LOW
1.0W
1.0W
LOW

lM22ZS10
lM24ZSlO
lM27ZS10
lM30ZSlO
lM33ZSlO
lM36ZS10
lM39ZS10
lM43ZS10
lM47ZSlO
lM51ZSlO

IN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728

OZ

22
24
27
30
33
36
39
43
47
51

11.5
10.5
9.5
8.5
7.5
7.0
6.5
6.0
5.5
5.0

10
10
10
10
10
10
10
10
10
10

LOW
1.0W
LOW
1.0W
LOW
1.0W
1.0W
1.0W
1.0W
1.0W

lM56ZS10
lM62ZSIO
lM68ZSIO
lM75ZSIO
lM82ZSIO
lM91ZSlO
lMl00ZS10
lMll0ZS10
lM120ZS10
1M130ZS10

lN4728
1N4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
1N4728
lN4728

OZ
OZ
OZ
OZ
OZ
OZ
OZ
OZ
OZ

56
62
68
75
82
91
100
110
120
130

4.5
4.0
3.7
3.3
3.0
2.8
2.5
2.3
2.0
1.9

10
10
10
10
10
10
10
10
10
10

1.0W
1.0W
1.0W
1.0W
1.0W
LOW
1.0W
1.0W
1.0W
1.0W

lM150ZS10
lM160ZS10
lM180ZS10
lM200ZS10
MPZ5·16A
MPZ5·168
MPZ5·32A
MPZ5·32B
MPZ5·32C
MPZ5·180A

lN4728
lN4728
lN4728
lN4728

1.6
1.4
1.2
400
400
400
400
400
400

10
10
10
10

MPZ5·16
MPZ5·16
MPZ5·16
MPZ5·16
MPZ5·16
MPZ5·16

150
160
180
200
14
14
28
28
28
165

1.7

S
S
S
S
S
S

OZ
OZ
OZ
OZ
OZ
OZ
OZ
OZ
OZ
OZ

1.0W
1.0W
LOW
LOW
350W
350W
350W
350W
350W
350W

MPZ5·180B
MPZ5·180C
MR810
MR811
MR812
MR813
MR814
MR816
MR817
MR818

S
S
S
S
S
S
S
S
S
S

MPZ5·16
MPZ5·16

165
165

400
400

MR810
MR810
MR810
MR810
MR810
MR810
MR810
MR810

OZ
OZ
.R
.R
.R
.R
.R
.R
.R
.R

50
100
200
300
400
500
800
1000

1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

0.01
0.01
0.01
0.01
0.01
0.01
0.01
0.01

30
30
30
30
30
30
30
30

MR820
MR821
MR822
MR824
MR826
MR830
MR831
MR832
MR834
MR836

S
S
S
S
S

MR820
MR820
MR820
MR820
MR820
MR830
MR830
MR830
MR830
MR830

.R
.R
.R
.R
.R
.R
.R
.R
.R
.R

50
100
200
400
600
50
100
200
400
600

1.0
1.0
1.0
1.0
1.0

5.0
5.0
5.0
5.0
5.0
3.0
3.0
3.0
3.0
3.0

0.25
0.25
0.25
0.25
0.25
0.05
0.05
0.05
0.05
0.05

300
300
300
300
300
100
100
100
100
100

02
02
02
02
02
OZ
OZ
OZ
OZ

02

1.1
1.1
1.1

1.1
1.1

.R trr 200 ns
MRB10 series 750 ns

3-6

350W
350W

DIODE, RECTIFIER INDEX(continued)

'">=
0

....
C(

C(

u

u:
>=

a:

...

w

Type
MR840
MR841
MRB42
MRB44
MAB46
MR850
MA851
MR852
MR854
MRB5S
MRB60
MRS61
MRB62
MR864
MR8SS
MR870
MRB71
MR872
MR874
MR876

S
S
S
S

S
S
S
S
S
S

10
Amp

PRV
Volts.

VF @ IF
Volts

MRB30
MRB30
MRB30
MRB30
MRB30
MA850
MR850
MR850
MR850
MRB50

.R
.R
.R
.A
.A
.A
.R
.R
.R
.R

50
100
200
400
600
50
lOa
200
400
600

1.2
1.2
1.2
1.2
1.2
1.25
1.25
1.25
1.25
1.25

MRB60
MRB60
MR860
MRBSO
MR8S0
MRB70
MRB70
MR870
MR870
MRB70

.R
.R
.R
.R
.R
.R
.R
.R
.R
.R

50
100
200'
400
600
50
100
200
400
600

R
R
R
R
R
R
R
R
R
R

Ref.

ZENER DIDOES
IR
mA

IFSM
Amp

}is

3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0

0.075
0.075
0.075
0.075
0.075

0.01

100
100
100
100
100
100
100
100
100
100

1.4
1.4
1.4
1.4
1.4
1.4
1.4
1.4
1.4
1.4

40
40
40
40
40
50
50
50
50
50

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

350
350
350
350
350
400
400
400
400
400

1000
1500
2000
2500
3000
4000
5000
50
100
200

1.7
1.7
1.7
1.7
1.7
1.7
1.7
1.0
1.0
0.55

0.25
0.25
0.25
0.25
0.25
0.25
0.25
12
12
12

0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.5
0.5
0.5

15
15
15
15
15
15
15
300
300
300

om
0.01
0.01

om

S
S
S
S
S
S
S
S

MR990A
MR990A
MR990A
MR990A
MR900A
MR990A
MR990A
MR1120
MR1120
MR1120

MR1123
MR1124
MRI125
MRI126
MRI128
MRI130
MRI200
MRI201
MRI202
MRI203

S
S
S
S
S
S
S
S
S
S

MR1120
MR1120
MR1120
MR1120
MRI120
MR1120
MR1200
MRI200
MR1200
MR1200

R
R
R
R
R
R
R
R
R
R

300
400
500
600
800
1000
50
100
150
200

0.55
0.55
0.55
0.55
0.55
0.55
0.4
0.4
0.4
0.4

12
12
12
12
12
12
50
50
50
50

0.5
0.5
0.5
0.5
0.5
0.5
10
10
10
10

300
300
300
300
300
300
500
500
500
500

MRI204
MRI205
MRI206
MRI207
MRI210
MRI211
MRI212
MRI213
MRI214
MR1215

S
S
S
S
S
S
S
S
S
S

MR1200
MRI200
MRI200
MRI200
MRl210
MRI210
MRI210
MA1210
MR1210
MRI210

R
R
R
R
R
R
R
A
R
R

250
300
350
400
50
100
150
200
250
300

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

50
50
50
50
80
80
80
80
80
80

10
10
10
10
15
15
15
15
15
15

500
500
500
500
2000
2000
2000
2000
2000
2000

S

.R trr 200 ns
MR840 series 1000 ns

3-7

IZT
mA
Te

In
IR

MR990A
MR991A
MR992A
MR993A
MR994A
MR995A
MR996A
MR1120
MR1121
MR1122

S

Vz(Nom)

Tol
Vz ±%

Po

REFERENCE DIODES

SIGNAL DIODES

'"

w

Replacement

VF
Volts

!:!

C(

:;;

RECTIFIERS
VR
Volts

Vz(Noml

%fc

IZI
mA

Temp

Range

DIODE, RECTIFIER INDEX(continued)

2
0

;:
<[

.....

'-'

u::
;::

<[

a:w

...

Type
MR1216
MRI217
MR121S
MR1219
MR1220
MR1221
MR1222
MRI223
MR1224
MR1225

<[

:;;

Replacement

Ref.

::il

ZENER DIDOES

RECTIFIERS
VR
Volts

VF
Volts

~/r,

"

10

Amp

IR
mA

,SIGNAL DIODES'

,p'~\1:,~(j>

=: Yuill, ,

IF

,
lA"

IFSM
Amp
. .".

~

Vz(Nom)

;~. ~

,.,.tr;

,:;'
; "

"IJ$"

MR1210
MR1210
MR1210
MRI210
MR1220
MR1220
MR1220
MRI220
MRI220
MRI220

R
R
R
R
R
R
R
R
R
R

350
400
500
600
50
100
150
200
250
300

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0,4

SO
SO
SO
SO
160
160
160
160
160
160

15
15
15
15
20
20
20
20
20
20

2000
2000
2000
2000
3600
3600
3600
3600
3600
3600

R
R
R
R
R
R
R
R
R
R

350
400
50
100
150
200
250
300
350
400

M

S
S
S
S
S
S

MR1220
MR1220
MRI230
MRI230
MRI230
MR1230
MR1230
MR1230
MR1230
MRI230

0.4
0,4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

160
160
240
240
240
240
240
240
240
240

20
20
35
35
35
35
35
35
35
35

3600
3600
5000
5000
5000
5000
5000
5000
5000
5000

MR1240
MR1241
MR1242
MR1243
MRI244
MR1245
MR1246
MR1241
MR1260
MRI261

S
S
S
S
S
S
S
S
S
S

MR1240
MR1240
MR1240
MR1240
MR1240
MR1240
MR1240
MR1240
MR1260
MRI260

R
R
R
R
R
R
R
R
R
R

50
100
150
200
250
300
350
400
50
100

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

400
400
400
400
400
400
400
400
650
650

50
50
50
50
50
50
50
50
100
100

SOOO
SOOO
SOOO
SOOO
SOOO
BODO
BODO
BODO
12,000
12,000

MR1262
MR1263
MR1264
MR1265
MR1266
MR1261
MRI290
MRI291
MRI292
MR1293

S
S
S
S
S
S
S
S
S
S

MRI260
MR1260
MR1260
MRI260
MR1260
MRI260
MR1290
MRI290
MRI290
MRI290

R
R
R
R
R
R
R
R
R
R

150
200
250
300
350
400
50
100
150
200

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

650
650
650
650
650
650
1000
1000
1000
1000

100
100
100
100
100
100
200
200
200
200

12,000
12,000
12,000
12,000
12,000
12,000
IS,OOO
IS,OOO
IB,OOO
IS,OOO

MR1294
MRI295
MR1296
MRI291
MR1331·1
MR1331·2
MR1331·3
MR1331-4
MR1331·5
MR1366

S
S
S
S
S
S
S
S
S
S

MRI290
MRI290
MRI290
MRI290
MR1331
MRI331
MRI337
MR1331
MR1331
lN4933

R
R
R
R
.R
.R
.R
.R
.R
.R

250
300
350
400
50
100
200
300
400
600

0.4
0.4
0.4
0.4
1.1
1.1
1.1
1.1
1.1
1.2

1000
1000
1000
1000
1000
1000
1000
1000
1000
6.0

200
200
200
200
0.25
0.25
0.25
0.25
0.25
0,015

IS,OOO
IS,OOO
IS,OOO
IS,OOO
3D
3D
3D
3D
3D
150

MR1316
MR13S6
MR1396
MR1Sl0
MR1S11
MR1S12
MR1813
MR1814
MRIS15

S
S
S
S
S
S
S
S
S

lN4933
lN4933
lN4933
MR1210
MR1210
MR1210
MR1210
MRI210
MR1210

.R
.R
.R
R
R
R
R
R
R

600
600
600
50
100
150
200
250
300

1.4
1.4
1.4
0.4
0.4
0.4
0.4
0.4
0.4

12
20
3D
SO
SO
SO
SO
SO
SO

0.DI5
0.025
0.025
15
15
15
15
15
15

200
250
300
2000
2000
2000
2000
2000
2000

MR1226
MRI221
MR1230
MRI231
MR1232
MR1233
MR1234
MR1235
MR1236
MR1231

S
S
S
S
S
S

S
S

S
S
S
S
S
S

M

.R trr 200 ns

3-8

IZT
mA

Tol
Vz ±%

Po

',REFERENCE 0I0DEs.:\

..

.:v~~~n;,'

.Tt

I~

", "

,'TOIl1P/:

''l¢( "mA.". , .: Range:.

DIODE. RECTIFIER INDEX(continued)

I~

2
CI

>=

...'"u:

c:w

>=
2

t-

Tvpe

'"

::;;

Ref.

VF
Volts

10
Amp

IR
mA

IFSM
Amp

PRV
Volts

Vf

@

Volt,

If
IS

tn
IlS

MRI816
MRI817
MRI818
MRI819
MR2064
MR2065
MR2066
MR2067
MR2068
MR2069

S
S
S
S
S
S
S
S
S
S

MRI210
MRI210
MRI210
MRI210
MR2064
MR2064
MR2064
MR2064
MR2064
MR2069

R
R
R
R
R
R
R
R
R
R

350
400
500
600
50
100
200
400
600
50

0.4
0.4
0.4
0.4
1.2
1.2
1.2
1.2
1.2

80
80
80
80
1.0
1.0
1.0
1.0
1.0

15
15
15
15
25
25
25
25
25

2000
2000
2000
2000
30
30
30
30
30

MR2070
MA2071
MA2072
MA2073
MA2074
MA2075
MA2080HA
MA2081HA
MA2082HA
MA2083HA

S
S
S
S
S
S
S
S
S
S

MR2069
MR2069
MR2069
MR2069
MA2069
MA2069
MR2084HA
MR2084HA
MR2084HA
MA2084HA

R
A
A
A
A
A
A
A
A
R

100
200
300
400
500
600
50
100
200
300

. 0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5

3.0
3.0
3.0
3.0
3.0
3.0
750
750
750
750

1.0
1.0
1.0
1.0
1.0
1.0
4.0
4.0
4.0
4.0

300
300
300
300
300
300
12,000
12,000
12,000
12,000

MA2084HA
MR2100HA
MR210lHA
MR2102HA
MA2103HA
MA2104HA
MA2261
MA2262
MR2263
MA2264

S
S
S
S
S
S
S
S
S
S

MA2084HA
MA2100HA
MR2100HA
MA2100HA
MR2100HA
MA2100HA
MA2261
MA2261
MA2261
MA2261

R
R
R
R
R
R
A
R
A
A

400
50
100
200
300
400
10
20
30
40

0.5
0.5
0.5
0.5
0.5
0.5
1.5
1.5
1.5
1.5

750
lIDO
1100
1100
1100
1100
25
25
25
25

4.0
5.0
5.0
5.0
5.0
5.0
1.0
1.0
1.0
1.0

12,000
18,000
18,000
18,000
18,000
18,000
300
300
300
300

MA2265
MR2266
MA2271
MA2272
MA2273
MA2369
MA2370
MR2371
MA2372
MR2373

S
S
S
S
S

MA2261
MR2261
MA2271
MA2272
MA2266
MA2369
MA2369
MA2369
MA2369
MR2369

A
R
.A
R
R
A
A
A
R
R

50
800
300
400
200
50
100
200
300
400

1.5
1.1
1.1
1.1
1.1
1.0
1.0
1.0
1.0
1.0

25
1.0
1.0
1.0
1.0
3.0
3.0
3.0
3.0
3.0

1.0
0.01
0.025
0.01
0.01
1.0
1.0
1.0
1.0
1.0

300
30
30
30
30
300
300
300
300
300

MR2369
MR2369
MR9600
MR9600
MR9600
MR9600
MR9600
MRAI30
MRA130
MRA130

R
R
R
R
R
R
R
R
R
R

500
600
25
50
100
200
400
50
100
200

1.0
1.0
1.3
1.3
1.3
1.3
1.3
0.5
0.5
0.5

3.0
3.0
0.8
0.8
0.8
0.8
0.8
150
150
150

1.0
1.0
0.5
0.5
0.5
0.5
0.5
1.5
1.5
1.5

300
300
IS
15
15
15
15
3000
3000
3000

MRA130
MRA130
MRA160
MRAI60
MRAI60
MRAI60
MRA160
MRA330
MRA330
MRA330

R
R
R
R
R
R
R
R
R
R

300
400
50
100
200
300
400
50
100
200

0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5

150
150
300
300
300
300
300
100
100
100

1.5
1.5
3.0
3.0
3.0
3.0
3.0
1.0
1.0
1.0

3000
3000
SOOO
6000
6000
6000
6000
2000
2000
2000

MR2374
MR2375
MR9600
MR9601
MR9602
MR9603
MR9604
MRA130
MRA131
MRAI32
MRA133
MRAI34
MRAI60
MRAI61
MRA162
MRA163
MRA164
MRA330
MRA331
MRA332

S

S
S
S
S
S

S
S
S
S
S
S

S
S
S
S
S
S
S
S
S

S
S
S
S

3-9

Vz{Norn)

IZT
rnA

Tol
VZ±%

PD

REFERENCE DIOD£S

SIGNAL DIDOES

:!

w

Replacement

ZENER DIODES

RECTIFIERS
VR
Volts

Te
Vz(Nom)

'lite

'zt
rnA

Temp

Range

•

DIODE, RECTIFIER INDEX(continued)

'"
;::
0

..cc
......

;3

-'

...;::

lOD~R>~,:,';)i~~;

Vz(Nom)

.',;.·;i::,,,,;·:1t

:;;

Replacement

MZ1000·8
MZ1000·9
MZ1000·l0
MZ1000·ll
MZ1000·12
MZ1000·13
MZ1000·14
MZ1000·15
MZ1000·16
MZ1000·17

S
S
S
S
S
S
S
S
S
S

MZ1000·l
MZ1000·l
MZ1000·l
MZ1000·l
MZ1000·l
MZ1000·l
MZ1000·l
MZ1000·l
MZ1000·l
MZ1000·l

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

6.2
6.8
7.5
8.2
9.1
10
11
12
13
15

MZ1000·18
MZ1000·19
MZ 1000·20
MZ1000·21
MZ1000·22
MZ1000·23
MZ1000·24
MZ1000·25
MZ1000·26
MZ1000·27

S

MZ1000
MZ1000
MZ1000
MZ1000
MZ1000
MZ1000
MZ1000
MZ1000
MZ1000
MZ1000
MZ1000
MZ1000
MZ1000
MZ1000
MZ1000
MZ1000
MZ1000
MZ1000
MZ1000
MZ1000

MZ1000·1
MZ1000·l
MZ1000·l
MZ1000·l
MZ1000·l
MZ1000·l
MZ1000·l
MZ1000·l
MZ1000·l
MZ1000·l

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

MZ1000·28
MZ1000·29
MZ1000·30
MZ1000·31
MZ1000·32
MZ1000·33
MZ1000·34
MZ1000·35
MZ1000·36
MZ 1000·37

S
S
S
S
S
S
S
S
S

MZ1000
MZ1000
MZ1000
MZ1000
MZ1000'
MZ1000
MZ1000
MZ1000
MZ1000
MZ1000

MZ1000·l
MZ1000·l
MZ1000·l
MZ1000·l
MZ1000·l
MZ1000·l
MZ1000·l
MZ1000·l
MZ1000·l
MZ1000·l

MZ4614
MZ4615
MZ4616
MZ4617
MZ4618
MZ4619
MZ4620
MZ4621
MZ4622
MZ4623

S
S
S
S
S
S
S
S
S
S

lN4099
lN4099
lN4099
lN4099
lN4099
lN4099
lN4099
lN4099
lN4099
lN4099

MZ4624
MZ4625
MZ4626
MZ4621
MZC2.4Al0
MZC2.5Al0
MZC2.7Al0
MZC2.8Al0
MZC3.0Al0
MZC3.3Al0

S
S
S
S

lN4099
lN4099
lN4099
lN4099

MZC3.6Al0
MZC3.9Al0
MZC4.3Al0
MZC4.7Al0
MZC5.1Al0
MZC5.6Al0
MZC6.0Al0
MZC6.2Al0
MZC6.8Al0
MZC7.5Al0

S

S
S
S
S
S

S
S

S

S

S
S
S
S
S
S
S
S
S

S
S

S
S

S
S
S

-

Vz

±%.p.~jy~'

;~~~; :~~',

Tvpe

Ref.

.•.. mA

41
37
34
31
28
25
23
21
19
17

1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W

16
18
20
22
24
27
30
33
36
39

15.5
14
12.5
11.5
10.5
9.5
8.5
7.5
7.0
6.5

1.5W
1.5W
1.5W
1.5W·
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

43
47
51
56
62
68
75
82
91
100

6.0
5.5
5.0
4.5
4.0
3.7
3.3
3.0
2.8
2.5

1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W

lN4099
lN4099
lN4099
lN4099
lN4099
lN4099
lN4099
lN4099
lN4099
lN4099

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

1.8
2.0
2.2
2.4
2.7
3.0
3.3
3.6
3.9
4.3

0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

250M
250M
250M
250M
250M
250M
250M
250M
250M
250M

lN4099
lN4099
lN4099
lN4099
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

4.7
5.1
5.6
6.2
2.4
2.5
2.7
2.8
3.0
3.3

0.25
0.25
0.25
0.25
21
20
19
18
17
15

5.0
5.0
5.0
5.0
10
10
10
10
10
10

250M
250M
250M
250M
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W

MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

3.6
3.9
4.3
4.7
5.1
5.6
6.0
6.2
6.8
7.5

14
13
12
11
9.8
8.9
8.3
8.1
7.3
6.7

10
10
10
10
10
10
10
10
10
10

5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W

3-12

DIODE, RECTIFIER INDEX(continued)

'">=
0

...

...,
~

~

u::

0:
Type
MZC8.2AI0
MZC8.7Al0
MZC9.1Al0
MZC10Al0
MZCllAl0
MZC12Al0
MZC13Al0
MZC14Al0
MZC15Al0
MZC16Al0

w
....
~
::E

S
S
S
S
S
S
S
S
S
S
S
S

>=

Replacement

Rei.

'"w

!:

ZENER DIDOES

RECTIFIERS
VR
Volts

VF
Volts

10

Amp

IR
mA

IFSM
Amp

Vz(Nom)

PRV

VF
Volts

@

IF

Po

rotc

121

Temp

Vz{Nom)

rnA

Range

TC

tfT
III

Tol
VZ±%

REFERENCE DIDOES

SIGNAL DIODES

Volts

IZT
mA

jJS

MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4AlO
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

8.2
8.7
9.1
10
11
12
13
14
15
16

6.1
5.7
5.5
5.0
4.5
4.2
3.8
3.6
3.1
2.9

10
10
10
10
10
10
10
10
10
10

5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W

5
S
S

MZC2.4A1D
MZC2.4Al0
MZC2.4AlO
MZC2.4AlO
MZC2.4Al0
MZC2.4AlO
MZC2.4Al0
MZC2.4AlO
MZC2.4AlO
MZC2.4Al0

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

17
18
19
20
22
24
25
27
28
30

2.8
2.6
2.5
2.3
2.1
2.0
1.9
1.8

1.7

10
10
10
10
10
10
10
10
10
10

5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W

MCZ33Al0
MZC36Al0
MZC39Al0
MZC43Al0
MZC47Al0
MZC51Al0
MZC56Al0
MZC60Al0
MZC62Al0
MZC68Al0

S
S
S
S
S
S
S
S
S
S

MZC2.4AlO
MZC2.4Al0
MZC2.4Al0
MZC2.4AlO
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4AlO
MZC2.4Al0

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

33
36
39
43
47
51
56
60
62
68

1.5
1.4
1.3
1.2
1.1
0.98
0.89
0.83
0.81
0.74

10
10
10
10
10
10
10
10
10
10

5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W

MZC75Al0
MZC82AlO
MZC87Al0
MZC91Al0
MZC100Al0
MZCll0A1D
MZC120Al0
MZC130Al0
MZC140Al0
MZC150Al0

S
S
S
S
S
S
S
S
S
S

MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4AlO
MZC2.4AlO
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

75
82
87
91
100
110
120
130
140
150

0.67
0.61
0.57
0.55
0.50
0.45
0.42
0.38
0.36
0.33

10
10
10
10
10
10
10
10
10
10

5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W

MZC160Al0
MZC170Al0
MZC180AlO
MZC190AlO
MZC200Al0
MZC1.8Bl0
MZC2.0BlO
MZC2.2Bl0
MZC2.4Bl0
MZC2.7Bl0

S
S
S
S
S
S
S
S
S
S

MZC2.4AlO
MZC2.4Al0
MZC2.4AlO
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4AlO
MZC2.4Al0
MZC2.4AlO
MZC2.4Al0

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

160
170
180
190
200
1.8
2.0
2.2
2.4
2.7

0.31
0.29
0.28
0.26
0.25

10
10
10
10
10
10
10
10
10
10

5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W

MZC3.0Bl0
MZC3.3Bl0
MZC3.6Bl0
MZC3.9Bl0
MZC4.3Bl0
MZC4.7Bl0
MZC5.1Bl0
MZC5.6Bl0
MZC6.2Bl0
MZC6.8Bl0

S
S
S

MZC2.4AlO
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

3.0
3.3
3.6
3.9
4.3
4.7
5.1
5.6
6.2
6.8

10
10
10
10
10
10
10
10
10
10

5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W

MZC17Al0
MZC18Al0
MZC19Al0
MZC20Al0
MZC22Al0
MZC24Al0
MZC25Al0
MZC27Al0
MZC28Al0
MZC30Al0

S
S

S
S
S

S

S
S
S
S
S
S

3-13

•

DIODE, RECTIFIER INDEX(continued)

RECTIFIERS

z

CI

>=
C[

.....
C[

u

>=

IC[

::;;

VF
Volts

u::

a:
....
Type

VR
Volts

Replacement

Ref.

~
!:

10
Amp

ZENER DIODES
IR
rnA

IFSM
Amp

Vz{Noml

VF

@I

Volts

IF

,.

tit.

'Il·

Tol
VZ±%

Po

• REFERENCEPIODES

SIGNAL DIODES

PRV
Volts

IZT
rnA

IlS

VZ(Nom)

Te
%,I'e

In

telllll

, rnA

RlIIIIJII

MZC7.5BI0
MZCS.2Bl0
MZCS.7Bl0
MZC9.1Bl0
MZC10Bl0
MZCllBIO
MZC12Bl0
M2C13810
MZC14810
MZC15810

S
S
S
S
S
S
S
S
S

MZC2.4AI0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4AIO
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

7.5
S.2
S.7
9.1
10
11
12
13
14
15

10
10
10
10
10
10
10
10
10
10

5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W

MZCI6810
MZC17810
MZCI8810
MZCI9810
MZC20810
MZC22810
MZC24BIO
MZC25B1O
MZC27810
MZC28810

S
S
S
S
S
S
S
S
S
S

MZC2.4AI0
MZC2.4AIO
MZC2.4Al0
MZC2.4AI0
MZC2.4AIO
MZC2.4AIO
MZC2.4AIO
MZC2.4AIO
MZC2.4AIO
MZC2.4AIO

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

16
17
18
19
20
22
24
25
27
28

10
10
10
10
10
10
10
10
10
10

5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W

MZC30810
MZC33810
MZC36B1O
MZC39BIO
MZC43BIO
MZC47810
MZC51810
MZC56B1O
MZC60810
MZC62810

S
S
S
S
S
S
S
S
S
S

MZC2.4AIO
MZC2.4AIO
MZC2.4AIO
MZC2.4AI0
MZC2.4AI0
MZC2.4AI0
MZC2.4AI0
MZC2.4AI0
MZC2.4AI0
MZC2.4AI0

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

30
33
36
39
43
47
51
56
60
62

10
10
10
10
10
10
10
10
10
10

5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W

MZC68810
MZC75810
MZC82810
MZC87810
MZC91810
MZCI00810
MZCIIOBIO
MZCI20BI0
MZCI30BI0
MZCI40BI0

S
S
S
S
S
S
S
S
S
S

MZC2.4AI0
MZC2.4AIO
MZC2.4AI0
MZC2.4AI0
MZC2.4AI0
MZC2.4AI0
MZC2.4AIO
MZC2.4AI0
MZC2.4AIO
MZC2.4AI0

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

68
75
82
87
91
100
110
120
130
140

10
10
10
10
10
10
10
10
10
10

5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W

MZCI50BI0
MZCI60BI0
MZC170BI0
MZCI80BIO
MZCI90BI0
MZC200BI0

S
S
S
S
S
S

MZC2.4AI0
MZC2.4AI0
MZC2.4AI0
MZC2.4AI0
MZC2.4AI0
MZC2.4AI0

DZ
DZ
DZ
DZ
DZ
DZ

150
160
170
180
190
200

10
10
10
10
10
10

5.0W
5.0W
5.0W
5.0W
5.0W
5.0W

S

3-14

HOT-CARRIER DIODES

This table contains an alpha-numerical listing and short-form specifications for Motorola in-house
non-registered hot-carrier diodes.

KEY

Type

IR
VR = 3.0V(3)
vF
CT
V(BR)R
IR = IOfJA VR = OV, F = 1.0MHz(l) vR = Z5V(4) IF = 10mA
VR = ZOV, F = 1.0MHz(2) VR = 35V(5)
Volts
Volts
Min
pF Max
Max
fJAMax

Ref.

NF
T
dB
ps
Max Max

Alpha·
numerical
Listings
Reference devir.e
number indicates
specific Data Sheet
on which device is
characterized.
Reverse Breakdown Voltage
Diode Capacitance
Rpverse Leakage
Forward Voltage
Noise Figure
Minority Carrier Lifetime

HOT-CARRIER DIODES

Type

Ref.

V(BR)R
IR = 10pA
Volts
min

MBD10l
MBD102
MBD501
MBD502
MBD701
MBD702

MDB10l
MBD102
MBD501
MBD502
MBD501
MBD502

4.0
4.0
50
50
70
70

CT
VR = OV, F = 1.0MHz(1)
VR = ZOV, F = 1.0MHz(Z)
pF Max

IR
VR = 3.0V(3)
VR = Z5V(4)
VR = 35V(5)
pAMax

VF
IF = 10mA
Volts
Max

1.0(1)
1.0(1)
1.0(2)
1.0(2)
1.0(2)
1.0(2)

0.25(3)
0.25(3)
0.20(4)
0.20(4)
0.20(5)
0.20(5)

0.6
0.6
1.2
1.2
1.2
1.2

3-15

NF
dB
Max

T

ps
Max

7.0
7.0
100
100

HOT-CARRIER RECTIFIERS

This table contains an alpha-numerical listing and short-form specifications for Motorola in-house
non-registered hot-carrier rectifiers.

KEY

Type

Ref.

VRRM
Volts

10
IR
TC= 50°C IFSM TC =25°C
Amp
Amp
mA

VF
IF@25Amp
TC = 25°C
Volts

Alpha·
numerical
Listings
Reference Device
Number indicates
specific data sheet
on which device is
characterized
Peak Reverse Voltage
Average Forward Current
Peak Surge Current
Reverse Current
Forward Voltage Drop

HOT-CARRIER RECTIFIERS

Type

Ref.

VRRM
Volts

10
TC = 50°C
Amp

MBD5300

MBD5300

20

5.0

500

20

MBD5400

MBD5400

20

25

600

30

MBD5500

MBD5500

20

50

800

200

MBD5550

MBD5550

20

50

800

120

MBD5550A

MBD5550

20

50

800

15

3-16

IFSM
Amp

IR
TC = 25°C
mA

VF
IF@25Amp
TC = 25°C
Volts
0.50
IF=75Amp
0.75
IF = 100 Amp
0.65
IF = 100 Amp
0.65
IF = 100 Amp
0.75

RECTIFIER ASSEMBLIES

This table contains an alpha-numerical listing and short-form specifications for Motorola in-house
non-registered rectifier assemblies.

KEY
Tvpe

Material

Ref.

VRRM
Volts

Alphanumerical
listings
S - Silicon
G - Germanium
Reference Device number indicates
specific data sheet on which device
is characterized
Peak Reverse Voltage
Peak Surge Current
Peak Forward Current
DC Output Current Amp @°c

3-17

IFSM
Amp

IFRM
Amp

10
Amp @oC

RECTIFIER ASSEMBLIES
'"

•

IFSM
Amp

IFRM
Amp

25
50
100
200
300
400
600
25
50
100

32
32
32
32
32
32
32
60
60
60

5.0
5.0
5.0
5.0
5.0
5.0
5.0

200
300
400
600
800
1000
25
50
100
200

60
60
60
60
60
60
32
32
32
32

S
S
S
S
S

MOA920
MOA920
MOA920
MOA920
MOA920
MOA920
MOA920
MOA920
MOA920
MOA920

300
400
600
25
50
100
200
300
400
600

MOA942·1
MOA942·2
MOA942·3
MOA942·4
MOA942·5
MOA942·6
MOA950·1
MOA950·2
MOA950·3
MOA950·4

S
S
S
S
S
S
S
S
S
S

MOA942
MOA942
MOA942
MOA942
MOA942
MOA942
MOA920
MOA920
MOA920
MOA920

MOA950·5
MOA950·6
MOA950·7
MOA952·1
MOA952·2
MOA952·3
MOA952·4
MOA952·5
MOA952·6
MOA952FR·l

S
S
S
S
S
S
S
S
S
S

MOA920
MOA920
MOA920
MOA942
MOA942
MOA942
MOA942
MOA942
MOA942
MOA952FR·l

Type

'"
~

Ref.

MOA920·1
MOA920·2
MOA920·3
MOA920·4
MOA920·5
MOA920·6
MOA920·7
MOA922·1
MOA922·2
MOA922·3

S
S
S
S
S
S
S
S
S
S

MOA920
MOA920
MOA920
MOA920
MOA920
MOA920
MOA920
MOA922·1
MOA922·1
MOA922·1

MOA922·4
MOA922·5
MOA922-6
MOA922·7
MOA922·8
MOA922·9
MOA930·1
MOA930·2
MOA930·3
MOA930-4

S
S
S
S
S
S
S
S
S
S

MOA922·1
MOA922·1
MOA922-1
MOA922·1
MOA922·1
MOA922-1
MOA920
MOA920
MOA920
MOA920

MOA930·5
MOA930·6
MOA930·7
MOA940·1
MOA940·2
MOA940·3
MOA940·4
MOA940·5
MOA940·6
MOA940·7

S
S
S
S
S

VRRM
Volts

10
Amp

@

°c

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.8
1.8
1.8

75
75
75
75
75
75
75
55
55
55

5.0
5.0
5.0
5.0

1.8
1.8
1.8
1.8
1.8
1.8
0.5
0.5
0.5
0.5

55
55
55
55
55
55
75
75
75
75

32
32
32
32
32
32
32
32
32
32

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

0.5
0.5
0.5
1.0
1.0
1.0
1.0
1.0
1.0
1.0

75
75
75
75
75
75
75
75
75
75

50
100
200
300
400
600
25
50
100
200

25
25
25
25
25
25
32
32
32
32

6.0
6.0
6.0
6.0
6.0
6.0
5.0
5.0
5.0
5.0

1.5
1.5
1.5
1.5
1.5
1.5
1.0
1.0
1.0
1.0

55
55
55
55
55
55
75
75
75
75

300
400
600
50
100
200
300
400
600
50

32
32
32
150
150
150
150
150
150
150

5.0
5.0
5.0
35
35
35
35
35
35

1.0
1.0
1.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0

75
75
75
55
55
55
55
55
55
55

3-18

RECTIFIER ASSEMBLIES (continued)

~

Amp

150
150
150
150
100
100
100
250
250
250

15
15
15
60
60
60

6.0
6.0
6.0
6.0
2.5
2.5
2.5
10
10
10

55
55
55
55
55
55
55
55
55
55

300
400
50
100
200
35
70
140
210
280

250
250
150
150
150
250
250
250
250
250

60
60
25
25
25
60
60
60
60
60

10
10
4.0
4.0
4.0
16
16
16
16
16

55
55
55
55
55
55
55
55
55
55

50
100
200
300
400
600
50
100
200
300

300
300
300
300
300
300
300
300
300
300

12
12
12
12
12
12
27
27
27
27

55
55
55
55
55
55
55
55
55
55

400
600
5000
10,000
5000
10,000
50
100
200
300

300
300
25
25
250
250
25
25
25
25

6.0
6.0
6.0
6.0

27
27
1.0
1.0
2.5
2.5
1.5
1.5
1.5
1.5

55
55
40
40
40
40
55
55
55
55

S
S
S
S

MDA942
MDA942
MDA942
MDA942
MDA942
MDA942
MDA942
MDA942
MDA942
MDA942

400
600
50
100
200
300
400
600
50
100

25
25
200
200
200
200
200
200
100
100

6.0
6.0
45
45
45
45
45
45
25
25

1.5
1.5
8.0
8.0
8.0
8.0
8.0
8.0
4.0
4.0

55
55
55
55
55
55
55
55
55
55

S
S
S
S

MDA942
MDA942
MDA942
MDA942

200
300
400
600

100
100
100
100

25
25
25
25

4.0
4.0
4.0
4.0

55
55
55
55

Ref.

VRRM
Volts

IFSM
Amp

MDA952FR·2
MDA952FR·3
MDA952FR·4
MDA952FR·5
MDA960·1
MDA960·2
MDA960·3
MDA962·1
MDA962·2
MDA962·3

S
S
S
S
S
S
S
S
S
S

MDA952FR·l
MDA952FR·l
MDA952FR·l
MDA952FR·l
MDA960
MDA960
MDA960
MDA960
MDA942
MDA942

100
200
300
400
50
100
200
50
100
200

MDA962·4
MDA962·5
MDA970·1
MDA970·2
MDA970·3
MDA972-1
MDA972-2
MDA972-3
MDA972-4
MDA972-5

S
S

MDA942
MDA942
MDA960
MDA960
MDA960
MDA942
MDA942
MDA942
MDA942
MDA942

MDA980·1
MDA980·2
MDA980·3
MDA980·4
MDA980·5
MDA980·6
MDA990·1
MDA990·2
MDA990·3
MDA990·4

S
S
S
S
S

MDA980·1
MDA980·1
MDA980·1
MDA980·1
MDA980·1
MDA980·1
MDA980·1
MDA980·1
MDA980·1
MDA980·1

MDA990·5
MDA990·6
MDA1330H
MAA1331H
MDA1332H
MDA1333H
MDA1491·1
MDA1491·2
MDA1491·3
MDA1491·4

S

MDA1491·5
MDA1491·6
MDA1505·1
MDA1505·2
MDA1505·3
MDA1505·4
MDA1505·5
MDA1505·6
MDA1591·1
MDA1591·2
MDA1591·3
MDA1591·4
MDA1591·5
MDA1591·6

10

IFRM
Amp

~

Type

S
S
S
S
S
S
S
S

S
S

S
S
S
S

S
S
S
S

S
S
S

S
S
S

S
S
S
S

MDA980·1
MDA980·1
MDA1330H
MDA1330H
MDA 1330H
MDA1330H
MDA942
MDA942
MDA942
MDA942

3-19

@

DC

•

VARACTOR DIODES

This table contains an alpha-numerical listing and short-form specifications for Motorola in-house
non-registered varactor diodes.

KEY
CAPACITANCE

Type

Ref.

Voltage
C(max)
Range
BVR
cJ
CT* CIminI Vt; V2
pF
Volts Volts Volts

I,

Numerical
Listing of
Registered
Type Numbers
Reference device number
Indicates specific Data
Sheet on which device is
characterized
Nominal Capacitance usually
CJ (junction capacitance)
With *. specified value is Cr
(total capacitance)
Cr = CJ + Cc
Effective tuning Ratio (Capacitance
at Voltage V1 divided by
capacitance at Voltage V2)
Voltage range over which the tuning range is
measured
Reverse Breakdown Voltage
Figure of Merit at this specified frequency
Power Dissipation at 25°C

3-20

Q @ f

GHz

Po
@

25°C
Watts

VARACTOR DIODES INDEX
CAPACITANCE
I
I

Type

Ref_

CJ
CT"
pF

BB105A
BB105B
BB1056
MV104
MV109
MV830
MV831
MV832
MV833
MV834

BB105A
BB105A
BB105A
MV104
MV109
MV830
MV830
MV830
MV830
MV830

2.8'
2.3'
2.8'
42'
32'
16.5'
19.8'
24.2"
29.7"
36.3"

MV835
MV836
MV837
MV838
MV839
MV840
MV1401
MV1403
MV1404
MV1405

MV830
MV830
MV830
MV830
MV830
MV830
MVI401
MV1401
MV1401
MV1401

MV1620
MVI622
MV1624
MVI626
MVI628
MVI630
MVI632
MVI634
MVI636
MVI638

Clmax)
Clmin)

Voltage

~ -v/-i'!lIe- Vz --

BVR

:

Volts I

a

@

f

Po
@

25°C
Watts

Volts

Volts

5.0
6.0
6.0

3.0
3.0
3.0

25
25
25

2.0
2.0
2.1
2.1
2.12

4.0
4.0
4.0
4.0
4.0

25
25
25
25
25

30
30
30
32
30
30
30
30
30
30

225
225
150
100
280
30
25
25
25
20

100M
100M
100M
100M
50M
0.05
0.05
0.05
0.05
0.05

400mW
0.4
0.4
0.4
0.4
0.4

42.9"
51.7"
61.6"
74.8"
90.2"
110"
633'

2.12
2.15
2.15
2.18
2.18
2.18
14
14
14
14

4.0
4.0
4.0
4.0
4.0
4.0
1.0
1.0
1.0
1.0

25
25
25
25
25
25
10
10
10
10

30
30
30
30
30
30
12
12
12
12

20
15
15
15
10
10
200
200
200
200

0.05
0.05
0.05
0.05
0.05
0.05
0.013
0.013
0.013
0.013

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

MVI620
MVI620
MVI620
MVI620
MVI620
MV1620
MV1620
MVI620
MVI620
MVI620

7.5"
9.0"
II"
13.2"
16.5'
19.8"
22.0"
24.2"
29.7'
36.3'

3.2
3.2
32
3.2
3.2
3.2
3.2
3.2
3.2
3.2

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

20
20
20
20
20
20
20
20
20
20

20
20
20
20
20
20
20
20
20
20

300
300
300
300
250
250
250
250
200
200

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

MVI640
MVI642
MV1644
MV1646
MV1648
MV1650
MVI652
MVI654
MVI656
MVI658

MVI620
MVI620
MV1620
MVI620
MV1620
MVI620
MV1652
MVI652
MVI652
MVI652

42.9*
51.7*
61.6*
74.S"
90.2'
110*
135*
165*
198"
220'

3.2
3.2
3.2
3.2
3.2
3.2
2.S
2.6
2.6
2.S

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

20
20
20
20
20
20
20
20
20
20

20
20
20
20
20
20
20
20
20
20

200
200
150
150
150
150
350
250
200
200

0.05
0.05
0.05
0.05
0.05
0.05
0.02
0.02
0.02
0.02

2.0
2.0
2.0
2.0
2.0
2.0
0.4
0.4
0.4
0_4

MVI660
MV1662
MVI664
MV1666
MV1804
MVI805C
MVI806
MVI806C
MVI807C
MVI809C

MV1652
MVI652
Mif1652
MV1652
IN4387
MV805C
IN4388
IN5149
lN5149
MVI809C

242"
275*
300*
363*
35

2.6
2.3
2.3
2.3

2.0
2.0
2.0
2.0

20
15
15
15

150
150
100
100
150

0.02
0.02
0.02
0.02
0.05

20'
11.5*
11.5*
14.4*

20
15
15
15
150
80
100
80
80
75

200
800
800

0.05
0.05
0.05

0.4
0.4
0.4
0.4
20
18
10
10
14
9.0

MV1809CI
MVI810A
MV1810B
MV1812A
MV1812B
MV1816A
MV1816Al
MV1816B
MV1816Bl
MVI817A

IIiIVI809C
lN5154
lN5155
lN5156
lN5157
MV1816B
MVI816B
MV1816B
MV1816B
MVI817B

13.2*
2.1*
2.1'
1.0'
0.6'
3.7"
3.4"
3.6'
3.3"
1.3"

75
35
35
20
20
75
75
75
75
35

1700
1700
3600
3600

0.05
0.05
0.05
0.05

3~"

3-21

6Hz

0.4
0.4
0.4

14
3.5
3.5
3.25*
3.25*
7.5
11.5
7.5
11.5
5.0

VARACTOR DIODES(continued)
CAPACITANCE
Voltage
Range

I

Type

Ref.

MV1817Al
MV1817B
MV1817Bl

MV1817B
MV1817B
MVI817B

MV1858D

MV1858D

MV1860D

MV1858D

MV1862D
MV1863D
MV1864D
MV1865D
MV1866

MV1858D

MV1868
MV1868D

MV1866
MV1858D

MV1870
MV1870D

MV1866
MV1858D

MV1871
MV1872

MV1866
MV1866

MV1874

MV1866

MV1858D
MV1858D
MV1858D
MV1866

CJ
CT*
pF
1.2"
1.2"
1.1"
1.3"
2.64"
3.63"
5.17*
7.5"
9.02"
II"

C(maxl
C(minl

2.7
3.1
3.3
3.3
2.4
3.4

I

r----r--I VI
,Volts

BVR

V2
Volts

Volts

4.0
4.0
4.0
4.0
4.0
4.0
4.0

60
60
60
60
60
60
60

35
35
35
60
60
60
60
60
60
60

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

60
60
60
60
60
60
60
60
60
60

60
60
60
60
60
60
60
60
60
60

I
I

Q

@

f

PD
@

GHz

25°C
Watts

700

50M

7.0
5.0
7.0
5.0
5.0
5.0
5.0
5.0
5.0
2.0

700

50M

700

50M

700
700
700
700
700
700

50M
50M
50M
50M
50M
50M

2.0
5.0
2.0
5.0
2.0
2.0
2.0
2.0
2.0
2.0

MV1878

MV1866

13.2"
13.2"
16.5"
16.5"
19.8*
25.2"
29.7*
36.3"
42.9*
51.7

MV2101
MV2102
MV2103
MV2104
MV2105
MV2106
MV2107
MV2108
MV2109
MV2110

MV2101
MV2101
MV2101
MV2101
MV2101
MV2101
MV2101
MV2101
MV2101
MV2101

7.5"
90*
II"
13.2"
16.5"
19.8*
24.2*
29.7"
36.3*
42.9*

32
3.2
3.2
3.2
3.2
3.2
3.2
3.2
3.2
3.2

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
3.0
3.0

30
30
30
30
30
30
30
30
30
30

450
450
400
400
400
350
350
300
200
150

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.28
0.28
0.28
0.28
0.28
0.28
0.28
0.28
0.28
0.28

MV2111
MV2112

MV2101
MV2101
MV2101

3.2
3.3
3.3
3.3
3.3
2.3
2.4
2.5
2.5
2.3

2.0
2.0
2.0
2.0
2.0
1.0
1.0
1.0
1.0
2.0

3.0
3.0
3.0
3.0
3.0
10
10
10
10
20

30
30
30
30
30
25
25
25
25
20

150
150
150
100
100
300
200
200
150
250

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.02

0.28
0.28
0.28
0.28
0.28
0.28
0.28
0.28
0.28
0.5

2.0
2.0
2.0
2.0
2.0
2.0
2.0

20
20
20
20
20
20
20

20
20
20
20
20
20
20
30
30
30

250
200
200
150
150
100
100
300
200
150

0.02
0.02
0.02
0.02
0.02
0.02
0.02
50M
50M
0.1

0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.4
0.4
0.4

30
30
30
30
30
30
30
30
30

150
50
225
225
200
200
200
175
175

0.1
0.1
100M
100M
100M
100M
100M
100M
100M

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

MV1876

MV1866

MV1877

MV1866

3.5
3.5

MV2114
MV2115
MV2201
MV2203
MV2205
MV2209
MVnOl

MV2101

MV2101
MV2201
MV2201
MV2201
MV2201
MV2301

51.7"
61.6*
74.8"
90.2"
110"
8.0"
11.5"
17"
37"
135'

MV2302
MV2303
MV2304
MV2305
MV2306
MV2307
MV2308
MV3102
MV3103
MV3140

MV2301
MV2301
MV2301
MV2301
MV2301
MV2301
MV2301
MV3102
MV3102
MV3140

165"
198*
220'
242*
275'
300'
363"
25"
26"
2.3"

2.3
2.3
2.3
2.3
2.3
2.3
2.3

4.5

3.0

25

MV3141
MV3142
MV3501
MV3502
MV3503
MV3504
MV3505
MV3506
MV3507

MV3140
MV3140
MV3501
MV3501
MV3501
MV3501
MV3501
MV3501
MV3501

3.2"
3.2'
7.5"
9.0"
11 '
13.2'
16.5*
19.8"
24.2*

4.0
3.5

3.0
3.0

25
25

MV2113

3-22

SWITCHING DIODES

The following table contains an alpha-numerical listing and short-form specifications for Motorola
in-house non registered switching diodes.

KEY
SWITCHING DIODES

Type

Ref.

V(BR)@ I(BR)
Volts
J1A
Min

@

VF
Volts
Min.

IF
mA

Max

IR @ VR
Volts
J1A
Max

Cc
VR=O
pF

trr
*Typical
ns
Max

Cc
VR=O
pF

trr *Typical
ns
Max

2.5
2.0
2.0
2.0
2.0
3.5

15
5.0
5.0
5.0
5.0
3.2*

Alpha·
numerical
Listings
Reference decive number
indicates specific Data Sheet on
which device is characterized
Breakdown Voltage
Forward Voltage
Reverse Current
Capacitance
Reverse Recovery Time

SWITCHING DIODES

Type
MMD70
MMD6050
MMD6100
MMD6150
MMD7000
MMD7001

Ref.
MMD70
MMD6050
MMD6050
MMD6050
MMD6050
MMD7001

V(BR)
Volts @ I(BRI
Min
J1A
50
70
70
70
70
40

100
100
100
100
100
10

VF
Volts

IR

Min.

Max

IF
mA

0.75
0.55
0.55
0.55
0.55

1.2
0.7
0.7
0.7
0.7
1.05

100
100
100
100
100
300

3-23

J1A
Max

VR
Volts

0.1
0.1
0.1
0.1
0.1
0.1

30
50
50
50
50
30

PIN SWITCHING DIODES

The following tables contain an alpha-numerical listing and short-form specifications for Motorola
in-house non-registered PIN switching diodes.

KEY

Type

RS
CT
VR =20V*(3)
IF = *10mA
IF = 100mAdc(1) VR = 50V(3)
F = 1.0MHz(3)
F = 1.0G Hz(lI
Cc
LS
IF =150mAdc(2) VR = 250V(4)
T
F = 3.0 GHz' F = 1.0MHz
V(BR)R
F = 1.0MHz(4) IF = 50mAdc F = 2.50MHz FT = 1.0MHz
IR = 10/lAdc F = 3.0G Hz(2)
pF
pF
Volts
ohm
ns
nH
IJJC
°C/WMax
Typ
Min
Max
Typ
Max
Typ

Ref.

Alpha·
numerical
Listings
Reference device number
indicates specific Data
Sheet on which device is
characterized.
Reverse Breakdown Voltage
Series Resistance
Total Device Capacitance
Minority Carrier Lifetime
Series Inductance
Case Capacitance
Thermal Resistance, Junction to Case

PIN SWITCHING DIODES

Type
MPN3201
MPN3202
MPN3208
MPN3209
MPN3401
MPN3402

Ref.

V(BR)R
IR = 10/lAdc
Volts
Min

RS
IF = *10mA
IF = 100mA(1I
F =1.0GHz(1)
IF = 150mA(2)
F = 3.0G Hz(2)
ohm
Max

MPN3201
MPN3201
MPN3208
MPN3208
MPN3401
MPN3401

150
200
800
900
35
35

1.0 (1)
1.0 (2)
0.4 (2)
0.4 (2)
0.7"
0.6"

CT
VR =20V*(3)
VR =5OV(3)
F =1.0MHz(3)
VR = 250V(4)
F = 1.0MHz(4)
pF
Max
0.44(3)
0.40(3)
4.0 (4)
4.0 (4)
'1.0*
2.0"

3-24

T

IF = 50mA
ns
Typ
150
150

LS
F = 3.0GHz
F = 2.50MHz
nH
Typ
0.85
0.85
0.55"
0.55*
3.0
3.0

Cc
F=1.0MHz
FT = 1.0MHz* IJJC
pF
°C/W
Typ
Max
0.18
0.18
1.1"
1.1"
0.1
0.1

25
25
4.0
4.0

LIGHT-EMITTING DIODES
This table contains an alpha-numerical listing and short-form specifications for Motorola in-house
non registered light-emitting diodes.

KEY
MAXIMUM RATINGS

ELECTRICAL/OPTICAL CHARACTERISTICS
LIGHT OUTPUT

Type

Material

Ref.
Po
@25°C Point

T .~
°C~~

VR
IF
Volts rnA

B
Brightness
fL @ IF
rnA

CP
Po
Candle Power Radiated
med @ IF
iLW@IF M'
rnA
rnA Ii.

VF
Volts

Alpha·
numerical
Listings
GA - Gallium Arsenide
GAP - Gallium Arsenide
Phosphide
GP - Gallium Phosphide

•

Power Dissipation @ 25°C
Units:
M = Milliwatts
W = Watts
Ref. Point: A, C, J, S
Indicates - Ambient, Case, Junction or
Stud
Maximum Temperature
Ref. Point: J = Junction
S =Storage Junction
Reverse Voltage
Forward Current - Continuous
B = Brightness in Footlamberts
CP
Po

=Candlepower in Millicandela
=Power Output Radiated in Microwatts

Peak Emission Wavelength
Forward Voltage

LIGHT-EMITTING DIODES
MAXIMUM RATINGS

Ii;

Type
MLED50
MLED55
MLED60
MLED90
MLED600
MLED610
MLED630
MLED900
MLED910
MLED930
(1)

~
GAP
GAP
GA
GA
GAP
GAP
GAP
GA
GA
GA

;f

0:::

Po
Use (1) @25°C

a:
-=

T
°c

VLED
VLED
ILED
ILED
VLED
VLED
ILED
ILED
ILED
ILED

A
A
A
A
A
A
A
A
A
A

85
85
85
85
85
125
85
85
125
125

120m
120m
120m
120m
120m
350m
150m
120m
350m
250m

LIGHT OUTPUT

..

'C

:i

ELECTRICAL/OPTICAL CHARACTERISTICS

'0
a..

a:
-=
J
J
J
J
J
J
J
J
J
J

VR
IF
Volts rnA
3.0
3.0
3.0
3.0
4.0
4.0
4.0
3.0
3.0
3.0

50
50
80
80
50
75
75
80
150
150

VLEO = Visible Light Emitting Diode
I LED = I nfrared Light Emitting Diode

3-25

B
Brightness
fL @ IF
rnA
750

CP
Po
Candle Power
Radiated
med @ IF
/J.W @IF
rnA
rnA

20
0.3

1100
1100
1100

20
550
350

50
50

550
150
650

50
50
100

50
50
50

M'

A
6600
6600
9000
9000
6600
6600
6600
9000
9000
9000

VF
Volts
1.6
2.0
1.2
1.2
1.6
1.6
1.6
1.2
1.2
1.2

OPTOELECTRON'IC DEVICES

The following tables contain an alpha-numerical listing and short-form specifications for Motorola
in-house nor-registered optoelectronic devices.

KEY
MAXIMUM RATINGS
I

I~

~
Type

I's

,~

. ..

l!!
:IE

.!!

"-

Ref.

Po I:;
Use @ 25°C 1 ~

ELECTRICAL CHARACTERISTICS
I

TJ
°c

VCB
Volts

I b.
'§
VCE I ...
Volts I en
"

SRCEO
Sensitivity
mAlmW/cm 2
nA/mW/cm2*
JJlmW/cm 2**

tr + tf
ICED
Dark Current ns*
nA
/.IS

Alpha·
numerical
Listings

Collection
Light
Current
IcUnits
1lA* mA

S - Silicon
G - Germanium
GA- Gallium
Arsenide
GAP-Gallium
Arsenide
Phosphide

tr = Photo Current
Rise Time
tf = Photo Current
Fall Time
IC Units = ns*.l's

N= n-channel
P = p-channel

Collector Dark Current IC Unit na
Collector-Emitter Radiation Sensitivity IC Units,
mA/mW/cm2
nA/mW/cm 2*
!IA/mV /cm 2**

Reference device number
indicates specific Data Sheet
on which device is characterized.
RD = Radiation Detector
OC = Optical Coupler
VLED = Visible Light Emitting Diode
ILED = Infrared Light Emitting Diode
Power Dissipation at 25°C
Unites: M= milliwatts
W= watts
Ref. Point: A, C, J, S, Indicates Ambient, Case,
Junction Dr Stud,

IL
Light
Current
1lA*
rnA

Maximum Coliector·Emitter Voltage (Subscript Identifiers Condition)
0
R
S
V
X
U

=
=
=
=
=
=

Vceo, Base Open
Veer, Specified Resistance
Vees, Base Sh orted
Vcev, Used when only Voltage bias is used
Vcex, Base-Emitter Back Biased
Vee, Termination Undefined

Maximum Collector - Base Voltage

Maximum Operating Junction Temperature

3-26

OPTOELECTRONIC DEVICES
MAXIMUM RATINGS

.~

Type

i

MROl4B
MR0100
MRD150
MRD200
MRD210
MRD250
MR0300
MRD310
MR0450
MR0500

S
S
S
S
S
S
S
S
S
S

MR0510
MRD600
MR0601
MR0602
MRD603
MRD604
MR0810
MRD3050
MR03051
MR03052

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

MRD3053
MR03054
MR03055
MR03056
MRD6039D
MRD6039T

MOC1000

GAP

'is

:f

Ref.

N
N
N
N
N
N
N
N
N

2N5777

N
N
N
N
N
N
N
N
N
N
N
N
N

N

...
'§
~

~

.[

ELECTRICAL CHARACTERISTICS

....
Po
1i
Use @Z5°C a:

TJ
°c

VCB
Volts

VCE
Volts

'"en"

RO
RD
RO
RO
RD
RO
RO
RO
RD
RD

ZOOm
50m
50m
50m
50m
50m
250m
250m
100m
100m

A
A
A
A
A
A
A
A
A
A

100
85
85
125
125
125
200
200
85
200

18
80
80

12
40
40
50
50
50
50
50
40

0
0
0
0
0
0
0
0
0

RD
RD
RO
RO
RO
RD
RO
RD
RD
RO

100m
50m
50m
50m
50m
50m
250m
400m
400m
400m

A
A
A
A
A
A
A
A
A
A

200
125
125
125
125
125
125
200
200
200

40
40
40

50
50
50
50
50
35
30
30
30

0
0
0
0
0
0
0
0
0

RD
RD
RD
RO
RD
RD

400m
400m
400m
400m
200m
200m

A
A
A
A
A
A

200
200
200
200
100
100

40
40
40
40

30
30
30
30

0
0
0
0

6.0

OC

250m

A 100

80
80

70

30

3-27

SRCED
Sensitivity
mAlmW/cmZ
nAlmW/cmZ*
JJ.!mW/cm Z**
1.0
0.04
0.04
0.25
0.05
0.1

0.2
1.2"

tr+tf
ICED
Dark Current ns*
nA
JJ.S
100
400
100
6.5
100
6.5
25
6.5
25
6.5
25
6.5
25
6.5
25
6.5
100
6.5
2.0
1.0'

IL
Light
Current
JJ.A*
mA
2.0
0.2
0.2
1.25
0.25
0.5
4.0
1.0
1.0
6.0'

0.3"
0.04
0.025
0.1
0.2
0.35
0.2
0.02
0.04
0.02

2.0
25
25
25
25
25
50
100
100
100

1.0'
6.5
4.8
4.8
4.8
4.8
11
5.5
5.5
5.5

1.5'
0.2
0.5
2.0
4.0
7.0
1.0
0.1
0.2
0.1

100
100
100
100
2.0
10

5.5
5.5
5.5
5.5

0

0.05
0.125
0.3
0.4
14'
300'

0.25
0.625
1.5
2.0
0.15'
3.0'

Isolation
Voltage
Vdc

tr + tf
JJ.S
Typ

Frequency
Response
kHz
Typ

0

DC Current
Transfer % Ratio
VCE = 10,IL = 10ma
Min
Typ
20
60

1500

5.6

300

•

THYRISTORS

The following tables contain an alpha-numerical listing and short-form specifications for Motorola
in-house non registered thyristors.

KEY

TYPE

REPLACEMENT

REFERENCE

IT(RMS)
Amp

VORM/VRRM
Volts

Numerical Listing of
Registered Type Numbers_ • Device with gate
turn-off characteristics
Type number of recommended
replacement or of nearest
electrical equivalent fully characterized
in this book
Reference device number indicates specific Data
Sheet on which device is characterized
On-State (RMS) Current
Peak Forward Blocking Voltage
Peak Reverse Blocking Voltage
Maximum Junction Temperature. Maximum Case Temperature( 1)
Gate Trigger Current
Gate Trigger Voltage

3-28

T J TC(1l

°c

IGT
mA

VGT
Volts

THYRISTOR INDEX

Type

Replacement

Ref.

IT(RMS)
Amp

VORMNRRM
Volts

TJ TC(lI

°c

IGT
mA

VGT
Volts

MACH
MACt·2
MACt·3
MACH
MACt·5
MACt·6
MACH
MACt·8
MAC2·1
MACH

MACt·t
MACH
MAC1·3
MACH
MACH
MAC1·6
MACH
MAC1·8
2N6t39
2N6139

MACt
MACt
MAC 1
MACt
MAC 1
MACt
MACI
MACt
2N6t39
2N6139

to
10
10
to
10
to
to
to
to
to

25
50
tOO
200
300
400
500
600
25
50

100
tOO
100
100
100
100
tOO
tOO
tOO
100

40
40
40
40
40
40
40
40
40
40

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

MAC2·3
MAC2·4
MAC2·5
MAC2·6
MACH
MAC2·8
MAC3·t
MACH
MAC3·3
MAC3·4

2N6139
2N6t39
2N6t40
2N6t40
2N6t4t
2N6t4t
2N6t48
2N6148
2N6t48
2N6t48

2N6139
2N6139
2N6139
2N6t39
2N6139
2N6t39
2N6139
2N6t39
2N6t39
2N6139

to
10
to
10
to
10
10
10
to
10

tOO
200
300
400
500
600
25
50
tOO
200

tOO
tOO
tOO
tOO
tOO
100
tOO
tOO
tOO
tOO

40
40
40
40
40
40
40
40
40
40

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

MACH
MACH
MACH
MAC3·8
MAC4·t
MACH
MAC4·3
MACH
MAC4·5
MAC4·6

2N6t49
2N6t50
2N6t50
2N6t50
MAC4·t
MACH
MAC4·3
MACH
MAC4·5
MACH

2N6139
2N6139
2N6139
2N6139
MAC4·1
MACt
MACt
MACt
MACt
MACt

to
to
to
to
10
to
10
10
10
10

300
400
500
600
25
50
tOO
200
300
400

100
tOO
tOO
100
100
100
100
tOO
100
100

40
40
40
50
50
50
50
50
50

2.0
2.0
2.0
2.0
2.5
2.5
2.5
2.5
2.5
2.5

MACH
MAC4-8
MAC5·1
MAC5·2
MAC5·3
MAC5·4
MAC5·5
MAC5·6
MAC5·7
MAC5·S

MAC4·7
MAC4·8
MAC5·t
MAC5·2
MAC5·3
MAC5·4
MAC5·5
MAC5·6
MAC5·7
MAC5·S

MACt
MACt
MACt
MACt
MACt
MACI
MAC 1
MACI
MAC 1
MACI

10
10
10
to
10
to
to
to
10
10

500
600
25
50
100
200
300
400
500
600

tOO
tOO
tOO
tOO
100
tOO
100
100
100
tOO

50
50
50
50
50
50
50
50
50
50

2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5

MAC6·t
MAC6·2
MAC6·3
MAC6·4
MAC6·5
MAC6·6
MAC6·7
MAC6·8
MAC10·t
MAC10·2

MAC6·1
MAC6·2
MAC6·3
MAC6-4
MAC6·5
MAC6·S
MAC6·7
MAC6·8
MAC10·l
MAC10·2

MACI
MACt
MACI
MACt
MACt
MACt
MACt
MACt
MACtO·l
MACtO·l

to
10
10
10
10
to
10
to
10
10

25
50
tOO
200
300
400
500
600
25
50

tOO
tOO
tOO
100
tOO
100
100
100
100
tOO

50
50
50
50
50
50
50
50
50
50

2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.0
2.0

3-29

THYRISTOR INDEX(continued)

TJ Tc (1)
°c

IGT
rnA

Volts

10
10
10
10
10
10
10
10
10
10

VORMNRRM
Volts
100
200
300
400
500
600
25
50
100
200

100
100
100
100
100
100
100
100
100
100

50
50
50
50
50
50
50
50
50
50

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

MAC10·l
MAC10-l
MAC10-l
MAClO-l
2N6157
2N6157
2N6157
2N6157
2N6157
2N6157

10
10
10
10
25
25
25
25
25
25

300
400
500
600
25
50
100
200
300
400

100
100
100
100
125
125
125
125
125
125

50
50
50
50
60
60
60
60
60
60

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

2N6159
2N6160
2N6160
2N6160
2N6160
2N6161
2N6161
2N6162
MAC37-1
MAC37-2

2N6157
2N6157
2N6157
2N6157
2N615l
2N6157
2N6157
2N6157
MAC37-1
MAC37-1

25
25
25
25
25
25
25
25
25
25

600
25
50
100
200
300
400
600
25
50

125
125
125
125
125
125
125
125
110
110

60
60
60
60
60
60
60
60
75
75

2.0
2.0
2_0
2.0
2.0
2.0
2.0
2.0
3.0
3.0

MAC37-3
MAC37-4
MAC37-5
MAC37-6
MAC37-7
MAC38-1
MAC38-2
MAC38-3
MAC38A
MAC38-5

MAC37-3
MAC37-4
MAC37-5
MAC37-6
MAC37-7
MAC38-1
MAC38-2
MAC38-3
MAC38-4
MAC38-5

MAC37-1
MAC37-1
MAC37-1
MAC37-1
MAC37-1
MAC37-1
MAC37-1
MAC37-1
MAC37-1
MAC37-1

25
25
25
25
25
25
25
25
25
25

100
200
300
400
500
25
50
100
200
300

110
110
110
110
110
110
110
110
110
110

75
75
75
75
75
75
75
75
75
75

3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0

MAC38-6
MAC38-7
MAC77-1
MAC77-2
MAC77-3
MAC77A
MAC77-5
MAC77-6
MAC77-7
MAC77-8

MAC38-6
MAC38-7
2N6068
2N6069
2N6070
2N6071
2N6072
2N6073
2N6074
2N6075

MAC37-1
MAC37-1
2N6068
2N6068
2N6068
2N6068
2N6068
2N6068
2N6068
2N6068

25
25
4.0
4.0
4.0
4.0
4.0
4_0
4_0
4_0

400
500
25
50
100
200
300
400
500
600

110
110
110
110
110
110
110
110
110
110

75
75
30
30
30
30
30
30
30
30

3.0
3.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

MCR051
MCR052
MCR053
MCR054
MCR101
MCR102
MCR103
MCR104
MCR106-1
MCR106-2

MCR051
MCR053
MCR053
MCR054
MCRlOl
MCR102
MCR103
MCR104
MCR106-1
MCR106-2

MCR051
MCR051
MCR051
MCR051
MCR10l
MCR101
MCR10l
MCR101
MCR106-1
MCR106-1

0.25
0.25
0.25
0.25
0.8
0_8
0.8
0.8
4.0
4.0

15
30
60
100
15
30
60
100
30
60

125
125
125
125
85
85
85
85
110
110

0.2
0.2
0.2
0.2
0.1
0.1
0.1
0.1
0.5
0.5

0.8
0.8
0.8
0.8
1.7
1.7
1.7
1.7
1.0
1.0

Type
MAC10·3
MAC10A
MAC10·5
MAC10·6
MAC10·7
MAC10·8
MACll·l
MACll·2
MACll·3
MACll·4

Replacement
MAC10·3
MAC10A
MAC10·5
MAC10·6
MAC10·7
MAC10·8
MAC1,.,
MACll·2
MACll·3
MAC11A

Ref.
MAC10·l
MAC10·l
MAC10·l
MAC10·l
MAC10·l
MAC10·l
MAC10·l
MAC10·l
MAC10·l
MAClO·l

MACll·5
MACl1-6
MACll-7
MACll-8
MAC35-1
MAC35-2
MAC35-3
MAC35A
MAC35-5
MAC35-6

MACll·5
MACll·6
MACl1-7
MACll-8
2N6157
2N6157
2N6157
2N6157
2N6158
2N6158

MAC35-7
MAC36-1
MAC36-2
MAC36-3
MAC36A
MAC36-5
MAC36-6
MAC36-7
MAC37-1
MAC37-2

IT(RMS)
Amp

3-30

VGT

THYRISTOR INDEX(continued)

Replacement

Ref.

IT(RMS)
Amp

VDRMNRRM
Volts

TJTC (1)

Type

°c

IGT
mA

VGT
Volts

MCRlo6·3
MCRlo6-4
MCR115
MCR120
MCR154·10
MCR154·20
MCR154·30
MCR154·40
MCR154·50
MCR154·6o

MCRlo6·3
MCR106-4
MCR115
MCR120
MCR154·10
MCR154·20
MCR154·30
MCR154-40
MCR154·50
MCR154·6o

MCRlo6·1
MCR106·1
MCR115
MCR120
MCR154
MCRI54
MCR154
MCR154
MCR154
MCR154

4.0
4.0
0.8
0.8
1800
1800
1800
1800
1800
1800

100
200
150
200
100
200
300
400
500
600

110
110
110
110
125
125
125
125
125
125

0.5
0.5
0.2
0.2
30
30
30
30
30
30

1.0
1.0
0.8
0.8
0.25
0.25
0.25
0.25
0.25
0.25

MCR155·1o
MCR155·20
MCR155·3o
MCR155·4o
MCR155·50
MCR155·60
MCR156·10
MCR156·20
MCR156·30
MCR156·4o

MCR155·10
MCR155·20
MCR155·3o
MCR155-40
MCR155·50
MCR155·60
MCR156·10
MCR156·20
MCR156·30
MCR156-40

MCR154
MCR154
MCR154
MCR154
MCR154
MCR154
MCR154
MCR154
MCR154
MCR154

1800
1800
1800
1800
1800
1800
1800
1800
1800
1800

100
200
300
400
500
600
100
200
300
400

125
125
125
125
125
125
125
125
125
125

30
30
30
30
30
30
30
30
30
30

0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25

MCR156·50
MCR156·60
MCR157·10
MCR157·20
MCR157·30
MCR157-40
MCR157·50
MCR157·60
MCR201
MCR202

MCR156·50
MCR156·60
MCR157·10
MCR157·20
MCR157·30
MCR157-40
MCR157·50
MCR157·60
MCR201
MCR202

MCR154
MCR154
MCR154
MCR154
MCR154
MCR154
MCR154
MCR154
MCR201
MCR201

1800
1800
1800
1800
1800
1800
1800
1800
0.5
0.5

500
600
100
200
300
400
500
600
15
30

125
125
125
125
125
125
125
125
110
110

30
30
30
30
30
30
30
30
0.2
0.2

0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.8
0.8

MCR203
MCR204
MCR205
MCR206
MCR406·1
MCR406·2
MCR406·3
MCR406-4
MCR407·1
MCR407·2

MCR203
MCR204
MCR205
MCR206
MCR406·1
MCR406·2
MCR406·3
MCR406-4
MCR407·1
MCR407·2

MCR201
MCR201
MCR201
MCR201
MCR406
MCR406
MCR406
MCR406
MCR407
MCR407

0.5
0.5
0.5
0.5
4.0
4.0
4.0
4.0
4.0
4.0

60
100
150
200
30
60
100
200
30
60

110
110
110
110
110
110
110
110
110
110

0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.5
0.5

0.8
0.8
0.8
0.8
0.8
0.8
0.8
0.8
1.0
1.0

MCR407·3
MCR407·4
MCR649·1
MCR649·2
MCR649·3
MCR649·4
MCR649·5
MCR649·6
MCR649·7
MCR729·5

MCR407·3
MCR407·4
MCR649·1
MCR649·2
MCR649·3
MCR649·4
MCR649·5
MCR649·6
MCR649·7
MCR729·5

MCR407
MCR407
MCR649
MCR649
MCR649
MCR649
MCR649
MCR649
MCR649
MCR729

4.0
4.0
20
20
20
20
20
20
20
2.0

100
200
25
50
100
200
300
400
500
50

110
110
100
100
100
100
100
100
100
105

0.5
0.5
80
80
80
80
80
80
80
50

1.0
1.0
3.5
3.5
3.5
3.5
3.5
3.5
3.5
1.5

MCR729·6
MCR729·7
MCR729·8
MCR729·9
MCR729·10
MCR1336·5
MCR1336·6
MCR1336·7
MCR1336·8
MCR1336·9

MCR729·6
MCR729·7
MCR729·8
MCR729·9
MCR729·10
MCR1336·5
MCR1336·6
MCR1336·7
MCR1336·8
MCR1336·9

MCR729
MCR729
MCR729
MCR729
MCR729
MCRI336
MCRI336
MCRI336
MCRI336
MCR1336

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

50
50
50
50
50
300
400
500
600
700

105
105
105
105
105
105
105
105
105
105

50
50
50
50
50
40
40
40
40
40

1.5
1.5
1.5
1.5
1.5
1.25
1.25
1.25
1.25
1.25

3-31

THYR ISTOR I NDEX (continued)

IT(RMS)
Amp

IGT
rnA

VGT
Volts

800
300
400
500
600
25
50
100
200
35

105
125
125
125
125
100
100
100
100
125

40
50
50
50
50
1.0
1.0
1.0
1.0
30

1.25
1.5
1.5
1.5
1.5
1.0
1.0
1.0
1.0
1.5

25
25
25
25
26
8.0
8.0
8.0
8.0
8.0

75
150
300
400
500
25
50
100
200
300

125
125
125
125
125
100
100
100
100
100

30
30
30
30
30
40
40
40
40
40

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

MCR2315
MCR2315
MCR2315
MCR2315
MCR2315
MCR2315
MCR2315
MCR3818-1
MCR3818-1
MCR3818-1

8.0
8.0
8.0
8.0
8.0
8.0
8.0
20
20
20

400
25
50
100
200
300
400
25
50
100

100
100
100
100
100
100
100
100
100
100

40
40
40
40
40
40
40
40
40
40

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

MCR3818-4
MCR3818-5
MCR3818-6
MCR3818-7
MCR3818-8
MCR3918·1
MCR3918-2
MCR3918-3
MCR3918-4
MCR3918-5

MCR3818-1
MCR3818-1
MCR3818-1
MCR3818-1
MCR3818-1
MCR3818-1
MCR3818-1
MCR3818-1
MCR3818-1
MCR3818-1

20
20
20
20
20
20
20
20
20
20

200
300
400
500
600
25
50
100
200
300

100
100
100
100
100
109
100
100
100
100

40
40
40
40
40
40
40
40
40
40

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

MCR3918-6
MCR3918-7
MCR3918-8
MCR3835-1
MCR3835-2
MCR3835-3
MCR3835-4
MCR3835-5
MCR3835-6
MCR3835-7

MCR3918·6
MCR3918-7
MCR3918·8
MCR3835-1
MCR3835-2
MCR3835-3
MCR3835·4
MCR3835-5
MCR3835-6
MCR3835-7

MCR3818-1
MCR3818-1
MCR3818-1
MCR3835-1
MCR3835-1
MCR3835-1
MCR3835-1
MCR3835-1
MCR3835-1
MCR3835-1

20
20
20
35
35
35
35
35
35
35

400
500
600
25
50
100
200
300
400
500

100
100
100
100
100
100
100
100
100
100

40
40
40
40
40
40
40
40
40
40

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

MCR3835-8
MCR3935-1
MCR3935-2
MCR3935-3
MCR3935-4
MCR3935-5
MCR3935-6
MCR3935-7
MCR3935-8

MC R3835·8
MCR3935-1
MCR3935-2
MCR3935-3
MCR3935-4
MCR3935·5
MCR3935-6
MCR3935-7
MCR3935-8

MCR3835·1
MCR3835-1
MCR3835-1
MCR3835-1
MCR3835-1
MCR3835-1
MCR3835-1
MCR3835-1
MCR3835-1

35
35
35
35
35
35
35
35
35

600
25
50
100
200
300
400
500
600

100
100
100
100
100
100
100
100
100

40
40
40
40
40
40
40
40
40

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

Replacement

Ref.

MCR1336-10
MCR1718·5
MCR1718-6
MCR1718-7
MCR1718-8
MCR1906·1
MCR1906-2
MCR1906-3
MCR1906-4
MCR1907-1

MCR1336-10
MCR1718-5
MCR1718·6
MCR1718-7
MCR1906-1
MCR1906-2
MCR1906·3
MCR1906-4
MCR1907-1

MCR1336
MCR1718
MCR1718
MCR1718
MC R1718
MCR1906
MC R1906
MCR1906
MCR1906
MCR1907

2.0
25
25
25
25
1.6
1.6
1.6
1.6
25

MCR1907-2
MCR1907-3
MCR1907-4
MCR1907-5
MCR1907-6
MCR2315-1
MCR2315·2
MCR2315-3
MCR2315-4
MCR2315·5

MCR1907·2
MCR1907-3
MCR1907-4
MCR1907-5
MCR1907-6
MC R2315-1
MCR2315-2
MCR2315-3
MCR2315·4
MCR2315-5

MCR1907
MCR1907
MCR1907
MCR1907
MCR1907
MCR2315
MCR2315
MCR2315
MCR2315
MCR2315

MCR2315-6
MCR2614L·l
MCR2614L-2
MCR2614L-3
MCR2614L-4
MCR2614L-5
MCR2614L·6
MCR3818-1
MCR3818-2
MCR3818-3

MCR2315-6
MCR2614L-l
MC R2614L·2
MC R2614L-3
MCR2614L·4
MCR2614L-5
MCR2614L·6
MCR3818-1
MCR3818-2
MCR3818-3

MCR3818-4
MCR3818-5
MCR3818-6
MCR3818-7
MCR3818·8
MCR3918-1
MCR3918-2
MCR3918-3
MCR3918-4
MCR3918-5

~~CR1718-8

3-32

VDRMIVRRM
Volts

TJ T C(1)
DC

Type

BILATERAL TRIGGER DIACS

This table contains an alpha-numerical listing and short-form specifications for Motorola in-house
non registered bilateral trigger diacs.

•

KEY
IPulse
Type

Replacement

V(BR)
Volts
Nom

Ref.

I1V
Volts
Min

I(BR)

@

301lS. 120 Hz

Amp
Max

J.LA
Max

Alpha·
Numerical
Listings
Type number of recommended
replacement of nearest electrical
equivalent fully characterized
in this book
Reference device
number indicates
specific Data Sheet on
which device is character·
ized.
Breakdown Voltage (both directions)
Switchback (Delta) Voltage (both directions)
Breakdown Current (both directions)
Peak Pulse Current

BILATERAL TRIGGER DIACS
IPulse
Type

Replacement

Ref.

V(BR)
Volts
Nom

MPT20
MPT28
MPT32

lN5758
lN5760
lN5761

lN5758
lN5758
lN5758

20
28
32

3-33

I1V
Volts
Min

I(BR)
Max

Amp
Max

5.0
7.0
7.0

100
50
50

2.0
2.0
2.0

J.LA

@

301lS. 120 Hz

TRIGGERS

This table contains an alpha-numerical listing and short-form specifications for Motorola in-house
non registered bidirectional switch.

KEY
BIDIRECTIONAL SWITCH
Vs
Type

Ref.

Min

Max

IVSl - VS21
Max

IS
Max

IH
Max

VF
Max

AlphaNumerical
Listings
Reference device
number indicates
specific Data Sheet on
which device is character·
ized.
Switching Voltage
Switching Voltage Differential
Switching Current
Holding Current
Forward Dn·State Voltage

BIDIRECTIONAL SWITCH
Type

Ref.

Min

Vs
Max

VSl - VS2
Max

IS
Max

IH
Max

VF
Max

MBS100
MBS4991
MBS4992

MBS100
MBS4991
MBS4991

3.0
6.0
7.5

5.0
10
9.0

0.35
0.5
0.2

400
350
120

1.0
1.5
0.5

2.0
1.7
1.7

3-34

UNIDIRECTIONAL SWITCH

This table contains an alpha-numerical listing and short-form specifications for Motorola in-house
non registered unidirectional switch.

KEY

Type

Replacement

Ref

Vs
Volts
Min Max

IS
VF
IlA IH IF=150
Max rnA
rnA

IB

I.lA

IF (rep)
TA=100°C
tp = 10j.JS
VR Va
Po Volts Volts 1.0% duty cycle
mW Max Min
Amp

Alpha·
Numerical
listings
Type Number of
recommended replacement
or of nearest electrical
equivalent fully
characterized in this book
Reference device number
indicates specific Data Sheet
on which device is characterized.
Switching Voltage
Switching Current
Holding Current
Forward On-State Voltage
Forward Blocking Current
Power Dissipation
Reverse Voltage
Pulse Peak Voltage
Peak Recurrent Forward Current

UNIDIRECTIONAL SWITCH

Type

Replacemen

Ref

Vs
Volts
Min Max

MUS4987
MUS4988

MUS4987
MUS4987

MUS4987
MUS4987

6.0 110
7.5 9.0

I.lA

PD
mW

VR
Volts
Max

VD
Volts
Min

IF (rep)
TA = 100°C
tp = 10j.JS
1.0% duty cycle
Amp

1.0
0.1

300
350

30
30

3.5
3.5

1.0
1.0

IB
@50V

mA

VF
IF=150
rnA

1.5
0.5

1.5
1.5

IS

I.lA

IH

Max
500
150

3-35

•

UN IJUNCTION TRANSISTORS

This table contains an alpha-numerical listing and short-form specifications for Motorola in-house
non registered unijunction transistors.

KEY

Type

Ref.

Numerical
Listing of
Registered
Type
Numbers

Po
mW

RBB
k,Q
Min Max

7)

Min

Max

IV Min Ip Max IEO@VEB2 VEB1(sat)
j.lA@Mmax V@50mA
rnA
J,lA

Interbase
Resistance
Intrinsic Standoff Ratio
Valley Current

Reference device
number indicates
specific Data Sheet
on which device is
characterized
Power Dissipation @
25°C

Peak Point Current
Emitter Reverse Current at indicated VB2E

Emitter Saturation Voltage

UNIJUNCTION TRANSISTORS INDEX

Type

Ref.

Po
mW

MU10
MU20
MU851
MU852
MU853
MU4891
MU4892
MU4893
MU4894

MU10
MU10
MU851
MU851
MU851
MU4891
MU4891
MU4891
MU4891

300
300
200
200
200
300
300
300
300

RBB
k,Q
Min Max

Min

Max

IV Min
rnA

Ip Max
J,lA

IEO@VEB2
J,lA@ V Max

VEB1(sat)
V@50rnA

4.0
4.7
4.7
4.7
4.7
4.0
4.0
4.0
4.0

0.50
0.56
0.56
0.70
0.70
0.55
0.51
0.55
0.74

0.85
0.85
0.75
0.85
0.85
0.82
0.69
0.82
0.86

1.0
1.0
2.0
4.0
4.0
2.0
2.0
2.0
2.0

5.0
2.0
2.0
2.0
0.4
5.0
2.0
2.0
1.0

1.0 @30
0.2 @30
0.1 @30
0.1 @30
0.05@30
0.01 @30
0.01 @30
0.01 @30
0.01 @30

2.0
2.0
2.5
2.5
2.5
4.0
4.0
4.0
4.0

10
9.1
9.1
9.1
9.1
9.1
9.1
12
12

7)

3-36

PROGRAMMABLE UNIJUNCTION TRANSISTORS - PUT

This table contains an alpha-numerical listing and short-form specifications for Motorola in-house
non-registered programmable unijunction transistors.

KEY

Ip
Pea k Current
RG =
RG =
10 kQ 1.0 MQ
Type

Ref.

Replacement

!1A

!1A

Max

Max

IGAO
Leakage
Current
@

40 V
nA
Max

IV
Valley
Current
RG =
RG =
10 kQ 1.0MQ
I1A
!1A
Min
Max

IT (pulse)
Peak
Anode

VGKF
Gate to
Cathode

Vo
Forward
Min
Voltage
Output
Volts PF Voltage
Max mW Volts

IT
DC
Current
VF
Forward
Anode
20 iJS
Voltage
Current 1.0% DC
VF @ IF
rnA
Amp
Volts rnA
Max
Max

Tstg
Storage
Temp.
Range

°c

Alpha·
numerical
Listings
Type number of recommended
replacement of nearest
electrical equivalent fully
characterized in this book
Reference device number indicates
specific data sheet on which device is
characterized.
Peak Current

Gate to Anode Leakage Current
Valley Current

Gate to Cathode Forward Voltage
Forward Power Dissipation@25°C
Peak Output Voltage
Forward Voltage
DC Forward Anode Current
Repetitive Peak Forward Current
Storage Temperature Range

PROGRAMMABLE UNIJUNCTION TRANSISTORS - PUT

Type
MPU131
MPU132
MPU133
MPU231
MPU232
MPU233

Replacement
MPU131
MPU132
MPU133
2N6116
2N6117
2N6118

Ref.
MPU131
MPU131
MPU131
2N6116
2N6116
2N6116

IGAO
Ip
Leakage
Peak Current
Current
@
RG =
RG =
10 kn 1.0 MQ 40 V
nA
I1A
!1A
Max
Max
Max
5.0
2.0
1.0
5.0
2.0
1.0

2.0
0.30
0.15
2.0
0.30
0.15

5.0
5.0
5.0
5.0
5.0
5.0

IV
Valley
Current
RG =
RG =
10 kn 1.0MQ
I1A
I1A
Min
Max
70
50
50
70
50
50

50
50
25
50
50
25

3-37

VGKF
Gate to
Cathode

Vo
Min
Voltage
Output
Volts PF Voltage
Max mW Volts

Forward

40
40
40
40
40
40

375
375
375
250
250
250

6.0
6.0
6.0
6.0
6.0
6.0

IT (pulse)
Peak
Anode
IT
DC
Current
VF
Forward
Anode
20 iJS
Voltage
Current 1.0% DC
rnA
Amp
VF @ IF
Volts rnA
Max
Max
1.5
1.5
1.5
1.5
1.5
1.5

50
50
50
50
50
50

200
200
200
200
200
200

2.0
2.0
2.0
2.0
2.0
2.0

Tstg
Storage

Temp.
Range

°c
·65 to +150
·65 to +150
·65 to +150
·65 to +200
·65 to +200
·65 to +200

TRANSISTORS

This table contains an alpha-numerical listing and short-form specifications for Motorola
in-house non-registered transistors.

Collector· Emitter Saturation
Voltage at Specified
Collector Current
Ie Units:
A=Amp
m = milliamp

KEY
MAXIMUM RATINGS

.......

TYPE

C~
;:;: REPLACE- REF. USE
MENT

::!:5
Ceo
::IE ...

,
,'·.......0

ELECTRICAL CHARACTERISTICS
I

I

,-::;.

1-::;'
1"5

,~

Common-Emitter DC ShortCircuit Forward·Current
Transfer Ratio at Specified
Collector Current
Ie Units: A Amp
m = milliamp
• = microamp
N = nanoamp

Numerical
Listing
of 2N and 3N
Registered
Type Numbers

=

S = Silicon
G = Germanium
P= PNP
N=NPN

Maximum Collector· Emitter Voltage
(Subscript I dentifies Condition)
Subscript:
o = YeEo =
R == YeER =
S = YeEs =
Y = YeE. =

Type number of recommended
repiacement or of nearest
electrical equivalent fully
characterized in this book
Reference device number indicates
specific Data Sheet on
which device is characterized

X == YeEX
U = VeE

Base Open
Specified Resistance
Base Shorted
Used when only
voltage bias is used
Base-Emitter Back
Biased
Termination Undefined

=
=

Small-Signal ForwarcH:urrent Transfer Ratio
(E, B or C defines the parameter)
E ==
= Common-Emitter Current
Transfer Ratio
B = hlb
Common-Base Current
Transfer Ratio
C = h'e
Common-Collector Current
Transfer Ratio

APPLICATION CODE
A = Amplifier
AH == Amplifier, High frequency
AHP = Amplifier, High frequency
power
AL Amplifier, Light sensitive
AM
Amplifier, Multiple device
AP Amplifier, Power
AS M Amplifier'Switch Multiple device
S Switch
SC Switch, Chopper
SH
Switch, High speed
SHP Switch, High speed power
SP == Switch, Power

h,.

=
=

=
=
=
=
=
=
=
=

Power Dissipation at 25'C
Units: m == milliwatts
W==Watts
Ref. POint: A, C, J, S, Indicates Ambient,
Case, Junction or Stud

I..

1.2-

h,-,"5 f_ :J I~
TJ Velo YCE -I~ b.. @ Ic -= VeEISAT, @ Ie ~
Po
12:
"i:
0::
'iElt
I'"
:0
:0
@ 25'C::l 'C (volts) (VOlts):';: (min) (max)
(volts)
:0,'"
I'"

CUTOFF FREQUENCY
Units: k = KHz
M= MHz
S= SHz
(B, E, M or T Indicate the Parameter)
B == fhlb
fib = Common-Base Cutoff Frequency
E=
== f .. == Common-Emitter Cutoff Frequency
M == fmll = Maximum Frequency of Oscillations
T = fT == Current Gain - Bandwidth Product

fh,.

=

Maximum Collector - Base Voltage
Maximum Operating Junction Temperature

3-38

TRANSISTOR INDEX

......
iii:
w

.

I-

Typ.

I-

'C

iii:

:5
0

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

>

Po

:f

'Co

,;

VCB
Volts

VCEVolts

1

'Co

hFE @ IC
Max

.t!
c

R.f.

Us.

@25°C

a:

TJ
°c

on

Min

AH
AH
A
A
A
A
A
A
A
A

60m
60m
200m
150m
150m
150m
150m
150m
150m
150m

A
A
A
A
A
A
A
A
A
A

100
100
100
100
100
100
100
100
100
100

20
20
60
105
105
105
105
90
75
60

15
15
60
105
105
105
lOS
90
75
60

a
a

P
P
P
P
P
P

AF139
AF239
MA100
MA200
MA200
MA200
MA200
MA200
MA200
MA200

10
15
30
20
20
40
40
20
20
20

1.5m
1.5m
10m
5.0m
5.0m
5.0m
5.0m
5.0m
5.0m
5.0m

G
G
G
G
G
G
G
G
G
G

P
P
P
P
P
P
P
P
P
P

MA881
MA881
MA881
MA881
MA881
MA881
MA881
MA881
MA881
MA1702

AS
AS
AS
AS
AS
AS
AS
AS
AS
AS

200m
200m
200m
200m
200m
200m
200m
200m
200m
200m

A 100
A 100
A 100
A lao
A 100
A 100
A 100
A 100
A 100
A 100

60
60
60
60
50
50
50
50
50
45

60
60
60
60
50
50
50
50
50
30

S
S
S
S
S
S
S
S
S
R

30
40
75
125

10m
10m
10m
10m

G
G
G
G
G
G

P
P
P
P
P
P
N
N
N
N

MAI702
MAI702
MA1702
MA1702
MA 1702
MAI702
M0708
M0708
M0708
M0708

AS
AS
AS
AS
AS
AS
SM
SM
SM
SM

200m
200m
200m
200m
200m
200m
400m
400m
350m
400m

A
A
A
A
A
A
A
A
A
A

100
100
100
100
100
100
200
200
200
200

25
25
25
15
15
15
40
40
40
40

25
25
25
15
15
15
15
15
15
15

R
R
R
R
R
R

N
N
N
N
N
N
N

M0708
M0708
M0918
M0918
M0918
M0918
MD918
MD918
MD982
MD984

SM
SM
AM
AM
AM
AM
AM
AM
AM
AS

350m
350m
400m
400m
350m
400m
350m
350m
0.6W
3.0W

A
A
A
A
A
A
A
A
A

200
200
200
200
.200
200
200
200
200
C 200

40
40
30
30
30
30
30
30
60
40

15
15
15
15
15
15
15
15
50
20

M0985
M0985
MD986
M0986
MOl120
MOll20
M01120
M01123
MOll29
M01129

SM
SM
SM
SM
A
A
A
AM
AM
AM

600m
350m
600m
350m
350mW
350mW
350mW
0.6W
600m
350m

A
A
A
A
A
A
A
A
A
A

60
60
60
60
60
60
60
60
60
60

30
30
30
30
30
30
30
40
30
30

:;;

AF139
AF239
MA100
MA200
MA201
MA202
MA203
MA204
MA205
MA206

G

MA881
MA882
MA883
MA884
MA885
MA886
MA887
MA888
MA889
MAI702
MA1703
MAI704
MAI705
MA1706
MA1707
MA1708
M0708
MD108A
MD108AF
M0708B

S
S
S
S

M0708BF
M0708F
M0918
M0918A
M0918AF
M0918B
M0918BF
M0918F
MD982
M09B4

S
S
S
S
S
S
S
S
S
S

M0985
MD9B5F
MD986
M0986F
MOl120
MOl121
M01122
M01123
M01129
MOll29F

S
S
S
S
S
S
S
S
S
S

G
G
G
G
G
G
G
G
G

...

P
P
P

P

N

P
P
NIP
NIP
NIP
NIP
N
N
N

P
N
N

200
200
200
200
200
200
200
200
200
200

3-39

S

a
a
a
a
a
0
0

a
a
a
a
a
a
a
a
a
0

a
a
a
a
a
a
D
D

a

D

a
a
a
a

100m

200
100
150
200
100
150
200
40
40
40
40

350
400

40
40
50
50
50
50
50
50
40
25

200
200

25
25
25
25
50
50
50
50
100
100

::>

350
400
200
200
200
200

200
200
200
200
300
300

1

VCE(SATI @Ic ~

...,

Volts

:5

hf- on

0.26

::>

1
on

T
T
B
B
B
B
B
B
B
B

30
50
100
190
15
30
50
100
190
500

E O.75M
E 1.0M
E 1.25M
E 1.75M
E 0.5M
E 0.75M
E 1.0M
E 1.25M
E 1.75M
E 7.0M

B
B
B
B
B
B
B
B
B
B

200
350
500
200
350
500

E
E
E
E
E
E

B
B
B
B
B
B
T
T
T
T

E

5.0m
5.0m
5.0m
5.0m
5.0m
5.0m
5.0m

200m

c

450M
600M
1.0M
1.0M
1.0M
1.0M
1.0M
1.0M
1.0M
1.0M

50
0.35
0.35
0.35
0.35
0.35
0.35
0.35

co.

f-.~

100m
100m
100m
100m
100m
100m
10m
10m
10m
10m

0.2
0.2
0.2
0.2

10m
10m
10m
10m

3.0M
5.0M
6.0M
3.0M
4.0M
5.0M
300M
300M
300M
300M

10m
10m
1.0m
1.0m
1.0m
1.0m
1.0m
1.0m
150m
10m

0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.5
0.3

10m
10m
10m
10m
10m
10m
10m
10m
150m
10m

300M
300M
600M
600M
600M
600M
600M
600M
200M
250M

T
T
T
T
T
T
T

10m
10m
10m
10m
10m
10m
10m
10m
100'
100'

0.5
0.5
0.5
0.5
0.1
0.1
0.1
0.25
0.1
0.1

150m
150m
150m
150m
10m
10m
10m
10m
10m
10m

200M
200M
200M
200M
250M
250M
250M
200M
200M
200M

T
T
T
T
T
T
T
T
T
T

2.5

2.5
2.5
2.5

E

E
E
E

T
T

T

•

TRANSISTOR INDEX (continued)

-'

..:

ffi
....

•

~

c

~

VCO
Volts

VCEVolts

'"

~

Min

A
A
A
A
A
A
A
A
A
A

200
200
200
200
200
200
200
200
200
200

60
60
30
60
75
75
60
60
75
75

40
40
15
30
40
40
30
30
40
40

0
0
0
0
0
0
0
0
0
0

100
100
50
40
40
40
40
100
100
100

250m
500m
500m
250m
500m
500m
500m
250m
250m
500m

A
A
A
A
A
A
A
A
A
A

200
200
200
200
200
200
200
200
200
200

60
40
40
40
40
60
60
60
60
60

30
15
15
15
15
40
60
60
40
40

0
0
0
0
0
0
0
0
0
0

ASM
ASM
ASM
AM
AM
AM
AM
AM
AM
AM

500m
250m
250m
500m
500m
250m
250m
500m
500m
250m

A
A
A
A
A
A
A
A
A
A

200
200
200
200
200
200
200
200
200
200

60
60
60
50
50
50
50
50
50
50

60
60
40
40
40
40
40
40
40
40

N
P
P
P

M03250
MD3409
MD3409
MD3467
MD3467
M03725
MD3725
MD3762
MD3762
MD4957

AM
AM
AM
SM
SM
SM
SM
SM
SM
AM

250m
0.6W
0.6W
500m
250m
500m
250m
500m
250m
200m

A
A
A
A
A
A
A
A
A
A

200
200
200
200
200
200
200
200
200
200

50
60
60
40
40
65
65
40
40
30

MD5000
MD5000
MD5000
M06001
M06001
MD6001
MD6001
M06001
M06001
M06100

AM
AM
AM
ASM
ASM
ASM
ASM
AM
AM
AM

300m
300m
300m
500m
250m
500m
250m
500m
250m
500m

A
A
A
A
A
A
A
A
A
A

200
200
200
200
200
200
200
200
200
200

M08001
AM
M08001
AM
M08001
AM
MHQ2221
ASM
MHQ2221
ASM
MHQ2369
ASM
MH02383
AM
MHQ2384
AM
MHQ2906
ASM
MHQ2907A ASM

300m
300m
300m
0.65W
0.65W
0.5W
0.6W
0.6W
O.7W
O.7W

A
A
A
A
A
A
A
A
A
A

200
200
200
200
200
200
200
200
200
200

Po

-'

::;;

~

Ref.

@25'C

"ii
'c
0:

MO 1130
MOl130F
MOl132
M02218
M02218A
M02218AF
M02218F
M02219
M02219A
M02219AF

S
S
S
S
S
S
S
S
S
S

P
P

MO 1130
MOl130
MOl132
M02218
M02218
M02218
M02218
M02219
M02219
M02219

AM
AM
A
ASM
ASM
ASM
ASM
ASM
ASM
ASM

600m
350m
300m
500m
500m
250m
250m
500m
500m
250m

M02219F
M02369
M02369A
M02369AF
M02369B
M02904
M02904A
M02904AF
M02904F
M02905

S
S
S
S
S
S
S
S
S
S

P
P
P
P
P

M02219
M02369
M02369
M02369
M02369
M02904
M02904
M02904
M02904
M02905

ASM
SM
SM
SM
SM
ASM
ASM
ASM
ASM
ASM

P
P
P
P
P
P
P
P
P
P

M02905
M02905
M02905
M03250
MD3250
MD3250
MD3250
MD3250
M03250
MD3250

P
N
N
P
P

M02905A
M02905AF
M02905F
M03250
M03250A
MD3250AF
MD3250F
MD3251
MD3251A
M03251AF

S

S
S
S
S
S
S
S
S
S

N
N
N
N

N
N
N
N
N
N
N
N
N

M03251F
MD3409
MD3410
MD3467
MD3467F
M03725
MD3725F
MD3762
M03762F
M04957

S
S
S
S
S
S
S
S
S
S

M05000
MD5000A
MD5000B
M06001
M06001F
MD6002
M06002F
M06003
MD6003F
M06100

S
S
S
S
S
S
S
S
S
S

P
P
P
NIP
NIP
NIP
NIP
NIP
NIP
NIP

MD8001
M08002
M08003
MHQ2221
MHQ2222
MHQ2369
MHQ2483
MHQ2484
MHQ2906
MHQ2907A

S
S
S
S
S
S
S
S
S
S

N
N
N

N

N
N
N
N

N

P
P

Use

hFE @ IC
Max
300
300

.~

VCE(SAT)@ IC ~
Volts

."
;5 hf-

'"

T
T

200M
200M
200M
200M
250M
250M
250M

T
T
T

T
T
T
T

150m
10m
10m
10m
10m
150m
150m
150m
150m
150m

250M
500M
50 OM
500M
500M
200M
200M
200M
200M
200M

T
T
T
T
T
T
T
T
T
T

0.4
0.4
0.4
0.25
0.25
0.25
0.25
0.25
0.25
0.25

150m
150m
150m
10m
10m
10m
10m
10m
10m
10m

200M
200M
200M
200M
200M
200M
200M
250M
250M
250M

T
T
T
T
T
T
T
T
T
T

100'
1.0m
1.0m
500m
500m
100m
100m
LOA
LOA
2.0m

0.25
0.15
0.15
0.5
0.5
0.26
0.26
1.0
1.0

10m
10m
10m
500m
500m
100m
100m
LOA
LOA

250M

T

150M
150M
250M
250M
150M
150M
LOG

T
T
T
T
T

3.0m
3.0m
3.0m
150m
150m
150m
150m
150m
150m
100'

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.2

10m
10m
10m
150m
150m
150m
150m
150m
150m
100'

600m
600m
600M
200M
200M
200M
200M
200M
200M
30M

T
T
T
T
T

1.0m
1.0m
1.0m
150m
150m
10m
1.0m
1.0m
150m
150m

0.4
0.4
0.25
0.35
0.35
0.4
0.4

150m
150m
10m
1.0m
10m
150m
150m

350M
350M
550M
175M
175M
350M
350M

T

120
120
120
120
300
300
300

10m
10m
10m
150m
150m
150m
150m
150m
150m
150m

100
40
40
40
40
40
40
40
40
100

300
120
120
120
120
120
120
120
120
300

150m
10m
10m
10m
10m
150m
150m
150m
150m
150m

0.4
0.25
0.25
0.25
0.25
0.4
0.4
0.4
0.4
0.4

0
0
0
0
0
0
0
0
0
0

100
100
100
50
50
50
50
100
100
100

300
300
300
150
150
150
150
300
300
300

150m
150m
150m
100'
100'
100'
100'
100'
100'
100'

40
30
30
40
40
40
40
40
40
30

0
0
0
0
0
0
0
0
0
0

100
40
40
20
20
50
50
20
20
20

300
160
160

20
20
20
60
60
60
60
50
50
60

15
15
15
30
30
30
30
30
30
45

0
0
0
0
0
0
0
0
0
0

20
20
20
40
40
100
100
70
70
100

60
60
40
35
35
60
60

40
50
60
40
40
15
25
30
40
60

0
0
0
0
0
0
0
0
0
0

100
100
100
40
100
40
150
300
40
100

150

120
120
300
300

~

::l

0.25
0.25
0.4
0.4
0.3
0.3
0.4
0.4
0.3
0.3

150
150

~

f-.~

200M
200M

::l

100'
100'
1.0m
150m
150m
150m
150m
150m
150m
150m

3-40

~

~

TJ

..:

..:

Type

ElECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

>
....
iii:

6.0

'"
E

50
50
50
50
100
100
100

E
E
E
E
E
E
E

100
2.5
2.5

E
E
E

20

E

T

T

T

T
T

T
T

T

T
T
T
T
T

TRANSISTOR INDEX

Type

...
'"a:w
...
::;;
'"

...
......'"a:

(continued)

0

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

>

....~

Ref.

1

~ hf-

]
"



.§Co
'§
cr

(continued)

~"

:5

Po
@25 0 C 'Ii
a:

TJ

VCB
Volts

VCEVolts

200
200
200
200
200
200
200
200
200
200

50
60
80
60
80
60
80
100
60
80

40
60
80
60
80
60
80
100
60
80

C 200

100
100
60
80
100
100
120
60
80

100
100
60
80
100
80
100
60
80
600

~

Ref.

S
S
S
S
S
S
S
S
S
S

P
N
N
P
P
P
P
P
N
N

MJ3701
MJ4000
MJ4000
MJ4000
MJ4000
MJ4030
MJ4030
MJ4030
MJ4030
MJ4030

ASP
AP
AP
AP
AP
AP
AP
AP
AP
AP

25W
75W
75W
75W
75W
150W
150W
150W
150W
150W

MJ4035
MJ4502
MJ6700
MJ6701
MJ7000
MS7200
MJ7201
MJ8100
MJ8101
MJ8400

S
S
S
S
S
S
S
S
S
S

N
P
P
P
N
N
N
P
P
N

MJ4030
MJ4502
MJ6700
MJ6701
MJ7000
MJ7200
MJ7200
MJ8100
MJ8100
MJ8400

AP
AP
ASP
ASP
ASP
ASP
ASP
ASP
ASP
AP

150W
200W
60W
60W
150W
300W
300W
lOW
lOW
125W

MJ9000
MJC007
MJC043
MJC044
MJC067
MJC069
MJC070
MJC076
MJC082
MJE 105

S
S
S
S
S
S
S
S
S
S

N
P
P
N
P
P
N
N
N
P

MJ9000
MJC007
MJC007
MJC007
MJC007
MJC007
MJC007
MJC007
MJC007
MJE105

AP
AP
AP
AP
AP
AP
AP
AP
AP
AP

125W

65W

C 150
200
200
200
200
200
200
200
200
C 150

MJE205
MJE340
MJE341
MJE344
MJE370
MJE371
MJE520
MJE521
MJE700
MJE701

S
S
S

S
S
S
S
S
S
S

N
N
N
N
P
P
N
N
P
P

MJE205
MJE340
MJE341
MJE341
MJE370
MJE371
MJE520
MJE521
MJE700
MJE700

AP
AP
AP
AP
AP
AP
AP
AP
AP
AP

65W
0.8W
0.8W
0.8W
25W
40W
25W
40W
40W
40W

C
C
C
C
C
C
C
C
C
C

150
150
150
150
150
150
150
150
125
125

175
200
30
40
30
40
60
60

50
300
150
200
30
40
30
40
60
60

MJE702
MJE703
MJE710
MJE711
MJE712
MJE720
MJE721
MJE722
MJE800
MJE801

S
S
S
S
S
S
S
S
S
S

P
P
P
P
P
N
N
N
N
N

MJE700
MJE700
MJE710
MJE710
MJE710
MJE720
MJE720
MJE720
MJE700
MJE700

AP
AP
APS
APS
APS
APS
APS
APS
AP
AP

40W
40W
1.25W
1.25W
1.25W
1.25W
1.25W
1.25W
40W
40W

C
C
A
A
A
A
A
A
C
C

125
125
150
150
150
150
150
150
125
125

80
80
40
60
80
40
60
80
60
60

80
80
40
60
80
40
60
80
60
60

MJE802
MJE803
MJE 1090
MJE1091
MJE 1092
MJE1093
MJE 1100
MJEll0l
MJE 1102
MJEll03

S
S
S
S
S
S
S
S
S
S

N
N
P
P
P
P
N
N
N
N

MJE700
MJE700
MJE1090
MJE1090
MJE 1090
MJE 1090
MJE1090
MJE 1090
MJE 1090
MJE 1090

AP
AP
AP
AP
AP
AP
AP
AP
AP
AP

40W
40W
70W
70W
70W
70W
70W
70W
70W
70W

C
C
C
C
C

125
125
150
150
150
150
150
150
150
150

80
80
60
60
80
80
60
60
80
80

80
80
60
60
80
80
60
60
80
80

:;;

Use

C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C

C
C
C
C
C

15.

~

MJ3701
MJ4000
MJ4001
MJ4010
MJ4011
MJ4030
MJ4031
MJ4032
MJ4033
MJ4034

Type

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

>!::
a:

°c

200
200
200
200
200
200
200
200
150

50
50

325
50
60
60
60
60
60
50
60
50

3-42

1'"
0
0
0
0

0
0
0
0
0
0
0

R
0
0
0
0

0
0
0

Min
20
1000
1000
1000
1000
1000
1000
1000
1000
1000

hFE @ IC
Max
100

.~
::l

0

0
0

0
0
0
0
0

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

0
0
0
0
0
0
0

...

;5 hf-

Volts

250m
1.5A
1.5A
1.5A
1.5A
lOA
lOA
lOA
lOA
lOA

0.3
2.0
2.0
2.0
2.0
2.5
2.5
2.5
2.5
2.5

500m
1M
1.5A
1.5A
1.5A
lOA
lOA
lOA
lOA
lOA

2.5
0.8
0.7
0.7
1.0
1.0
1.0
0.7
0.7
2.0

lOA
7.5A
2.0A
2.0A
lOA
20A
20A
2.0A
2.0A
3.0A

2.0

6.0A

l

1000
25
25
25
20
20
20
25
25

100
180
180
100
100
100
180
180

lOA
7.5A
2.0A
2.0A
lOA
20A
20A
2.0A
2.0A

20
30
30
30
20
20
20
30
25

180
180
180
180
180
180
180
180
100

1.0A
0.25A
0.25A
2.0A
lOA
lOA
LOA
2.0A
2.0A

25
30
25
30
25
40
25
40
750
750

100
240
200
300

2.0A
50m
50m
50m
LOA
1.0A
LOA
1.0A
1.5A
2.0A

1.0
1.0

50m
50m

25
25

E
E

2.5
2.8

1.5A
2.0A

1.0
1.0

E
E

750
750
40
40
40
40
40
40
750
750

1.5A
2.0A
150m
150m
150m
150m
150m
150m
1.5A
2.0A

2.5
2.8
0.15
0.15
0.15
0.15
0.15
0.15
2.5
2.8

1.5A
2.0A
150m
150m
150m
150m
150m
150m
1.5A
2.0A

1.0
1.0

E
E

1.0
1.0

E
E

750
750
750
750
750
750
750
750
750
750

1.5A
2.0A
3.0A
4.0A
3.0A
4.0A
3.0A
4.0A
3.0A
4.0A

2.5
2.8
2.5
2.8
2.5
2.8
2.5
2.8
2.5
2.8

1.5A
2.0A
3.0A
4.0A
3.0A
4.GA
3.0A
4.0A
3.0A
4.0A

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

E
E
E
E
E
E
E
E
E
E

0

0
0
0
0
0
0
0

VCE(SAT) @IC ~

~

f-.~

~

::l

'"

3.0M

T

2.0M
30M
30M
30M
20M
20M
30M
30M

T
T
T
T
T
T
T
T

30M
30M
30M
30M
30M
30M
30M
30M

15M
15M

T

T
T
T
T

T
T
T

T
T

TRANSISTOR INDEX (continued)

....
<[

5....
<[

t:::

Ii.

c

a:

:5

PD

~

TJ
ia: °c

VCB
Volts

VCEVolts

Type

::;;

~

Ref.

Use

@25"C

MJE1290
MJE1291
MJE1SS0
MJE16S1
MJE2010
MJE2011
MJE2020
MJE2021
MJE23S0
MJE23S1

S
S
S
S
S
S
S
S
S
S

P
P

MJE1290
MJEI290
MJE1290
MJE 1290
MJE2010
MJE2010
MJE2010
MJE2010
MJE23S0
MJE23S0

ASP
ASP
ASP
ASP
ASP
ASP
ASP
ASP
AP
AP

90W
90W
90W
90W
BOW
BOW
BOW
BOW
30W
30W

C
C
C
C
C
C
C
C
C
C

150
150
150
150
150
150
150
150
150
150

40
SO
40
SO
40
SO
40
SO
375
375

40
SO
40
SO
40
SO
40
SO
350
350

MJE2370
MJE2371
MJE24BO
MJE24B1
MJE24B2
MJE24B3
MJE2490
MJE2491
MJE2520
MJE2521

S
S
S
S
S
S
S
S
S
S

P
P
N
N
N
N
P
P
N

MJE2370
MJE2370
MJE24BO
MJE24BO
MJE24BO
MJE2480
MJE2490
MJE2490
MJE2520
MJE2520

ASP
ASP
ASP
ASP
ASP
ASP
ASP
ASP
ASP
ASP

40W
40W
SOW
SOW
SOW
60W
60W
SOW
40W
40W

C
C
C
C
C
C
C
C
C
C

150
150
150
150
150
150
150
150
150
150

40
SO
40
SO
40
SO
40
60
40
SO

40
SO
40
SO
40
SO
40
SO
40
SO

MJE2522
MJE2523
MJE2801
MJE2901
MJE2955
MJE3054
MJE3055
MJE3370
MJE3371
MJE3439

S
S
S
S
S
S
S
S
S
S

N
N
N
P
P
N
N
P
P
N

MJE2520
MJE2520
MJE2801
MJE2901
MJE2955
MJE3054
MJE3055
MJE3370
MJE3371
MJE3439

ASP
ASP
AP
AP
ASP
ASP
ASP
AP
AP
AP

40W
40W
90W
90W
90W
40W
90W
25W
40W
15W

C
C
C
C
C
C
C
C
C
C

150
150
150
150
150
150
150
150
150
150

40
SO
60
SO
70
90
70
30
40
450

40
SO
SO
60
60
55
SO
30
40
350

MJE3440
MJE3520
MJE3521
MJE3738
MJE3739
MJE3740
MJE3741
MM380
MM404

S
S
S
S
S
S
S
G
G

N
N
N

N
N
P
P
P
P

MJE3439
MJE3520
MJE3520
MJE3738
MJE3739
MJE3740
MJE3740
MM380
MM404

AP
AP
AP
AP
AP

15W
25W
40W
30W
30W
40W
40W
250m
150m

C
C
C
C
C
C
C

150
150
150
150
150
150
150
100
100

350
30
40
250
325
60
80
25
25

250
30
40
225
300
60
80
10
24

MM404R
MMl139
MM1552
MM1553
MM1619
MM1S20
MM1812
MM1941
MM225B
MM2259

S
S
S
S
S
S
S
S

P
P
N
N
N
N
N
N
N
N

MM404
MM1l39
MM1552
MM1552
MM1S19
MM1S19
MM1812
MM1942
MM2258
MM2258

MM2260
MM2483
MM2484
MM2894A
MM3000
MM3001
MM3002
MM3003
MM3004
MM3005

S
S
S
S
S
S
S
S
S
S

N
N
N
P
N
N
N
N
N
N

MM2258

G
G

N
N

P
P
N

III
N
N

N

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

>

MM2894
MM3000
MM3000
MM3000
MM3000
MM3005
MM3005

~r

SP
AH

A
A

.~
S
AH
AHP
AHP
AHP
AHP
AH
AH
AH
AH

150m
125m
80W
BOW
50W
100W
5.0W
300m
l.OW
l.OW

A
A

100
100
200
200
200
200
200
A 175
A 200
A 200

40
30
S5
100
48
48
175
30
120
175

35
15
35
70
24
24
175
30
120
175

AH
A
A
SH
AH
AH
AH
AH
AH
AS

l.OW
1.2W
1.2W
3S0m
LOW
l.OW
l.OW
l.OW
l.lW
LOW

A 200
C 200
C 200
A 200
A 200
A 200
A 200
A 200
A 200
A 20Q

175
SO
SO
12

175

C
C
C
C
C

80

sb
60
12
100
150
200
250
25
60

3-43

~
0

a
a
a
a
a
a
a
a
a
a
a
a
a
a
a
a
a
0
0
0

a
a
a
a
0
0

a
a
a
a
a
a
a
a
a
a
a
S
S

a
a
a
a
a
a

S

a
a
a

d

a
a
b
a
a
a
a
a

Ii.

Min

hFE @ IC
Max

VCEISAT)@ IC ~

'E
=>

.to

Volts

:5

hf-

~

~'" f-·i=> ~

'"

20
20
20
20
25
25
25
25
25
50

100
100
100
100
125
125
125
125
200
250

5.0A
5.0A
5.0A
5.0A
LOA
LOA
LOA
LOA
50m
50m

I.B
I.B
I.B
I.B
1.0
1.0
1.0
1.0
1.5
1.5

15A
15A
15A
15A
3.5A
3.5A
3.5A
3.5A
100m
100m

25
25
25
25
20
20
20
20

E
E
E
E
E
E
E
E

3.0M
3.0M
3.0M
3.0M
3.0M
3.0M
3.0M
3.0M
10M
10M

40
40
20
20
20
20
20
20
10
10

200
200
100
100
100
100
100
100

0.2A
0.2A
l.5A
l.5A
2.5A
2.5A
l.OA
l.OA
l.OA
LOA

0.7
0.7
0.7
0.7
0.7
0.7
0.5
0.5
0.7
0.7

LOA
l.OA
l.5A
l.5A
l.5A
l.5A
l.OA
l.OA
l.OA
l.OA

20
20

E
E

20
20
20
20

E
E
E
E

3.0M
3.0M
2.0M
2.0M
2.0M
2.0M
3.0M
3.0M
3.0M
3.0M

T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T

20
20
25
25
20
25
20
25
40
40

100
100
100
100
70
100
70

LOA
l.OA
3.0A
3.0A
4.0A
0.5A
4.0A
LOA
LOA
20m

0.5
0.6

l.OA
l.OA

20
20

E
E

3.0M
3.0M

T
T

1.1
1.0
1.1

4.0A
0.5A
4.0A

E

2.0M
30K
2.0M

T

25

0.5

50m

25

E

15M

T

40
25
40
40
40
30
30
15
30

lS0

20m
LOA
LOA
100m
100m
250m
250m
3.0m
12m

0.5

50m

25

E

15M

T

2.5
2.5
O.S
0.5
0.15
0115

250m
250m
l.OA
l.OA
10m
12m

T
T
T
T

135

E

10M
10M
4.0M
4.0M
400M
4.0M

12m
2.0m
3.0A
2.0A
l.OA
2.4A
10m
10m
10m
10m

0.15

12m

135

E

10m
l·.Om
l.Om
30m
10m
10m
10m
10m
150m
150m

30
15
5.0
15
3.0
3.0
35
25
50
35
50
175
250
40
20
20
20
20
70
50

160

200
200
100
100

200

120

250

0.3

10m

0.4
0.4

25m
25m

0.4

25m

50

2.4
3.0
0.19

30m

0.35

150m

T

E

T

T
B

4.0M
400M

T

600M
150M
150M

T
T
T

150M

T

800M
150M
150M
150M
150M
50M
50M

T
T
T
T
T
T
T

B

E

E
E

TRANSISTOR INDEX

..ffi .
.. ...
.....

(continued)

I-

Tvpe

:;;

MM300S
MM3007
MM300S
MM3009
MM3724
MM3725
MM372S
MM3903
MM3904
MM3905

S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
P
N
N

MM390S
MM4000
MM4001
MM4002
MM4003
MM4005
MM400S
MM4007
MM4008
MM4009
MM4010
MM401S
MM4019
MM402S
MM4027
MM402S
MM4029
MM4030
MM4031
MM4032

....
.§

1:

iC
.....
0

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

>

I-

...·s

Ref.

Use

Po
@25°C a:

TJ

-= ac

VCB
Volt<

VCEVolt<

.

Min

0
0
0
0
0
0
0
0
0
0

50
50
30
30
25
25
30
50
100
50

"!l

AS
AS
AH
AH
SH
SH
SH
AS
AS
AS

1.0W
LOW
LOW
LOW
LOW
LOW
LOW
3S0m
3S0m
3S0m

A
A
A
A
A
A
A
A
A
A

200
200
200
200
200
200
200
200
200
200

100
120

P

MM3005
MM3005
MM300S
MM3008
MM2724
MM2724
MM372S
MM3903
MM3903
MM3905

SO
SO
40

80
100
120
180
30
50
50
40
40
40

S
S
S
S
S
S
S
S
S
S

P
P
P
P
P
P
P
P
P
P

MM3905
MM4000
MM4000
MM4000
MM4000
MM4005
MM4005
MM4005
MM4008
MM400S

AS
AH
AH
AH
AH
AH
AH
AH
AH
AH

3S0m
SOOm
1.0W
LOW
LOW
LOW'
LOW
LOW
LOW
LOW

A
A
A
A
A
A
A
A
A
A

200
200
200
200
200
200
200
200
200
200

'40
100
150
200
250
SO
80
100
SO
80

40
100
150
200
250
SO
SO
100
SO
SO

0
0
0
0
0
0
0
0
0
0

100
20
20
20
20
40
40
40
75
75

S
S
S
S

P
P
P
P
P
P
P
P
P
P

MM400S
MM4018
MM4019

AH
AH
AH
S
S
S
S
S
S
S

LOW
5.0W
5.0W
0.5W
0.5W
0.5W
0.5W
0.8W
0.8W
O.BW

A
C
C
A
A
A
A
A
A
A

200
200
200
200
200
200
200
200
200
200

100
40
SO
SO
80
SO
SO
SO
80
SO

100
20
40
SO
80
SO
BO
SO
BO
SO

0
0
0
0
0
0
0
0
0
0

75
10
10
40
100
40
100
40
100
40

O.BW
5.0W
LOW
200m
0.5W
3S0m
360m
3S0m
3S0m
200m

A
C
C
A
A
A
A
A
A
A

200
200
200
200
200
200
200
200
200
200

BO
90
SO
15
30
12
15
12
15
15

80
65
40
10
30
12
15
12
15
15

0
0
0
0
0
0
0
0
0
0

100
40
50
20
20
30
30
50
50
30

S
S
S
S
S
S

S
S
S
S
S
S
S
S

P
P
P
P
P
P
P
P
P
P

MM4049
MM4052
MM4208
MM420S
MM420S
MM420S
MM42S1H

S
S
S
AH
SC
SH
SH
SH
SH
S

MM5000
MM5001
MM5002
MM5005
MM500S
MM5007
MMSOOO
MM8001
MMS003
MM800S

6
6
6
S
S
S
S
S
S
S

P
P
P
P
P
P
N
N
N
N

MM5000
MM5000
MM5000
MM5005
MM5005
MM5005
MMSOOO
MMSOOO
MMS003
MMSOOS

AH
AH
AH
SAH
SAH
SAH
AH
AH
AH
AH

150m
150m
150m
1.5W
1.5W
1.5W
3.5W
3.5W
5.0W
200m

A
A
A
A
A
A
C
C
C
A

100
100
100
200
200
200
200
200
200
200

30
30
30
SO
100
120
40
40
40
15

15
15
15
SO
80
100
30
30
30
10

0
0
0
0
0
0
0
0
0
0

30
30
30
50
50
50
30
30
3D
25

MMS007
MMSOOS
MM8009
MM8010
MMSOll
MM801S
MMCM918
MMCM930
MMCM2222
MMCM23S9

S
S

N
N
N
N
N
N
N
N
N
N

MMSOOS
MMSOOS
MMS009
MMSOOS
MMSOOS

AH
A
AH
A
A
AH
MMCM918
A
MMCM930
A
MMCM2222 SAH
MMCM23S9 SH

200m
3.5W
3.5W
3.5W
3.1iW
0.31W
200m
200m
200m
200m

A
C
C
C
C
A
A
A
A
A

200
200
200
200
200
200
200
200
200
200

15
35
55
35
35
35
30
SO
SO
40

10
30
50
30
30
30

0
0
0
0
0
0
0
0
0
0

25

MM4033
MM403S
MM4037
MM4049
MM4052
MM420B
MM4208A
MM4209
MM4209A
MM42S1H

S
S

S
S
S
S
S
S
S
S

1~

45
30
15

3·44

300
20
150
100
40

hFE @ IC
Max
250
250

150
150
120
150
300
150
300

120
300
120
300
120
300
120
300
140
250
80
120
120
120
120
150

250
250
250

.t:c:
:::>

0.35
0.35

150m
150m

O.S
O.S
O.S
0.2
0.2
0.25

500m
500m
500m
10m
10m
10m

10m
10m
10m
10m
10m
LOrn
LOrn
1.0m
10m
10m

0.25
O.S
O.S
5.0
5.0

10m
10m
10m
10m
10m

O.lA
0.15A
0.15A
25m
10m
10m
10m
10m
10m
10m
3.0m
3.0m
3.0m
150m
200m
250m
50m
50m
50m
LOrn
LOrn

900

300
120

Volts

.
:5 hf-

200m
250m
LOrn
LOrn
50 Om
500m
500m
10m
10m
10m

10m
50m
250m
100m
100m
100m
100m
O.IA
O.lA
O.lA

100m
3.0m
LOrn
150m
10m

....

.. 1.. f-·i

VCE(SAT) @IC ~

:::>

.§

..
"!l

50 E
100 E
50 E

50M
50M
50M
50M
200M
200M
200M
250M
300M
200M

T
T
T
T
T
T
T
T
T
T

100 E

250M

T

50M
50M
50M

T
T
T

900M
750M

T
T

4.06
12M
850M
850M
850M
850M
2.06

T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T

0.15
0.15
0.15
0.15
0.15
0.15
0.15

150m
150m
150m
150m
0.15A
0.15A
0.15A

1.0
1.5
1.0
1.5
1.0
1.5
1.0

E
E
E
E
E
E
E

0.15
0.65
1.4

0.15A
0.15A
0.15A

1.5
3.0
3.0

E
E
E

20

E

O.lS
O.lS
0.18
O.lS
0.5

10m
10m
10m
10m
10m

0.5
0.5
0.5

150m
150m
150m

0.35

SOm

800M
SOOM
800M
30M
30M
30M
700M
900M
1200M
1000M

0.35
0.3
0.5
0.3
0.3
0.5
0.4
0.35
0.4
0.25

80m
100m
100m
100m
100m
10m
10m
LOrn
150m
10m

1000M
1100M
1000M
1100M
1100M
50M
SOOM
SOM
200M
500M

TRANSISTOR INDEX(continued)

.....
0:

..:
:0;

>
....
0:
:3
0

MMCM2907
MMT70
MMT71
MMT72
MMT73
MMT74
MMT75
MMT76
MMTS06

S
S
S
S
S
S
S
S
S
S

N
P
N
P
N
P
N
P
N
N

MMTS07
MMTSOS
MMTS09
MMT91S
MMT930
MMT2222
MMT2369
MMT24S4
MMT2S57
MMT2907

S
S
S
S
S
S
S
S
S
S

MMT3014
MMT3546
MMT3798
MMT3799
MMT3903
MMT3904
MMT3905
. MMT390S
MMT39S0
MMT39S0A

..:

1;;.

Po
@25"C ';i
tc

...

TJ

°c

MMCM24S4
A
MMCM2907 SAH
MMT70
A
MMT71
A
MMT72
SH
MMT73
SH
A
SAH
SAH
MMTS06
SH

200m
200m
225m
225m
225m
225m
225m
225m
225m
225m

A
A
A
A
A
A
A
A
A
A

200
200
135
135
135
135
135
135
135
135

N
P
P
N
N
N
N
N
N
P

MMTS07
AH
MMTS08
SH
MMT809
AH
MMCM91S
A
MMCM930
A
MMCM2222 SH
MMCM2369 SH
MMCM2484 A
MMCM2857
A
MMT2907
SAH

225m
225m
225m
225m
225m
225m
225m
225m
225m
225m

A
A
A
A
A
A
A
A
A
A

S
S
S
S
S
S
S
S
S
S

N
P
P
P
N
N
P
P
N
N

MMT3014
MMT3546
MMT3798
MMT3798
MMT3903
MMT3903
MMT3905
MMT3905
MMT39S0
MMT39S0A

SH
SH
A
A
SAH
SAH
SAH
SAH
SH
SH

225m
225m
225m
225m
225m
225m
225m
225m
225m
225m

MMT8015
MPll0
MPll0B
MP500
MP500A
MP501
MP501A
MP502
MP502A
MP504

S
G
G
G
G
G
G
G
G
G

N
P
P
P
P
P
P
P
P
P

MMT8015
MPll0
MPll0B
MP500
MP500
MP500
MP500
MP500
MP500
MP500

A
AP
AP
AP
AP
AP
AP
AP
AP
AP

MP504A
MP505
MP505A
MP50S
MP50SA
MP525
MPSOO
MP601
MPS02
MPS03

G
G
G
G
G
G
G
G
G
G

P
P
P
P
P
P
P
P
P
P

MP500
MP500
MP500
MP500
MP500
MP525
MP600
MPSOO
MPSOO
MPSOO

MPSOO
MPSOl
MP900
MP901
MP902
MP1S12
MP1S12A
MP1S12B
MP1S13
MP2000A

G
G
G
G
G
G
G
G
G
G

P
P
P
P
P
P
P
P
P
P

MPSOO
MPSOO
MP900
MP900
MP900
MP1612
MP1612
MP1S12
MP1S13
MP2000A

w
....

Type
MMCM24S~

...

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS
c
'0

Ref.

VCB
Volts

VCEVolts

60
60
25
25

20
30
30
S.O

60
40
20
20
10
S.O
12
20
20
5.0

135
135
135
135
135
135
135
135
135
135

S.O
8.0
S.O
30
60
60
40
60
30
60

5.0
5.0
5.0
15
45
30
15
60
15
40

A
A
A
A
A
A
A
A
A
A

135
135
135
135
135
135
135
135
135
135

40
15
60
SO
60
SO
40
40
5.0
15

20
12
SO
SO
40
40
40
40
3.0
8.0

200m
10SW
106W
170W
170W
170W
170W
170W
170W
170W

A
C
C
C
C
C
C
C
C
C

135
110
110
110
110
110
110
110
110
110

15
90
45
45
SO
60
70
70
45

10
S5
40
30
30
45
45
SO
SO
30

AP
AP
AP
AP
AP
AP
SP
SP
SP
SP

170W
170W
170W
170W
170W
106W
85W
85W
S5W
S5W

C
C
C
C
C
C
C
C
C
C

110
110
110
110
110
110
110
110
110
110

AP
AP
SP
SP
SP
AP
AP
AP
AP
SP

250W
250W
250W
250W
250W
S5W
S5W
85W
S5W
10SW

C
C
C
C
C
C
C
C
C
C

110
110
110
110
110
110
110
110
110
110

Use

45
SO
SO
70
70
75
75
90
90

SO
110
140
100
140
lS0
100

30
45
45
SO
60
60
50
SO
70
80
SO
45
SO
90
120
100
140
lS0
75
30

3-45

I

1;;.

en

Min

0
0
0
0
0
0
0

250
100
150
150
30
30
25
50
50
50

a
a
a
0

a
a
a
0

a
a
a
a
a
a
a
0

a
a
a
a
a
a
a
a
X
0

a

0
0

a
a
0
0

a
a
a
a
a
X
0

a
a
a
0
0

a
a
a
a
a
0

a
0

25
50
25
20
150
100
40
250
30
100
50
30
150
300
50
100
50
100
100
30

hFE @ IC
Max
300

400
400

300
120

300
200

150
300
150
300
200
200

25
74
55
30
30
30
30
30
30
50

300
250

50
50
50
50
50
30
50
50
50
50

100
100
100
100
100
150

15
15
20
20
20
25
25
25
40
25

SO
SO
SO
60
SO
SO
100

100
100
100

.~

I

VCE(SATI @IC ~
Volts

...

:5

'§
.g

::::I

en

1.0m
150m
2.0m
2.0m
10m
10m
3.0m
10m
10m
100'

0.35
0.4

1.0m
150m

60M
200M

T
T

10m

400M
400M
700M

T

0.2

0.1

100'

1200M

T

1.0m
100'
1.0m
3.0m
1.0m
150m
10m
1.0m
3.0m
15Qm

0.125
0.1
0.125
0.4
0.35
0.4
0.25
0.35

1.0m
100'
1.0m
10m
1.0m
150m
10m
1.0m

0.4

150m

1200M
1200M
1200M
600M
60M
200M
500M
60M
1000M
200M

T
T
T
T
T
T
T
T
T
T

30m
10m
1.0m
1.0m
10m
10m
10m
10m
10m
10m

0.22
0.15
0.25
0.25
0.2
0.2
0.25
0.25
0.2
0.2

30m
10m
1.0m
1.0m
10m
10m
10m
10m
10m
10m

350M
700M
40M
40M
250M
300M
200M
250M
lS00M
lS00M

T
T
T
T
T
T
T
T
T
T

1.0m
1.0A
5.0A
15A
15A
15A
15A
15A
15A
15A

0.35
0.5
0.5
0.2
0.2
0.2
0.2
0.2
0.2
0.2

10m
2.0A
5.0A
15A
15A
15A
15A
15A
15A
15A

1000M
320k
500k
2.0k
2.0k
2.0k
2.0k
2.0k
2.0k
2.0k

T
T
T
E
E
E
E
E
E
E

15A
15A
15A
15A
15A
3.0A
5.0A
5.0A
5.0A
5.0A

0.2
0.2
0.2
0.2
0.2

15A
15A
15A
15A
15A

2.0k
2.0k
2.0k
2.0k
2.0k

E
E
E
E
E

0.75
0.75
0.75
0.75

25A
25A
25A
25A

150A
150A
70A
70A
70A
lOA
lOA
lOA
1.0A
S.OA

0.3
0.3
0.5
0.5
0.5
0.3
0.3
0.3
0.25
O.S

150A
150A
150A
150A
150A
lOA
lOA
lOA
3.0A
25A

210k

T

::::I

hf- en

1;;.

f-·i

275
475
100
200
100
200

E
E
E
E
E
E

T
T

TRANSISTOR INDEX(continued)

-'

....
a:
:5

't

TJ

'" °c

VCB
Volts

VCEVolts

40
60
75
90

200
320
20
40
25

3-46

a
0

a
0
0

a

hFE

@

200
200

200
200
400
400
BO

120
120
160
400

100
180
300
500
100
180
300
500
400
600
400
600
120
270
120
270

IC

.~

10m
2.0m
2.0m
2.0m
2.0m
2.0m
2.0m
2.0m
2.0m
2.0m
2.0m
2.0m
2.0m
100m
100m
100m
100m
100m
100m
4.0m

VCE(SAT)
Volts

=-

...

@ IC ~

:5

hf-

4.0

30
80
20
25
100

200
200
200

~

'"

~

f-.~

1

'" '"
600k
600k
BOOk
600k
210k
210k
210k
210k
1.0M
1.0M

T
T
T
T
T
T
T
T
T
T

350M

T

400M
250M
4.0M
4.0M
200M
200M
350M
600M

T
T
B
B
T
T
T
T

500M
250M
250M
600M
100M
150M
500M
350M
200M
200M

T
T
T
T
T
T
T
T
T
T

100M
100M
100M
100M
100M
100M
100M
100M
120M
880M

T
T
T
T
T
T
T
T

E

E
E
E
E
E

E
E
E

T

T

0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5

50m
50m
50m
50m
50m
50m
50m
50m
50m

250M
250M
390M
390M
200M
200M
340M
340M
390M

T
T
T
T
T
T
T
T

0.5
0.5
0.5
0.5
0.3
0.5
0.5
0.3
0.5

50m
50m
50m
100m
100m
100m
100m
100m
100m

480M
340M
420M
390M
390M
390M
260M
260M
260M
500M

T
T
T
T
T
T
T
T
T
T

T

TRANSISTOR INDEX(continued)

....
e(
0:
w

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

>
t::
a:

;;;
~
-;i TJ

VCEVolts

1
..

:;;

....0

Ref.

Use

PD
@25°C

a:

°c

VCB
Volts

MPS6540
MPS6542
MPS6543
MPS6544
MPS6545
MPS6546
MPS6547
MPS6548
MPS6560
MPS6561

S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N

MPS6540
MPS6542
MPS6543
MPS6544
MPS6544
MPS6546
MPS6546
MPS6548
MPS6560
MPS6560

AH
AH
AH
AH
AH
A
A
A
AH
AH

310m
310m
310m
310m
310m
310m
310m
310m
500m
500m

A
A
A
A
A
A
A
A
A
A

135
135
135
135
135
135
135
135
135
135

30
30
35
60
60
35
35
30
25
20

30
20
25
45
45
25
25
25
25
20

0
0
0
0
0
0
0
0
0
0

25
25
25
20
20
20
20
25
50
50

MPS6562
MPS6563
MPS6565
MPS6566
MPS6567
MPS6568
MPS6568A
MPS6569
MPS6570
MPS6571

S
S
S
S
S
S
S
S
S
S

P
P
N
N
N
N
N
N
N
N

MPS6560
MPS6560
MPS6565
MPS6565
MPS6567
MPS6568
MPS6568
MPS6568
MPS6568
MPS6571

AH
AH
AH
AH
AH
AH
AH
AH
AH
AH

500m
500m
310m
310m
310m
310m
310m
310m
310m
310m

A
A
A
A
A
A
A
A
A
A

135
135
135
135
135
135
135
135
135
135

25
20
60
60

25
20
45
45
40
20
20
20
20
20

0
0
0

50
50
40
100
25
20
20
20
20
250

MPS·A05
MPS·A06
MPS·A09
MPS·Al0
MPS·A12
MPS·A13
MPS·A14
MPS·A16
MPS·A17
MPS·A18

S
S
S
S
S
S
S
S
S
S

N MPS·A05
N MPS·A05
N MPS·A09
N MPS·Al0
N .MPS·A12
N MPS·A13
N MPS·A13
N MPS·A16
N MPS·A16
N MPS·A18

AH
AH
AH
AH
AH
AH
AH
SH
SH
SH

500m
500m
310m
300m
310m
500m
500m
350M
350M
310M

A
A
A
A
A
A
A
A
A
A

135
135
135
135
135
135
135
150
150
135

60
80
50

60
80
50
40
20
30
30
40
40
45

0
0
0

MPS·A20
MPS·A42
MPS·A43
MPS·A55
MPS·A56
MPS·A65
MPS·A66
MPS·A70
MPS·A92
MPS·A93

S
S
S
S
S
S
S
S
S
S

N
N
N
P
P
P
P
P
P
P

MPS·A20
MPS·A42
MPS·A42
MPS·A55
MPS·A56
MPS·A65
MPS·A65
MPS·A70
MPS·A92
MPS·A92

AH
AH
AH
A
A
AH
AH
AH
AH
AH

300m
625M
625M
500m
500m
500m
500m
300m
625M
625M

A
A
A
A
A
A
A
A
A
A

135
150
150
135
135
135
135
135
150
150

MPS·H02
MPS·H04
MPS·H05
MPS·H07
MPS·H08
MPS·Hl0
MPS·Hll
MPS·H19
MPS·H20
MPS·H24

S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N

MPS·H02
MPS·H04
MPS·H05
MPS·H07
MPS·H07
MPS·HlO
MPS·Hl0
MPS·H19
MPS·H20
MPS·H24

AH
AH
AH
AH
AH
AH
AH
AH
AH
AH

500m
300m
300m
500m
500m
310m
310m
310M
310m
500m

A
A
A
A
A
A
A
A
A
A

135
135
135
135
135
135
135
135
135
135

MPS·H30
MPS·H31
MPS·H34
MPS·H37
MPS·H54
MPS·H55
MPS·HB3
MPS·KlO
MPS·Kll
MPS·K12

S
S
S
S
S
S
S
S
S
S

N
N
N
N
P
P
P
N
N
N

MPS·H30
MPS·H30
MPS·H34
MPS·H37
MPS·H54
MPS·H54
MPS·HB3
MPS·AlO
MPS·AlO
MPS·Al0

AH
AH
AH
AH
AH
AH
AH
AH
AH
AH

310m
310m
500m
310m
300m
300m

A
A
A
A
A
A
A
A
A
A

135
135
135
135
135
135
150
135
135
135

le(

Type

e(

"-

LOW
300m
300m
300m

a

~

20
20
20
20
20

30
30

45
300
200
60
80
30
30
300
200
20

30
30
30
30
30
40
40
20
20
45

300

40
300
200
60
BO
30
30
40
300
200
20
BO
80
30
30
25
25
25
30
30
20
20
45
40
BO
BO
300
40
40
40

3-47

0
0
0

0
0
0
0

0
0
0
0

0
0
0
0
0
0

0
0
S
S
0
0
0

0
0
0
0
0
0

0
0
0
0
0

0
0
0
0
0
0

0
0
0

Min

50
50
100
40
20,000
10,000
20,000
200
200
800
40
40
50
50
50
20,000
40,000
40
25
30

hFE @ IC
M.x

200
200
200
200
160
400
200
200
200
200
1000

600
400

600
600
400
200
125
125

400
150

20
30
30
20
20
60
60
45
25
30

200
120
150

20
20
15
25
30
30
40
40
40
40

200
200

120
150
300
300
300

.~
::::>

VCE(SAT) @IC ~
Volts

." hf:5

2.0m
2.0m
4.0m
30m
30m
2.0m
2.0m
4.0m
500m
350m

0.5

10m

0.35
0.5
0.5
0.35
0.35
0.5
0.5
0.5

10m
30m
30m
10m
10m
4.0m
500m
350m

500m
350m
10m
10m
10m
4.0m
4.0m
4.0m
4.0m
100'

0.5
0.5
0.4
0.4
0.5
3.0
3.0
3.0
3.0
0.5

500m
350m
10m
10m
10m
10m
10m
10m
10m
10m

100m
100m
O.lm
5.0m
10m
100m
100m
5.0M
5.0M
1.0M

0.25
0.25
0.9

100m
100m
10m

1.0
1.5
1.5
0.25
0.25
0.1

10m
100m
100m
10M
10M
10M

5.0m
30M
30M
100m
100m
100m
100m
5.0m
30M
30M

0.25
0.5
0.4
0.25
0.25
1.5
1.5
0.25
0.5
0.4

10m
20M
20M
100m
100m
100m
100m
10m
20M
20M

0.25
0.25

10m
10m

0.5
0.5

4.0m
4.0m

3.0
3.0
0.5
0.5
0.25
0.25
0.75

10m
10m
20m
10m
10m
10m
30M

4.0m
1.5m
1.5m
3.0m
3.0m
4.0m
4.0m
4.0M
4.0m
B.Om
4.0m
4.0m
20m
5.0m
1.5m
l.5m
30M
5.0m
5.0m
5.0m

160
160

35

1..

E
E

~

f-.~
::::>

1
.

350M
700M
750M

T
T
T

600M
600M
650M
60M
60M

T
T
T
T
T

60M
60M
200M
200M

T
T
T
T

375M
375M
300M
300M
50M

T
T
T
T
T

50M
50M
30M
50M

T
T
T
T

125M
125M
100M
100M
100M

T
T
T
T
T

125M
50M
50M
50M
50M
100M
100M
125M
50M
50M

T
T
T
T
T
T
T
T
T
T

375M
80M
80M
400M
500M
650M
650M
300M
400M
400M

T
T
T
T
T
T
T
T
T
T

300M
300M
500M
300M
BOM
BOM
60M
50M
50M
50M

T
T
T
T
T
T
T
T
T
T

E

•

TRANSISTOR INDEX (continued)

....

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

>
!=

Type

'0:"
>::0
'"

~

ReI.

Use

@25'C

-sa;

MPS·K20
MPS·K21
MPS·K22
MPS·K70
MPS·K71
MPS·K72
MPS·L01
MPS·L07
MPS·L08
MPS·L51

S
S
S
S
S
S
S
S
S
S

N
N
N
P
P
P
N
P
P
P

MPS·A20
MPS·A20
MPS·A20
MPS·A70
MPS·A70
MPS·A70
MPS·L01
MPS·L07
MPS·L07
MPS·L51

AH
AH
AH
AH
AH
AH
AH
SH
SH
AH

300m
.300m
300m
300m
300m
300m
310m
310m
310m
310m

A
A
A
A
A
A
A
A
A
A

135
135
135
135
135
135
135
135
135
135

140
S.O
12
100

40
40
40
40
40
40
120
S.O
12
100

MPS·U01
MPS·U01A
MPS·U02
MPS·U03
MPS·U04
MPS·U05
MPS·U06
MPS·U07
MPS·U10
MPS·U45

S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N

MPS·U01
MPS·U01
MPS·U02
MPS·U03
MPS·U03
MPS·U05
MPS·U05
MPS·U07
MPS·UlO
MPS·U45

AH
AH
AH
AH
AH
AH
AH
AH
AH
AH

l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW

A
A
A
A
A
A
A
A
A
A

135
135
135
135
135
135
135
135
150
150

40
50
SO
120
180
SO
80
100
300
50

30
40
40
120
180
SO
80
100
300
40

0
50
0
50
0
50
0
40
0
40
0
SO
0
SO
0
30
0
40
0 25,000

MPS·U51
MPS·U51A
MPS·U52
MPS·U55
MPS·U56
MPS·U57
MPS·USO
MPS·U95
MQ2218
MQ2219A

S
S
S
S
S
S
S
S
S
S

P
P
P
P
P
P
P
P
N
N

MPS·U51
MPS·U51
MPS·U52
MPS·U55
MPS·U55
MPS·U57
MPS·USO
MPS·U95
MD2218
MD2219

AH
AH
AH
AH
AH
AH
AH
AH
SAM
SAM

l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
lOW
l.OW
400m
400m

A
A
A
A
A
A

135
135
135
150
150
150
150
150
200
200

40
50
SO
60
80
100
300
50
60
75

30
40
40
SO
80
100
300
40
30
40

0
0
0
0
0
0
0
0
0

MQ2904
MQ2905A
MQ34S7
MQ3725
MQ37S2
MQ3799
MQ3799A
MRF501
MRF502
MRF8004

S
S
S
S
S
S
S
S
S
S

P
P
P
N
P
P
P
N
N
N

MD2904
MD2905
MD34S7
MD3725
MD37S2
MD3799
MD3799
MRF501
MRF501
MRF8004

SAM
SAM
SM
SAM
SAM
AM
AM
AH
AH
AH

400m
400m
400m
400m
400m
250m
250m
200m
200m
5.0W

200
200
200
200
200
200
200

SO
60
40
65
40
SO
SO
25
35
SO

40
SO
40
40
40
60
SO
15
15
30

0
0
0
0
0

w

E

a;

....
'"

Po

~

C
A
A
A
A
A
A
A
A
A
A
A
A

C

'Q.

~

TJ

'c

1
'"

VCB
Volts

VCEVolts

0
0
0
0
0
0
0
0
0
0

3-48

0

0
0
0
0
0

Min
40
40
40
40
40
40
50
30
30
40

50
50
50
50
50
30
25
4,000
40
100
40
100
20
50
20
300
300
30
40
10

hFE @ IC
Max
300
300
300
300
300
300
300
120
120
250

300

50,000

300

120
300
120
300
150
900
900
250
170

'~

=>

VCE(SAT) @ IC ~
Volts

." hf_
:5

5.0m
5.0m
5.0m
5.0m
5.0m
5.0m
10m
10m
10m
50m

0.25
0.25
0.25
0.25
0.25
0.25
0.2
0.15
0.15
0.3

10m
10m
10m
10m
10m
10m
10m
10m
10m
50m

l.OA
l.OA
150m
10m
10m
250m
250m
250m
10m
200m

0.5
0.5
0.4
0.5
0.5
0.4
0.4
0.4
0.75
1.5

l.OA
l.OA
150m
250m
250m
250m
l.OM
l.OA
150m
150m
150m
150m
500m
100m
l.OA
100'
100'
l.Om
l.Om
400m

~

'"

~

f-.~

=>

1
'"

125M
125M
125M
125M
125M
125M
SOM
500M
700M
SOM

T
T
T
T
T
T
T
T
T

l.OA
l.OA
150m
200m
200m
250m
250m
250m
30m
l.OA

50M
50M
150M
100M
100M
50M
50M
50M
60M
100M

T
T
T
T
T
T
T
T
T
T

0.7
0.7
0.4
0.5
0.5
0.5
0.75
l.5
0.4
0.3

l.OA
l.OA
150m
250m
250m
250m
20M
l.OA
150m
150m

50M
50M
150M
50M
50M
50M
SOM
320M
200M
250M

T
T
T
T
T
T
T
T
T
T

0.4
0.4
0.5
0.2S
l.0
0.2
0.2

150m
150m
500m
100m
l.OA
100'
100'

200M
200M
150M
250M
150M
E 100M
E 100M
1000M
1200M

T
T
T
T
T
T
T
T
T

500
500

T

FIELD-EFFECT TRANSISTORS INDEX

This table contains an alpha-numerical listing and short-form specifications for Motorola in-house
non-registered field-effect transistors.

KEY
NF @ f
NOTE:
Breakdown
lOSS
0= Dual
Voltage
Vfs
Min Max IGSS
dB
M
MP=
Min
Max CISS
rnA rnA IOGO* V(BR) Sub'i1 Matched
Ref.
*nA
nA
Volts script .umhos ,umhos pF
Tvpe
::>
Pair
Numerical Listing
Minimum and
Noise Figure in dB or',
of Registered
Maximum
.uV I v'H z at a specified
frequency
Type Numbers
Drain Current
with gate
frequency units:
N = n-channel
connected to
H = Hz
P = p-channel
source
K= kHz
M= MHz
Maximum
Gate
J = Junction FET
Current (leakage)
M= MOS FET
Maximum Input Capacitance
with drain
connected
to
source
Reference device nu mber
indicates specific Data Sheet
'Maximum leakage
on which device is characterized from drain to gate
with source open
Minimum and Maximum Forward Transadmittance

~

Minimum
GS =
GSS =
GD =
GOS =
DGO =
DGS =
DS =
DSX =

Breakdown Voltage (Subscript defines conditions)
Gate to source. drain connection not specified
Gate to source, drain connected to source
Gate to drain, source connection not specified
Gate to drain, source connected to drain
Drain to gate, source open
Drain to gate, source connected to drain
Drain to source, gate connection not specified
Drain to source, gate biased to cutoff or beyond

3-49

•

FIELD-EFFECT TRANSISTORS INDEX
Breakdown
NF @ f
Voltage
Vfs
Max IGSS
dB
I
rnA IOGO* V(BR) SubMin
Max CISS gV*
I·t:::
c
*nA
I=>
nA
Volts script I.lrnhos Mmhos pF yHz

lOSS
Min
rnA

.~ t1c
Type

•

e;

co..

0

(.)

Ref.

MFE120
MFE121
MFE122
MFE2000
MFE2001
MFE2004
MFE2005
MFE2006
MFE2007
MFE200B

N
N
N
N
N
N
N
N
N
N

M
M
M
J
J
J
J
J
J
J

MFE120
MFE120
MFE120
MFE2000
MFE2000
MFE2004
MFE2004
MFE2004
MFE2007
MFE2007

MFE2009
MFE2010
MFE2011
MFE2012
MFE2093
MFE2094
MFE2095
MFE3001
MFE3002
MFE3003

N
N
N
N
N
N
N
N
N
P

J
J
J
J
J
J
J
J
M
M

MFE2007
MFE2010
MFE2010
MFE2010
MFE2093
MFE2093
MFE2093
MFE3001
MFE3002
MFE3003

50
15
40
100
0.1
0.4
1.0
0.5

MFE3004
MFE3005
MFE3006
MFE3007
MFE300B
MFE3020
MFE3021
MFE4007
MFE400B
MFE4009

N
N
N
N
N
P
P
P
P
P

M
M
M
M
M
M
M
J
J
J

MFE3004
M FE3004
MFE3006
MFE3006
MFE3006
MFE3020
MFE3020
MFE4007
MFE4007
MFE4007

2.0
2.0
2.0
5.0
2.0

0.5
O.B
1.5

MFE4010
MFE4011
MFE4012
MMFI
MMF2
MMF3
MMF4
MMF5
MMF6
MMT3823

P
P
P
N
N
N
N
N
N
N

J
J
J
J
J
J
J
J
J
J

M FE4007
MFE4007
MFE4007
MMFI
MMFI
MMFI
MMFI
MMFI
MMFI
MMT3823

2.5
4.0
7.0
0.5
0.5
0.5
0.5
0.5
0.5
5.0

MPF102
MPF10B
MPF109
MPFll1
MPFl12
MPFi20
MPF121
MPF122
MPF161
MPFB20
MPF1000

N
N
N
N
N
N
N
N
P
S
S

J
J
J
J
J
M
M
M
J
J
M

MPF102
MPF10B
MPF109
MPFlll
MPFl12
MPF120
MPF120
MPF120
MPF161
MPF820
MPF1000

2.0
1.5
0.5
0.5
1.0
2.0
5.0
2.0
0.5
10
5.0

2.0
5.0
2.0
4.0

B.O

,~

lB
30
20
10
20

B.O
15
30
B.O
20

0.7
1.4
3.0
6.0
10'
·10'
10
10

lB
20
20
10'
10'
1.0
1.6
3.0
5.0

B.O
14
10
10
10
10
10
10
20
20
24
24
20
25
7.0
10
9.0
14
15

20
20
20
·200
·200
0.2
0.2
0.2
2.0
2.0

25
25
25
·25
·25
30
30
30
25
25

DSX
DSX
DSX
GSS
GSS
GSS
GSS
GSS
GSS
GSS

2.0
3.0
3.0
3.0
·0.1
-0.1
·0.1
0.01
0.1
0.1

25
25
25
25
·50
-50
·50
20
15
-15

GSS
GSS
GSS
GSS
GSS
GSS
GSS
DSX
DSS
DSS

0.05
0.05
10
10
10
0.01
0.01
2.0
2.0
2.0

20
20
25
25
25
·25
-25
40
40
40

DSX
DSX
DSX
DSX
DSX
DSS
DSS
GSS
GSS
GSS

2000
2000
BOOO
10,000

2.0
2.0
2.0
0.05
0.05
0.05
0.05
0.05
0.05
·1.0

40
40
40
30
30
30
30
30
30
·30

GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS

2000
2200
2500
1500
1500
1500
1500
1500
1500
3000

·2.0
1.0
-1.0
100
100
20
20
20
10
5.0
20

·25
·25
-25
·20
-25
25
25
25
40
25
25

GSS
2000
7500
GSS
2000
7500
GSS
BOO
1600
GSS
500
GSS
1000
7500
DSX
BOOO lB,OOO
DSX 10,000 20,000
DSX
BOOO lB,OOO
GSS
6000
BOO
GSS 20* typ
DSX 10,000 20,000

3-50

BOOO lB,OOO
10,000 20,000
8000 18,000
2500
6000
4000
BOOO

250
350
400
700

500
700
BOO
3500

BOOO

lB,OOO
lB,OOO
lB,OOO

500
500
900
1000
1500

2700
3000
3500
4000
4500
5000
6500
6500
6500
6500
6500
.6500

BOOO

7.0
6.0
7.0
5.0
5.0
16
16
16
30
30

5.0
5.0
5.0
2.0
2.0

105
60
200
100
100

M
M
M
M
M

M
M
M
M

Note

30
50
50
50
6.0
6.0
6.0
5.0
5.0
4.5
4.5
6.0
5.5
6.0
7.0
7.0
7.0
7.0
7.0

4.5
4.5
4.0
4.0

200
400
100
200

2.5
2.5
2.5

100 H
100 H
100 H

7.0
7.0
7.0
6.0
6.0
6.0
6.0
6.0
6.0
4.0

2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.0

100 H
100 H
100H
100 H
100H
100 H
100 H
100 H
100 H
100 M

2.5
2.5

1.0 K
1.0 K

5.0
5.0
5.0
2.5
4.0
7.0

105 M Dual Gate
60 M Dual Gate
200 M Dual Gate
1.0 K

7.0
6.5
7.0
4.5'
B.O*
7.0
6.0
7.0
7.0
15'
4.0'

B50 M Dual Gate

DEVICES FOR
MILITARY APPLICATIONS

Page 4-3

1N ... Device Numbers
DIODES
Reference
Zener
Signal
ASSEMBLIES

2N ... Device Numbers

Page 4-4

TRANSISTORS - Amplifier. Chopper. Fets
Multiple Device. Power. Switching and Unijunction
THYRISTORS

4-1

•

•

4-2

DEVICES FOR MILITARY
APPLICATIONS

SILICON ZENER
DIODES ±5% SERIES
M I L-S-195001127
1 N746A JAN,JTX

.. thru _.1 N759A JAN,JTX

M I L-S-19500/117
1 N9628 JAN,JTX

The following tables list devices that
comply with military specifications.

.. thru .. 1 N9928 JAN,JTX

*MIL-S-195001114
1 N28048
lN2813B
lN28148
1 N28168
lN28188
lN28228
1 N2829 8
lN28318
1 N28408

JAN,JTX
JAN,JTX
JAN,JTX
JAN ,JTX
JAN,JTX
JAN,JTX
JAN ,JTX
JAN.JTX
JAN ,JTX

.. thru .. 1 N2811 8 JAN,JTX
...................... .
...................... .
...................... .
.. thru .. lN28208 JAN,JTX
.. thru .. lN28278 JAN,JTX
...................... .
.. thru .. lN28388 JAN,JTX
.. thru .. 1 N28468 JAN ,JTX

1N ... Device Numbers
DIODES
Reference
Zener

Signal
ASSEMBLIES

*M I L-S-195001124
lN29708
1 N2979 8
lN29808
1 N2982 8
lN29848
1 N29888
lN29958
1 N29978
1 N29998
lN30078
1 N3011 8
lN30128
1 N30148
1 N301 58

JAN,JTX
JAN ,JTX
JAN,JTX
JAN ,JTX
JAN,JTX
JAN,JTX
JAN,JTX
JAN,JTX
JAN,JTX
JAN,JTX
JAN ,JTX
JAN,JTX
JAN ,JTX
JAN ,JTX

.. thru .. lN29778 JAN,JTX
...................... .
...................... .
...................... .
.. thru .. lN29868 JAN,JTX
.. thru .. 1 N29938 JAN,JTX
...................... .
...................... .
.. thru ' .. 1 N30058 JAN,JTX
.. thr"u .. lN30098 JAN,JTX
...................... .
...................... .
...................... .
...................... .

TC REFERENCE
DIODES
MIL-S-19500
1 N429 JAN . . . . . . . . . . . • . . . . . . . . . . . . /299
1 N821 JAN,JTX . . . . . . . . • . . . . • • . . . . • . /159
1 N823 JAN ,JTX . . . . . . . . . . . . . . . . • . . . . /159
1 N825 JAN,JTX . . . . . . . . • . . • . • . . . • . . . /159
1 N827 JAN,JTX . . • . . . . . . . . . . . . . • . • . • 1159
1 N829 JAN ,JTX . . . . . . . . • . . • • . • . . • . . . 1159
1 N9358 JAN,JTX .............••••.• 1156
1N9378JAN,JTX • . . . . . • . . • . • . . . . . . . /156
1 N9388 JAN,JTX . . . . . . . . . . . . . . . . . . . /156
1 N939B JAN,JTX . . . . . . . . . . . . . . . . . . . /156
1 N941 B JAN,JTX . . . • . . . . . . . • . . . . . . . 1157
1N943BJAN,JTX . . . . . . . . . . . . • . . . . . . /157
1 N944B JAN,JTX . . . . . . • . . • . . . . . . • . . /157
1N9458JAN,JTX . . . . . . • . . . . . . . • . . • . /157
1N3154JAN,JTX . . . . . . . • . . . . . . . . . . • . /158
1 N3155 JAN,JTX . . . . . . . . . . . . . . . . . . • . /158
1 N3156 JAN,JTX . . . . . . . . . . . . . . . . . . • . /158
1 N3157 JAN,JTX . . . . . . . . . . • . • . . . . . . . /158

MIL-S-195001115
1 N30168 JAN,JTX .. thru .. 1 N3051 8 JAN,JTX

*MIL-S-195001358
1N33058 JAN,JTX .. thru .. lN33128 JAN,JTX
1 N3314 8 JAN ,JTX . . . . . . . . . . . . . . . . . . . . . . .
1N33158 JAN,JTX . . . . . . . . . . . . . . . . . . • . . . .
1N33178 JAN,JTX . . . . . . . . . . . . . . . . . . . . . . .
1 N3319 8 JAN ,JTX .. thru .. 1 N3321 8 JAN ,JTX
1 N3323B JAN ,JTX .. thru .. 1 N3328B JAN ,JTX
1N33308 JAN,JTX . . . . . • . . . . . . . . . . . . . . . . .
1 N3332 8 JAN ,JTX . . . . . . . . . . . . . . . • . . . . . . .
1N33348 JAN,JTX .. thru .. lN33408 JAN,JTX
1N33428 JAN,JTX' .. thru .. lN33448 JAN,JTX
1 N33468 JAN,JTX . . . . . . . . . . . . . . . . . . . . . . .
1 N33478 JAN,JTX ...........•.••.•••...•
1 N3349 8 JAN ,JTX . . . . . . . . . . . . . • . . , .• _ ..•
1 N33508 JAN ,JTX . . . . . . . • . . . . . . . . . . • . . . .

DIODE
ASSEMBLIES
MIL-S-19500
1 N 1530A JAN
1 N 1 742A JAN

MIL-S-19500/115
1N3821A JAN,JTX •. thru .. 1N3828A JAN,JTX

* *M I L-S-19500/272
1 N3993A JAN,JTX •. thru .. 1 N4000A JAN,JTX

M I L-S-19500/435
1N4099 JAN,JTX

.. thru .. 1N4135 JAN,JTX

MIL-S-19500/127
1N4370A JAN,JTX •. thru •. 1N4372A JAN,JTX

*MIL-S-19500/3588
1 N45498 JAN,JTX •. thru .. 1 N4554B JAN,JTX

MIL-S-19500/435
1N4614 JAN,JTX

.. thru .. 1N4627 JAN,JTX

• Reverse Polarities (Suffix R8) are available_
Polarities (Suffix RA) are available.

• * Reverse

4-3

. . . . . • . . . . . . . . . . . . . • • • /320
. . . . . . • . . . . . . • . • . . • . • . /298

•

DEVICES FOR MILITARY APPLICATIONS

(Continued)

The following tables list devices that comply with military specifications,
2N , , , Device Numbers
TRANSISTORS - Amplifier, Chopper,
Multiple Device, Power, Switching and Unijunction
THYRISTORS

SWITCHING AND HIGH FREQUENCY
TRANSISTORS
MIL-S-19500

•

2N393 JAN . . . • . . . . . . . . . . /77
2N499 JAN . . . . . . . . . . . • . . 172
2N499A JAN ., . . . . . . . . . . . /72
2N501 A JAN . . . . . . . . . . . . . /62
2N502A JAN •...•........ /112
2N5026 JAN • . . . . . . . . . . . . /112
2N559 JAN,JTX . . . . . . . . . . . /152
2N703 JAN .•..•...•....• 1153
2N705 JAN . . . . . • . . . . . • . . /86
2N706 JAN . . . . . . . . • . . . . • /120
2N708 JAN,JTX .•....•.... /312
2N718A JAN,JTX . . . . . . . . . /181
2N869A JAN,JTX ...... _ . . 1283
2N914 JAN,JTX . . . . . . . . . . . /373
2N916 JAN
. . . . . . . . . . . /271
2N929 JAN,JTX •..•....... 1253
2N930 JAN,JTX •.........• /253
2N962 JAN . . . . . . . . . . . . . . 1258
2N964 JAN . . . . . . . . • . . . . . /258
2N1131 JAN . . . . . . . . . . . . . /177
2Nl132 JAN . . . . . . . . . . . . . /177
2N1613 JAN,JTX . . . . . . . . . . /181
2N2218 JAN,JTX . . . . . . . . . . /251

2N2218A JAN,JTX . . . . . . . . /251
2N2219 JAN,JTX . . . . . . . . . . /251
2N2219A JAN,JTX ....... . /251
2N2221 JAN,JTX ...... ·.... /255
2N2221A JAN,JTX ....... . /255
2N2222..JAN, JTX . . . . . . . . . . /255
2N2222A JAN, JTX ........ . 1255
2N2369A JAN ,JTX . . . . . . . . /317
2N2481 JAN,JTX . . . . . . . . . . 1268
2N2857 JAN,JTX . . . . . . . . . . /343
2N2904 JAN,JTX . . . . . . . . . . /290
2N2904A JAN,JTX ........ 1290
2N2905 JAN,JTX . . . . . . . . . . 1290
2N2905A JAN ,JTX . . . . . . . . 1290
2N2906 JAN,JTX . . . . . . . . . . /291
2N2906A JAN,JTX . . . . . . . . /291
2N2907 JAN,JTX . . . . . . . . . . /291
2N2907A JAN,JTX ....... . /291
2N3013 JAN,JTX . . . . . . . . . . /287
2N3250A JAN,JTX ....... . /323
2N3251 A JAN,JTX . . . . . . . . /323
2N3253 JAN . . . . . . . . . . . . . /347
2N3444 JAN . . . . . . . . . . . . . /347
2N3449 JAN . . . . . . . . . . . . . /338

2N3467 JAN . . . . . . . . . . . . . /348
2N3468 JAN . . . . . . . . . . . . . /348
2N3485A JAN,JTX ....... . /392
2N3486A JAN,JTX ........ /392
2N3498 JAN,JTX . . . . . . . . . . /366
2N3499 JAN,JTX . . . . . . . . . . /366
2N3500 JAN,JTX : . . . . . . . . . /366
2N3501 JAN,JTX . . . . . . . . . . /366
2N3506 JAN,JTX . . . . . . . . . . /349
2N3507 JAN,JTX . . . . . . . . . . /349
2N3634 JAN,JTX . . . . . . . . . . /357
2N3635 JAN,JTX . . . . . . . . . . /357
2N3636 JAN,JTX . . . . . . . . . . /357
2N3637 JAN,JTX . . . . . . . . . . /357
2N3743 JAN,JTX . . . . . . . . . . /397
2N3763 JAN,JTX . . . . . . . . . . /396
2N3765 JAN,JTX . . . . . . . . . . /396
2N3959 JAN,JTX . . . . . . . . . . /399
2N3960 JAN,JTX . . . . . . . . . . /399
2N4453 JAN,JTX . . . . . . . . . . 12836
2N4930 JAN,JTX . . . . . . . . . . /397
2N4931 JAN,JTX . . . . . . . . . . /397
2N5581 JAN,JTX . . . . . . . . . . /423
2N5582 JAN,JTX . . . . . . . . . . /423

POWER TRANSISTORS
MIL-S-19500
2N174A JAN . . . . . . . . . . . . • /13
2N297A JAN . . . . . • . . . . . . . /36
2N665 JAN • . . . . . . . . . . . . . /58
2Nl 011 JAN • . . . . . . . . . . . . /67
2Nl046 JAN . . . . . . . . . . . . . /88
2Nl120 JAN . . . . . . . . . . . . . /68
2Nl165 JAN . . . . . . . . . . . . . /178
2N1358JAN ....... ...... /122
2N1412 JAN . . . . . . . . . . . . . /76
2N1412AJAN . . . . . . . . . . . • /76
2N'1549A JAN . . . . . . . . . . . . /332
2N1550A JAN . . . . . . . . . . . . /332
2N1551 A JAN . . . . . . . . . . . . /332
2N 1552A JAN . . . . . . . . . • . . 1332
2N1553AJAN . . . . . . . . . . . . /331

2N1554A JAN . . . . . . . . . . . . /331
2N 1555A JAN . . . . . . . . . . . . /331
2N1556A JAN . . . . . . . . . . . . /331
2N1557AJAN . . . . . . . . . . . . /330
2N 1558A JAN . . . . . . . . . . . . 1330
2N1559A JAN . . . . . . . . . . . . /330
2N1560A JAN . . . . . . . . . . . . /330
2N1651JAN . . . . . . . . . . . . . 1219
2N1652 JAN . . . . . . . . . . . . . 1219
2N1653JAN . . . . . . . . . . . . . /219
2N2079A JAN . . . . . . . . . . . . /340
2N2528 JAN . . . . . . . . . . . . . /309
2N2834 JAN . . . . . . • . . . . . . 1310
2N3055 JAN,JTX . . . . . . . . . . /407
2N3715 JAN,JTX . . . . . . . . . . /408

4-4

2N3716
2N3739
2N3740
2N3741
2N3791
2N3792
2N3867
2N3868
2N4399
2N5156
2N5302
2N5303
2N5745

JAN,JTX .......... . /408
JAN,JTX . . . . . . . . . . /402
JAN,JTX . . . . . . . . . . /441
JAN,JTX . . . . . . . . . . /441
JAN,JTX ........• . /379
JAN,JTX . . . . . . . . . . /379
JAN,JTX . . . . . . . . . . /350
JAN,JTX .......... . /350
JAN,JTX . . . . . . . . . ./433
JAN,JTX . . . . . . . . . ./416
JAN,JTX . . . . . . . . . . /456
JAN,JTX . . . . . . . . . . /456
JAN,JTX . . . . . . . . . . /433

DEVICES FOR MILITARY APPLICATIONS (continued)

SILICON CONTROLLED
RECTIFIERS

MULTIPLE DEVICES

MIL-S-19500
2N4199
2N4200
2N4201
2N4202
2N4203
2N4204

JAN
JAN
JAN
JAN
JAN
JAN

MIL-S-19500
2N2060
2N2639
2N2642
2N2919
2N2920
2N3810
2N3811
2N3838
2N4854

..••••....•. • /372
•••.•.•.....• 1372
.•.....•••••• /372
.•.••......•. 1372
.••••..•...•• /372
••...•.....•. 1372

JAN,JTX .........• 1270
JAN,JTX ......•.. . /316
JAN,JTX .......... /316
JAN,JTX ......... .1355
JAN,JTX ......... . /355
JAN,JTX ...•.•..•. . /336
JAN,JTX, ...... , " ./336
JAN,JTX ......... . /421
JAN,JTX .......... /421

RF POWER TRANSISTORS
MIL-S-19500

MILLIWATT
TRANSISTORS

2N700A JAN ..•..•..•.•.• /123
2N918 JAN,JTX •.....•.... /301
2Nl142 JAN .•...•..••.• . /87
2Nl195 JAN •....•..•.•.. 171
2N2273 JAN •..•.•.•.... • /244
2N2708 JAN ...•..••.••.• /302
2N3127 JAN •..........• . /346
2N3375 JAN,JTX ....••... • /341
2N3553 JAN,JTX .•..•.... . /341
2N3866 JAN,JTX ....••..• . /398
2N3866A JAN,JTX ..•..... /398

MIL-S-19500
2N331 JAN •............• 14
2N398A JAN ••.........•.. /174
2N404 JAN ....•........• /20
2N404A JAN . . . . . . . . . . . . . /20
2N461 JAN . . . . . . . . . . . . . . /45
2N464 JAN ...•..•....... 149
2N465 JAN .......••..... /49
2N466 JAN . . . . . . • . . . . . . . /51
2N467 JAN . . . . . . . . . . . . . . 149
2N526 JAN ........•..... ,'60
2N650A JAN .....•....•. . /175
2N651 A JAN .•........... /175
2N652A JAN .•..•.•..... . /175
2Nl008B JAN ........... . /196

FIELD-EFFECT
TRANSISTORS
MIL-S-19500
2N3330
2N3821
2N3822
2N3823
2N4092
2N4093

JAN,JTX
JAN,JTX
JAN,JTX
JAN,JTX
JAN,JTX
JAN JTX

UNIJUNCTION

..••.....• 1378
.•••....• • /375
..••..... . /375
.......•. • /375
.........• /431
. . . . . . . . . . /431

MIL-S-19500
2N4948 JAN,JTX ...••..... /388
2N4949 JAN,JTX ....•..... 1388
2N5431 JAN,JTX .•.......• /425

4-5

•

4-6

SELECTOR GUIDES
INDEX
SEMICONDUCTOR SELECTION GUIDES
Zener Diodes Device Options
Zener Diodes
Zener Reference Diodes
Precision Reference Diodes
Current Regulator Diodes
Forward Reference Diodes
Molded Assemblies
Reference Amplifiers
Silicon Power Transient Suppressors
Dual Diodes
Silicon Rectifiers
Hot-Carrier Power Rectifiers
Silicon Power Rectifier Assemblies
Thyristors
Triacs
Power Thyristors
Triggers
Unijunctions
Field-Effect Transistors
Germanium Power Transistors
Sil icon Power Transistors
Plastic Encapsulated Small-Signal Transistors
Small-Signal Hermetic Transistors
Silicon RF Transistors
Microwave Devices
Dual Epicap Tuning Diode
Mini-L Abrupt Junction Tuning Diodes
Epicap Tuning Diodes
Hyper-Abrupt Junction Tuning Diodes
Plastic Hot-Carrier Diodes
Micro-T Transistors and Diodes
Optoelectronics Glossary
Optoelectronics
Microcircuit Components

5-1

5-2
5-4
5-6
5-7
5-8
5-8
5-9
5-10
5-11
5-11
5-12
5-15
5-16
5-18
5-22
5-24
5-25
5-26
5-27
5-32
5-36
5-50
5-56
5-64
5-67
5-68
5-68
5-69
5-71
5-71
5-72
5-74
5-76
5-80

ZENER DIODE DEVICE OPTION
Motorola manufactures a complete line of zener diodes. The following pages describe the many device types available as standard products
that are stocked at the factory warehouse and with distributors. Although Motorola standard zener diodes will handle most of the industry's
needs, they represent only a small fraction of the devices that can be
supplied. I n cases where a non-standard set of specifications is requ ired,
the appropriate device can be selected and ordered from the following
device options.
NON-STANDARD ZENER DIODES
SPECIAL VOLTAGE AND TOLERANCE RATINGS
JEDEC "1 N" type numbers denote a specific Zener

voltage, power rating, and tolerance.

For example,

JE DEC type 1 N4 728 is a standard 1 watt diode, rated
at 3.3 volts ±. 1 0%. A suffix" A" on this type number
indicates a ±.5% voltage tolerance.
Special Motorola devices, with a choice of voltages
and tolerances are also available. The following diagram
explains the Motorola coding system:

MATCHED SETS OF ZENER DIODES
Zener diodes can also be obtained in sets consisting
of two or more matched devices. The method for specifying such matched sets is similar to the one described
for specifying units with a special voltage andlor tolerance except that two extra suffixes are added to the
code number described above.
These units are marked with code letters to identify
the matched sets and in addition, each unit in a set is
marked with the same serial number which is different
for each set being ordered.

T:/J
M

M

T
Power

T

XXXX

z

T

T

Zener
Diode

Nominal

Motorola

Zener Voltage
IVolts)

Rating
IWatts)

Rallng
Powe' ~
(Watts)

Z

T

~ener

DIodes

I

~ov.,all
Tolerance
of set

1+ 1%1

Motorola

T

-

Nominal Voltage
leach devlcel
( 4 Characters Max )

Tolerance
I!.%) lomotlo,
±20% units)

Excluding Decimal

Tolerance
per device (± 0/0)
(omit for
!20% units)

Code·

(A-Not used)

(:X~I~~~~~te~:C~~:1 )
'Code

For example, the code for a special 10 watt Zener
diode with a voltage of 41 volts and a tolerance of ±. 1 %
would be: 10M41Z1.
Following is a list of other standard Motorola sym·
bois for special Zener orders (X's indicate nominal Zener
voltage):

BASIC
MOTOROLA TYPE

DEVICE
DESCRIPTION

%MXXXAZ5
%MXXXZ5
.4MXXXAZ5
.4MXXXZ10
.5MXXXZS10

250 mW Alloy Glass, ±.5%
250 mW Glass, ±.5%
400 mW Alloy Glass, ±.5%
400 mW Glass, ±. 10%
500 mW Surmetic, ±. 1 0%
1 Watt Flangeless, ±.5%
1 Watt Alloy Flangeless, ±.1 0%
1 Watt Flangeless, ±. 10%
1 Watt Surmetic, ±.5%
1.5 Watt, ±.20%
5 Watt Surmetic, ±.5%
10 Watt Alloy Stud, ±.5%
10 Watt Stud, ±. 10%
50 Watt Alloy TO-3, ±. 10%
50 Watt Alloy Stud, ±.5%
50 Watt TO-3, ±.20%
50 Watt Stud, ±.5%

1MXXXAZ10
1MXXXZ10
1MXXXZS5
1.5MXXXZ
5MXXXZS5
10MXXXAZ5
10MXXXZ10
50MXXXAZ10
50MXXXASZ5
50MXXXZ
50MXXXSZ5

For reverse polarities (10 Wand 50W). insert" R"
before tolerance, ie., 50M110SZR5.
1N5518 thru 1N5546 - This series may be ordered
in ±.2% and ±.1 % tolerance by adding the following
suffix:
C = ±.2%

D = ±.1%

For example, the 1 N5518D would be the same as
the 1N5518B except Vz = 3.3 ±.1%.

B
C
D
E
F
G
H
P
X

-

Two devices in series
Three devices in series
Four devices in series
Five devices in series
Six devices in series
Seven devices in series
Eight devices in series
Two devices in parallel (not recommended)
Two devices; one standard polarity, the other
reverse polarity. (10 and 50 watts only)
Le., 10M51Z5B1 is for two 10 watt zeners, each of 51
volts, ±.5%, matched to a total voltage of 102 volts ±. 1 %.

ORDERING OF MATCHED SETS
Order per instructions in "Matched Sets of Zener
Diodes" or else specify the following:
- Type of matched set (series or parallel)
- Number of units per set
- Device type (with proper suffix to indicate
tolerance
- Number of sets required
- Total voltage and overall tolerance of the set

ADDITIONAL NOTES
Consult factory for pricing and ordering information
on special sets. For example: 1 )Sets with overall tolerance different from those shown; 2) Matched sets of
temperature compensated devices; 3) Sets which require
basic device types within the set to be different from
each other; 4) Sets with device type nominal voltages
outside the range of the Zener family involved; 5) Tight
tolerance temperature compensated diodes.

5-2

ZENER CHIPS (MZC)

ZENER CLIPPERS

1. The nomenclature for Zener Chips is as follows:

Z

M

T

T

Motorola Zener

C

C

=

T T T

Chip Nominal Series
Voltage (A or B)

BASIC TYPE
MOTOROLA
NOMENCLATURE

MZCXXAX
MZCXXBX

Special clipper diodes with opposing Zener ju nctions
built into the devices are available by using the follow·
ing nomenclatures:

T

X

T

Tolerance
(±%)

Power
Rating

M

XXX

T

Z

Z

T T

Nominal

Zener

Voltage

Diode

Clipper

(Watts)

DEVICE
DESCRIPTION

X

T
Tolerance for each of

the two Zener Voltages
(Is not a matching
requirement. Omit for
± 20% Units.)

Zener Chip - "A" Series. High Level
Zener Chip - "8" Series. Low Level

This nomenclature is applicable to all packages and
power ratings as restricted in the above paragraphs.
2. Ch ips are sold in increments of ten (10) only
3. Chips are not sold as matched sets or clippers.
4. A "·1" suffix will cause all chips ordered to be sup·
plied in Deka-Pak.

ORDERING INFORMATION
Order using the above nomenclature or else specify
the device type, nominal voltage and tolerance required.

UNIBlOC SERIES ZENER DIODES (MZ92)
The nomenclature for Unibloc Series Zener Diodes is
as follows:

M
Motorola

Z

92

Zener Series

xx
Nominal
Voltage

v
Non Suffix
A Suffix
B Suffix
C Suffix
D Suffix

~

20%
10%

5%
2%
1%

•

5-3

ZENER DIODES
The devices listed in the following tables represent a basic profile

resistance.

of the largest inventoried Zener diode line in the industry. These
diodes may be employed where a nearly conStant de output voltage
is required despite relatively large changes in input voltage or load

superior capability of silicon-oxide passivated junction for low leakage, sharp breakdowns and long-term stability.

CHIPS

250 MILLIWA TT

(25 Mils Square)
Cathode'" Bottom Surface

(400 mW Package)
Cathode'" Polarltv Mark

Nominal
Zener

Voltage

MZC
HIGH
LEVEL
CNOTE 71

LOW
LEVEL
CNOTE71

1.8
2.0
2.2
2.4
2.7
3.0
3.3
3.6
3.9

MZC2.4Al0
MZC2.7Al0
MZC3.0Al0
MZC3.3Al0
MZC3.6AI0
MZC3.9Al0

MZC1.8Bl0
MZC2.0Bl0
MZC2.2Bl0
MZC2.4Bl0
MZC2.7Bl0
MZC3.0Bl0
MZC3.3Bl0
MZC3.6Bl0
MZC3.9Bl0

4.3
4.7
5.1
5.6
6.2

MZC4.3Al0
MZC4.7Al0
MZC5.1Al0
MZC5.6Al0
MZC6.2Al0

MZC4.3Bl0
MZC4.7Bl0
MZC5.1Bl0
MZC5.6Bl0
MZC6.2Bl0

6.B

MZC6.8Al0

MZC6.BB10

(NOTE 1,61

Motorola's devices represent state-of-the-art with the

400 MILLIWATT

500 MILLIWATT
Cathode = Polarity Mark

Cathode = Polarity Mark

/ / IlL
CASE 51
IDO-7)

CASE 51
(00-7)

INDUSTRIAL
±S% TOLERANCE
LOW NOISE
LOW LEVEL

CONSUMER

INDUSTRIAL
LOW VOLTAGE
AVALANCHE

INDUSTRIAL
CNOTE 2,3,81

CASE 205

* CONSUMER
INDUSTRIAL
CNOTE 5., 5bl

CASE 182
(TO-92)

CONSUMER
INDUSTRIAL
CNOTE 3,5bl

CASE 51
(00-7)

CONSUMER
INDUSTRIAL
(NOTE 501

..

~

".,:

lN431o':
1N4371 ~h
lN5518
lN5519
lN5520

MZ70-2.4
MZ70-2.7
MZ70-3.0
MZ70-3.3
MZ70-3.6
MZ70-3.9

MZ92-2.4
MZ92-2.7
MZ92-3.0
MZ92·3.3
MZ92·3.6
MZ92-3.9

lN5221
lN5223
IN5225
lN5226
lN5227
lN522B

1111750
.iN151
1111752
1111763

lN5521
lN5522
lN5523
lN5524
lN5525

MZ70-4.3
MZ70-4.7
MZ70-5.1
MZ70-5.6
MZ70-6.2

MZ924.3
MZ924.7
MZ92-5.1
MZ92-5.6
MZ92-6.2

lN5229
lN5230
lN5231
lN5232
lN5234

.. ~1N764

1 N5526

MZ70-6.8

MZ92-6.8

lN5235

lN5527

MZ70-7.5

MZ92-7.5

lN5236

lN5528

MZ70-8.2

MZ92-8.2

lN5237

lN5529

MZ70-9.1

MZ92-9.1

lN5239

lN5530

MZ70-10

MZ92-10

lN5240

11114:l'1::(
lN74&'

1111741,
111174& .
lN148

lN957
7.5

MZC7.5A10

MZC7.5Bl0

8.2

MZC8.2Al0

MZC8.2Bl0

,tN41go;

, lN7li5
IN958

•

... lN16fi
.,"

fN959

".)~IQ3·,·
.". , .~:;. 7

9.1

MZC9.1Al0

MZC9.1Bl0

10

MZC10Al0

MZC10Bl0

11

MZCllAl0

MZCllBl0

lN5531

MZ7D-ll

MZ92-11

lN5241

12

MZC12Al0

MZC12Bl0

1 N5532

MZ7D-12

MZ92-12

lN5242

13
15
16
18
20
22

MZC13Al0
MZC15Al0
MZC16Al0
MZCI8Al0.
MZC22Al0

MZC13Bl0
MZC15Bl0
MZC16Bl0
MZC18Bl0
MZC20Bl0
MZC22Bl0

~'3=:-"!"

lN5533
lN5535
lN5536
IN5538
lN5540
lN5541

MZ7D-13
MZ7D-15
MZ7D-16
MZ7D-IB
MZ7D-20
MZ70-22

MZ92-13
MZ92-15
MZ92-16
MZ92-18
MZ92-20
MZ92-22

lN5243
lN5245
lN5246
lN5248
lN5250
lN5251

24
27
30
33
36
39

MZC24Al0
MZC27Al0
MZC30Al0
MZC33AI0
MZC36Al0
MZC39Al0

MZC24Bl0
MZC27Bl0
MZC30Bl0
MZC33Bl0
MZC36Bl0
MZC39Bl0

: 'INlt7Q

lN5542

MZ7D-24
MZ7D-27
MZ7D-30
MZ7D-33
MZ7D-36
MZ7D-39

MZ92-24
MZ92-27
MZ92-30
MZ92-33
MZ92-36
MZ92-39

lN5252
lN5254
lN5256
lN5257
lN5258
lN5259

43
47
51
56
62
68

MZC43Al0
MZC47Al0
MZC51Al0
MZC56Al0
MZC62Al0
MZC68Al0

MZC43Bl0
MZC47Bl0
MZC51Bl0
MZC56Bl0
MZC62Bl0
MZC68Bl0

MZ7043
MZ7047
MZ7D-51
MZ7D-56
MZ70-62
MZ70-6B

MZ9243
MZ92-47
MZ92-51
MZ92-56
MZ92-62
MZ92-68

lN5260
lN5261
lN5262
lN5263
lN5265
lN5266

75
82
91
100
110
120

MZC75AI0
MZC82Al0
MZC91AI0
MZClOOA10
MZC110Al0
MZC120Al0

MZC75Bl0
MZC82Bl0
MZC91Bl0
MZC100Bl0
MZC110Bl0
MZC120Bl0

MZ7D-75
MZ70-82
MZ7D-91
MZ7D-100
MZ7D-ll0
MZ7D-120

MZ92-75
MZ92-82
MZ92-91
MZ92-100
MZ92-110
MZ92-120

lN5267
IN5268
IN5270
lN5271
lN5272
lN5273

130
150
160
180
200

MZC130Al0
MZCI50Al0
MZC160Al0
MZC1S0Al0
MZC200Al0

MZC130Bl0
MZC150Bl0
MZC160Bl0
MZC180Bl0
MZC200Bl0

MZ7D-130
MZ70-150
MZ7D-160
MZ7D-180
MZ7D-200

MZ92-130
MZ92-150
MZ92-160
MZ92-180
MZ92-200

lN5274
lN5276
lN5217
lN5279
lN5281

NOTES:

MZC20A1O

..IN 964.

')N91;5 "-

,IN966

:~:!~:

. '11«171' '.'
1111m

lN4.~

lN5545
lN5546

: '1N973 '

lN4121

. , ·ni4.122.

,.

·111141~.

1111914
lN97!>

~~:~');:;/·"1~m;·:
'IN91a ": ,__
',1N4121'
,'mm. "
'11114126,·;,

"~"'

.'1_'

"'1'441211"':· '\"
lN4130

..:;.:

1_1

: : :..1
,'IN990

""INest'

,

~1'\9li2

1. The Zener Voltage

IS measured at approximatelv % the
rated power except for the MZ4614 and 1 N4099
series. This series is measured with an 'ZT '" 250 J.lAdc.
The 1 N4370 and 1 N746 series is measured with an

3. No suffix is :b:0% tolerance; "A" suffix is ±10% toler·
ance, and "S" suffix is ::!:s.O% tolerance, (1 N957·992;
1 N 3016·3051; 1 N3785-3820; 1 N2970&A·3015&R;
1 N4549& R ·4556& R; 1 N4557&R-4564&R;
1 N3305&R·3330&R; lN5333-1N5338).

IZT = 20 mAdc
2. No suffix denotes ±10% tolerance. "A" suffix is ±S.O%
tolerance. (lN4370-4372, lN746·759; lN3821·30.
lN3993-4000,1N4728-64).

• Other Standard Voltages Available:
25,2.8,6.0,8.7,14,17,19,25,28,60,87,140,170, and 190.

5-4

ZENER DIODES

1 WATT

(continued)

1WATT
to Case

1·1/2 WATT

SWATT

50 WATT

10 WATT

Cathode to

Nominal

Zaner
Voltage
CASE 59
(00·41

CASE 52
(00-13)

CONSUMER
INDUSTRIAL
(NOTE 21

CONSUMER
INDUSTRIAL
(NOTE 2, 3, 91

CASE 55

INDUSTRIAL
(NOTE 31

lN4728
lN4729
lN4730

INDUSTRIAL
(NOTE 31

INDUSTRIAL
(NOTE 2, 3, 4, 101

INDUSTRIAL
(NOTE 3,41

INDUSTRIAL
(NOTE 3,41 (NOTE 1,61
1.8
2.0
2.2
2.4
2.7
3.0
3.3
3.6
3.9

lN5333
lN5334
lN5335

•
lN3798
lN3799
lN3800
lN3801
lN3802
lN3803

lN5359
lN5361
lN5363
lN5364
lN5365
lN5366

lMI30ZS10
lM150ZS10
lMI60ZS10
lM180ZS10
lM200ZS10
4. R, RA &RB = Reverse Polarity Types Available.
Sa, No suffix Is ±10% tolerance, "A" suffix is ±1 0% toler-

7. For a 5, 3, 2, or 1%, change the suffix "'0" to the
desired tolerance.
;~:f,)JAN/JANTX 8vailable:f:s.O% only.

ance, "s" suffix is±S.O% tolerance.
Sb. "c" suffix is + 2.0% tolerance,
"0" suffix is!.1.0% tolerance.
6, Contact your Motorola Semiconductor Representative

Reverse polarity available on lOW and SOW devices.
8. SIN746A to SIN973B NASA Tvpes Available.
9. SIN30168 to SlN3051B NASA Types Available.
10. SIN2970B to SIN29858 and SIN2991B NASA Types Available

for information on intermediate voltages and tighter

tolerances.

5-5

I

ZENER REFERENCE DIODES
For applications in which the output voltage must remain within narrow limits

during changes in input voltage, load resistance, and temperature changes. These
Temperature Compensated Zener Reference Diodes have low dynamic impedance
and silicon oxide passivated junctions for long-term stability_

/.

Motorola guarantees all reference diodes to fall within specified maximum

voltage variations over the indicated temperature range at a specific test current.
This method complies with JEDEC suggested Standard No.5 and has been in·
corporated into all reference diode military specifications. Note that ratings are
maximum only and do not reflect the actual voltage change exhibited by an
individual unit. The temperature coefficient is shown for reference and should
not be considered as a maximum rating. The reference diode temperature coefficient is not a linear characteristic, and therefore accurately reflects the voltage
deviation at test temperature extremes only. Devices are tested at the various
temperature points while exposed to an air environment which eliminates unwanted boundary effects prevalent in oil bath testing. Voltage-time stability.
although, not specified is normally better than 100 PPM per 1000 hours of operation, however, for critical applications precision reference diodes are available
with guaranteed voltage· time stability of less than 5 PPM per 1000 hours.

(00-7)

C.seS2
(00-13)

·AvWIori

.,

!~~~.~.j,\'

*

62
6.2
6.4

j
8.4
8.4

T
9.0

~
9.1

1

75
7.5

-55,0, +25, +75, +100
-55,0,+25,+75.+100

·'N821,J,TX
., N821 A

0.5
0.5
1.0
1.0
2.0
2.0
4.0
4.0

O. +25, +75
-55.0, +25. +75, +100
0,+25. +75
-55,0, +25, +75, +100
O. +25, +75
-55.0, +25. +75, +100
0, +25, +75
-55.0, +25, +75, +100

lN4565
1N4565A
1N4570
1N4570A
1N4575
1N4575A
lN4580
lN4580A

10.0
10.0
0.5
0.5
1.0
1.0
7.5

t
~

0.5

9r

10.0

9A±aA
Suffix "A"
±a.2VI

10.0

11.7

7.5

~

~

If

.11

~Vi~1.{~,
!._~c<.~::

~':! \'
,.~
. _....,"
.

~

i

,

·"TYPO'···oIIo
.
V

0.096 rN823.J.TX
0.096 1N823A
0.048
0.099
0.048
0.099
0.048
0.099
0.048
0.099

., N3154,J.TX 0.130
-55,0, +25. +75. +100
-55,0. +25, +75, +100. +150 ·,N3154A
0.172

lN4566
1N4566A
1N4571
1N4571A
1N4576
lN4576A
lN4581
1 N4581 A

~~~~~~~,TX

iUKiz'l!/c·

,

'o.i!o't'l!i"c .

=

. "t\vZ"

DwJOo. , .

···Tt,..

......

·f'..VZ·

,_

:o.IIOO$'l!/"C

, ....

.....

.;.'

51

~Vz

0.048
0.048

lN825,J,TX
1N825A

0.024
0.050
0.024
0.050
0.024
0.050
0.024
0.050

1N4567
1N4567A
lN4572
lN4572A
1N4577
1N4577A
1N4582
1N4582A

0.065
0.086

lN3t56,J.TX 0.026 i'tN3157,J,TX 0.013
1N3156A
0.017
0.034 nN3157A

0.019 ~~827,J.TX
0.019
N827A

0.010
0.020
0.010
0.020
0.010
0.020
0.010
0.020

lN4568
lN4568A
lN4573
1N4573A
lN4s'78
lN4578A
lN4583
1N4583A

0.009
0.009

lN829,J,TX
1N829A

0.005
0.005

O.OOS
0.010
0.005
0.010
O.OOS
0.010
0.005
0.010

lN4569
1N4569A
lN4574
1N4574A
1N4579
lN4579A
lN4584
1N4584A

0.002
0.005
0.002
O.OOS
0.002
0.005
0.002
O.OOS

1N4779
1N4779A
1N4784
1N4784A

0.003
0.007
0.003
0.007

lN939
1N4769
lN4769A
1N4774
1N4774A

0.003
0.007
0.009
0.003
0.007
0.003
0.007

0.064
0.132
0.064
0.132

lN4776
lN4776A
1N4781
1 N4781 A

0.032
0.066
0.032
0.066

lN4777
1N4777A
1N4782
1N4782A

0.013
0.026
0.013
0:026

1N4778
lN4778A
lN4783
1N4783A

0.006
0.013
0.006
0.013

·,N935
0,+25, +75
·,N935A
-55,0, +25. +75, +100
-55,0, +25, +75, +100, +150 "N935B,J.TX
0,+25, +75
1N4765
1N4765A
-55,0, +25, +75. +100
0, +25, +75
lN4770
1N4770A
-55,0, +25, +75. +100

0.067
0.139
0.184
0.068
0.141
0.068
0.141

~~~~
1N936B

lN937
1N937A
1N937B.J.TX
tN4767
lN4767A
1N4772
1N4772A

0.013
0.027
0.037
0.014
0.028
0.014
0.028

~~~~A

1N4766
1N4766A
1N4771
1N4771A

0.033
0.069
0.092
0.034
0.070
0.034
0.070

1N4768
1N4768A
1N4773
1N4773A

0.006
0.013
O.ot8
0.007
0.014
0.007
0.014

0, +25. +75
-55.0, +25. +75. +100
-55. O. +25, +75. +100. +150

0.070
0.144
0.191

1N2621
1 N2621 A
tN2621B

0.035
0.072
0.095

1N2622
1N2622A
1N2622B

0,014
0.029
0.038

lN2623
lN2623A
1N2623B

0.007
0.014
0.019

1N2624
lN2624A
1N2624B

0.003
0.007
0.010

1N2163,A
1N2164,A
lN2165,A

0.033
0.086
0.115

1N2166,A
1N2167,A
1N2168,A

0.007
0.017
0.023

1N2169,A
1N2170,A
1N2171,A

0.004
0.009
0.012

1N942
1N942A

0.044
0.090
0.120

1N943
O.ot8
1N943A
0.036
1 N943B.J.TX 0.047

1N944
0.009
1N944A
0.018
1N944B.J,TX 0.024

0.+25, ...75
-55. O. +25. +75. +100
D, +25, +75
-55,0, +25, +75. +100

1N4775
1N4575A
lN4780
lN4780A

1N2620
lN2620A
1N262OB

0, +25, +70
-55,0, +25. +75. +125
-55. 0, +, +75. +125, +186
·,N941
0, +25, +75
0.088
·55, 0, +25. +75, +100
"tN941A
0.181
-55.0, +25, +75, +100, +150 ·'N9418.J,TX 0.239

~N942B

~N938B,J,TX

~~~~~:,J,TX

~N945

0.004
lN945A
0.009
1N945B,J.TX 0.012

52

51

~

7.5

0, +25, +75
-55,0, +25. +75, +100
-55, O. +75. +100, +150

lN3580
lN3580A
1N3580B

0.088
0.181
0.239

1N3581
1N3581A
lN3581B

0.044
0.090
0.120

lN3582
1N3582A
1N3582B

O.ot8
0.036
0.047

1N3583
lN3583A
1N3583B

0.009
0.018
0.024

52

12.8

0.5
0.5
1.0
1.0
2.0
2.0
4.0
4.0
7.5
7.5

+26. +76, +100
-55,0, +25, +75, +100
+25, +75, +100
-55,0, +25. +75, +100
+25. +75, +100
·55.0, +25, +75, +100
+25, +75, +100
-55,0, +25, +75, +100
+25. +75, +100
-55, O. +25, +75, +100

1N4896
1N4896A
1N4900
lN4900A
1N4904
1N4904A
1N4908
1N4908A
1N4912
1N4912A

0.096
0.198
0.096
0.198
0.096
0.198
0.096
0.198
0.096
0.198

1N4897
lN4897A
lN4901
1 N4901 A
1N4905
1N4905A
1N4909
lN4909A
1N4913
1N4913A

0.048
0.099
0.048
0.099
0.048
0.099
0.048
0.099
0.048
0.099

1N4B98
1N4898A
1N4902
lN4902A
lN4906
lN4906A
1 N491 0
1N4910A
lN4914
lN4914A

0.019
0.040
0.019
0.040
0.019
0.040
0.019
0.040
0.019
0.040

lN4899
lN4899A
tN4903
1N4903A
1N4907
lN4907A
1N4911
1N4911A
1N4915
1N4915A

0.010
0.020
0.010
0.020
0.010
0.020
0.010
0.020
0.010
0.020

51

19.2

0.5
0.5
1.0
1.0
2.0
2.0
4.0
4.0
7.5
7.5

+25, +75, +100
-55.0, +25, +75, +100
+25, +75, +100
·55, 0, +25, +75. +100
+25, +75, +100
-55.0, +25, +75. +100
+25, +75, +100
-55, O. +25, +75, +100
+25. +75, +100
-55.0, +25. +75, +100

1N4916
1N4916A
1N4919
1N4919A
1N4922
lN4922A
1N4925
1N4925A
lN4929
lN4929A

0.144
0.298
0.144
0.298
0.144
0.298
0.144
0.298
0.144
0.298

lN4917
lN4917A
lN4920
lN4920A
1N4923
lN4923A
1N4926
1N4926A
lN4930
1N4930A

0.072
0.149
0.072
0.149
0.072
0.149
0.072
0.149
0.072
0.149

1N4918
1N4918A
lN4921
1 N4921 A
lN4924
1N4924A
1N4927
1N4927A
lN4931
lN4931A

0.029
0.060
0.029
0.060
0.029
0.060
0.029
0.060
0.029
0.060

Non-suffix - ZZT: 15

n, "A Suffix

- ZZT: 10!l

* Radiation Resistant Devices Available; to order,
specify MZ821, A or B in lieu of '1N' prefix.
Not Applicable to J or TX devices.

5-6

'j

:1:

·CoOo
j .~~.,;'.'
'TY"" . .V..... ·, ., TYl"i'····; 11..... r....

~

51

lN4928
1N4928A
1N4932
1N4932A

0.Ot4
0.030
0.014
0.030

PRECISION REFERENCE DIODES

Designed, manufactured, and tested for use in computers, inertial guidance systems, and precision equipment requiring ultra-high stability of voltage over
changesoftime and temperature. All precision testing
equipment is housed in a double electrically shielded
enclosure designed to eliminate reading errors caused
by noise and interference. Equipment calibration is
maintained relative to standard cells directly traceable
to the National Bureau of Standards. A special power
supply, having an absolute accuracy of ±0.003% is
used. Voltage measurements are made in air using
automated equ ipment having a 1.0 microvolt resolution and an overall accuracy of better than 1 PPM.
This procedure negates the boundary effects problem
prevalent in oil bath testing.

CERTIFIED TEST DATA
Every Motorola Precision Reference Diode is individually serialized and its test data recorded on a
Certificate of Precision that accompanies the device
when shipped. This data shows:
• Device voltages at each test temperature
(+25, +75 and +1000 C)
• Voltage stability within the measuring
temperature range
• Actual device voltage at 168 hour
intervals during verification test
• Voltage stability throughout the entire
1000 hour test period
• Certification of Precision
• All diodes are marked with the device
type number, polarity band and
serial number.

--- ~'

6.2±S%
8.4±S%
6.35±S%

+

6.2·6.5
6.2·6.5

T_

Test

MA

ovzu.W!

7.5

2.5
3.5
2.5
5.0
5.0

10.0

7.5

~

7.5
7.5

10.0

3.0
6.0

.,.....

25 to 100
·55 to 100
25 to 100
·55 to 100
25 to 100
25 to 100

MZ605
MZ805

- -

D~!W

SILICON POWER TRANSIENT SUPPRESSORS
Power Zener diodes designed for applications requiring protection of voltage sensitive elec·
tronic devices in danger of destruction by high energy voltage transients. Individual cells are
matched to insure current sharing under high current pulse conditions.

~

.'

• Transient Power Dissipation: 40 kW
Pulse Width: 0.1 ms

• Operating Junctio~ and Storaoge Temperature
Range: -65 C to +175 C

~~

• DC Power Dissipation: 350 Watts@TC = 25°C
(Derate 2.33 W;"C above 25°C)

• Polarity: Anode·to·Case is Standard
Cathode·to·Case Available Upon Request

~

~_

.. ~ _ .. _
=.; ~

~

Case 119

ELECTRICAL CHARACTERISTICS ITA - 250 CI tVF - , 5 V maK@ 10 A for all typesl

Nominal

Maximum Device

Operating Voltage

(Not. 11
Device
Tvpe

VOJ'f.KI

VOPIAMS)

MPZ5·16A
·16B
·32A
·32B
·32C
·180A
·180B
·180C

14
14
2B
2B
2B
165
165
165

10

Minimum Zener
Voltage

Clamping Factor

OF

=Vz @ IZII,,,lsel
VZ@IZT

Vrms

1.25
1.25
1.25
1.25
1.25
1.14
1.14
1.14

10
20
20
20
117
117
117

@

VZlminl
Vd.

Maximum Zener Voltage
Pulse Width O!! 1,0 ms:

In VZlmax!
Vd.

@

Ado

16
16
32
32
32
180
180
180

0.4
0.4
0.2
0.2
0.2
0.03
0.03
0.03

Although the MPZ Series is only offered in an array of

24
20
50
45
40
250
225
205

Iz~~sel

Maximum
Currnnt
IAlmax)
@VA = VOPIPKI
pAd.
RBver~

200
200
100
100
100
20
20
20

Typical
Capacitance

CltypJ
@VR =VOP(PKI

50

pF

0.025
0.025
0.011
0.011
0.011
00012
0.0012
0.0012

I
50

cations. The data represents the surge capabilities of the
basic cell (Case 60) both in an axial lead configuration and
when mounted on a 7/16" stud base. All data shown
reflects the device mounted to an infinite heat sink.
Application Note, AN-461, Transient Suppression with
a Power Zener Diode,is available upon request. For more
information, contact your nearest Motorola Sales Office or
franchised distributor.

six basic cells, special configurations are available with

various power and/or voltage ratings (e.g .• 1000 W de and
200 V de). In order to choose the correct suppressor, the
determination must first be made of the energy magnitude,

pulse width, and duty cycle of the transient involved. The
following graph is presented to aid the design engineer in
seJecting the proper case outline and/or combination of
basic cells suitable for his specific high-power surge appli-

BASIC CELL MAXIMUM
NON·REPETITIVE SURGE POWER
10,000
BOO 0
600 0

•

400 0

2000

- 1-

_'000
~ BOO
600

.i

400

STUD MOUNTED

16VOLT
32 VOLT
• ...... 180VOLT

100
BO
60
40

-f-.,.

-

MOUNTING TEMPERATURES.
AXIAL TL'" 30 0 e 3/S" FROM eElL
STUD
Te=30 0 e

~

=

AXIAL MOUNTED

20
10
0.1

-

111111
02

0.40.60.81.0

2.0

40

20

200

60 80·100

4006008001.0k

2.0k

4.0k6.0kI8.0k10k

SQUARE WAVE PULSE WIDTH (MILLISECONDS)

DUAL

DIODES~

Dual diodes designed for use in low cost biasing, steering
and voltage doubler applications including series, common
cathode and common anode dual diodes.

Case 29·01
TO·92

VIBR) @ IIBRI

Device
Type

Volts
Min

MSD6100
MSD6101
MSD6102
MSD6150
MSD7000
*MPA-l0

100
50
70
70
100
60

VR

VF

j!A

j!A
MaK

Volts

Volts
MinIMax

100
100
100
100
100
100

0.1
0.1
0.1
0.1
0.2
0.1

50
40
50
50
50
40

IR

@

0.67/0.82

0.6710.82
0.67/1.0
-/1.0
0.6710.82
0.8/1.3

5-"

@

IF

CVR-O

mA

pFMIlK

MIlK

D_,iption

10
10
10
10
10
500

1.5
2.0
3.0
8.0
1.5
5.0

4.0
10
100
100
15
10

Switching
Discriminator
Common Cathode
Common Anode
Series
Dual Eight Diode
Array

1,r
ns

SILICON RECTIFIERS
A DIGEST OF THE BROADEST LINE OF QUALITY RECTIFIERS AVAILABLE
Reverse polarity available on all types except as noted
Reversed polarity units can be obtained by adding suffix "R" to standard type number, e.g., 1N3879R.

f!
CASE 42
(00·5)

CASE 43
(00·21)

'SDI%., '

J>lI!\
IO,AVERAGE
RECTIFIED
FORWARD
CURRENT

CASE 70

CASE 60

,eD';"

;;

:

~

lS'A

2fJA

:!SA

30':" '

Case 42

Case 42

Case 43

Case 43

12A

CASE 100

CASE 59
(00·41)

;".

,3SA

I.

CASES 129,130,
131,132
Suffix "SB"

1DOA

5QA

200 A

~~,A:

Case 126 Case 127 Case 128
Case 167 Case 189 Case 130 Case 131 Case 132
Case 59

Case 60

Case 70 Case 194 Case 245

Case 42

Case 100
Case 168

121

I\~;V

lN4002 lN4720 lN4998 MR7S1

i,,·';::;.

t~:+·

VRMIREPI
MAX PEAK
REPETITIVE
REVERSE
VOLTAGE

JOOA

lN4003 lN4721

1 N4999 MR752

ftt
·~Jr·:
.,8IIO- V

1 N4722

1 N5000 MR754

lN1204

lN4006 lN4724

1 N5002

MR1128
lN3988

1 N4007 1 N4725

1 N5003

MR1130
lN3990

MR756

1N3660 lN1184 MR1201FL MR1211

MRtS11 MA1221 MR1231 MR1241

IMR3231

1N3B61

lN1186 MR1203Fl MR1213 MR1813 MA1223 MR1233 MR1243

1N3495
lN32l2 lNl196

1 N3663 lNl188 MA1207FL MR1217 MA1817 MR1227 MA1237 MR1247

IMR326)

MR328

lN1190 MR1209FL MA1219 MA1B19 MA1229 MR1239 MR1249

MR3:11

MR331

(l) Not available In reverse polarity.
(2) Add proper two letter suffu( to type number to indicate

deSired package style. e.g., MR1243FL.

CASES 125,126,127,128
Suffix "SL"

CASES 133,134,
135,136
Suffix "FL"

121

1 N3493

MR1126
lN1206 lN32l4 lNll98
lN1206A

lN5001

121

(MR324)

lNl204A

1 N4005 1 N4723

121

1N3492

1N3210 1 N250B

MR1124
1 N4004

:....;.=7- ..... ;

1~7'~

MR1122
1N1202

1Nl202A

;,.r::d
I:·'"

~GA

MR1121
lN1200 1N3209 1 N249B
1N1200A

Case 133 Case 134 Case 135

121

CASES 167,189
Suffix "SL"

5-12

II
CASE 194

CASES 168
Suffix "ss"

CASE 245
(00·4)

SILICON RECTIFIERS (continued)
FAST RECOVERY POWER RECTIFIERS
This digest represents the latest rectifier products that are recommended for new designs. It does not
list all devices available from Motorola. For a more complete listing refer to the Products/Price Listing
in this book.

/
!-~

#

j

~

CASE 56
(00·4)

CASE 59
(00·41)

~

/

CASE 60

CASE 113'

I

~

CASE 194

PLASTIC

10. AVERAGE
RECTIFIEO
FORWARO
CURRENT

Stud Mounted

5.0 A

'.0 A

a,oA
Case 60

Case 267-01

19'

Case 52
00·13

3.0 A

Case 59-01
00-41

VRRM

METAL

Axial Lead

AXial Lead

1.IlA

CASE 257

6.DA

12A

20A

30A

40A

Case 568-(11

Case 257

DO"

00-5

50A

·50V

1 N4933

MRBIO

MRSSO

MR820

MR1337-1

MR830

MR840

1N3879

1 N3889

1N3899

1N3909

MRS60

MAB70

'Jet'V

trr=o 2/.ls

trr"7S0 ns

trr=O-2/.ls

t rr "200ns

t rr =O.2,us

trr=1.0,us

t rr =O.2/.ls

MAXIMUM
PEAK

REPETITIVE
REVERSE

VOLTAGE

·See Molded Rectifier Bridge Assemblies - Page 5-17 for MDA952FR-Fast Recovery Bridge

5-13

•

SILICON RECTIFIERS (continued)

CASE 158

O· AVERAGE
RECTIFIED FORWARD CURRENT

..

' 1000A

700 A

750 A

Case 136

Cas. 159

Case 105

Case 158

lOG V

MRI261

MR2081HA

MR1291

MR2101HA

.200V

MR1263

MR2082HA

MR1293

MR2102HA

MR1267

MR2084HA

MR1297

MR2104HA

VRM(REP)
400 V
MAX PEAK
REPETITIVE
REVERSE
6OOV·
VOLTAGE

1100 A

SILICON HIGH VOLTAGE
SURMETIC RECTIFIERS

High-voltage. low-current rectifiers designed for
applications where high-voltages in subminiature
packages are required. These devices feature
efficient high-temperature current-handling performance. high surge-current capabilities and
_____
surface passivation.
______

10. AVERAGE RECTIFIED
FORWARD CURRENT

CASE 169

0.25 A
Cas. 169

MR1269

MR1299

...

VRM(REP)
MAX PEAK
REPETITIVE
REVERSE
VOLTAGE

80GV

1000 v

5-14

1000 V

MR990A

1500 V

MR991A

2000 V

MR992A

2600 V

MR993A

3000 V

MR994A

4000 V

MR995A

5000 V

MR996A

HOT-CARRIER POWER RECTIFIERS

... utilizes the Schottky Barrier principle Barrier in a large
area metal-to-silicon power diode. State of the art geometry
features epitaxial construction with oxide passivation and
metal overlay contact. Features are very low VF and highfrequency capability.
CASE 43

CASE 56 CASE 60

CASE 257

(00-21)

Forw....d Current
Devh>e
Type

VRRM
Volts

10 .
.TC= 50°C

Amp

IFSM
Amp

IR
TC

=25°C.
rnA

VF

Case

IF@25Amp
TC=25OC

Volts

MBD5300

20

5.0

500

30

MBD5400

20

25

600

30

IF

MBD5500A

20

50

800

75

IF = 100 Amp
0.75

43

MBD5500

20

50

800

120

IF = 100 Amp
0.65

43

MBD5550A

20

50

800

75

IF=100Amp
0.75

257

MBD5550

20

50

800

120

IF = 100 Amp
0.65

257

0.50

= 75

Amp
0.75

Note: Multi-Cell combinations providing increased current capability are available on special request.

5-15

60
56A-Ol

•

SILICON POWER RECTIFIER ASSEMBLIES

Low-cost, standard rectifier circuits in small, integral packages providing 1.0 to 27 Amp output current with V RRM ratings to 1000 Volts.
Round leads available on the MOA920 series by adding suffix "A

lf

to device type number (j.e. MDA920A·l).

MIDA RECTIFIER ASSEMBLIES

MOA92Q.1

.

108
109

·4
·5
-6
.-7

Slngle'PMse .

Case 108

""U:1"'.ve Bridge

Case 109

216

-01

.."."".
~
..
."
t-

: ':"<'".: .

'

MDA922-1
·2

)JlPA96D-t
-2.

50
100
200

100

15

2.5

55

.,3

MDA97l)..1
-2
,3.

50
100
200

150

25

4.0

55

~

t

MDA98D-t

50
100
200
300
400
600

300

50
100
200
3.00
400
600

300

-4

.

.~

117

10

60

.....,.,

..

25
50
100
200
300
400
600

25
50
100
200
300
400
600
800
1000

-3

.". ··Sil)gle.PIi....,
. ,f'ulr.w.v~·Brldge
:':.-.\.. :~ .. ,

Case 216-01

~2

.-3

~;

.: .

-5
-6
-7
-8
'9

1.8

j

j
t

t

40

t t

"-. Stn9le.Pt>a&e

.

··Full:Wav.e .. Brld!ie
.

•

,~

.

.. ~ ..

Case 117

117 :.-:.>;' :'". : .", :.'.-..

~

.. . ~.

,'!u~L~~~~ar7dge
I...

;~

...~
179
-01

.":

'

;'

.

'-IS

-5

Slngl ... P~ase

Case 179-01

'.

~
~DI"

Aluminum

Case 179-02

"

,2
-·3
-4.

179
-02

1

Full·Wave Bridge

:~-j
. .

. 'Sing';"Phake

Ful\-W~"" !i~rligi;·

5-16

.

·6.

!

t

RECTIFIER ASSEMBLIES

Case 155A
MRA13DB Series

Case 154A
MRA130 Series

Case ISBA

Case 154
MRA330 Series

Case 155
MRA330B Series

Case 156
MRA360 Series

Case 157
MRA360B Series

Case IS7A
MRA160B Series

MRA160 Series

HIGH CURRENT RECTIFIER CIRCUITS
Motorola Multi-Cell II power rectifier diode circuits are air·cooled.
integral.heatsink rectifier assemblies engineered for optimum diode/
heatsink utilization

Devl ...

DC Output Current
Ampt..s

V....

Type

Volts

MAA130
MAA13l
MAA132
MAA133
MAA134

50
100
200
300
400

MAA160
MRA16l
MAA162
MRA163
MAA164

50
100
200
300
400

MAA130B
MRA131B
MRA132B
MRA133B
MAAl34B

50
100
200
300
400

MAA1608
MAA16l8
MRA1628
MAA1638
MAA1648

50
100
200
300
400

Device

COnfi",IlUQn
Single-Phase Half-Wave

Bridge

300 @ 1500 LFM

75 Free Convection

~

600 @ 1500 LFM

125 Free Convection

Single-Phase FUll-Wave
Bridge

3yg ~e!5~gn~~~ion

A

~gg ~e~5ggn~~~ion

UC IMP~t C..rent
Amperel

Va ...

Tl'Pe

Volts

MRA330
MRA331
MRA332
MRA333
MRA334

50
100
200
300
400

3~g ~e!5~gn~~~ion

MAA360
MRA361
MAA362
MRA363
MAA364

50
100
200
300
400

~~8 ~e!~O~!-!~ion

MRA3308
MAA3318
MRA3328
MAA3338
MRA334B

50
100
200
300
400

MRA3608
MAA3618
MAA3628
MRA3638
MRA3648

50
100
200
300
400

COllliavllUOII
Three-Phase Half-Wave
Bridge

ST
Three·Phase Full·Wave

300 @ 1500 LFM

75 Free Convection

650 @ 1500 LFM
150 Free Convection

Bridge

~

Bridge assembly is designated by a US" suffix. i.e•• MRA330B. Bridges are composed of one common cathode and one common anode assembly.

MOLDED RECTIFIER BRIDGE ASSEMBLIES
... individual hermetically·sealed rectifiers interconnected and
encapsulated in molded assemblies for use as single· phase and
three·phase full·wave bridge configurations. with output current

~
CO$e

110

VlIt'"

Three·Phase
Full·Wave Bndle

Slngle·Phase
Full·Wave BndRe

E;)

em III

CasoU2

MDI942·1

MDA,42A·1

MDA14,1·1

·2
·3
04
·5

·2
·3

·2
·3

.,

P E E:

~

1.5Amp

Volts

50
100
'DO
300
400
600

.,

range from 1.5 to 16 Amp. peak reverse voltage from 50 to 600
Volts. Series MDA952. MDA962 and MDA1505 for printed
circuit insertion without solder lugs (Specify "A" Suffix).

..

.

·5

.

6

t\

c.se·tn

CUt u3:

c....IIS

Case 114

4.0 Amp

6.0 Amp

10 Amp

a.OAmp

-MDA952·1

MDlln-'
·2
·3

MDA1501-1

·2
·3
·5

·5

·5

MDA1S'I-I
·2
·3

.

..

·a

.&

·5

·5

.&

Fast Recovery Bndge available (t rr - 200 ns Max).
To order, specify MDA952FR-1 thru MDA952FR-5.

5-17

.~

..,

~
·2
·3

.
..

•

I

THYRISTORS
,

WAMP

;

,

.. - ,.' '".

'StllCOt' C:ONTROLLEi) REctifiERS

i

0.5 AMI' oJi_: ..

."

~

!.BAMP

~

~~ ~

: "

4JlAMP

~

ffl

Case 28

Ca.. 22
TO·18

..15 V

MCR051

MCR201

MCR10l

-

-

-

-

-

-

-

-

25V

-

-

-

-

2N2322

2N4212

-

-

-

-

-

, lOV

MCR052

MCR202

MCR102
2N5060

-

-

-

2N6236

MCR106·1

MCR107·1

MCR406·1

MCR407·1

SOY

-

-

-

2N1595

2N2323

2N4213

2N6237

-

-

-

-

60V

MCR053

MCR203

MGR103
2N5061

-

-

-

-

MCR106·2

MCR107·2

MCR406·2

MCR407·2

100V

MCR054

MCR204

MCR104
2N5062

2N1596

2N2324

2N4214

2N6238

MCR106·3

MCR107,3

MCR406·3

MCR407·3

150V

-

MCR205

MCR115
2N5063

-

2N2325

2N4215

-

-

-

-

-

zoo V

-

MCR206

MCR120
2N5064

2N1597

2N2326

2N4216

2N6239

MCR106·4

MCR107-4

MCR406-4

MCR407-4

isov

-

-

-

-

-

-

-

-

-

-

-

300 V

-

-

-

2N1598

-

-

-

MCR106·5

MCR107·5

-

-

460 V

-

-

-

2N1599

-

-

2N6240

MCR106·6

MCR107·6

-

-

soov

-

-

-

-

-

-

-

MCR106·7

MCR107·7

-

-

600 v

-

-

-

-

-

-

2N6241

MCR106-8

-

-

-

ITIAVI (Am"y

-

0.5
@38°C

0.5
@49°C

1.0
@80°C

1.0
@85°C

1.0
@80°C

2.6
@90°C

2.6
@90°C

2.6
@90°C

2.6
@90°C

2.6
@90°C

ITSlitIAIlIIII

6.0

6.0

6.0

15

15

15

25

25

25

30

20

12tlA2sj

0.15

0.15

0.15,

0.5

0.5

0.5

2.6

2.6

2.6

3.6

1.6

iGT@26"C

0.2

0.2

0.2

10

0.2

0.1

0.2

0.5

20

0.2

0.5

0.8

0.8

0.8

3.0

0.8

0.8

0.8

1.0

1.5

0.8

1.0

5.0

5.0

5.0

5.0
Typ

2.0

3.0

3.0

5.0

20

3.0

5.0

-

-

-

0.8

-

-

1.2

-

-

-

-

-

-

-

-

-

-

10

10

10

10

10

Case 29

Case 31
TO·5

Plastic
Cas. 77

Plastic
Cas. 90

.,'

,

,

,~~f.r~~:
tile OR PEAK)
VOLTS

.

,

".

..

"'

..

..
".

..

@a~ 18o"tnC

"

(mAl
.,

VGT@Z5"C

M
IH@25"(:

tmAl

'tn Typ
'IPs)· ,. •
dv/dl TyP
IV/pal

5-18

THYR ISTORS (continued)

SILICON CONTROLLED RECTIFIERS
a.GAMP

~

Plastic
Case 90

16AMP

n

n
~
ii ~ t J ~
n~

..

tt

Case 85

Case 85L

Case 86

Case 86L

Case 87L

Cas.88L

Cas. 86

Case 87L

Cas. 263·01

-

-

-

-

-

-

-

-

-

15V

-

25V

MCA3000·1

2N4151

2N4159

2N4167

2N4175

2N4183

2N4191

MCA2315·1

MCA2614L·l

2N1842
2N1842A

aov

-

-

-

-

-

-

-

-

-

-

SOV

2N4441
MCA3000·2

2N4152

2N4160

2N4168

2N4176

2N4184

2N4192

MCA2315·2

MCA2614L·2

2N1843
2N1843A

BOV

-

-

-

-

-

-

-

-

-

-

10DV

MCA3000·3

2N4153

2N41Bl

2N4169

2N4171

2N4185

2N4193

MCA2315·3

MCA2614L·3

2N1844
2N1844A

-

-

-

-

-

-

-

-

-

2N1845
2N1845A

'200 V

2N4442
MCR3000-4

2N4154

2N4162

2N4170

2N4178

2N4186

2N4194

MCA2315-4

MCR2614L·4

2N1846
2N1846A

250V

-

-

-

-

-

-

-

-

-

2N1847
2N1847A

300 V

MCR3000-5

2N4155

2N41B3

2N4171

2N4179

2N4187

2N4195

MCR2315·5

MCR2614L·5

2N1848
2N1848A

400 V

2N4443
MCR3000-6

2N4156

2N4164

2N4172

2N4180

2N4188

2N419B

MCR2315·6

MCR2614L·6

2N1849
2N1849A

500 V

MCR3000·7

2N4157

2N4165

2N4173

2N4181

2N4189

2N4197

-

-

2N1850
2N1850A

600 V

2N4444
MCR3000-8

2N4158

2N4166

2N4174

2N4182

2N4190

2N4198

-

-

-

InAV) (Amp)
~180'C@TC

5.0
@73°C

5.0
@83°C

5.0
@83°C

5.0
@83°C

5.0
@83'C

5.0
@83°C

5.0
@83°C

5.0
@75°C

5.0
@75°C

10
@35°C

ITSM
(Amp)

80

100

100

100

100

100

100

80

80

125

lit

25

40

40

40

40

40

40

40

40

60

IGT@25"C
(mA)

30

30

30

30

30

30

30

40

40

80

VGT@2s"C
(VI

1.5

1.5

1.5

1.5

1.5

1.5

1.5

1.5

1.5

2.0

IH@25"C
(mAl

4.0

30

30

30

30

30

30

50

50

20
Typ

Igt Tvp

1.0

1.0

1.0

1.0

1.0

1.0

1.0

1.0

1.0

1.0

50

50

50

50

50

50

50

50

50

30

BLOCKING
VOLTAGE
150 V
(DC OI'iPEAK)
VOLTS

,

lA s)

1/1$)
dv/dtTYfI

IVIIISl

5-19

•

THYRISTORS (continued)

.,,"

p

-,'

Case 175

MCR3818-1 (4)

MCR3918-1 (4)

MCR649-1

2N5164 (4)

2N5168 (4)

MCR649-2

Case 235

Case 64

Case
263·01

Case 174
TO-203

Case 175

2N2513

MCR1901-1

2N681

MCR3835-1

MCR3935-1

2N2514

MCR1901-2

2N682

MCR3835-2

MCR3935-2

Case 235

'"

,;<'.~,. \2li~
.::'",

ease 61
III T0-41

Case 174 TO-203

,

30V
.

>;'}; c;... >:,'50V

;~<. "\~:I:'>';~ ;n---+----I----I---l----+---l--+----+--+----I

:{,,""

.",~.... ·llIOV. MCR3818-3 (4)

MCR3918-3 (4)

2N6161

MCR649-3

2N2515

MCR1901-3

2N683

2N3810

2N3896

2N6111

. ':;iSLOCI(;~~:·;.f>··,..·'';. ;'+ ____+ ___--+__+ __+ __+-__-+__+-M_CR_38_3_5-_3+-M_CR_39_3_5-_3+-_-I

·i~~LJ~:;)·,~V

2N684

\.VOLT$
. .,. .• '·.•.~. :',:·..20 ,; .'.;. :.:~v-t·-2-N-51-6-5-(4-)-l---2N-5-169-(4-)-l--2N-6-1-68+M-C-R-64-9--4+2-N-2-51-S+M-C-R-I-90-1--4l--2-N-68-5+-2-N-38-1-1-4-2-N-389-1+-2-N-61-12-1
.'

MCR3835-4

'-.•..,,'./:'

MCR3935-4

.

.'.'/" ..Uii·Y·
:,

2N686

;-:".j-,......,..
•. - ' - ' i - - - - - - t - - - - - t - - - t - - - - + - - - - + - - - - t - - - i i - - - - t - - - - t - - - - I

DlV MCR3818-5 (4)

MCR3918-5 (4)

MCR649-5

2N2511

MCR1901-5

2N681

MCR3835-5

MCR3935-5

.:> ....':..,...........'.'+-----+----+----+----+---+---+---+---+-----+---i

."~.::~:~

2N5166 (4)

2N5170 (4)

2N6169

MCR649-6

2N2518

MCR1901-6

2N688

2N3812
MCR3835-6

2N3898
MCR3935-6

2N6113

.::\;::,t-'~"'.::;..;::V""'.+-M_C_R_38_18_-1_(4_)+M_C_R_3_91_8_-1_(4_)+_ _+M_C_R_649_-1+-2_N_25_1_9+-_ _-If---2_N_68_9+M_C_R_38_3_5-_1+-M_C_R3_9_35_-1+_ _--t
.' $iii\!

"

';"';;', .. ",,.,

2N5161 (4)

2N5111 (4)

2N6110

2N690

2N3813
MCR3835-8

13
@61°C

13
@15°C

16
@85°C

16
@65°C

16
@65°C

22
@65°C

2N3899
MCR3935-8

3NS174

240

240

240

260

260

150

150

350

350

350

235

235

235

215

215

15

15

435

435

435

40

40

40

80

40

30

25

40

40

40

1.5

1.5

1.5

3.5

3.5

1.5

3_0

1.6

1.6

1.6

50

50

50

20
Typ

20
Typ

12
Typ

20
Typ

50

50

50

1.0

1.0

1.0

1.5
Max

1.5
Max

1.5
Mex

50

50

50

50

50

50

0.5

30

III Availeble without lugs - Ca.. -54.TO-3 (Pin) Package
(4) Standard polarity is Anode·tD-Casa. revarlt-polarity (Cathoda-to-Case) may be signified by an "R" suffix.

5-20

30
Min

30

THYRISTORS (continued)
PULSE MODULATOR seA's
100 AMP
(Pube)

300 AMP
(Pulse)

18DOAMP
(Pulse)

t

t

Fast
Switching
Case 64
TO·48

Fast
Switching

Case 63

15 V

-

.-

-

-

25V

-

MCR846·1

-

-

30V

-

--

-

-

SOV

-

MCR846·2

-

-

BOV

-

-

-

-

100 V

-

MCR846·3

-

-

BLOCKING
150 V
VOLTAGE
(DC OR PEAK)
VOLTS
zOnv

-

-

-

-

-

MCR846-4

-

-

250 V

-

-

-

-

300 v

2N4199
2N4199JAN

-

MCR1336·5

MCR1718·5

4DOV

2N4200
2N4200JAN

-

MCR1336·6

MCR1718·6

5DOV

2N4201
2N420lJAN

-

MCR1336·7

MCR1718·7

BOO V

2N4202
2N4202JAN (2)(3)

-

IT (AV) (Ampl
@>,,~ 180·CIi!lTe

-

-

-

-

ITSM

-

-

-

-

l~t

-

35

-

250

IGT@2S"C
(mA)

50

50

40

50

VGT@>ZSoC

1.5

1.5

1.25

1.5

3.0
Min

25
Typ

50

15
Typ

0.4
Max

0.5

0.15

-

250
Min

50
Min

250
Min

100

MCR1336-8 (2)(3) MCR1718-8

(Amp)

(A

sl

IVL.
11f1!lI25"&
{mAl
lsI Typ

I,usl
d./ut

~:!i
!VI

.. ~., .

(2) 700V - 2N4203, 2N4203JAN and MCR1336·9 a..dabl.
(31800V - 2N4204, 2N4204JAN, and MCR1336-10 a.. ilable

5-21

•

THYR ISTORS (continued)

~:: !Y. : <:,: ~

"

TRIACS',.;.'SllICON BIDIRECTIONAL THVRISTORS

,

,

N

••

•. . . . ,

'

•

•

15 AMP

4.0ANIP

l

Case 11

, . 25 ,v

,

,

':'BLoCKING

>.VOLTAGE '
;loCOR PEAK)

•

"

<

'.

2N5511

2N5513

2N6145

2N5512

2N5514

2N6146

2N6153
2N6156 2N6141 MAC5·8 2N6144 2N6150 MAC6·8 MAC40191 MAC4019
(MAC10-8) (MACl1-8)

2N6141

MAC10·2

MACll·2

10Q V 2N6010

MAC10·3

MACll·3

Case 86

-

Case 250

Case 81L

MAC5·1

MAC6·1

MAC5·2

MAC6·2

MAC5·3

MAC6·3

2N6151
2N6154 2N6139 MAC5-4 2N6142 2N6148 MAC6·4
(MAC10·4) (MACl1-4)
MAC10·5

MAC6·5

MAC5·5

MACll·5

2N6152
2N6155 2N6140 MAC5·6 2N6143 2N6149 MAC6·6
(MAC10·6) (MACl1-6)

500V 2N6014 MAC10·1
600'( 2N6015

,·'.tST @ 25~e"
,MT2(+I,S(t) , .
"MT2I+I, G(':c) , ,,'

Case 235

50 V 2N6069

400\( 2N6013

.

Case 115

MACll·l

20Q V 2N6011

Iti!tf
TO·203

MAC10·l

300 V 2N6012
:~

Case 90

2N6068

':"VOLTS

.,

~

MAC6·1

MAC5·1

MACll·1

, ,.•

MT2H. G{-l . ' '. " ' ,
:,MT2H. G(+} '~ '"
,.

60
60

2,5
2.5

30

50
15
50
15

50

2.0
2.5
2.0
2.5

2.0

100

100

50

2.0

50
15
50
15

50

2.0
2.5
2.0
2.5

2.5

100

100

50

2.5

5-22

50
15
50
15

50
15
50
15

50

2.0
2.5
2.0
2.5

2.0
2.5
2.0
2.5

2.5

100

100

100

50

2.5

50
80
50
80

50
80
50
80

50
80
50
80

2.5
2.5
2.5
2.5

2.5
2.5
2.5
2.5

2.5
2.5
2.5
2.5

100

100

100

THYRISTORS (continued)

TR~CS-

SILICON 81DIRECnONAL THYRISTDRS
25 AMP
30 AMP

~

Case 174
TO,203
25 V MAC37,1
30 V

t vt f
"

,

-

0

'\

;/

Case 175

MAC38,1

-

-

-

-

-

-

-

-

-

-

-

-

-

-

50 V MAC37-2 MAC38-2
60V

Case 174
TO,203 Case 175 Case 235

-

-

100 V MAC37,3 MAC38-3
BLOCKING
VOLTAGE
200 V MAC37-4 MAC38-4 2N6157 2N6160 2N6163
{DC OR PEAK)
VOLTS
300 V MAC37-5 MAC38-5

-

-

-

400 V MAC37-6 MAC38-6 2N6158 2N6161 2N6164

500 V MAC37-7 MAC38-7
600 V
IGT@25"C
MT2(+). GI+)
MT2!+I. GI-I
MT2H.GI-1
MT2I-I. G(+)
VGT@25°C
MT2(+I. GI+)
MT2(+).G(-)
MT2l-l,G(-1
MT2H.GI+J
ITSMIAmpl

-

-

75

75

-

-

75

75

-

-

3.0

3.0

-

-

3.0

3.0

-

225

5-23

-

-

-

2N6159 2N6162 2N6165

60
70
70
100

60
70
70
100

60
70
70
100

-

2.0
2.1
2.1
2.5

2.0
2.1
2.1
2.5

2.0
2.1
2.1
2.5

225

250

250

250

•

POWER THYRISTORS

High current thyristors above 35 Amperes are now available from
Motorola to more fully encompass the needs of semiconductor users.
Construction features employ special materials and alloys which are
carefully tested and selected to provide the reliability and performance
demanded by the most sophisticated industrial application. These
features are:
1. Large area single die
2. Hermetically sealed package using high quality ceramic
3. Fatigue·free, pressure· loaded die contact system
These Silicon Controlled Rectifiers are designed for high power industrial
and consumer applications in power and speed controls such as welders,
furnaces, motors, space heaters and other equipment where control of
high current is needed.

CASE 219
(TO-94)

CASE 246
(TO-S3)

SILICON CONTROLLED RECTIFIERS - SCR - 110 AMP RMS
...... ' '.

"', iId. .', .,.
T""',
C"""nt '.
11tA!' ..
.. :,'181'"
2N4361
2N4362
2N4363
2N4364
2N4365
2114366
2N4367
2N4368
2N4371
2N4372

100
200
400
600
800
1000
1200
1400
200

3DO

2N4373
2N4374
2N4375
2N4376
2N4377
2N4378
MCRI54-10
MCRI54-2o
MCRI54-3o
MCRI54-40

400
600
800
1000
1200
1400
100
200
300
400

500
700
900
1100
1300
1500
200
300
400

MCR154-50
MCR154-60
MCR155·10
MCR155·20
MCR155·30
MCR155-40
MCR155·50
MCR155·60
MCRI56-10
MCR156-20

500
600
100
200
300
400
500
600
100
200

600
650
200
300
400
500
600
650
200
·300

MCR156-30
MCRI56-4o
MCR156-50
MCR156-60
MCR157·10
MCR157·20
MCR157·30
MCR157-40
MCRI57-50
MCRI57-60

300
400
500
600

400
500
600
650
200
300
400

lao

lao

200
300
400
500
600

200
300
500
700
900
1100
1300
1500
200

1600

200

....

·'OaUf.

'

Vellap

IVobsl
'VG1'"
3,0

I,',
tioId...

F-.t

,.....Ri,

"0....

v......
lit-IlIA.

Voltagil
J\jItt. . . .

e_
Two....
UnA}

...... TJ" 2i"C!

Ra!o.
TYIO,.*

IH

',VT',

,l\V/dt' .

30

1.6

Jr:6IIOA* .

IVoIIII,

,

IV/PO) c'
500

"

ca.'
219

246

1800

150

3.0'

200'

219

I

SOD

100'

I
T
100·

I

SOD
600
650

5-24

246

TRIGGERS
switches for Triac control - there are more than 3 dozen
signal thyristor devices available that enable the right
metal or plastic device to be selected for any thyristor
power control application.

The ideal SCR or Triac complement is a trigger
developed to meet design and cost considerations.
Motorola has the broadest line of signal triggers!
New unilateral switches for SCR triggering. bilateral

I

,

CASE 22
(TO·18)

/~'" I

CASE 29
(TO·92)

CASE 182

(00·7)

SILICON BIDIRECTIONAL SWITCH - SBS

Device
Type

C~se

NoJ
Style

Vs
Switching Voltage'
Vdc
(Min) _ (Male)

Switching CUkent
pAdc
(M.,,)

Is

IH
Holding Current
mAde
IMlIx)

IVS1- V S21
Switching Voltage
Differential
Vde
IM.x'

VI'
Forward ON.state Voltage
11111' = 115 mAde
(21 'F = 200 mAd"
Volts
(M.,,)

MBS4991
MBS4992

29/12
29/12

6.0
7.5

10
9.0

500
120

1.5
0.5

0.5
02

1.7 (1)
1.7 (2)

2N4993
MBS100

22/9
29/12

6.0
3.0

10
5.0

500
400

1.5
1.0

0.5
0.350

1.7 (2)
2.0 (2)

SILICON UNIDIRECTIONAL SWITCH - SUS
Vs
Switching
Voltage
Volts
Device CateNo.1
Type
Style
(Mini (MaxI
MUS4987 29-02/13
MUS4988 29·02/13

6.0
7.5

IS
Switching
Current
pA

IS
@5.ov
VI'
Forward
Forward
IH
Voltage
Holding.
Blocking
Cu ....n!
Current
mA
IF 150 mA
/lA

=

(Max)

500
150

'-0
9.0

1.5
0.5

1.5
1.5

Vo
IFlrep)
Peak Recu.rent
Peak
VR
Reverse Pol...
Forward Current
Voltaga Voltage T A 100 "C, tp = 10 p.
Power
1 J)% duty cycle
Volts
Dissipation Volts
(MlIxl
Amp
IMinl
mW

Po

300
300

0.1
0.1

=

30
30

3.5
3.5

2.0
2.0

BILATERAL TRIGGER DIACS

Device
Type
1 N5758/MPT20
lN5759
1 N5760/MPT28
lN5761/MPT32
lN5762
lN5758A
lN5759A
lN5760A
tN5761A
tN5762A

C_
No.

tN

Vs
Switching Voltage
IBoth Direetionsl
Volts
INoml

IS
Switching Current
.IBoth Directionsl
j,A
IMaxl

SWitchback Voltage
tBoth Directionsl
Volts
(Mini

20 -4.0
24 ± 4.0
28 ± 4.0
32 ± 4.0
36 ±4.0
20 ± 2.0
24 ± 2.0
28 ± 2.0
32 ± 2.0
36 ± 2.0

100
100
100
100
100
25
25
25
25
25

5.0
5.0
7.0
7.0
7.0
5.0
5.0
7.0
7.0
7.0

182

4-LAYER DIODES (PEAK PULSE CURRENT

Type

Case No.

lN5t58 (M4L3052)
lN5t59 (M4L3053)
lN5160 (M4L30541
M4L3055
M4L3056

51

IS
Switching Current

!

Switching Voltage
Volts
IMinl
{MlIl<'
8.0
9.0
10
11
12

'pUlse
Peak P",!Ie Current
@3Op5,120H.
Amp
(Max)

10

2.0

= 10 Amp@ PW = 50 /1S Max, IF = 150 mA Max)

Vs

Devke

18
Leakage
Current
!Both Directionsl
V= 14V
/lA (Max)

p.A
IMlIxl

to
11
12
13
14

5-25

IH
Holding Current
TA "25OC
mA
(MinI
(Maxi

50

t.O

20

I

I I

Ipulse
Peak Pulse Current
lli>50ps

Amp
{Maxi
to

I

•

UNIJUNCTIONS
Motorola Unijunction Transistors give you state-of-theart leadership in technology and performance because

__ .computerized testing ensures uniform results, faster
deliveries and user confidence.

. . .the Annular process furnishes fast-response, longtime-delay advantage as well as superior reliability and
stability in all applications_

... ready availability meets any demand .
...applications assistance is yours for the asking, like
AN-294, "Unijunction Transistor Timers and Oscillators," a valuable application note we'll send you .

. . .there are over 30 different metal and plastic Motorola
UJTs to meet your top performance need in most any
design from consumer to military.

I

fij

!

l!

Case 22
(TO·18)

Case 22A

UNIJUNCTION TRANSISTORS - UJT

I: ..

•

"Case'No)

OeviC0

. Vina1

Power
Oi$$ipatlon

Interbase'

Voltage
Volts (Maxi

rnA.IM;"l

mW(M8lC1

0.56
0.70
0.55
0.51
0.55
0.74

0.75
0.85
0.82
0.69
0.82
0.86

0.56
0.70
0.70

0.75
0.85
0.85

2.0
2.0
0.4

0.1
0.1
0.05

2.0
4.0
4.0

28

I

t

0.56
0.68
0.68
0.56
0.70
0.70
0.55
0.55
0.74
0.74
0.72
0.72

0.75
0.82
0.82
0.75
0.85
0.85
0.82
0.82
0.86
0.86
0.80
0.80

5.0
2.0
2.0
2.0
2.0
0.4
2.0
2.0
1.0
1.0
0.4
0.4

12
0.2
0.01
0.1
0.1
0.05
0.01

4.0
8.0
1.0
2.0
4.0
6.0
2.0

35

300
300
360
300
300
300
360

'.

2.0
4.0
2.0

-

I

j

200

I

-

I

I

300

35
35

I

-

300
300

35
35

COMPLEMENTARY UNIJUNCTION TRANSISTORS - CUJT

1!

Ip

. Peak Point

. hminslc,
.. ,' Standoff Ratio'
(Mini ~I IM.x) ,
2N6114
2N6115

22A/l
22A/l

0.58
0.58

I

Emi_ Current'
'j'

"AIMax)

-IE82O
'. Emitter

5.0
15

0.62
0.62

Vinal

'V
Valley Point

"Reverse Current
.... nA (Max)

"0

Interbase

. Current'

.\/01_ '

Power'

,mAIM!n)

Volts IM.xl

Dissipation
mW(Max)

1.0
1.0

30
30

300
300

10
100

PROGRAMMABLE UNIJUNCTION TRANSISTORS - PUT

l',

"'-,. - '., '.:-'

~«"

-t~·

.

. '1/:-:' 'GAO
Leakage
Valley
II>
[fent ' .'
fieak Current Cumnt
@>
RG·· RG·"
RG" RG411k.o l.oMO 40V lOk.o U) M.o
p.A
p.A '. p.A'
nA
I'A
lMaltI ,(Maxi. ·.!Maxl (MinI (Maxi

. co

,-'"

l;::::",,··~~;";·: "~tyr::J
2N6027
2N6028

29/16
29/16

5.0
1.0

2.0
0.15

10
10

70
25

50
25

MPU131
MPU132
MPU133

29/10
29/10
29/10

5.0
2.0
1.0

2.0
0.3
0.15

5.0
5.0
5.0

70
50
50

50
50
25

2N6116/MPU231
2N6117/MPU232
2N6118/MPU233

22/6
22/6
22/6

5.0
2.0
1.0

2.0
0.3
0.15

5.0
5.0
5.0

(1) Meets the Requirements of MIL-S-19500/388

70
50
50

,

VGKF'
,Gate' to

Vo

Cathode

Forwar.
Vo!taga
. Volls

(MaxI
40

l

,

Out!>u

ITlpulsel

VF
foi-werd

300
300

6.0

1.5

50

250
250
250

(2) Meets the Requirements of MIL-S-19500/425

5-26

AnodO

20

DC

Voltag. Voltatie Cum.i1t
Po Volts . Vf@llF 'mA ;
rn'iii (Min) voin mA iMpl

375
375
375

50
50
25

IT

. "-ok
Anode

!'"" .

Current
jlS

lr

tJ

Pulse Oparll1ing.
Rate Junction
Tarnl'.

1;~~
1M...)

150
150

5.0
5.0

40
40

200

2.0

80

I II

.' t:lanue
. 'QC'

-50 to
+100
50 to
+100
-50 to
+125

FIELD EFFECT TRANSISTORS

Motorola offers a line of field-effect transistors
encompassing the latest technology and covering
the entire gamut of potential applications. Ineluded is a wide variety of junction F ETs and
MOSFETs, with N- or P-channel polarity. These
FETs inelude devices optimized for operation
from dc to UHF in switching and amplifying
applications.
Moreover, an exclusive silicon-nitride passivation
process now being employed on all Motorola
MOSFETs has greatly improved MOSFET threshold stability with aging and temperature change.

This process also reduces susceptibility to damage
from static-charge buildup during handling because
of its increased voltage breakdown capability. All
Motorola single-gate MOSFETs have transient gate
breakdown voltages of greater than ±150 Vdc peak
(typical).
The selection tables in this guide are designed to
permit a rapid selection of specific field-effect
transistors for a variety of applications.
Six tables cover the major application categories:

RF Amplifiers and Mixers
Table 1
... high performance single and dual
gate devices specifically designed for R F
applications

Table 4
General-Purpose Switches
... these devices are suitable for
medium-speed switching applications.

Table 2
Choppers
. . . FETs have no offset voltage, and as
a result, they are particularly well suited
for chopper applications.

Table 5
Matched Pairs
... pairs of carefully matched devices
for critical applications such as differential-amplifier service.

General-Purpose Amplifiers
Table 3
. . . N- and P-channel field-effect transistors designed for small-signal amplification at low and moderate frequencies
(to 30 MHz)

Table 6
Micro-T Field-Effect Transistor
... where high density packaging is
required.

The information in the tables is necessarily brief to simplify selection. Complete specifications for these devices are given in

the data sheet section of the Motorola Semiconductor Data.' Volumes I and II.
Ask for Motorola's new comprehensive Designer's Manual entitled, "Understanding and Designong with FET's."

5-27

•

FIELD EFFECT TRANSISTORS (continued)

INDEX to MOTOROLA FIELD-EFFECT TRANSISTORS

1
TO-1S
Case 22

ff

TO-72
Case 20

TO-76
Case 642

~

TO-92
Case 29

Case 206

~

1

Case 262

The following table lists the Motorola field-effect transistors with reference to
selection tables. Package identification is included in this table only.
All TO·72 packages. except: t TO·18, * T0-92, § TO-76, # Case 28, • Case 206 and •• Case 262

OIwieli 1)tjKi(

':T~L jl~tce:~

:r~:',> ~tvP\I"

!(,,*"

";~TvP.

Table"

2N3330
2N3796t
2N3797t
2N3821
2N3822
2N3823
2N3824
2N3909
2N3909A
2N3970t

3
3
3
3
3
1
4
3
3
2

2N4392t
2N4393t
2N4416
2N4656t
2N4856At
2N4857t
2N4857At
2N4858t
2N4858At
2N4859t

2
2
1
2
2
2
2
2
2
2

2N5461 *
2N5462*
2N5463*
2N5464*
2N5465*
2N5471
2N5472
2N5473
2N5474
2N5475

3
3
3
3
3
3
3
3
3
3

2N3971t
2N3972t
2N3993
2N3994
2N3994A
2N4066§
2N4067§
2N4091t
2N4092t
2N4093t

2
2
3
3
3
3
3
2
2
2

2N4859At
2N4860t
2N4860At
2N4861t
2N4861At
2N5265
2N5266
2N5267
2N5268
2N5269

2
2
2
2
2
3
3
3
3
3

2N5476
2N5484*
2N5485*
2N5486*
2N5555*
2N5556
2N5557
2N5558
2N5592
2N5593

3
1,3
1,3
1,3
2,4
3
3
3
3
3

2N4220
2N4220A
2N4221
2N4221A
2N4222
2N4222A
2N4223
2N4224
2N4342t
2N4351

3,4
3,4
3,4
3,4
3,4
3,4
1
1
3
4

2N5270
2N5358
2N5359
2N5360
2N5361
2N5362
2N5363
2N5364
2N5457t
2N5458*

3
3
3
3
3
3
3
3
3
3

2N5594
2N5638*
2N5639*
2N5640*
2N5853t
2N5854*
2N5668t
2N5669t
2N5670t
2N5716

3
2
2
2
2
2
1
1
1
3

MFE2004t
MFE2005t
MFE2006t
MFE2007t
MFE2008t
MFE2009t
MFE2010t
MFE2011t
MFE2012t
MFE2093

2
2
2
2
2
2
2
2
2
3,4

2N4352
2N4360t
2N4391t

4
3
2

2N5459t
2N5460t

3
3

2N5717
2N5718

3
3

MFE2094
MFE2095
'MFE3001

3,4
3,4
3

5-28

3N124
3N125
3N126
3N140
3N155
3N155A
3N156
3N156A
3N157
3N157A
3NI58
3NI58A
3NI69
3N170
3N171
MFE120
MFE121
MFE122
MFE2000
MFE2001

3,4
3,4
3,4
1
2,4
2,4
2,4
2,4
3
3
3
3
4
4
4
1
1
1
1
1

.~TVPI' .;jf.~~i;
MFE3002
MFE3003
MFE3004
MFE3005
MFE3006
MFE3007
MFE3008
MFE3020§
MFE3021§

2
2
1
1
1
1
1
2
2

MFE4007
MFE4008
MFE4009
MFE4010
MFE4011
MFE4012
MMFI
MMF2
MMF3
MMF4

3
3
3
3
3
3
5
5
5
5

MMF5
MMF6
MMT3823#
MPF102*
MPF108t
MPF109t
MPFlll*
MPF112t
MPFI20'
MPFI21'

5
5
6
1,3
1
3
3
3
1
1

MPFI22'
MPFI61t
MPF820t
MPF1000"

1
3
1
1,3

FI ELD EFFECT TRANSISTORS (continued)

TABLE 1

N-CHANNEL J FETs Dll!pletlon

RF AMPLIFIERS
AND
MIXERS

400
400
400
400
400

10
10
10
10
10

-

2NS484
2N5668

100
200
200
100
100

2N5669
2N5670
MPr102
MPF10B
MPFB20

100
100
100
100
100

2N4416

2N54B6

2N5485
MFE2000
MFE2001
2N3823=
2N4223

2N4224

High performance single and
dual gate devices specifically
designed for R F applications.
The transistors are listed first in
order of decreasing specified
test frequency; then in order of
decreasing power gain (G ps )
and noise figure (NFl.

I.I.I.
I.
10

-

-

.-

-

-

-

-

-

40
40
40
4.0
4.0

OB

25

20
20
20
10
30

SO

-

30
2.5
25
25

-

30
40

20
20
20
20
2.0

10
10
1.0
10

-

-

20
40
40
40

30
3.0
30
25
35'

-

Box Sari, Color Coded:1 1 lOSS Ratios

3.St

N CHANNEL MOS FETs Depletion/Enhancement
70
10

-

-

12
12

MPF1DQO

aso

MFE3005
MPF122
MFE122
MFE3007

400
244
244
200

MPF12t
MFE3Q08
MFE121
3N140
MFE3004

200
200
200
200
200

MPF120
MFE120
MPF122
MFE122
MFEJOO6

105
105
104
104
100

20

-

MFE3008
MPF121
MFE121

100
60
.0

141
20
20

14

-

17

-

141

10

lB

I.I.
n

17

n
-

-

15
15

-

002t

30

40

02
00231
0023t
002t

4.0
4.0
3.5

SO

0023t

SO
45
45

OD23t
003
0.2

SO
SO

0023t

70'
45

-

002t

0023t

-

3.5
4.0
35

--

45

002t

40
40
40
40
40

-

0.021
0.0231
O.023t

40
3S
3.S

-

SO
5.0

0023t
0023t

DualGale-MIKer
Dual Gale _ Mixer
Dual Gate

Dual Gate
OualGate-Mlxer

Dual Gate
Dual Gate
DualGale
CualGate
Dual Gate - Mllcer
QualGale-Mlxer

CualGale
CualGate-Mllter
Dual Gate
Dual Gale

I TVPlcal
~ Conver1>lon Gain, OUlput frequency " 30 MHz
# 2N3823JAN Available

TABLE 2

Because FETs have no offset voltage they are particularly well suited for chopper
applications. Devices in this table are designed for low rds(on). low C rss and fast
switching time. The FETs are listed first in order of decreasing V(BR)GSS. increasing
rds(on). then in order of increasing C rss and IDSS.

CHOPPERS

.:~b.':.
. Type,!

N-CHANNEL J FETs DEPLETION
2N4856A
2N4856
2N4391
2N4091
2N3970

-40

2N4857A
2N4857
2N4092
2N4392
2N4858A
2N3971
2N4858
2N4093
2N4393
2N3972
2N4859A
2N4859
2N5638
MFE2006
2N4860A
2N4860
2N5653
MFE2005
2N4861A
2N5639
tnA max

-30

25
25
30
30
30

4.0
8.0
3.5
5.0
6.0

50
50
50
30
50

0.25
0.25
0.1
0.2
0.25

40
40
50
60
60

3.5
8.0
5.0
3.5
3.5

20
20
15
25
8.0

0.25
0.25
0.2
0.1
0.25

60
60
80
100
100

6.0
8.0
5.0
3.5
6.0

25
8.0
8.0
5.0
5.0

0.25
0.25
0.2
0.1
0.25

25
25
30
30
40

4.0
8.0
4.0
5.0
3.5

50
50
50
30
20

0.25
0.25
1000
0.2
0.25

40
50
50
60
60

8.0
3.5
5.0
3.5
4.0

20
40
15
8.0
25

0.25
1.0
0.2
0.25
1000

• Designers Data Sheet

:·f'~r··

2N4861
MFE2004
2N5654
2N5640

-30

MFE2012
MFE2011
MFE2009
MFE2010
MFE2008
MFE2007

-25

l

2N5555~

Crss

)OSS

ID(offl

Ohms
Max

Max

mA
Min

Max

60
80
100
100

8.0
5.0
3.5
4.0

8.0
8.0
15
5.0

0.25
0.2
1.0
1000

10
15
20
25
30
40
150

20
20
15
20
15
15
1.2

100
40
50
15
20
8.0
15

3.0
3.0
2.0
3.0
2.0
3.0
10

300
300
600
600
200
500
250

1.3
1.3
1.3
1.3
1.0
1.5
1.5

0.25t
0.25t
1.0t
LOt
10t
10t
10t

-

'd5(ol»

pF

nA

N-CHANNEL MOS FETs

3N155A·
3N156A·
3N155·
3N156'
MFE3003
MFE3020
MFE3021

# Low VGS(th). High Speed

5-29

,

±35

±30
-25
-25

§ High Speed, t(on)

= 10 ns max

FIELD EFFECT TRANSISTORS (continued)

TABLE 3

This table includes a wide selection of N- and P-channel field-effect transistors
designed for small-signal amplification at low and moderate frequencies (to 30
MHz). The transistors are listed in order of increasing Gate-Source Breakdown
Voltage [V(BR)GSS1, then in order of decreasing Zero-Gate Voltage Drain
Current (I DSS) and Forward Transfer Admittance (Yfs).

GENERALPURPOSE
AMPLIFIERS

Commelrts .
N-CHANNEL J FETs DEPLETION
0.5/20
8.0/20
4.0/16
4.0/10
2.0/9.0

500/4000/8000
2000/6000
350017000
1500/5500

2.0/20
1.0/25
1.0/5.0
1.0/5.0
0.5/24

200017500
100017500
1000/5000 Formerly MPF103
3000/6000
{2:1 lOSS Ratio
800/6000

5.0/15
5.0/15
4.0/10
2.0/6.0
2.0/6.0

2500/6000
2500/6000
1500/6500
2000/5000
2000/5000

2.0/5.0
0.5/3.0
0.5/3.0
0.5/2.5
9.0/18

1500/6500
1000/4000
1000/4000
1500/6500
2700/6500

2N5361·
2N5360'
2N5359'

7.0/14
4.0/8.0
2.5/5.0
1.5/3.0
0.8/1.6

2500/6000
2000/5500
1500/4500
1400/3200
1200/3600

2N5718
2N5358'
2N5717
2N5716
3N126

0.8/4.0
0.5/1.0
0.2/1.0
0.05/0.25
3.0/9.0

500/2000
1000/3000
400/1600
200/1000
1200/3600

2N3822#
3N125
2N5592
2N5593
2N5594

2.0/10
1.5/4.5
1.0/10
1.0/10
1.0/10

3000/6500
800/2400
2000/7000
2000/7000
2000/7000

MFE2095
2N3821
MFE2094
3N124
MFE2093

1.0/3.0
0.5/2.5
0.4/1.4
0.2/2.0
0.1/0.7

400/800
1500/4500
3501700
500/2000
250/500

MPFlll
2N5486
2N5459
2N5485
2N5458

-20
-25

MPF102
MPFl12
2N5457
2N5484

MPF109
2N4222
2N4222A
2N5558
2N4221
2N4221A

-30

2N5557
2N4220
2N4220A
2N5556
2N5364"

-40

2N5363·
2N5362*

-50

Formerly MPF 107 77
Formerly M FP 1 05
Formerly MPF106
Formerly MPF104

Box Sort, Color Coded

Low Noise

Low Noise
Low Noise

2N4360
2N3909A
2N3909
2N3330
2N3993
2N4342
2N3994A
2N3994

+20

MFE4012*

+40

±7.0
±30

±aD
±aD

5.0/15
2.0/6.0
0.5/6.0
0.5/3.0

10.000/20.000
1500/3000
700/3500
90011800

~

2N5462
MFE4011·
2N5460
2N5476
MFE4008'
MPF161
MFE4007
2N5475
2N5474
2N5473
2N5472
2N5471

Low Noise

Low Noise
Low Noise

2N5270'
2N5465
2N5269'
2N526S'
2N5464

2:1 lOSS Ratios

+60

2N5267'
2N5463
2N5266'
2N5265'
Tetrade Connected

10/4.0/12
2.0/2.0/7.0/14
4.0/16
4.0/8.0
1.0/5.0
0.8/2.0
0.8/1.6
0.5/14
0.5/1.0

2000/8000
2200/5000
1000/5000
1500/3000
6000/12000
2000/6000
5000/10000
4000/10000
2500/5000
2000/6000
2200/4500
1000/4000
260/650
1000/3000
800/6000
900/2700

0.4/1.0
0.2/0.5
0.1/0.25
0.05/0.12
0.02/0.06

200/500
160/400
120/300
90/225
60/180

7.0/14
4.0/16
4.0/8.0
2.5/5.0
2.0/9.0

2500/5000
2000/6000
2200/4500
2000/4000
1500/5000

2:1 lOSS
Formerly
2: 1 lOSS
2:1 lOSS
Formerly

Ratio,
MPF156
Ratio,
Ratio,
MPF 155

1.5/3.0
1.0/5.0
0.8/1.6
0.5/1.0

1500/3500
1000/4000
1000/3000
900/2700

2:1 lOSS
Formerly
2:1 lOSS
2:1 lOSS

Ratio,
MPF154
Ratio,
Ratio,

-

2:1 lOSS
Formerly
2:1 lOSS
Formerly

3N157'
3N15S·
2N4066
2N4067
3N157A·
3N158A·

Low Noise

Low Noise

Low Noise

Tetrade Connected

IGSS == 20 mAde (Max)
IGSS '" 1.0 pAdc (max)

IGSS == 1.0 pAdc (max)

t nAdc
* Designers Data Sheet
#JAN and JANTX Available

5-30

±35
±35
±30
±30
±50
±50

-/1.0t
-/1.0t
-/1.0t
-/1.0t
-/0.25t
-/0.25t

1000/4000
1000/4000
1500/2500/1000/4000
1000/4000

Ratio,
MPF153
Ratio,
MPF151

2:1 lOSS Ratio,
2:1 lOSS Ratio,
2:1 lOSS Ratio,
2.5:1
2.5:1
2.5:1
2.5:1
2.5:1

lOSS
lOSS
lOSS
lOSS
lOSS

P-CHANNEL MOS FETs ENHANCEMENT

Tetrade Connected

N-CHANNEL MOS FETs Depletion/Enhancement
MPF1000
2N3797
MFE3001
2N3796

~
+25

3.0/30
1.0/15
0.3/15

Dual
Dual

Ratio
Ratio
Ratio
Ratio
Ratio

FIELD EFFECT TRANSISTORS (continued)

TABLE 4
GENERALPURPOSE
SWITCHES

The devices in this table have low
feedback capacitances (C rss )
and relatively low drain-source
resistance. [rds(on)l. making
them suitable for medium·
speed switching applications.
The transistors are listed first in
order of decreasing Gate·Source
Breakdown Voltage
[V (BR )Gssl. then in order of
increasing rds(on). decreasing
gate cutoff voltage [VGS(off)
or VGS(th)l and decreasing
IDSS·

VIBRIGSS
Device

YoU.

Tvpe

Min

'dslonl
Ohm.
Mu

VGSloffl
VGSlth)*
Volts
Mex

loss
'Dlon)'
mA
Min

Comments

N-CHANNEL J FET. bEPLETION
2N3824
3N126
3N125
3N124
MFE2095
MFE2094
MFE2093

-50

2N4222
2N4222A
2N4221
2N4221A

-30

2N4220

2N4220A
2N5555

3N156At
3N155At
3N156t
3N155t
2N4352

-

-

6.5
4.0
2.5
5.5
4.5
2.5

3.0
1.5
0.2
1.0
0.4
0.1

300
300
400
400
500
500
150

8.0
8.0
6.0
6.0
4.0
4.0

5.0
5.0
2.0
2.0
0.5
0.5
15

300
300
600
600
600

5.0·
3.2'
5.0'

250
500
750
1000
1300
1600
2500

I

-25

±35

l

±30

-

5.0·
5.0'
5.0'
5.0'
3.0'

3.2*

5.0'

Tetrode Connected
Tetrode Connected
Tetrade Connected

Low Noise
Low Noise
Low Noise
High Speed t(on) :::: 10 ns max

Complement to 2N4351

tDesigners Data Sheet

TABLE 5
MATCHED PAIRS

Each type number in this table
represents a pair of devices
carefully matched for critical
applications such as differential·
amplifier service. Each pair is
packaged in a metal clip to
maintain pair identity.

lOSS

Iyos!·

Iv.s!

.Cm

pF
Max.
2.0

mA
"",hot.
"n:thOS
MinIMall
. Max
Min·
4.0/2.0
3500
35
MATCHING CHARACTERISTICS
Deviea
Type
MMFl
MMF2
MMF3
MMF4
MMF5
MMF6

A!VGShT VGSZI

Volts
Min
30

~~

5.0

10

j

j

0.98
0.98
0.95

··mV
Max

10
10
25
25
50
50

VlBRKiSS

"Gl- 1021

IVGS1 ":'" VGS21

MaxsNJOc

NF
dB
Mu
2.5

nA
Max

Min

!

Note: See Index Table for package identification.

TABLE 6
MICRO-T
FIELD-EFFECT
TRANSISTOR
N·CHANNEL

N-CHANNEL J FET DEPLETION

MMT3823

V(BRIGSS

Volts
Min

lOSS··
mA .. · ,
. MinIMax

MHz

-30

5.0/20

100

Field·Effect Transistor
designed for R F amplifier
applications where high density
packaging is required.

5-31

•

GERMANIUM POWER TRANSISTORS
This selector guide reflects the "preferred" Motorola germanium power transistors and can be used as a quick reference to find
the best device for your applications.

ALLOY TRANSISTORS
Low·cost devices featuring high current gain and low saturation voltage.

3-AMP

~I

~

TO·41(2)

TO·3

(Case 11)

(Case 183)

VeE)

"'

Vc. /

30-60';

t

' hFi"

Ie;" ~.oil.
Yi:.=O.5V

HIGH·FREQUENCY
DRIVER
p.= 20W

30V

45V

60V

75V

90V

30V

45V

60V

75V

90V

2N2137 2N2138 2N2139 2N2140 2N2141

50.100"

(Case 4·04)

~ ~

(Case 1801

"(J~~5;~:,',::

HIGH-FREQUENCY
DRIVER
LOW le.o
P,=70W
,,= 0.4 MHz

2N2142 2N2143 2N2144 2N2145 2N2146
lOV
40V
50V
60V

Vc~

......

40V

Vco/'

60V

80 V

100V

2NI038 2NI039 2NI040 2NI041
., 20.-60 ..

2N2552 2N2553 2N2554 2N2555

...

2N2556 2N2557 2N2558 2N2559

(Case 184)

3.5-AMP

':~:>'H1"

,.

VCE~

30 V

40 V

50 V

60 V

",.,~~;;~;'V;·I--V=CB~.)+-4-0-V-1-6-0-V-+---80-V-+-l0-0-V-+---l

HIGH·FREQUENCY
DRIVER
p.= 20W
(Case 180)

(Case 183)

'Y" :;ftL,I-_--I1-2_N_l_O_4_2t-2_N_1_O_4_3t-2_N_1_O_4_4-t-2_N_lO_4-5-t-----i

", :~6iO .'1-_-+2_N_2_5_6_0t-2_N_2_5_61--/-2_N_25_6_2+-2N_2_5_6_3t--_--i
.""

(Case 184)

,;,'

2N2564 2N2565 2N2566 2N2567

5-AMP

•

~

GENERAL·PURPOSE
SWITCH AND AMPLIFIER
p,= 106W
',= 0.25 MHz

TO·3

li~~N

~
TO-4I<"

(Case 4·04)

40V

Vel)

',,20J40
35-70

(Casell)

HIGH·GAIN
GENERAL·PURPOSE
SWITCH AND AMPLIFIER
P.= l06W
,,= 0.35 MHz

lOV

VeES )

,.SaliOo,'.
":

.:

.:. j

60V

75V

90V

60V

SOV

100V

120V

2N1529 2N1530 2N1531 2N1532 2N1533
2N1534 2N1535 2N1536 2N1537 2N1538

,'~d·l~·~~ t"
f,V.. =2,D.Y,
~

45V

"
"'

VeES /

lOV

45V

60V

75V

90V

Vel /

40V

60V

80V

100V

120V

2N1539 2N1540 2N1541 2N1542 2N1543

•

'1:15.150. '.
;"~:~~~;;~:,,'

2N1544 2N1545 2N1546 2N1547 2N1548
.......,
VeES /

45V

20V

30V

2N5887

2N5888

60V

75V

.V"""",,.2,o V.

SOV

2N2526 2N2527

;

HIGH·VOLTAGE
MEDIUM·SPEED SWITCH

(Case 4·O4l

VCEO )

"."'~A;';""

"

V",=UlY"

P,=85W
f,=O.7MHz

TO·3
(Case III

TO-41(1)

,"

."

, 11M"'.:,

MP3730
141

" ,

' 1~""6JlA,V,,,''''':UV

MP3731

20-AMP

~~
10·3
(Case llAl

10·41
(Case4·04l

HIGH·SPEED
HIGH·VOLTAGE SWITCH
P.=85W
f,= 20 MHz

'lis,

Vc~

50V

SOV

15V

75V

""lO(

Ve~

BOV

100V

120V

140V

V.... 2.l1v
2$.100

12'

14'

'2)

100 V

100V

140 V

160 V

14,

JAN 2N174A

MIL-S-19500/13

JAN 2N1412

MI L·S-19500/76

JAN 2N1554A

MIL-S-19500/331

JAN 2N297A

MI L-S-19500/36

JAN 2N1412A

MI L-S-19500176

JAN 2N1555A

MIL-S-19500/33l

JAN 2N665

MI L-S-19500/58

JAN 2N1549A

MIL.-S-19500/332

JAN 2N1556A

MIL-S-19500/331

JAN 2N101l

MI L-S-19500/67

JAN 2N1550A

MI L-S-19500/332

JAN 2N2079A

MI L-S-19500/340

JAN 2N1120

MI L.-S-19500/68

JAN 2N1S51A

MIL-S-19500/332

JAN 2N2528

MI L-S-195GO/3C9

JAN 2N1165

MI L-S-19500/178

JAN 2N1552A

MI L-S-19500/332

JAN 2N2834

MIL-S-19500/3l0

JAN 2N1358

MI L-S-19500/l22

JAN 2N1553A

MIL-S-19500/33l

5-34

,4,

2N283. MP161. 2N283 MP1612A 2N2834 MP1612B

FOR MILITARY APPLICATIONS

Also available as standard devlces_

(211

DIFFUSED BASE TRANSISTORS (continued)

25-AMP
("

~

VeEO

h'E

MEDIUM-CURRENT "ADE" Ie - 5.0 A,
Ve• = 2.0V
SWITCH HIGH-GAIN

Ve;

50 Min

TO·3

(Case llAl

(,'
MEDIUM-CURRENT "ADE"
SWITCH HIGH-VOLTAGE
P.=106W
fr = 0.4 MHz

TO ..41("
(Case 4·041

60V

75 V

VeE~
Ve~

h..

Ie =8,0 A,
V",,,, 2.0V

70V

75V

SOV
90V

90V

,41

'41

P.=85W

~

50V

(41

'"

MP602

MP603

60V

SOV

100V

SOV

100V

120V

MP600

MP601

30V
60V

120V
140V
2N5155

25-100

'" MP2100A'" MP2200A MP2300A MP2400A
MP2000A
'41

'41

"I

25 Min

40-AMP

~ ~
CASE 3A
(TO·3)
2N5692 Series

HIGH-CURRENT
FAST SWITCHING
"ADE" SWITCH

CASE 161
ITO·41)

Po = 120W
fT= 0.2 MHz

MP5692 Series

hFE

VCEO

Ie'" 2S A,
Veo '" ZoO V

VCB

~

)

30V

60V

SO V

100V

120V

50 V

SOV

100V

120V

140V

10 Min

2N5692 2N5693 2N5694 2N5695 2N5696

Ic:::::::4DA,
Ve.",2.oy

MP5692 MP5693 MP5694 MP5695 MP5696

HIGH-CURRENT HIGH-VOLTAGE
"ADE"® SWITCH
TO·3
(Case 3A)

TO·41 (2)
(Cas. 161)

P.=120W
f.= 1.0 MHz

"POWER-PAC" ASSEMBLY
HIGH VOLTAGE SWITCH

b..

Vel

SOV

110 V

140V

I. '" 150 A,
V",= 2.0 Y

VeEO

60V

90V

120V

MP900

MP901

MP902

P.=250W

9,e = 0.33·C/W

20 Min

(') For epoxy encapsulated "PAC" add "Au to device type (i.e. MP801A)
add the prefix "MP" in place of "2Nu (i.e. MP2137)
{l)TO·41, order odd numbered devices (i.e. 2N1163)
(4) Special order for TO·41; contact your local Motorola Sales office
(5) Alloy Diffused Epitaxial Process
(2) TO-41 ,

5-35

SILICON POWER TRANSISTORS

This Selector Guide is published by Motorola to
help the designer choose the best silicon power
transistors for his new equipment and find suitable
replacements for devices used in older designs. It is
a comprehensive listing of the industry's most
complete line of PNP and NPN silicon power

~

~
CASE 1
(TO-3)

•

t

CASE 160A
(TO-59)

~

CASE 9

(TO-61)

II

%\
--

,

CASE 177
(TO-114)

~

CASE 11
(TO-3)

CASE 12
(TO-3)

~

~

CASE 80-02
(TO-66)

CASE 79-1
(TO-39)

CASE 77-03

transistors, and contains over 200 devices rated at
currents between 100 mA and 60 amperes, and at
voltages up to 600 volts. The transistors are in
fifteen popular cases, including two low-cost plastic
packages and hermetically sealed cases capable of
dissipating up to 300 watts.

~

CASE lBB
(TO-63)

~
CASE 197
(TO-3)

CASE 90-05

~
CASE 19B-2

/

CASE 31·1
(TO-5)

t

CASE 160
(TO-59)

~
CASE 199-03

TABLE OF CONTENTS
The first table of the guide is a numerical-alphabetical index
to all silicon power transistors manufactured by Motorola.
The number of the selection table in which each device is
further characterized is also listed.

COMPLEMENTARY TRANSISTORS
One reason for the wide use of Motorola power transistors
is the design flexibility that results from the large number
of "off the shelf" complementary transistors in the line.
For convenience in choosing complements, a table of
complementary power transistors is given following the
index. A selection can be made from the complements on
the basis of maximum collector current, case, and voltage.
SELECTION TABLES
The major part of this guide is composed of selection
tables. These tables list all Motorola silicon power transistors in an order that makes it easy for the user to find a
device that satisfies his requirements. Each table contains

devices in the same package, and the table is separated first
into NPN and PNP devices.
Within each table, the transistors are listed first in order of
increasing breakdown voltage, then in increasing order of
current and hFE.
The tables list only the most basic characteristics of each
device. For complete information on any Motorola power
transistor refer to the individual data sheet or the appropriate pages in the Semiconductor Data Book or its
supplements.
If a standard part listed in this selector guide does not fulfill
all requirements, a special part might be desirable. Motorola
has the production capability and flexibility to supply
devices especially tailored to specific OE:M application
needs. Where suitable power transistors cannot be selected
from this guide, inquiries should be made at the nearest
Motorola sales office.

5-36

SILICON POWER TRANSISTORS
INDEX
Device Type

Table #

Device Type

Table #

Device Type

2N1724
2N1725
2N3021
2N3022
2N3023
2N3024
2N3025

9
9
1

2N4905
2N4906
2N4910
2N4911
2N4912
2N4913
2N4914

1
1
4
4
4
1
1

2N5759
2N5760
2N5867
2N5868
2N5869
'2N5870
2NSa7'

2N49'5
2N4918
2N4919
2N4920
2N4921
2N4922
2N4923

1
5
5
5
5
5
5

2N5872
2N5813
2N5874
2N5875
2N5a76
2N5a77
2N5S7S

2N5050
2N5051
2N5052
2N5067
2N5068
2N.5069
2N5157

4
4

2N5a79
2N5880
2N5881
2N5882
2N5883
2N5884
2N5885

2N5190
2N5l91
2N5192
2N5193
2N6194
2N5195
2N5241

5
5

2N3026
2N3054
2N3054A
2N3055
2N3232
2N3235
2N3439

,,
,
,
,,
,
1

4
4

2

2N3440
2N3441
2N3442
2N3445
2N3446
2N3447
2N3448

2
4
1

2N3487
2N3488
2N3489
2N3490
2N3491
2N3492
2N3713

9

2N3714
2N3715
2N3716
2N3719
2N3720
2N3738
2N3739
2N3740
2N3741
2N3766
2N3767
2N3771
2N3772
2N3773
2N3788
2N3789
2N3790
2N3791
",,2N3792
2N3867
2N3868
2N3902
2N4231
2N4232
2N4233
2N4234
2N4235
2N4236

,,
,
1

9
9
9
9
9

,
,
1
1

2
2
4
4
4
4
4
4

,,
,
,
,
,
1

1

1

2
2

4
4
4
2
2
2

2N4237
2N4238
2N4239
2N4348
2N4398
2N4399
2N4877

2
2
2

2N4898
2N4899
2N4900
2N4901
2N4902
21\14903
21'114904

4
4
4

,
,
1

3

,,
1

1

2N5301
2N5302
2N5303
2N5334
2N5335
2N5336
2N5337
2N6338
2N5339
2N5344
2N5345
2N6346
2N5347
2N6348
2N5349
2N5427
2N5428
2N5429
2N543O
2N5477
2N5478
2N5479
2N5480
2N5629 ,
2N5630'
2N~1

4

1
1
1

,
5

5
5
1

,
,

4

a

a
a
a

,

1

1

,,
,

2

1
1

6
6
6
6
6
6

6

6

~~::~,.,
2N5055
2N6056 , "
2N5057 ' "
2N6058,'
2N6059
2NG182, '
2N6183
2N6184' '
2N61,85",
2N6l86,
'2N6187,
2N61iIB"
2N6i'89,
2N6l90".' '

1

1
5
5
5
2
2
2

1

2N6054

,

2N5634
2N5655 ,
2N5656 '
2N5657
2N5679
2N56S0'
2N5681
2N56(l2 ','
2N5683 '
2N5684
2N5685
2N5686
2N5745
2N5758

,,
,,
,,,
,,
,,
,

21'15031, "
2N6049 "
2N6050'
2N6051 , '

8

,

1

1

6
6
6
6
6

4
4

2N~2
2N5633

1

2N5987"
2N5988 '
2N5989" '
2N599Cf,
2N5991,
2N6029 ,
" 2N5030' "

4
4

a
a
a

1
1

1

2NG230
2N6231
2N6233
2N6234
2N6235
2N6274
2N627S

6
6
6
6
6

3
3
3
3

4

Table #

2N5980
2N5981
2N5982 '
2N5983,
2N5984
2N5985
2N5986

1

3
3

Device Type

,,
,
,,

2N58a6
2N5974
2N5975
2N5976
2N5977
2N59:78
2N597,9

5

Table #

2N6l91: ',"
2N6l92,"
2NG193,

,

'~~~~i'

2N6228, '
2N6229

1

5-37

,,
,
,,
,,,
,,
,,
,

4

8
8
8
8
8
8
8

a
3
3
3
3

,
, ,,
,

2N6276
2N6277
2N627S
2N6279
2N6280
2N6281
2N6282

4
4

4
1
1

1
1

10
10
10
10
1

2N6283
2N6284
2N6265
2N6286
2N6287
2N6298
2N6299

1
1
1
1
4

2N6300
2N6301
aUl05
MJ105
MJ400
MJ4l0
MJ411

4
1
1
4
1

1

4
4

1

IIi'IJ413
MJ420
MJ421
MJ423
MJ424
, MJ425
MJ430

1
2
2

MJ431

1
2
1
1
1

"MJ440
MJ450
MJ480
, MJ481
MJ490
MJ491
, MJ500
MJ501
MJ802
MJ900
MJ901
MJ1000
MJ1001
MJ1800
MJ2249
MJ2250
MJ2261 ,
, MJ2252
MJ22S3
MJ2254
MJ2267
MJ226S
MJ2500
MJ2501
MJ2801
MJ2840
MJ2841
MJ290t
MJ2940
MJ2941
,MJ2955
MJ3000
MJ3001
MJ3026

1

1
1
2

1

1

a
a
1
1
1
1
1
1

4
4
4
4

4
4

1
1
1
1
1
1

1
1
1
1
1
1
1
1

•

SILICON POWER TRANSISTORS
INDEX (continued)

;}' ,,'r..iJE344 '.

; ,';'~~~:~K

4

4
4

1
4
1
1

"
,.,',
,

i"

MJE370:,
MJE370K',:
MJE37,1',~

MJE371K'
MJE52d;':
MJE520K
'MJE521i, ,
'MJE521K', '
MJE700"i

. :~ : tvlJeiol ;" ~

"'~·:~i;:~:

1

3
3
3
8
8

10

MJE110 '
,MjE711
'MJE712
MJE720
.. MJE721
MJE722
MJE800
.,' ,MJEB01,
MJE802, '
MJES03
MJE1090 '
,,: MJE1091"
.. , MJE1092""

~ "~M'.'J(E· ~2'48048i'1r
"

7

5

i,

i iii
7 , : M,j~~7~

,
'Amp
NPN
MJE5975
MJE2011
MJE59S1
MJE2955K
MJE2901 K

60
60
60
60
60

5.0
5.0
S.O
10
10

20/120
25/125
20/120
20/70
25/100

2.5
1.0
4.0
4.0
3.0

0.6
1.0
0.6
1.1

2.5
3.5
4.0
4.0

-

75
SO
90
90
90

2.0
3.0
2.0
2.0

MJE4920
MJE5195
MJE3741
MJE5976
MJE59S2

SO
SO
SO
SO
SO

3.0
4.0
4.0
5.0
S.O

20/100
20/S0
30/100
20/120
20/120

0.5
1.5
0.25
2.5
4.0

0.6
0.6
0.6
0.6
0.6

1.0
1.5
1.0
2.5
4.0

40
60
40
75
90

3.0
2.0
4.0
2.0
2.0

-

-

TABLE 8 - TO-59

" c";~': "), ,B:Vi:fEO~,

,'D~ce' "

hFE"

; Vdlts '

','Typih':" '"

MiniMax

Max '

@

I'

'le'~~~VCE(sirt) @

Ie

"I,,·'t~

Amp

Watts

MH~,
Min

Amp',

I

iT

'

C8se

.,;
::"t

NPN
2N5346
2N5477
2N5347
2N5478
2N5348

80
80
80
80
100

7.0
7.0
7.0
7.0
7.0

30/120
30/120
60/240
60/240
30/120

2.0
2.0
2.0
2.0
2.0

1.2
1.2
1.2
1.2
1.2

7.0
7.0
7.0
7.0
7.0

60
60
60
60
60

30
30
30
30
30

160
160A
160
160A
160

2N5479
2N5349
2N5480

100
100
100

7.0
7.0
7.0

30/120
60/240
60/240

2.0
2.0
2.0

1.2
1.2
1.2

7.0
7.0
7.0

60
60
60

30
30
30

160A
160
160A

MJ500
MJ6700
MJ501
MJ6701
2N6182

60
60
80
80
80

7.0
7.0
7.0
7.0
10

25/180
25/180
25/180
25/180
30/120

2.0
2.0
2.0
2.0
2.0

0.7
0.7
0.7
0.7
0.7

2.0
2.0
2.0
2.0
2.0

60
60
60
60
60

30
30
30
30
30

160A
160
160A
160
160A

2N6183
2N6186
2N6187
2N6184
2N6185

80
80
80
100
100

10
10
10
10
10

601240

30/120
60/240
30/120
60/240

2.0
2.0
2.0
2.0
2.0

0.7
0.7
0.7
0.7
0.7

2.0
2.0
2.0
2.0
2.0

60
60
60
60
60

30
30
30
30
30

2N6188
2N6189

100
100

10
10

30/120
60/240

2.0
2.0

0.7
0.7

2.0
2.0

60
60

30
30

160A
160
160
160A
160A
160 '
160

PNP

5-48

SI LICON POWER TRANSISTORS

TABLE 9 - TO-61

Case 9

BVCEO
Volts
Max

IC
Amp
Max

2N1724
2N1725
2N3487
2N3490
2N3488

80
80
80
80
100

5.0
5.0
7.5
7.5
7.5

2N3491
2N3489
2N3492

100
120
120

7.5
7.5
7.5

Device
Type

hFE

@

IC

@

IC

I

Amp

p.
Watts

fT
MHz
Min

Case

Amp

VCElsat)
Volts
Max

40/120
20/60

2.0
2.0
3.0
5.0
3.0

1.0
1.0
1.2
1.0
1.2

2.0
2.0
3.0
3.0
3.0

115
115
115
115
115

10
10
10
10
10

9
9
9
9
9

40/120
15/45
30/90

5.0
3.0
5.0

1.0
1.2
1.0

3.0
3.0
3.0

115
115
115

10
10
10

9
9
9

IC

VCElsatl

Ie

Po

Amp

Volts
Max

Amp

Watts

fT
MHi!:
Min

Case

10
20
20
20
20

1.0
1.2
1.2
1.2
1.2

10
20
20
20
20

150
250
250
250
250

30
30
30
30
30

188
188
188
188
188

MinIMax

I

NPN
20/90
50/150
20/60

0

TABLE 10 - TO-63 Case 188

Device
Type

BVCEO
Volts
Max

IC
Amp
Max

100
100
120
140
150

30
50
50
50
50

hFE
MinIMax

@

I

I

@

NPN
MJ7000
2N6278
2N6279
2N6280
2N6281

TABLE 11 - TO-114

Device

Type

20/100
30/120

30/120
30/120

30/120

•

Case 177

Ic

BVeEO
Volts
Max

Amp

Max

MiniMax

80
100

60
60

20/100
20/100

hFE

@

I

Ie
Amp

I

VCE(satl @ Ie
Volts
Amp
Max

Po

fT

watts

MHz
Min·

Case

NPN
MJ7200
MJ7201

20
20

5-49

1.0
1.0

20
20

300
300

20
20

177
177

PLASTIC-ENCAPSULATED
SMALL-SIGNAL TRANSISTORS
Plastic-Encapsulated Small-Signal Silicon Transistors for
Industrial Applications
This Selector Guide is designed to help you select the right
silicon plastic transistor for your applications. A wide range
of device types in two basic package configurations are
listed in this Selector Guide.
The TO·92 - is the most popular, high·volume plastic

package and will meet most of your high·performance,
low·cost requirements.
The Uniwatt Package - is designed for applications
requiring greater power dissipation than available with the
TO·92 package.

Motorola plastic·encapsulated transistors offer the features
that the design of industrial electronics equipment requires
- reliability, performance, convenience and economy.
RELIABI LlTY has been well proven by extensive environ·
mental and life testing, and the use of hundreds of millions
of these transistors in industrial and consumer applications.
Industrial plastic transistors are encapsulated by a high·
temperature pressure·molded process that produces a
rugged one·piece package resistant to humidity and shock.
Ask us for our new brochure entitled, "Some Plain Talk
About Motorola's TO·92 Plastic Transistor Reliability."
PERFORMANCE is assured by careful design and testing.
Geometric design and diffusion profiles are optimized to
excel in specified applications such as high·speed switching,
high·frequency amplification, and low·noise amplification.

Motorola plastic transistors are 100% performance tested
on high·speed, computer·controlled equipment, before ship·
ment, to assure conformance to specifications.
CONVENIENCE in· use is enhanced by a number of
package variations - the popular D-shaped, Unibloc pack·
age which can dissipate 350·625 mW at an ambient temp·
erature of 25 DC, and Uniwatt package used for applications
requiring up to 10 watts dissipation.
ECONOMY is a prime concern of the industrial designer.
Motorola's highly efficient plastic product lines are the
most advanced in the industry. Devices are produced by
stripline techniques on highly mechanized equiPment that
reduces labor costs and provides high uniformity and
quality.

Ask for Motorola's new comprehensive Designer's Manual entitled, "Motorola's Low·Cost Transistor Directory."
Po @ T A = 25°C = 625 mW Po @ TC = 25°C = 1.5 W

POWER DISSIPATION
Continuous package improvements have enhanced the
power dissipation of Motorola's plastic encapsulated tran·
sistors. All devices in the nickel lead frame TO-92 pack·
age can now dissipate 350 mW in addition to the follow·
ing:
PO@TA=250C=350mW PD@TC=250C=I.0W
Oerate above 25°C =
2.B mW/oC
OJA = 0.125 0C/mW

Derate above 25°C =
5.0 mW/oC
JA = 2000 C/W

o

TJ = -65 to +150 0 C
All devices in the copper lead frame TO·92 package can
now dissipate 625 mW in addition to the following:

r
osoo

J]t
=

0055

TABLE OF CONTENTS

INDEX TO MOTOROLA

om

~

om

PLASTIC-ENCAPSULATED TRANSISTORS
Complete alpha-numeric index of Motorola devices

J:'

7T
'005

E, C

0015

0.095

OO18!~OI05

0190

.m
Collector connected to tab

Case 152

, 1

all Unibloc devices

.

DESIGN STOCK
A recommended list of 10 devices that handle almost all prototype design requirements

'9!l

Case 29 (TO·92}
Low-Power package for

TJ = -55 to +150 0 C

PACKAGE OUTLINES
Medium and low-power packages add flexibility to
Motorola plastic-encapsulated transistor lines

l"d'.~"~~~~
:m
J"'"

OIA ROLE (TYPI

o

JC = B3.30C/W
TJ = -65 to +150 0C
All devices in the Uniwatt package - Case 152 - can
now dissipate 1.0 W in addition to the following:
Po @ TA = 25°C = 1.0 W
PD @ TC = 25°C = 10 W
Oerate above 25°C =
Derate above 25°C =
BO mW/oC
B.O mW/oC
OJC = 12.5 0C/W
OJA = 1250 C/W

Derate above 25°C =
B.O mW/oC
Ox = 0.357 0C/mW

. MOTOROLAPL'ASTIC PACKAG,E Ol[rLiN ES

Derate above 25°C =
12 mW/oC

Medium-Power package
for Uniwatt devices

0

- See page 8·44, fo'
tab for med for
flush mounting.

DEVICE SELECTION TABLES
Short-form specifications of devices intended for
specific applications. (For complete information,
send for appropriate data sheet or refer to the
Semiconductor Data Book.)
General-Purpose Saturated Switching Transistors
High-Speed Saturated Switching Transistors
General-Purpose Amplifier Transistors
Darlington Amplifier Tr~nsistors
Low-Noise Amplifier Transistors
High-Voltage Transistors
Medium-Power (Uniwatt) Transistors

5-50

Table
Table
Table
Table
Table
Table
Table

1
2
3
4
5
6
7

PLASTIC ENCAPSULATED SMALL-SIGNAL TRANSISTORS (continued)
DESIGN STOCK
The transistor requirements of most small-signal industrial circuits can
be met by a limited number of versatile devices. The ten inexpensive
transistors listed below can satisfy most design requirements, while
minimizing the number of transistors that must be stocked.

GENERAL-PURPOSE SWITCHES AND AMPLIFIERS
LOW.cURRENT - TO 100 mA

LOW GAIN
HIGH GAIN

NPN
2N3903
2N3904

HIGH CURRENT - TO 500 mA
(these types generate Low Noise at Low Currents)

PNP
2N3905
2N3906

LOW GAIN
HIGH GAIN

NPN
2N4400
2N4401

PNP
2N4402
2N4403

HIGH SPEED SATURATED SWITCHES
LOW GAIN
HIGH GAIN

INDEX TO MOTOROLA
PLASTIC-ENCAPSULATED
SMALL-SIGNAL TRANSISTORS

NPN
2N4264
2N4265

This index includes all plastic-encapsulated small-signal transistors
available from Motorola. For information on devices for which
no Table Number is given, contact your nearest Motorola Sales
Office.

Device

Table

Dev;ce

Table

Device

2N3903
2N3904
2N3905
2N3906
2N4123
2N4l24
2N4125
2N4126
2N4264
2N4265

1.3,5
1,3.5
1.3.5
1.3.5
1.3
1,3
1.3
1.3

8
8
3
1
1
2
2
2
2

MPS3107
MPS3708
MPS3709
MPS3710
MPS3711
MPS3721
MPS4354
MPS4355
MPS4356
MPS5172

-

2

MPQ3303
MPQ372S
MPM6006
MPS404
MPS404A
MPS706
MPS706A
MPS708
MPS834
MPS835

2N4400
2N4401
2N4402
2N4403
2N4409
2N4410
2N5086
2N50B7
2N50B8
2N50B9

1.3
1,3
1,3
1,3
6
6
3,5
3,5
3,5
3,5

MPS918
MPS2369
MPS2711
MPS2712
MPS2713
MPS2714
MPS2923
MPS2924
MPS2925
MPS2926

-

MPS6507
MPS6S11
MPS6512
MPS6513
MPS6514
MPS6515
MPS6516
MPS6517
MPS651B
MPS6519

-

-

MPS3390
MPS3391
MPS3391A
MPS3392
MPS3393
MPS3394
MPS3395
MPS3563
MPS3638
MPS3638A

MPS6520
MPS6521
MPS6522
MPS6523
MPS6530
MPS6531
MPS6532
MPS6533
MPS6534
MPS6s35

5
5
5
5
3
3
3
3
3
3

MPS6539
MPS6540
MPS6542
MPS6543
MPS6544
MPS6545
MPS6s46
MPS6547
MPS6548
MPS6560

-

2N520B
2N5209
2N5210
2N5219
2N5220
2N5221
2N5222
2N5223
2N5224
2N5225
2N5226
2N5227
2N5228
2N5400
2N5401
2N5550
2N5551
2N5845
2N5845A
2N6067

2

3,5
3,5

-

-

-

6
6
6
6
1

-

-

MPS3639
MPS3640
MPS3646
MPS3693
MPS3694
MPS3702
MPS3703
MPS3704
MPS3705
MPS3706

2

-

-

-

-

-

-

-

-

1,3
1,3
2
2
2
3
3

-

-

• New Device

5-51

Table

-

3
-

3
3
3
3
3
3
3
3
3
3

-

-

-

3

Table

Devlee

Table

MPS6561
MPS6562
MPS6563
MPS6565
MPS6566
MPS6567
MPS6568
MPS6568A
MPS65S9
MPS6570

3
3
3
3.5
3.5

MPS·H31
MPS·H32
MPS-H34
MPS-H37
MPS·H54
MPS·H55
MPS·H83
MPS·LOI
MPS-L07
MPS-L08

3
3
3
3
3
3
3
6
2
2

MPS6571
MPS·AOS
MPS·A06
MPS·A09
MPS·A12
MPS·A13
MPS·A14
MPS-AI6
MPS·A17
MPS-A20

5
3
3
3.5
4
4
4
3
3
3

Mps·L5l
MPS·UOl
MPS-UOIA
MPS·U02
MPS·U03
MPS·U04
MPS-U06
MPS-U06
MPS-U07
MPS·Ul0

MPS-A42
MPS·A43
MPS·ASS
MPS-A5S
MPS'ASS
MPS·AS6
MPS-A70
MPS·A92
MPS·A93
MPS-H02
MPS·H04
MPS-H05
Mps·H07
MPS·HOB
MPS-Hl0
MPS-Hll
MPS·H19
MPS·H20
MPS·H24
MPS·H30

6
6
3
3
4

MPS-U45
MPS-U51
MPS·USIA
MPS-U52
MPS-US5
MPS-U56
MPS-US7
MPS-Usa
MPS-U95

Device

-

3

-

4

3
6
6
3
3
3
3
3
3
3
3
3

3
3

6

7
7

7
7
7
7
7
7
7
7
7
7

7
7
7
7
7
7

•

I

PLASTIC ENCAPSULATED SMALL-SIGNAL TRANSISTORS (continued)
TABLE 1
GENERAL-PURPOSE
SATURATED
SWITCHING TRANSISTORS

~<

<. •

.!niCE<>
'VOlts

The transistors in this table are characterized for general medium-voltage. mediumspeed sWitching applications. These transistors also may be used as general-purpose
amplifiers. All have base-emitter voltages of 4 to 6 volts. output capacitances of 4
to 20 pF. and power dissipation ratings of 350 mW. The devices are listed in order
of decreasing breakdown voltage (BVCEO). then in order of decreasing collector
test current (lC).

'eoiiGilwt T8$l Cu"""i'

'. ",. ',:i::!"

'=:

NPN
2N5845'*
2N5845A ••
2N4401
2N4400
2N3904
2N3903

40
40
40
40
40
40

500
500
150
150
10
10

25
35
100
50
100
50

0.6
0.5
0.75
0.75
0.3
0.3

500
500
500
500
50
50

200
250
250
200
300
250

50
50
20
20
10
10

40
30
35
35
70
70

60
50
255
255
250
225

500/50
500/50
150/15
150/15
10/1.0
10/1.0

2N4403
2N4402
2N3906
2N3905

2N4123
2N4124

30
25

2.0
2.0

50
120

0.3
0.3

50
50

250
300

10
10

37*
37*

136·
136*

10/1.0
10/1.0

2N4125
2N4126

2N4403
2N4402
2N6067
2N3906
2N3905
MPS404At

40
40
40
40
40
35

150
150
100
10
10
12

100
50
50
100
50
30

0.75
0.75
0.3
0.4
0.4
0.2

500
500
100
50
50
24

200
150
150
250
200

20
20
50
10
10

-

255
255
80
300
260
385

150/15
150/15
500/50
10/1.0
10/1.0
10/1.0

2N3903
2N4400

-

35
35
40
70
70
265

2N4125
MPS3638A
MPS3638
2N4126
MPS404t

30
25
25
'25
24

2.0
50
50
2.0
12

50
100
30
120
30

0.4
2.0
1.0
0.4
0.2

50
300
300
50
24

200
150
100
250

10
50
50
10

43*
90
90
43*
265

155*
210
210
155*
385

10/1.0
300/30
300/30
10/1.0
10/1.0

2N4123

PNP

·Tvpical

tVEB: 12 Vdc

-

-

2N3904
2N3903

2N4124

tVEB: 24 Vdc

TABLE 2
HIGH-SPEED
SATURATED
SWITCHING TRANSISTORS

The transistors listed in this table are optimized for high-speed saturated switching.
They are heavily gold doped and otherwise processed to provide very short storage
times and low capacitance. (Output capacitances below6pF). The power dissipation
is rated at 350 mW. The transistors are listed in order of decreasing breakdown
voltage (BVCEO). then in order of decreasing collector test current (Ie).

NPN
MPSB34
MPSB35
2N4264
MPS3646
MPS2369
MPS706,A
2N4265

30
20
15
15
15
15
12

10
10
30
30
10
10
10

25
20
40
30
40
20
100

0.25
0.3
0.35
0.5
0.25
0.6
0.35

10
10
100
300
10
10
100

350
300
300
350

-

-

200
300

12
12
6.0
6.0

10
10
10
10

30
30
30
30

0.2
0.15
0.16
0.15

10
10
10
10

500
700
500
500

10
10

16
20
23
25
12
40
23

30
35
35
35
18
75
35

10/3.0
10/3.0
100/10
300/30
10/3.0
10/100/10

10
10
10
10

40
20
40
20

32
40
32
40

50/5.0
10/1.0
50/5.0
10/1.0

10
10
10
30

PNP
MPS3640
MPS-LOS
MPS3639
MPS-L07

5-52

PLASTIC ENCAPSULATED SMALL-SIGNAL TRANSISTORS (continued)
TABLE 3
GENERAL-PURPOSE
AMPLIFIER TRANSISTORS

These general-purpose transistors are designed for small-signal amplification from dc
to low radio frequencies. They are also useful as oscillators and general-purpose
switches. The transistors are listed in order of decreasing breakdown voltage
(BVCEO), then in order of decreasing collector test current (lC). PD = 350 mW at
T A = 25 0 C unless otherwise specified.
Collector Test Current
for hFE
Ie in mA

Device
Type

NPN
MPS·A06'
MPS·H05
MPS-H04
MPS-A05'
2N5210

BO
BO
BO
60
50

10
1.5
1.5
10
1.0

50/30/150
30/120
50/250/-

50
BO
BO
50
30

100
1.5
1.5
100
0.5

MPS·A56
MPS·H55
MPS·H54
MPS-A55
2N50B7

2N5209
MPS·A09
MPS·H34'
MPS6566
MPS6565

50
50
45
45
45

1.0
0.1
20
10
10

30
30
500
200
200

0.5
0.5
15
10
10

2N50B6

MPS·H34
2N4400
2N4401
MPS6531
MPS6530

45
40
40
40
40

7.0
150
150
100
100

150/100/600
15/100/400
40/160
40/100/300
501150
90/270
40/120

500
200
250
390t
390t

15
20
20
50
50

2N3904
2N3903
MPS·A16
MPS·A17
MPS·A20

40
40
40
40
40

10
10
5.0
5.0
5.0

100/300
50/150
200/600
200/600
40/400

300
250
100
BO
125

10
10
5.0
5.0
5.0

MPS·H37
MPM5006
MPS6532
MPS·H24'
MPS·H20

40
40
30
30
30

5.0
4.0
100
B.O
4.0

25/30/30/30/25/-

300
400
390t
400
400

5.0
4.0
50
B.O
4.0

MPS·H32'
MPS·H07'
MPS-HOB'
MPS6513
2N4123

30
30
30
30
30

4.0
3.0
3.0
2.0
2.0

27/200
20/20/90/1BO
50/150

300
400
500
250t
250

4.0
3.0
3.0
2.0
10

MPS6512
2N50BB
MPS5172
MPS-Hl0
MPS·Hll

30
30
25
25
25

2.0
1.0
10
4.0
4.0

50/100
350/100/500
60/60/-

250t
50
120t
650
650

2.0
0.5
2.0
4.0
4.0

MPS-H19
MPS6515
MPS6514
2N4124
2N5089

25
25
25
25
25

4.0
2.0
2.0
2.0
1.0

45/250/500
150/300
120/360
450/-

300
390t
390t
300
50

4.0
2.0
2.0
10
0.5

M~S6560'

MPS6561,
MPS6568
MPS6568A
MPS-H02'

20
20
20
20
20

500
350
4.0
4.0
4.0

501200
50/200
20/200
20/200
20/200

60
60
375
375
375

10
10
4.0
4.0
4.0

MPS-H30
MPS-H31
MPS3721

20
20
18

4.0
4.0
2.0

20/200
20/200
60/660t

300
300

4.0
4.0

MPS4356
MPS-A56'
MPS-H54
MPS-H55
MPS4355

SO
80
80
80
60

10
10
1.5
1.5
10

50/250
50/30/120
30/150
100/400

100
50
80
80
100

50
100
1.5
1.5
50

MPS4354
MPS-A55,
2N5087
2N50B6
2N4403

60
60
50
50
40

10
10
1.0
1.0
150

50/500
50/250/150/100/300

100
50
40
40
200

50
100
0.5
0.5
20

2N4402
MPS6534
MPS6533
2N3906
2N3905

40
40
40
40
40

150
100
100
10
10

501150
90/270
40/120
100/300
501150

150
260t
260t
250
200

20
50
10
10

2N4400
MPS6531
MPS6530
2N3904
2N3903

MPS-A70
MPS6518
MPS6517

40
40
40

5.0
2.0
2.0

40/400
150/300
90/1BO

125
340t
200t

5.0
2.0
2.0

MPS6514
MPS6513

2N4402
2N4403
MPS6534
MPS6533
2N3906
2N3905

MPS6535

MPS6517
2N4125
MPS6516

MPS6519
MPS651B
2N4126
MPS6562
MPS6563

PNP

t typical

:j: hfe@f = 1.0 kHz

.. Po

=

0

625 mW@ TA ::: 25 C

5-53

50

MPS-A06
MPS·H04
MPS·H05

MPS-A05
2N5210
2N5209
2N4401

•

PLASTIC ENCAPSULATED SMALL-SIGNAL TRANSISTORS (continued)
TABLE 3 (continued)
GENERAL-PURPOSE
AMPLIFIER TRANSISTORS
Collector T.m CUrrent
for hFE
.
lCinmA
PNP
MPS6516
MPS6535
MPS·H83'
2N4125
MPS3638A

40
30
30
30
25

2.0
100
2.5
2.0
50

50/100
30/20/50/150
100/-

200t
260t
600
200
150

2.0
50
2.5
10
50

MPS3638
MPS6519
2N4126
MPS6562,
MPS6563'

25
25
25
20
20

50
2.0
2.0
500
350

30/250/500
120/360

100
340t
250
60
60

50
2.0
10
10
10

50/200

50/200

MPS6512
MPS6532
2N4123

MPS6515
2N4124
MPS6560
MPS6561

tTypical ·P o = 625 mW @T A = 25°c

TABLE 4
DARLINGTON
AMPLIFIER TRANSISTORS

Darlington amplifiers are compound-connected transistors that proyide extremely
high current gain and input impedance. Power dissipation is rated at 625 mW. These
devices are listed in order of decreasing breakdown voltage (BVCEO), then in order
of decreasing collector test current (Ie).
. 'CoIIec>tor T1St CUrrent
forhFE

IT

TABLE 5
AMPLIFIER TRANSISTORS

·IC
mA

10
10
10

125
125

10
10

10
10

100
100

10
10

IC mrilA

LOW-NOISE

@

MHl
Min

The small-signal transistors listed in this table are characterized for low-noise amplification at low frequencies. The power dissipation is rated at 350 mW. The transistors are listed in order of decreasing breakdown voltage (BVeEO), then in order
of decreasing collector test current (Ie).
f .

Device
TVpe

Hz

2N5210
2N5209
MPS-A09
MPS6566
MPS6565
2N3904

50
50
50
45
45
40

0.1
0.1
0.1
10
10
0.1

200/600
1001300
100/600
100/400
40/160
40/-

3.0
4.0
1.4*
4.0'
4.0'
5.0

20
20
100
100
100
100

1.0 kHz
1.0 kHz
1.0 kHz
10 Hz-15.7 kHz
10 Hz-15.7 kHz
10 Hz-15.7 kHz

30
30
30
100
40
300

0.5
0.5
0.5
10
10
10

2N3903
2N5D88
2N5D89
MPS6521
MPS6520
MPS6571

40
30
25
25
25
20

0.1
0.1
0.1
0.1
0.1
0.1

20/·
300/900
400/1200
150/100/250/1000

6.0
3.0
2.0
3.0
3.0
1.2'

100
100
100
10
10
100

10 Hz-15.7 kHz
10 Hz-15.7 kHz
10 Hz-15.7 kHz
10 Hz-l0 kHz
10 Hz-l0 kHz
100 Hz

300
50
50
480'
480'
50

10
0.5
0.5
10
10
0.5

2N5087
2N5D86
2N3906
2N3905
MPS6523
MPS6522

50
50
40
40
25
25

0.1
0.1
0.1
0.1
0.1
0.1

250/800
150/500
60/30/150/100/-

2.0
3.0
4.0
5.0
3.0
3.0

20
20
100
100
10
10

10 Hz-15.7
10 Hz-15.7
10 Hz-15.7
10 Hz-15.7
10 Hz-15.7
10 Hz-15.7

40
40
250
200
420·
420·

0.5
0.5
10
10
10
10

PNP

"TYPical

5-54

kHz
kHz
kHz
kHz
kHz
kHz

PLASTIC ENCAPSULATED SMALL-SIGNAL TRANSISTORS (continued)
TABLE 6

These high-voltage transistors are designed for driving neon bulbs and Nixie® indicator tubes, for direct line operation, and for other applications requiring highvoltage capability at relatively low collector current. See Table 7-Medium-Power
(Uniwatt) Transistors also. Power Dissipation is rated at 350 mW. These devices are
listed in order of decreasing breakdown voltage (BVCEO), then in order of decreasing
collector test current (IC).

HIGH-VOL TAGE
TRANSISTORS

CollectOr Test Cu ... nt
forhFE
IcinmA

hFE
MinIM."

VCEt.. t1
Volts
Max

NPN
MPS-A42
MPS-A43
2N5551
2N5550
MPS-LOI

300
200
160
140
120

10
10
10
10
10

40/40/801250
60/250
50/300

0.5
0.4
0.15
0.15
0.2

20
20
10
10
10

50/50/100/300
100/300
60/-

10
10
10
10
10

2N4410
2N4409

80
50

1.0
1.0

60/400
60/400

0.2
0.2

1.0
1.0

60/300
60/300

10
10

300
200
150
120
100

10
10
10
10
50

40/40/60/240
401180
40/250

0.5
0.4
0.2
0.2
0.25

20
20
10
10
10

50/50/150/300
100/400
60/-

10
10
10
10
10

PNP
MPS-A92
MPS-A93
2N5401
2N5400
MPS·L51

® Registered Trademark of Burroughs Corporation.

For applications requiring higher power dissipation than that of the standard Unibloc package, Motorola has developed the Uniwatt case. In this plastic- 2N1562
... 2N1692

i

§
V

'"
V

.t'

.2N2415
.2N2416
• 2N3279

:I!:;:

.2N2996

.2N2997
.2N2998

.2N3280
.2N328t

§

.2N3282

.2N3783

1\

.2N3784

.2N3785

:I!

!J

FIGURE

Itypl

!80
cd

.:-

2Nl14l.A
2Nl142"
2Nl142A
2Nl143.A
2N1195"

.2N3127·

• AFtOSA

.2N3283

• AF139

ill • AF239

.2N3284
.2N3285
.2N3286

§
A

.2N1742

i

!8

J;

o TO·lS

IlTO-l07

.2N70S*
.2N2273*

.2N100

~
1\

tI!
!I
TO-S

2N502
2N502A.B"

V

:I!

V

2N499*

o 2N499A*

ill

i

NOISE

... TO-l02

.2N1693

!8
C!

ir}

·2N700A*

.2N3323
.2N3324
.2N3325

~

,}MM2273

• TO-72

TABLE 12 - GERMANIUM MESA PNP SWITCHES
IC1_x)

to
SSmA
BVCEO

to

B.GV

IC(mllK)

to

to

1SOmA
BVCEO

1~5mA

550mA

tlVCEO

IJVCEO

20V

l!

~

2N828.A
a 2N829
o 2N837

V

a 2N838
a 2N960

o 2N963
o
o
o
o

2N964*
2N964A

• TO-72

2N966

o 2N985
a 2N70S*
o 2N710
o 2N711
o 2N827

o 2N968

l!

o 2N969

iii

o 2N970
o 2N971
o 2N972
o 2N973

V

o 2NS59*

.2N1499.A,B
.2N1500

.2N1754
.2N2048

(:, 2N393*

'.
V

ft",0./

2N965

o 2N967

V

i

2SV

a 2N961
r) 2N962*

_MM2273

.11

to

JOV

35V

80GV
2N501
2N501A*
2N711A.B
2N74t,A
2N779,A

to

to

to
o
a
()
a
o
o

1clmaK)

IC(maxl

2N404"
2N404A'''
oMM404

2N974
2N975
2N2258
2N2259
o 2N2635
o 2N2955
o 2N2956
o
o
o
o

o 2N2957

2N1204.A
2N1494.A
2N1495

2N1496
2N2096
2N2097
2N2099
2N2100
2N2381
2N2382
2N3663

/I

0=.'
6

TO-24

1/
!J

oMM404A
-Motorola approved to supply JAN product
Bold Face Motorola preferred tvpes - chosen using performance and cost as cirterifl.

5-63

TO-l

•

SILICON RF TRANSISTORS
Motorola offers the industry's most complete selection of
silicon RF transistors. In addition to NPN and PNP low-noise
small-signal transistors and ultra-fast current-mode switches,
Motorola can provide RF power transistors for all communications bands at frequencies to 1.0 GHz. Transistors are available for most applications in either polarity, NPN or PNP,
with a wide range of power levels. Families of R F power
transistors designed for optimum operation from a 12·volt
supply are available for mobile communications applications.
Many of Motorola's RF power transistors are Balanced
Emitter Transistors (BET). These multiple-emitter devices
feature a thin-film nichrome resistor in series with each of
the individual emitters. The effect of these resistors is to
distribute the current equally among the emitters and reduce
the localized heating that leads to second breakdown and
destruction of the transistor. Thus the Balanced Emitter
Transistors make ideal output devices by virtue of their
ability to withstand large mismatches without danger of
second breakdown.
This Selector Guide presents information on most of
Motorola's small signal devices with fT greater than 300 MHz

and R F power devices with R F power outputs greater than
1_0 Watt at frequencies greater than 2.0 MHz. Other transistors for RF applications may be found in the Selector Guides
for Small-Signal Hermetic Transistors and Plastic Encapsu1ated Small-Signal Silicon Transistors.
Four tables in this Selector Guide cover the major application categories:
RF Power Amplifiers
Table 1
__ . a wide variety of devices for communications and general amplifier applications.
Low-Noise Small-Signal Amplifiers
Table 2
· .. including devices designed specifically for CATV
applications_
UHF and Microwave Oscillators
Table 3
· __ provide high outputs at frequencies to 2.0 GHz.
Table 4
High-Speed Current-Mode Switches
· _. ultra-fast switching for instrumentation applications.

INDEX
The following table is a numerical-alphabetical index to Silicon RF transistors manufactured by Motorola. The
number of the selection table in which each device is further characterized is also listed.

~'

.Talll.C .. , J'ipe
2N2857'
2N2947
2N2948
2N2~49

2N2950
2N3137
2N3287
2N3288
2N3289
2N3290
2N3291
2N3292
2N3293
2N3294
2N3296
2N3297
2N3375 ,
2N3553'
2N3632
2N3839
2N3866'
2N3866A'
2N3924
2N3925
2N3926
2N3927
2N3948
2N3950
2N3959,
2N3960'
~N3961

2N4012
2N4072
2N4073
2N4427

TO·72
TO-3
TO-3
TO-107
TO-l02
TO./;
TO-72
TO-72
TO-72
TO-72
TO-72
TO-72
TO-72
TO-72
TO-l02
TO-3
TO-60
TO-39
TO-60
TO-72
TO-39
TO-39
TO.:39
TO-l02
TO-60
TO-60
TO-39
TO-60

2,3
1
1
1
1
1
2
2
2
2
2
2
3
2
1
1
1
1
1
2
1,2

2N4428
2N4957
2N4958
2N4959
2N5016
2N5024
2N5031
2N5032
2N5070
2N5071
2N5090
2N5108
2N5109
2N5160

TO.:39
TO-72
TO-72
TO-72
TO-60
TO-72
TO-72
TO-72
TO-60
TO-60
TO-60
TO.:39
TO.:39
TO-39

2N5161
2N5162
2N5179
2N5583
2N5589
2N5590
2N5591
2N5635
2N5636
2N5637
2N5641
2N5642
2N5643
2N5644

TO-60
TO-60
TO-72
TO-39
1448.02
145A{)1
145A.ol
1448.02
1448.02
145A.ol
1448.02
145A.ol
145A.ol
145A{)1

TO-18
TO-18
TO-l02
TO-60
TO-18
TO./;
TO.:39

4
4
1
1
1
1
1

2N5645
2N5646
2N5829
2N5835
2N5836
2N5837
2N5841

145A.ol
145A.ol
TO-72
TO-72
TO-46
TO-46
TO-72

1
2
2
2
1
4
2
2
1
1
1
1.3
2
1
1
1
2,3
4
1
1
1

2N5842
2N5846
2N5847
2N5848
2N5849
2N5851
2N5852
2N5862
2N5941
2N5942
2N5943
2N5947
2N6080
2N6081
2N6082
2N6083
2N6084
2N6094
2N6095
2N6096
2N6097
2N6135
2N6136
2N6166
2N6255
2N6256
2N6304
2N6305
MM1500
MM1501
MM1553
MM4018
MM4019
MM4049
MM8000

• JAN and JANTX Type. also available

5-64

'Paok. '.

T_

TO·72
TO-l02
145A{)1
145A{)1
145A{)2
TO-72
TO-72
145A{)2
211{)1
211{)2
TO.:39
1440{)1
145A{)1
145A{)1

4
1
1
1
1
4
4

145A{)1
145A{)1
145A{)1
211{)1
211{)1
211{)1
211{)1
144D{)4
145A{)1
211{)2
TO-39
249.01
TO-72
TO-72
TO-l07
TO-l07
145C.ol
TO.:39
TO.:39
TO-72
TO-39

1
1
1
1
1
1
1
2
1
1
1
1
2
2
3
3
1
1
1
4
2

·tJewl
2.09
2.09
3.0
3.0
3.0
5.5
6.0
3.3
3.6
13.2
14.4
6.6
6.6
6.6
7.5
7.5

7.'
7.5
13.2
20
20
20
20
20
30
35
35

•

Typical case capacitance for A, e, and C packages are 0.25 pF, 0.15 pF, and 0.47 pF respectively.

Table 2PACKAGE CONFIGURATIONS
AND DESCRIPTIONS
DlVlCE
TYPE

tJ
Case 45

Cas. 44

(J
Case 46

MV1B04
MV1B05
MV1B06
MV1807
MV1807-1
MV1808
MV1808·1
MV1809
MVI809·!
MV1810
MV1810·1
MV1811
MV1811-1
MV1812
MV1816
MV1816·1
MV1817
MVI817·1

I

Cas. 47

~

~l

.I'rOlIPI
rJf~
fl' .,

I;;;j

M

48

46

IN5151

•

IN5152
IN5152A

IN5154

INSI55
IN515SA

•

(C.~d8')

t
41

•
•
INSI49
IN5150
IN5150A
IN5163
IN5153A

••

@

IH
45

l{;d)

+
44

IN4387

•

IN4388

••

••
••
•
••
••

••
••
••

••
••
••
••
••
••
••
Key to columnar deSignations opposite type numbers: "." Indicates

Cas. 48

••

IN5156

IN5157

availability, specific type number (i.e., "lN5149") indicates availability of JEDEC·type. When ordering, follow type number by alphabetical identification of package type required (i.e., "MV1805C").

5-67

MICROWAVE DEVICES (continued)

...

FIGURE 1 - Typical Doubling Performance

FIGURE 2 - Typical Tripling Performance

"

31W

'1115150

,o.

~
D r - - - ,2W

.
.

--..~
"~~~E~!Ir---...~~'"'~'''!~!1
......

...

lO-'N43B7401'1

-....... MV~805C

~/~:!805C

~

r----~~;;;;;;;;t_=t;;F**;;j;±=----"-"i:--+-t-H+t+I

_MV1809C, lOW

8O~

MVlsual ,2'11'

t---'NS151/2J3

0r----?OW

.,

,0

lN51S4/5
7W

~'J:t

,

MVI817Bl

INPUT POWER SHOWN

I

..

-

lN5156112)WU

1

/uw

OUTPUT FREQUENCY (GH,)

PIN SWITCHING DIODES

~226

PIN switching diodes designed for VHF band switching and general-purpose

switching. Supplied in the low-inductance Mini-l package and ideal for lowcost. high-volume requirements.

PIN SWITCHING DIODES
EI.Ji;CTRICAL- CHAIfACTERISTICS

!'Is

.

_

"'~R-~~f=1.OMHi"
pi'

IF *·10.'l'Ac\c ;;hm .

~

'MM;

1.0
2.0

0.7

06

MINI-L ABRUPT JUNCTION
TUNING DIODES
DUAL EPICAP TUNING DIODE

~

'CASE 29(51
I

~226

TO-92
-.~

1'v!N'
MV3501
MV3502
MV3503
MV3504
MV3505
MV3506
MV3507

5-68

61/7.5
74/90
90/11
108/13.2

2.'
28
2.8
2.8

225
225
200
200

135/165
162/19.8

29
29
29

200

198/242

175
175

lOW

EPICAP TUNING DIODES

(j

,.,,,

Case 45

Capacitllnce Ratio
@
pF
MlnlTvp
MinIMax·
V1N2

Nominal Capac:itllnce
pF
@VR 4.0 V. f= 1.0Mliz

Device
Type

1.0

MV1858D (1)

2.112.3

C4/C20

2.2

MV1860D (2)

3.3
4.7

MV1862D

6.8

MV1864D
lN5139 (3)
lN5461A (4)
lN5441A (4)
MV2101
MV2201
MV1620

2.5/2.7
2.6/2.8
2.6/2.8
2.7/2.9
2.7/2.9
2.7/3. ,.
2.5/3.1'
2.5/3.2'
1.9/2.3'
2.0/2.2

C4/C60
C4/C60
C4/C60
C4/C60
C4/C60
C2/C30
C2/C30
C2/C30
Cl/Cl0
C2/C20

8.2

MV1865D
lN5462A (4)
lN5442A (4)
MV2102
MV1622

10

MV1866D
lN5140 (3)

2.7/2.9
2.8/3.1'
2.5/3.1'
2.5/3.2'
2.0/2.2
2.8/3.0
2.8/3.0
3.0/3.1'
2.8/3.1'
2.6/3.1'
2.5/3.2'
2.0/2.4'
2.0/2.3
2.8/3.0
2.8/3.0
3.0/3.1'
2.8/3.1 '
2.6/3.1'
2.5/3.2'
2.0/2.3
2.8/3.0
2.8/3.0
3.0/3.2'
2.8/3.1'
2.6/3.1 '
2.5/3.2'

C4/C60
C2/C30
C2/C30
C2/C30
C2/C20
C4/C60
C4/C60
C4/C60
C2/C30
C2/C30
C2/C30
C1/C10
C2/C20
C4/C60
C4/C60
C4/C60
C2/C30
C2/C30
C2/C30
C2/C20
C4/C60
C4/C60
C4/C60
C2/C30
C2/C30
C2/C30
C4/C25
C1/C10
C2/C20
C4/C60
C4/C60
C2/C30
C2/C30
C2/C30
C4/C25
C2/C20
C2/C30
C2/C30
C2/C20
C4/C60
C4/C60
C2/C30
C2/C30
C2/C30
C4/C25
C2/C20
C4/C60
C4/C60
C2/C30
C2/C30
C2/C30
C4/C25
C2/C20

=

MV1863D

~5~:36A

14)
lN5443A 14)
MV2103
MV2203
MV1624
12

MV1868D
lN5141 13)
MV1868
1N5464A (4)
1N5444A 14)
MV2104
MV1626

15

MV1870D
1N1542 (3)
MV1870
1N5465A (4)
1N5445A 14)
MV2105
MVB30
MV2205
MV162B
1N5143 13)
MV1B71
1N5466A (4)
1N5446A (4)
MV2106
MVB31
MV1S30
1N5467A 14)
1N5447A (4)
MV1632
lN5144 (3)
MV1872
lN5468A (4)
lN5448A 14)
MV2107
MV832
MV1634
lN5145 (3)
MV1874
1N5469A (4)
1N5449A 14)
MV2108
MV833
MV1636

1B

20

22

27

1.B/2.0

2.1/2.5'
2.0/2.3
2.B/3.0

3.0/3.2'
2.9/3.1'
2.6/3.1'
2.5/3.2'
1.B/2.0

2.0/2.3
2.9/3.1'
2.6/3.1'
2.0/2.3
3.2/3.4
3.2/3.3'
2.9/3.2'
2.6/3.2'
2.5/3.2'
1.8/2.1
2.0/2.3
3.2/3.4
3.2/3.3'
2.9/3 ..2'
2.6/3.2'
2.5/3.2'
1.8/2.1
2.0/2.3

5-69

0
@4.0V.f"SOMHa
f= 100MHa*
f .. 20 MHzt
Min

Malcjmum
Working
Voltage

e_

350'

60

45

350'

60

45

300'

60

300'

60

45
45

300'
350
600
450
450
300
300

60
60
30
30
30
25
20

45
51
51
51
182
182
51

300'
600
450
450
300

60
30
30
30
20

45
51
51
182
51

250'
300
500
550
400
400
200
300

60
60
60
30
30
30
25
20

45
51
51
51
51
182
182
51

200'
300
500
550
400
400
300

60
60
60
30
30
30
20

45
51
51
51
51
182
51

200'
250
400
550
400
400
30
200
250

45
51
51
51
51
182
51
lB2
51

250
400
500
350
350
25
250

60
60
60
30
30
30
30
25
20
60
60
30
30
30
30
20

500
350
250

30
30
20

51
51
51

200
400
500
350
350
25
250

60
60
30
30
30
30
20

51
51
51
51
182
51
51

200
300
500
350
300
25
200

60
60
30
30
30
30
20

51
51
51
51
182
51
51

51
51
51
51
182
51
51

•

EPICAP TUNING DIODES (continued)

'. : ~ :. <

~"Ratio

".'

._pp

@

;";o~', " ",MinlTyp'
":;' "type'.:;~:
MiniMax"'" Vl!V2"
33

39

47

56

68

82

100

120
150

lN5146 (3)
MV1876
lN5470A (4)
1N5450A (4)
MV2109
MV834
MV2209
MV1638
lN5147 (3)
MV1877
lN5471A (4)
lN5451A (4)
MV2110
MV835
MV1640
lN5148 (3)
MV1878
lN5472A (4)
1N5452A (4)
MV2111
MV836
MV1642
lN5473A (4)
lN5453A (4)
MV2112
MV837
MV1644
lN5474A (4)
IN5454A (4)
MV2113
MV838
MV1646
lN5475A (4)
lN5455A (4)
MV2114
MV839
M" '''4R
lN5476A (4)
lN5456A (4)
MV2115
MV840
MV1650
MV1652
MV2301
MV1654
MV2302

180

MV1656
MV2303

200

MV1658
MV2304

220
250
270
330

MV1660
MV2305
MV1662 (5)
MV2306
MV1664 (5)
.. MV2302
MV1666 (5)
MV2308

3.2/3.4
3.2/3.4'
2.9/3.2'
2.6/3.2'
2.5/3.2'
1.9/2.12
2.1/2.5'
2.0/2.4
3.2/3.4
3.2/3.4'
2.9/3.2'
2.6/3.2'
2.5/3.2'
1.9/2.12
2.012.4

3.2/3.4
3.2/3.4'
2.9/3.2'
2.6/3.2'
2.5/3.2'
1.9/2.15
2.0/2.4
2.9/3.3'
2.6/3.3'
2.6/3.3'
1.9/2.15
2.0/2.4
2.9/3.3'
2.7/3.3'
2.6/3.3'
2.012.18

2.0/2.4
2.9/3.3'
2.7/3.3'
2.6/3.3'
2.0/2.18
.2.012.4
2.9/3.3'
2.7/3.3'
2.6/3.3'
2.012.18

2.0/2.4
-/2.6
2.3/-/2.6
2.3/-/2.6
2.3/-/2.6
2.3/-/2.6
2.31
-/2.3
2.3/·
-/2.3
.2.3/c
-/2.3
2.3/-

"Il "

'

·6U.oV. f. .. 50 MHz'
",' ~"100M~~;',,'
"f"20MHat" ,
Min',',",;"

Maximum
Working •

Vo_

C4/C60
C4/C60
C2/C30
C2/C30
C2/C30
C4/C25
Cl/Cl0
C2/C20

200
300
500
350
200
20
150
200

60
60
30
30
30
30
25
20

51
51
51
51
182
51
182
51

C4/C60
C4/C60
C2/C30
C2/C30
C2/C30
C4/C25
C2/C20
C4/C60
C4/C60
C2/C30
C2/C30
C2/C30
C4/C25
C2/C20
C2/C30
C2/C30
C2/C30
C4/C25
C2/C20
C2/C30
C2/C30
C2/C30
C4/C25
C2/C20
C2/C30
C2/C30
C2/C30
C4/C25
C2/C20
C2/C30
C2/C30
C2/C30
C4/C25
C2/C20
C2/C20
C2/C20
C2/C20
C2/C20
C2/C20
C2/C20
C2/C20
C2/C20
C2/C20
C2/C20
C2/C20
C2/C20
C2/C20
.C2/C20
C2/C20
C2/C20

200
300
450
300
150
20
200

60
60
30
30
30
30
20

51
51
51
51
182
51
51

200
300
400
250
150
15
200

60
60
30
30
30
30
20

300
200
150
15
150

30
30
30
30
20

51
51
51
51
182
51
51
51
51
182
51
51

250
175
150
15
150

30
30
30
30
20

51
51
182
51
51

225
175
100
10
150

30
30
30
30
20

51
51
182
51
51

200
175
100
10
150

30
30
30
30
20

250t
250t
250t
250t
200t
200t

20
20
20
20
20
20

51
51
182
51
51
146
182
146
182

200t
200t

20
20

146
182
146
182

150t
150t

20
20

146
182

150t
150t

20
20

lOOt
lOOt

20
20

146
182
146
182

lOOt
lOOt

20
20

146
182

(1) CT=:!:30%
(2) CT= :!:20%
(3) Add Suffix" AU for ±.50% CT Tolerance

(4) Substitute "'S"' Suffix for :!:50% CT' Tolerance "'C"' Suffix for ±20% CT'
(5) Capacitance Ratio is C2/C15

5-70

HYPER-ABRUPT JUNCTION TUNING DIODES
... designed with a capacitance change of greater than TEN TIMES for a
bias change ranging from 2 to 10 volts. Provides tuning over broad
frequency ranges, tuning AM radio broadcast band, general AFC and
tuning applications in lower RF frequencies.

CT, Diode Capacitance

Device
Tvpe

CR, Capacitance Ratio

VR '" 1.0 Vdc
f= 1.0 MHO!
pFnom±15%

VR=2.0Vdc
f= 1.0 MHz
pF nom ±20%

C1/C ,O
f= 1.0 MHz
Min

550

175
120
250

14
-

MV1401
MV1403
MV1404
MV1405

-

-

Q, Figure of Merit

C2/C '0
f= 1.0 MHO!
Min
10
10
10

VR '" 2.0 Vdc
f= 1.0 MHz
Min

Case

200
200
200
200

146
51
51
51

A~226
CT, Diode Capacitance
VR 3.0 Vdc, VR '" 25 V*
f=1.0MHz
pF
MiniMax

=

Device
Type
MV3102
MV3103
MV3140
MV3141
MV3142
BB105A
BB105B
BB105G

Q, Figure of Merit

CR. Capacitance Raiio
Ca/C25
f'" 1.0 MHO!
Min

VR =3.0Vdc
*f=50MHz
f= 100 MHO!
Min

4.5
4.0
4.5
4.0
3.5
4.0
4.5
4.0

300·
200·
150
150
50
225
225
150

20/25
19/26
-/2.3"
-/3.2"
-/3.2"
2.3/2.8"
2.0/2.3"
1.8/2.8"

•

PLASTIC HOT-CARRIER DIODES
Hot-Carrier diodes are ideal for VHF and UHF mixer and detector
applications as well as many higher microwave frequency applications.
They provide stable electrical characteristics by eliminating the paint-contact
diode presently used in many applications. Motorola has the capability of
supplying these devices in a variety of packages.

I

ELECTRICAL CHARACTERISTiCs ' ..'

I

CASE 182-01

MBD10l
MBD501
MBD701

VISRIR
R.....rse Break·
downVDlUge
11'1 ~ 10!lA
Volts
Min
4.0
50
70

~226

MBD102
MBD502
MBD702

4.0
50
70

o.vice
Type

CT·
Diode
Capacitance
VR=OV,f~ l.oMHz(1J
VR -20V. f=1.0MH. 12"
pFMax

1.0111
1.0 {21
1.0121

1.0111
1.0121
1.0121

Note 1: Krakauer method.

5-71

.'
.'I.R,.
R.......,;e Leakage
T rr INotell
VR=3.o V (3)
NF
Reverse
IF -lamA. VR;"25 V (41 Noise Figure Recovery
. Volts, .' VR- :is. V (5)
po;
dB
Max
Max
Max
IiA Max
7.0
0.6
0.25131
1.2
100
0.20141
0.20 {51
100
1.2
.VF
Forward

. Voltag;,

0.6
1.2
1.2

0.25 {31
0.20141
0.20 {51

7.0

-

-

-

100
100

MICRO.T TRANSISTORS AND DIODES
The following tables list the major characteristics of Motorola
transistors and diodes in Micro-T packages_ Devices are
grouped in applications categories to simplify device selection_ For more detailed information, refer to the individual
data sheet_

The Micro-T package isa tiny (0_085 inch diameter) injectionmolded plastic and ceramic package for applications requiring extremely high component mounting density_ Micro-T
transistors and diodes are also useful in hybrid circuits- being
easier to mount than unencapsulated semiconductor chips,
without special equipment and special operator training_

~
# CASE

Case 28

176

Case 166

TABLE I - GENERAL-PURPOSE AMPLIFIER AND SWITCHING TRANSISTORS

MMT3904
MMT3903
MMT2222
#MMCM2222
MMT76

40
40
30
30
20

10
10
150
150
10

100/300
50/150
100/300
100/300
50/400

0.2
0_2
0.4
0.4

10
10
150
150

-

-

40
40
40
40
20

150
150
10
10
10

100/300
100/300
100/300
50/150
50/400

0.4
0.4
0_25
0_25

150
150
10
10

300
250
200
200

-

10
10
20
20

-

f 13
-\125 TIl
13
125
11

24
24
ton
ton
24

= 16, toff = 160
= 16, toff - 160

I

13 1125 111

10/1.0/1_0
10/1.0/1_0
150/15/15
150/15/15
10/1_0/1_0

PNP
MMT2907
#MMCM2907
MMT3906
MMT3905
MMT75

•

-

200
200
250
200

-

-

50
50
10
10

-

ton = 20, toff
ton - 20, toff

= 150
= 150

25 1181140115
25
18
140
15
25
18
140
15

150/15/15
150/15/15
10/1.0/1.0
10/1_0/1.0
10/1.011.0

TABLE" - HIGH SPEED SATURATED SWITCHING TRANSISTORS
Case 28 (1)

MMT2369
#MMCM2369
MMT3014
MMT72

15
15
20
10

10
10
30
10

40/120
40/120
50/200
30/-

0_25
0.25
0_22
0_3

500
500
350
400

10
10
30
10

10
10
30
10

ton
ton
ton
ton

= 12, toff = 18
= 12, toff = 18
= 16, toff = 25
= 20, toff = 30

10/3_0
10/3_0
30/3_0
10/3_0

PNP
10
10

TABLE 11\ - HIGH-SPEED NON-SATURATED SWITCHING TRANSISTORS
Case 2811)

MMT808

5_0

0_1

50/-

100

5-72

0_1

I

1200 11_0 11.0

I

1.5

1.0

2_0

1.0

MICRO-T TRANSISTORS AND DIODES (continued)
TABLE IV - LOW NOISE AMPLIFIER TRANSISTORS
Case 28 (1)

Collectol' Test Current

~"

1

for Optimum hFE

Devloe
Type:

Max

Ie in n>A

MinIMax

60
60
45
45
20

1.0
1.0
1.0
1.0
2.0

250/250/150/150/150/-

Min

jlA

dB
Max

I IlA I

500
500
500
500

3.0
3.0

10

-

NPN
MMT2484
#MMCM2484
MMT930
#MMCM930
MMT70

60
60
60
60

and

NF

I

MH~

Ie

@I

IC

@

fT

hFE

VCEO
Volts

-

f

MHz

-

-

-

-

10 Hz to 10 kHz
10 Hz to 10 kHz

1.0"

10

10 Hz to 15.7 kHz

-

PNP
0.1
0.1
2.0
"Typical

TABLE V - RF AMPLIFIER AND OSCILLATOR TRANSISTORS
Case 28 (1)

Collector Test Current
""

~".

I.

for Optifnum.hFE

VCEO

Feedback

NF

hFE

VollS

T~'

@

I

Ie

'.' Min

dB
Mal(

3.0
3.0
3.0
1.0
3.0
1.0

30
20
20
25
25
25

3.8'
6.0
6.0
4.0
4.0'
2.0"

1.5
1.0
1.0
1.0
1.5
100

1.0

25

2.6'

100

Max

Ie in n>A

15
15
15
10
12
5.0
5.0

'f

@

It
MHz

n>AJ MHz

Min

I

Capacitance

IC
@

n>A

Vea a 10 Vdii

pI" Max .•...

NPN
MMT2857
MMT918
#MMCM918
MMT8015
MMT74
MMT807

450
60
60
1000
450

-

1.0
1.7
1.7

1000
600
600
1000
700
1200

4.0
10
10
6.0
4.0
1.0

3.0
0.55**

1200

1.0

0.8**

-

PNP
MMT809
·Typical
• ·Cob

= V CB

=

0.5 Vdc

TABLE VI - N-CHANNEL JUNCTION FIELD-EFFECT TRANSISTORS
FOR RF AND MIXER APPLICATIONS

Vum~
Vo

CJss.

TVf>8

~In

MlnJMex

.-~

RelY-lSI
",mhos
Tvp

MMT3823

-30

5.0/20

4.0

500

Devicil

lOSS

mA

"pF

NF

(/g

f

',vp

I

MH~

Case

2.0

100

28 (5)

dB

I

TABLE VII - SWITCHING DIODES

.

vIBR) Ci\IIB!I)
OiIvice

Tvpe
MMD70
MMD6050
MMD6100
MMD6150
MMD7000
MMD7001

.. Volts
'Min

50
70
70
70
70
45

-.ixA
100
100
100
100
100
10

1ft

~"
0.1
0.1
0.1
0.1
0.1
0.1

ijI

VR

YF_volts '.

•

iF

CeVR'=O

t,,rIS

VollS

."'hilMax

n>A'

pFMax

Max

Case

30
50
50
50
50
30

0.75/1.2
0.55/0.7
0.55/0.7
0.55/0.7
0.55/0.7
-/1.05

100
1.0
1.0
1.0
1.0
300

2.5
2.0
2.0
2.0
2.0
3.5

15
5.0
5.0
5.0
5.0
3.2*

166
166
28 (2)
28 (3)
28 (4)
28 (4)

*Typical

5-73

D~ption

Single
Single
Common-Cathode
Common·Anode
Series
Series

,.
',;'

GLOSSARY
There are many symbols and abbreviations used to classify the perfonoance and characteristics of semiconductors. Often a particular symbol will have different meanings, depending on the product described. To
aid the reader in the understanding of the data presented in this book, the following product-categorized glossary has been prepared. Standard terms that are common to all semiconductors or have obvious meanings
have been omitted.

OPTOELECTRONIC DEFINITIONS. CHARACTERISTICS. AND RATINGS
BVCBO

lector voltage, load resistance, and ambient
temperature. (Base open)

Collector-Base Breakdown Voltage - The minimum dc breakdown voltage, collector to base,
at stated collector current and ambient temperature. (Emitter open)

BVCEO

Collector-Emitter Breakdown Voltage
The
minimum dc breakdown voltage, collector to
emitter, at stated collector current and ambient
temperature. (Base open)

BVECO

Emitter-Collector Breakdown Voltage
The
minimum dc breakdown voltage, emitter to
collector, at stated emitter current and ambient
temperature. (Base open)

Candela

A photometric unit of luminous intensity
(in lumens per steradian) defined as 1/60
the intensity of a one cm 2 blackbody radiator at platinum's solidification temperature

E

Luminous Flux Density(lIIuminance) [Iumens/
ft. 2 = ft. candles) - The radiation flux density of wavelength within the band of visible
light.

Collector-Base Radiation Sensitivity (jJ.A!mW/
cm 2) -- The ratio of photo-induced collectorbase current to the incident radiant energy
measured at the plane of the lens of the photo
device under stated conditions of radiation flux
density (H), collector voltage, base load resistance, and ambient temperature. (Emitter open)
Collector-Emitter Radiation Sensitivity (mA/
mW/cm2)
The ratio of photo-induced, collector-emitter current to the incident radiant
energy measured at the plane of the lens of the
photodevice under stated conditions of radiation flux density (H), collector voltage, load
resistance. and ambient temperature. (Base
open)

(2,046 0 K).

H

Radiation Flux Density (Irradiance) [mW
cm 2 ) - The total incident radiation energy
measured in power per unit area.

ICEO

Collector Dark Current The maximum current through the collector terminal of the device measured under dark conditions, (H '" 0).
with a stated'collector voltage, load resistance,
and ambient temperature, (Base open)

IL

Photometric unit of luminous intensity in
Lumens/steradian (candela).

10

Axial Intensity - The ratio of the flux emitted by a source and contained within an
incremental on axis solid angle subtended
by a sensor [units: lumens/steradian (photometric) or watts/steradian (radiometric)].

Po

Power Dissipation

SICBO

Collector·Base Illumination Sensitivity (IlA/
lumen/ft. 2 = IlA/ft. candle)
The ratio of
photo-induced collector-base curren t to the incident luminous energy measured at the plane
of the lens of the photo device under stated
conditions of luminous flux density (E). collector voltage, base load resistance, and ambient
temperature. (Emitter open)

SICEO

Ambient Temperature
Photo Current Fall Time The response time
for the photo-induced current to fall from the
90% point to the 10% point after removal of
the GaAs (gallium-arsenide) source pulse under
stated conditions of collector voltage. load resistance and ambient temperature.
tf(sat)

Photo Current Saturated Fall Time The response time for the photO-induced current to
fall from the 90% point to the 10% point after
removal of the saturating xenon light source
pulse under stated conditions of collector voltage. load resistance, and ambient temperature.
Junction Temperature

Collector-Emitter lIIuminarion Sensitivity (IlA/
lumen/ft.2 = IlA/ft. candle) - The ratio of
photo-mduced, collector-emitter current to the
incident luminous energy measured at the plane
of the lens of the photodevice under stated
conditions of luminous flux density (E). col-

5-74

tr

Photo Current Rise Time The response time
for the photo-induced current to rise from the
10% point to the 90% point when pulsed with
the stated GaAs (gallium-arsenide) source under
stated conditions of collector voltage. load resistance. and ambient temperature.

tr(sat)

Photo Current Saturated RIse Time The respon,e tIme for the photo-induced current to
rise from the 10% pomt to the 90% point when
driven into saturation by the stated xenon
source under stated conditions of collector
voltage. load resistance. and ambient temperature,

Tstg

Storage Temperature

VCBO

Collector-Base Voltage The maximum allowable value of the collector-base voltage which
can be applied to the device at the rated temperature. (Emitter open)
(continued)

OPTOELECTRONIC DEFINITIONS. CHARACTERISTICS. AND RATINGS (continued)

VECO

which can be applied to the device at the rated
temperature. (Base open)

Emitter.('ollector Voltage - The maximum
allowable value of emitter-eollector voltage
which can be applied to the device at the rated
temperature. (Base open)

Ii

VCEO Collector-Emitter Voltage - The maximum
allowable value of collector-emitter voltage

Angular Alignment - The axi, of maximum
sensitivity shall lie withm a cone of thiS angle
with reference to the central axis of the device.

:l.s{}.tm) Wavelength of maximum sensitivity in micro
meters.

•

5-75

OPTOELECTRONICS
Optoelectronic devices are designed for use in computer,
industrial and consumer equipment. Motorola's standard
line of optoelectronic products include light emitters, all
numeric displays, light detectors, and monolithic photo·
transistor and photodiode arrays. Also available from
Motorola are custom phototransistor and light emitting
diode (LED) arrays using discrete devices mounted on
printed circuit boards and custom monolithic arrays of
photodiodes and phototransistors.

Compactness, reliability and compatibility with integrated
circuits keynote light emitting diode advantages - as well
as perfect spectral matching of infrared (R) units to sili·
con detectors. They emit infrared or visible light when
forward biased. Motorola offers nine red and infrared,
fast switching types for flexibility in package, perform·
ance and price.

LIGHT EMITTING DIODES
Motorola visible red (660 nM) gallium arsenide phosphide
emitters are available for use in panel and circuit

condition indicators, light modulators, alphanumeric
displays and film annotation.
P~ Einl$slon'

'~~e:::;;

Actual Size

Case 234·02

MLED50
(Clear)
MLED55
(Diffusing Red)

•
Actual Size

t

CASE 81A

660

,~
TypfL

, i'otWlIid

' Instantan_ A1:

dB
Typ

f

@

hFE

MHz
MinIMax

Typ
Min*"

'.

NPN
MMCS5635
MMCS3866
MMCSOl72
MMCS2857
MMCS0159

35
30
30
15
10

2uO
5.0
5.0
3.0
1.0

1.0
11
11.4
15

400
400
200
450
-

-

~.4

1.3
0.035'

-

2.0
0.1

28
28

-

-

10
-

-

400
400

-

500
-

-

2.7
4.0
-

200
450
-

5.0/
10/200
30/30/150
25/200

200
50
50
3.0
25

800
1500
1500
2000

PNP

•

• AC parameter values are as specified in the standard 2N or MM data sheets (encap'5ulated devices).

UNENCAPSULATED FIELD-EFFECT TRANSISTORS (TA = 25°C)

Device

TVpe

td. tr

VGS(thl
Volts
Min/Max

"s
Max

t., tf
ns
Max

N-Channel

I MMCS0122
P-Channel
I MMCS0123

1.0/5.0

25

10

300

45,65

60,100

-1.0/-5.0

-25

-10

600

45,65

60,1000

These devices are passivated Field-Effect transistor chips
For more detailed characteristic data, please refer to the
appropriate Motorola data sheet.

JUNCTION FETS
Devioo
Type"

VBR(GSS)
Volts
Min

lOSS
rnA

rOS(onl

MinIMax

Crss
pF
Max

30
-25
-25

8.0/2.0/20
1.0/16

5.0
3.0
3.0

100

40

0.5/14

2.0

Ohms
Max

N-Channel
MMCS0134
MMCS0130
MMCS0131
P-Channel

I MMCS0125

5-82

MICROCIRCUIT COMPONENTS (continued)

UNENCAPSULATED SILICON POWER TRANSISTORS(TA = 25°C)
Device
Type

SVCEO @
Volts
Min

IC
mA

NPN
MJC044
MJC082
MJC070
MJC076

60
60
60
50

100
10
10
10

1.0
5.0
25
3.0

301180
30/180
20/180
20/180

0.250
2.0
10
1.0

1.0
2.0
3.0
2.0

30
30
30
30

60
60
60
50

100
10
10
10

1.0
5.0
25
3.0

30/180
30/180
20/180
20/180

0.250
2.0
10
1.0

1.0
2.0
3.0
2.0

30
30
30
30

NPN
MJC043
MJC067
MJC069
MJC007

UNENCAPSULATED HIGH-SPEED SWITCHING DIODES (TA

Device
Type

V(SRI@
I(SRI '" 100!lA
Valu
Min
100
100

MMCD9140)
MMCD61000

@

IR

25°C)
@

vR

VR

IR

!lA
Max

Volt.

nA
Mall

Volt.

5.0
5.0

75
100

25
100

20
50

I

-

11

PO 14
P1 10
P212
P313

··•
··

GO 4

G1

r--f--

7

r--'\

""""

L

•

).
Po = 250 mW typ/pkg
tpd ::::: 2.0 ns typ (Carry, Propagate)
4.0 ns typ (Generate)

-\ ).

..
.
).

G2 9

G3

Lf-'

)

5

•

~
-~

MC101B1

4 Bit Arithmetic Logic
4

Unit/Function Generator

13'-------------c
15,-------,

17-------,
14

21
Po = 600 mW/typ
tpd(tvP):

20

AltoF=7ns
C n to C n+4 "" 3.1 ns
A 1 to PG = 3.0 ns
Al to GG = 4.5 ns
Al to C n+4 = 4.9 ns

18

3

19
16
6

11

10
9

22
23

5-95

4
8

~ rn ~ [1 ~~,~~~

lOGIC DIAGRAMS

MEMORIES--------------------------------------,
Address Inputs

The Chip is enabled
when

CE"i

and

C'E2

inputs are at positive
logic "0"

MCM10140
MCM10148

16 x 4

64·Bit Random Access Memory

Array

CEl
CE2

Data Out

Data In
Write

o--------i
o---------i

Write
and
Data Input Buffer

MCM10144

256·Bit Random Access Memory
AO
Al
A2
A3

1/32
'y'

Address
Gates

A4

Word
Line

Select
Gates

Address Inputs

A5
A6

'X'
Address

Gates

Power Chip Enable

Chip
Enable
Current

lIB
Preampt
Select
Gates

Current
OUtputs to
All GatBs

Data
Sense

Ampl &
Output
Driver

Sources

Input

Disable

Data &
Write
Enable
Write Enable

Disable

Output
Gate

Chip Enable

5-96

Output

INTEGRATED CIRCUITS
MC300 Series (-55° to +12S0C)
MC3S0 Series (0° to +75°C)

FEATURES
• Propagation delay typically 8 ns per logic decision
• Virtually constant noise immunity with ± 20% power supply
variation and over corresponding temperature range

GSUFFIX

G SUFFIX

METAL PACKAGE
CASE 6028

METAL PACKAGE
CASE 604

• Simultaneous OR I NOR or AND I NAND outputs
• High fan·in and fan·out capabilities
F SUFFIX

F SUFFIX

CERAMIC PACKAGE
CASE 606
TO-91

CERAMIC PACKAGE
CASE 607
TO-86

The MECL series of integrated logic circuits forms a versatile set of monolithic
digital building blocks representing all the necessary circuitry for the arithmetic
portion of a computer. MECL circuits combine high speed with a systems·
oriented design approach that permits implementation with the fewest possible
number of individual devices. This represents both a cost saving and a potential
increase in system reliability. The MECL circuits in this series are compatible
with higher speed MECL lines, such as MECL II .

FUNCTIONS AND CHARACTERISTICS (Vcc

=

0, vEE

=

-5.2 v, TA

=

•

25°C)

DC
Output
Loading Factor

............tIon
Delay

Each
Output

notyp

mWmr/pkg

ea.

25
25
25
25
25

7.5
11
11
7.5

6028,606
6028.606
6028,606
6028,606
6028,606

-

-

37
42
40
63
18

4.5
7.5
7.5
8.5
7.0
7.0
7.0
7.5
7.5

-

MC359
MC360
MC361
MC362A
MC362

25
25
25
25
25
25
25
25

37
15
87
54
54
41
70
54

6028,606
6028,606
6028,606
6028,606
6028,606
6028,606
6028,606
6028,606
604,607

lYJM@
Funotion

-55 to +125"(;

Oto+15oC

tpd

TotalPOW8r
Ol$$ipation

5-lnput OR/NOR Gate
A-S Flip-Flop
R-S Flip-Flop w/o Buffered Outputs
Half-Adder
Bias Driver

MC301
MC302

5-1 nput Gate Expander
3-lnput OR/NOR Gate
3-lnput OR/NOR Gate
AC-Coupled J-K Flip-Flop
Dual 2-lnput NOR Gate
Dual 2-lnput NOR Gate
Dual 2-lnput NOR Gate
Dual 3-lnput NOR Gate (With Internal Bias)
Dual 3-lnput NOR Gate

MC305
Me3DS
MC307
MC308
MC309

Quad 2-lnput NOR Gate
AC-Coupled J-K Flip-Flop
Line Driver
Lamp Driver
Level Translator MECL to Saturated Logic

MC313F
MC314
MC315
MC316

MC363F
MC364

25
25

MC365
MC366

-

7.0
12
14

-

125
118
180@-27o@
135

607
6028.606
6028,606
6028,606

MC317

MC367

7 (OTL)

27.5

63

6028,606

Me31S

MC368

6028,606

Level Translator
Saturated Logic to MECL
Dual 4-1 nput Clock
Driver/High-Speed Gate
Dual 2-lnput Clock
Driver/High-Speed Gate

MC303
MC304

MC310

MC311
MC312A
MC312

MC351
MC352A

MC352
MC353
MC354
MC355
MC356
MC357
MC358A

-

25 (MECLI

17

105

-

MC369F

100

3.0

250

607

-

MC369G

100

3.0

250

6028

(j)G suffix denotes Metal Can. F suffix denotes Flat Package_ (Le_. MC301G =- Metal Can. MC301F

@ With
@ With

93-ohm load for MC315 (each side)
50-ohm load for MC365 (each side)

5-97

= Flat

Package.)

LOGIC DIAGRAMS

MECL - LOGIC DESCRIPTION
The logic diagrams shown describe the circuits of the MECL line and permit
quick selection of circuits required to implement a particular logic system. Per·
tinent information, such as logic equations and truth tables, is provided to show
line compatibility. Package pin numbers and loading factors for each device
are specified on each logic diagram. The numbers at the ends of the terminals
are package pin numbers. The numbers in parentheses indicate loading factors
at each terminal.
MECL circuits require a bias voltage that, for best results, should be obtained
from a regulated, temperature·compensated, bias supply. A bias driver, type
MC304 or MC354, is included in the MECL line to provide this function when the
bias driver is not self·contained in a logic element.

GATES ____________________________(_Fo_'_SU_pp_IY_y_OI_la_ge_p_in_co_n_ne_cl_lo_ns_,s_e_ed_a_Ia_sh_e_el.,S)
MC301, MC351 - 5·INPUT GATE

MC306, MC307", MC356, MC357"
3·INPUT GATE

=t=r

(25)

(25)

(I) 6
(1)7
(1) 8

~E

5= 6

4= 6
(25)

MC309, MC3l0", MC3ll', MC359,
MC360', MC36l" - DUAL 2·INPUT GATE

(1)6~1)7 5

+7+8
+

7

(1)8
(I) 10 (I) 9

+8

(117=[Y25) __
6= 7+8
(118

4

(119~

(25)

9,10
5=6+7+8+9+10
4= 6

+ 7+ 8+ 9+

10

"Optional pull-down resistors

"No pull-down resistors

MC312A, MC362, MC362A
DUAL 3·INPUT GATE

(1110~255)

MC3l3F, MC363F - QUAD 2·INPUT GATE

MC369G - HIGH·SPEED CLOCK DRIVER
OR DUAL 2·INPUT GATE

(1)6~25) _ _

(1)7~5=6+7

(1)6:[>25)
(1)7
5=6+7+8
(1)8
(1)9:[>.

(I) 10
(1) I

4
(25)

(l)9~2:)

(I)IO~

(100)

(215~4=5+6

m6~7=5+6
(100)

(1)1I~(25)
13
(I) 12

(2)9~1

(1)14~~5)

(2110~8

(I)I~

MC369F - HIGH·SPEED CLOCK DRIVER
OR DUAL 4·INPUT GATE

(215~(I~0~
5 +6 + 7 + 8
(2)6
(2)7
m8
(2) 11

9=5+6+7+8
(100)
(100)

(2)12~1

(2)13~10
(2) 14

(100)

5-98

(100)

(100)

LOGIC DIAGRAMS

DRIVERS----------------------,
MC3l5, MC365 - LINE DRIVER

MC304, MC354 - BIAS DRIVER

~

150ullNE)'

11)6~5=6+7+8
II) 7
W8

\E

MC3l6, MC366 - LAMP DRIVER

3

4=6+7+8
150 u LINE) •

11)4~OOmA)
W5
11)6

I (25)

V;,

9,10
-55 to +125°C operation

2

(I)7~100mL

REGULATED
OUTPUT

V

TEST
POINT 4

"'930 line recommended for

9=4+5+6

9=7+8

11)8

EE

FLiP-FLOPS---------------------,
MC3D2, MC352, MC352A
R·S FLlp·FLOP

MC3D8, MC3l4, MC358A, MC364 - AC·COUPLED J·K FLlp·FLOP
R·S OPERATION
SQ" '

CLOCKED J·K OPERATION

(1)6S

q.

o
o
I
I

q.
0
I
0
I

0

I
I
I
I

Q'

Q'
Q'

I
0
Q'

(25)

11)9~U=~S Q5

11)7 I
10
13)8e,

I,

ii
1

.
04
(25)

N.D.

=0=

11)1 R

The 15 an!!. Ks inputs refer to logiC levels
while the Co mput refers to dynamiC logiC

1 1 ) 6 f f i l(2;)
11)7
11)9
R

0

II) 10

4

(25)
E\

1.8---~

R
0

S
I

swings. The 15 and Ks inputs would be

Q'+'

I

o

changed to a logical "1" only while the CD
input IS In a logic "I" state. ('C'D maximum
"I" level
Vee 0.6 volts)

Q'

N.D.

EXPANDER-------~
MC3D5, MC355 - 5·INPUT EXPANDER

MC3D3, MC353 - HALF·ADDER
(25)
II) 10 A f § ; 6 "CARRY" = AB =
_
(25)
10·9
12)8A
5"NOR"=AB=ii.7

1111)7
)6U,4
_.>
11)8
\5
(I) 10 (1)9
-->

(25)
4 "SUM" = Aii +AB =
(10 • 7);- Iii. 9)

12)7 B

Q4
(2q)

HALF ADDER-------.

12) 9 B

(25)
~5

LEVELTRANSLATORS----------------~
MC3l8, MC368 - LEVEL TRANSLATOR

MC317, MC367 - LEVEL TRANSLATOR

(MECl2)4 D - I D T L 7 )
IMECl2) 5
9 = 4+ 5+ 6
IMECl 2) 6

IDTl 6)5 D - LMECL..25)_
4=5+6
IDTl6) 6
(DTl6) 9 D-~EC~25L
1= 9+ 10
(DTl 6) 10

(MECl2) 7 D - I D T L 7)

9 = 7 +8

IMECl 2) a

5-99

•

~ rn ~ [1

INTEGRATED CIRCUITS

r·o,,'

,
"."

Re,
Power Supply Voltage (Vee

== 0 Vdc)

-10

Vdc

o to VEE

Vdc

10

20

mAde

TIIg

-65to+150

"C

"C

v,.

Base Input Voltage (Vee = 0 Vdc)

LIlGlCAk "1" ~

·.-'il.7!lV(YH)

Output Source Current

I.!lGICAl !'O" ~
":'1.55Vl'lLl

"ml1h'

Storage Temperature Range

. OUTPUl

.:'QR" ,

Ro

Ro'

OUTPUT .

Va."; .,.~a

Operating Temperature MC300 Series

TA

-55 to+125

AC Fan-In (Expandable Gates)

m

18

Range

MC350 Series

AC Fan-Out* (Gates and FlipFlops)

FOIl lOGICAL "I" INPUt "NOlI" OIffPUT* -1.55 V

, ' "OIl" WI1'lfi'=' :...oJS:V,
'" RlR LOGICAL "(I" IIII'UT, "NOR" oulPirr' ~'4115V' '
''llR:' OIffPUt..;-t,55.V '

Oto+75

CIRCUIT DESCRIPTION

SYSTEM LOGIC
SPECIFICATIONS

CIRCUIT
OPERATION

BIAS VOLTAGE
SOURCE

~ .,

..

tIl.UIl........I..... '"' fo".....t oH5

The MECL line of monolithic integrated logic circuits designed as a non·
saturating form of logic eliminates transistor storage time as a speed limiting
characteristic, and permits extremely high·speed operation.
The typical MECL circuit consists of a differential·amplifier input, with
emitter·follower output to restore de levels. High fan·out operation is possible
because of the high input impedance of the differential amplifier and the low
output impedance of the emitter followers. Power·supply noise is virtually elimi·
nated by the nearly constant current drain of the differential amplifier, even
during the transition period. Basic gate design provides for simultaneous out·
put of both the function and its complement.
Anyone of the power supply nodes, V", Vee, or VEE may be used as ground;
however, the manufacturer has found it most convenient to ground the Vee node.
In such a case: Vee = 0, V" = -1.15 V, VEE = -5.2 V, as shown in the
schematic diagram above.
The output logic swing of 0.8 V then varies from a low state of Vl = -1.55 V
to a high state of VH
-0.75 V with respect to ground.
Positive logic is used when reference is made to logical "O's" or .. l's". Then
"0" = -1.55V I
.
"I" = -0.75 V \ tYPical
Dynamic logic refers to a change of logic states. Dynamic "0" is a negative going
voltage excursion and a dynamic "I" is a positive going voltage excursion.
A fixed bias of -1.15 volts is applied to the "bias input" of the differential
amplifier and the logic signals are applied to the "signal input". If a logical "0"
is applied, the current through RE is supplied by the fixed· biased transistor. A
drop of 800 mV occurs across Re,. The OR output then is -1.55 V, or one
V.E·drop below 800 mY. Since no current flows in the "signal input" transistor,
the NOR output is a V,,·drop below ground, or -0.75 volts. When a logical "I"
level is applied to the "signal input", the current through Re, is switched to the
"signal input" transistor and a drop of 800 mV occurs across Rcl . The OR out·
put then goes to - 0.75 volts and the NOR output goes to -1.55 volts.
Note: Any unused input should be connected to VEE'
The bias voltage applied to the bias input is obtained from a regulated,
temperature·compensated bias driver, type MC304 or MC354. The temperature
characteristics of the bias driver compensate for any variations in circuit operat·
ing point over the temperature range or supply voltage changes, to insure that
the threshold point is always in the center of the transfer characteristic curves.
The bias driver can be used to drive up to 25 logic elements and should be
employed for all elements except those with built·in bias networks.

=

5-100

y

.~~ .. :!" :1·~.<~ . ,"
':.; ...., ,:..+.;:~ :f> -.k-.~:..,: .
:'.:.: :t,

POWER·SUPPLY
CONNECTIONS

;

15

,":

'n

-::-;~

":"i'

INTEGRATED CIRCUITS
MC1000 Series (0 to +750 CI
MC1200 Series (-55 to +1250 CI

FEATURES

The MECl II series of monolithic integrated logic circuits presents the system
design engineer with an integrated circuit family design!!d to permit system implementation with the fewest possible number of individual units. This approach offers
cost savings, reduced power supply requirements, smaller physical size and high
reliability.

• Propagation typically 4 ns
per logic decision
• Excellent noise immunity
characteristics
• Simultaneous OR/NOR
outputs
• High fan-in and fan-out
capabilities
• Internally temperature
compensated

MECl II circuits feature the fastest propagation delay times with commensurate
rise and fall times of any family of integrated circuits. This feature plus the constant
current feature of MECl imposes fewer restrictions on design, layout and system
fabrication than any other high-speed family.

1IIIIIIIIP1
Ii I
~iirillill
•
1

f :

PSUFFIX

FSUFFIX

PSUFFIX

LSUFFIX

LSUFFIX

PLASTIC PACKAGE
CASE 646
TO-116

CERAMIC PACKAGE
CASE 607

PLASTIC PACKAGE'
CASE 648

CERAMIC PACKAGE
CASE 620

CERAMIC PACKAGE
CASE 632
TO-116

T0-86

•
FUNCTIONS AND CHARACTERISTICS (Vcc = 0 vEE = -5 2 V TA = 25°C)
DC Output

F_ion
Single 6-lnput Gate, 3 OR Outputs w/Pulidowns
3 NOR Outputs w/Pulldowns
Single 6-lnput Gate. 3 OR Outputs w/Pulldowns
3 NOR Outputs w/o Pulldowns
Single 6-lnput Gate, 3 OR Outputs w/o Pulldowns
3 NOR Outputs w/o Pulldowns
Dual 4-lnput Gate, 2 OR Outputs w/Pulidowns
2 NOR Outputs w/Pulidowns
Dual4-lnput Gate, 2 OR OUtputl w/Pulidowns
2 NOR Outputs w/o Pulldowns
Dual4-lnput Gate. 2 OR Outputsw/oPulldowns
2 NOR Outputs w/o Pulldowns
Triple 3-lnput Gate, 3 NOR Outputs w/Pulidowns
Triple 3·lnput Gate, 1 NOR Outputs w/Pulidowns
2 NOR Outputs w/o Pulldowns
Triple 3·lnput Gate, 3 NOR Outputs w/o Pulldowns
Quad 2-lnput Gate, 4 NOR Outputs w/Pulldowns
Quad 2-lnput Gate, 2 NOR Outputs w/Pulldowns
2 NOR Outputs w/o Pulldowns

CD

..........

Loading

gailon

Type

FeCtor
Each

Daley .

Output

\xl

Dltsipation

-55 10 + 12SOC 010 +7SOC

Il$ typ

mWtyp

25

4.0

115

607, 632, 646

MC1201F.L

MC1001P

Total

PoWer.

c(j)

MC1202F,L

MC1002P

25

4.0

80

607, 632, 646

MC1203F,L

MC1003P

25

4.0

40

607, 632, 646

MC1204F,L

MC1004P

25

4.0

95

607, 632, 646

MC1205F,L

MC1005P

25

4.0

65

607. 632, 646

MC1206F,L

MC1006P

25

4.0

45

607, 632, 646

MC1207F,L

MC1007P

25

4.0

110

607, 632, 646

MC1208F,L

MC1008P

25

4.0

75

607, 632, 646

MC1209F,L
MC1210F,L

MC1009P
MC10l0P

25
25

4.0
4.5

60
115

607, 632, 646

MC1211F,L

MC10llP

25

4.5

95

607, 632, 646

Type numbers with F suffix use Case 607, Type numbers with L suffix use Cass 632 or 620 as indicated.
Type numbers with P suffix use Case 646 or 648 as indicated.

5-101

607, 632, 646

~rn~~

00 INTEGRATED CIRCUITS

FUNCTIONS AND CHARACTERISTICS (continued)

"~:V;:,':::',:ri,\'

. . ':

,
..

i j'(

"~!»l

'/'"

..

~

:~

"'

.i','·
,

.

::";

. "YVIIIl

~.

,.

,.

'i<

DC Output

. t.Oadiitg.

,'~="

.:' "".'. '-iil;to ~ '1~C Oto+16oC ··~tput.,

,~,;
lJi(rion, '

, "ToU;r

,.~:\", 'i)=:';':
~·tVP.

'.

'.'

': ,'~

~
):.'.

".

~. ,.~

;

.....'

Quad 2-lnput Gate, 4 NOR Outputs w/a Pulldowns

MCI212F,L

MC1012P

25

4.5

65

607, 632, 646

AC Coupled J-K Flip-Flop (85 MHz typ)

MC1213F,L

MC1013P

25

6.0

125

607, 632, 646

Dual R-S Flip-Flop (Positive Clock)

MC1214F,L

MC1014P

25

6.0

140

607, 632, 646

Dual R-S Flip-Flop (Negative Clock)

MC1215F,L

MC1015P

25

6.0

140

607, 632, 646

Dual R-S Flip-Flop (Single Rail)

MC1216F,L

MC1016P

6.0

140

607, 632, 646

Level Translator (Saturated- Logic to MECL)

MC1217F,L

MC1017P

25
25 (MECL)

15

105

607,632,646

19
3.0 or 8.0·

55
145

607, 632, 646

607, 632, 646

Level Translator (MECL to Saturated Logic)

MC1218F,L

MC1018P

7(DTL)

Full Adder

MC1219F,L

MC1019P

25

Quad Line Receiver

MC1220F,L

MC1020P

25

607, 632, 646

MC1221F,L

MC1021P

25

4.0
4.0 or 11·

115

Full Subtracter

145

607, 632, 646

Type 0 Flip-Flop

MC1222F,L

MC1022P

25

8.0

110

607, 632, 646

Dual 4·lnput OR/NOR Clock Driver

MC1223L

MC1023F ,P

25

2.0

250

607,632,646

Dual 2-lnput Expandable Gate

MC1224F,L

MC1024P

25

4.0

95

607, 632, 646

Dual 4 and 5-lnput Expander

MC1025P
MC1026P
MC1027F,P
MC1028P

-

-

-

607, 632, 646

25
25

2.0
4.0

25

5.0

140
250
170

607, 632, 1>46
607, 632, 646

Dual 4-Channel Data Selector

MCI225F.L
MC1226F,L
MC1227L
MC1228L

Data Distributor

MC1229F,L

MC1029P

25

4.0

160

607, 632, 646

Quad Exclusive OR Gate

MC1230F,L

MC1030P

25

5.0

130

607, 632, 646

Quad Exclusive NOR Gate

MC1231F,L

MC1031P

25

5.0

130

607, 632, 646

100-MHz AC Coupled Dual J-K Flip-Flop

MC1232L

MC1032P

25

4.5

180

620,648

Dual R-S Flip-Flop (Single Rail, Negative Clock)

MC1233F,L

MC1033P

25

140

607, 632, 646

Type 0 Flip-Flop

MC1234L

MC1034F,P

25

6.0
4.0

185

607, 632, 646

Triple Line Receiver

MC1235F,L

MC1035P

25

5.0

140

607,632,646

16-Bit Coincident Memory

MC1236L

MC1036F,P

5

17

250

607, 632, 646

16-Bit Coincident Memory wlo Pulldowns

MC1237L

MC1037F,P

5

17
7.0 or 18·

250

607,632,646

150

607, 632, 646

12

200

620,648

8.0

250

607, 632, 646
620,648

Dual 3·4·lnput Transmission Line and Clock Driver
AC Coupled J·K Flip-Flop (120 MHz typ)

•

.

a-Channel Data Selector

MC1238F ,L

MC1038P

Quad Level Translator (MECL to Saturated Logic)

MC1239L

MC1039P

Quad Latch

MC1240L

MC1040F ,P

Dual Binary to One-Of-Four Decoder

MC1242L

MC1Q42P

25
7(DTL)
25

620,648

25

6.0

245

3-Bit Binary to One-Of-Eight Line Decoder

MC1243L

MC1043F,P

25

6.0 or 11·

210

607, 632, 646

Binary to One-Of- Ten Line Decoder

MC1244L

MC1044P

25

6.0

245

620,648

®

MC1245L

MC1045P

178

8-Bit Parity Checker and Generator

MC1246F,L

MC1046P

25

620,648
607, 632, 646

Decoder - Nix ie

Driver

13 or 14-

205

Quad 2-1 nput AND Gates

MC1247F,L

MC1047P

25

5.0

130

607, 632, 646

Quad 2-lnput NAND Gates

MC1248F,L

MC1048P

25

5.0

130

607,632,646

Dual Full Adder

MC1259L

MC1059P

25

9.0

375

620,648

Quad 2-lnput NOR Gate

MC1262L

MC1062P

25

2.0

320

Quad 2-lnput NOR Gate

MC1263F,L

MC1063P

25

2.0

320

620,648
632,646
607, 632. 646

Triple Line Receiver

MC1266F,L

MC1066P

25

2.0

300

Quad MTTL to MECL Translator With Strobe

MC1267L

MC1067P

1

5.0

300

Quad MECL to MTTL Translator
With Totem-Pole Outputs

MC1268L

MC1068P

7,0

340

620,648

Quad Latch

MC1270L

MC1070F,P

8.0

200

607, 632, 646

(j)

10(MTTL)
25

Type numbers with F suff;x use Case 607, Type numbers with L suffix use Case 632 or 620 as indicated.
Type numbers with P suffix use Case 646 or 648 as indicated.
- Propagation delay time is dependent on data path, see data sheet for details.
Nixie

®

is a registered trademark of Burroughs Corp.

5-102

620,648

~ rn~ 11 DO

LOGIC DIAGRAMS

The logic diagrams shown describe the circuits of the MECL II line and permit quick selection of those circuits
required to implement a particular logic system. Pertinent information, such as logic equations, truth tables, typo
ical propagation delay time (tpdl, and typical power dissipation per package (PD) is provided to show line compatibility. Package pin numbers and dc loading factors for each device are specified on each logic diagram. The
numbers at the ends of the terminals are package pin numbers. The numbers in parenthesis indicate dc loading
factors at each terminal.
MECL II circuits contain internal bias networks, insuring that the transition point is always in the center of
the transfer characteristic curves over the temperature range.

(VCC = pin 14, VEE = pin 7 for Case 646, Case 6321, and Case 6071 (VCC = pin 16, VEE = pin 8 for Case 648 and Case 6201

GATES

MC100l, MC1002, MC1003
MC1201, MC1202, MC1203
6-lnput Gate

H

MC1007, MC1008, MC1009
MC1207, MC1208, MC1209
Triple 3·1 nput Gate

(2)4
(2) 5

'(25)
2 (25)

(2)

6

3

(2)

8

11 (25)

(2)

9

12 (25)

(2) 10

13 (25)

(1)

(25)

(1,
(1)

(1)

1=4+5+6+8+9+10

MC1002/MC1202 MC1003/MC1203 -

MC1004, MC1005, MC1006
MC1204, MC1205, MC1206
Dual 4·1 nput Gate
(1)150=
(1) 2
5 (25)
(1)

3

(1)

4

3

(1)
(1)

: 3 = D - 9 (25)

(25)

4=D- 6
5

(25)

(1)9~

(1)10~8 (25)

(1)1'3=D(1) 12
10 (25)

115 mW
80 mW
40 mW

2'=D-3

(1)

(1)

tpd = 4.0 ns

= MC1001/MC1201

(1)

(25)

(1)

11 =4+5+6+8+9+10
Po

:~4

MC10l0, MC10ll, MC1012
MC1210, MC1211, MC1212
Quad 2·lnput Gate

(1)12~

(')'3~"(25)

(1) 13

4=~
tpd

= 4.0

3 =

1+2

tpd = 4.5 ns

ns

Po = MC1007/MC1207 MC1008/MC120B MC1009/MC1209 -

110 mW
75 mW
60 mW

Po= MC10l0/MC1210-115mW
MC1011/MC1211 95 mW
MC1012/MC1212- 65mW

6 (25)

MC1031, MC1231
Quad Exclusive NOR Gate

MC1030, MC1230
Quad Exclusive OR Gate

(1)1050=
(1) 11
9 (25)
(1) 12

8 (25)

(1) 13

5=1+2+3+4

6=1+2+3+4
tpd

= 4.0

ns

Po = MC1004/MC1204 - 95 mW
MC1005/MC1205 - 65 mW
MC1006/MC1206 - 45 mW

MC1024, MC1224
Dual 2-lnput Expandable Gate

(1)

~~'5(25)

(1)

3--L-<--6(25)
4---~

10

(1)11~9(25)
(1) 1 2 - - L - < - - B (25)

(1.5)13~2

(25)

(1)

(1)

(1'5)6~5
(1)

(1.5)1~2

(25)

(25)

3

(1.5)64~5

(25)

(1)

4

(1'5)B~9

(1'5)B~9
(25)

(25)

(1) 10

(1) 10

(1'5)3~

(1.5)3~

12 (25)

12 (25)
(1) 11

(1) 11

2=,-3'+1-3

2=1e3+1-3'

tpd

= 5.0

Po

= 130 mW

tpd = 5.0 ns
Po = 130 mW

13---~

5 = 2"""+"3
6=2+3

ns

tpd = 4.0 ns
Po =95mW

(continued)

5-103

•

[rAJ rn ~ [1 DO

LOGIC DIAGRAMS

GATES ( c o n t i n u e d ) - - - - - - - - - - - - - - - - - - - - - - - - - - - - ,
MC1047, MC1247
Quad 2-lnput AND Gate

(1.5)

(1_5)

(1) : = 0 - 2
(1)
0_5) : = 0 - 5
11_5) 8 = 0 - 9
(1) 10

(25)
(1) : D - 2
(25)

(1)
(1_5) : D - 5

(25)

(1_5)

8D-9

(25)

(25)

(25)

( 1 ) " = 0 - 12 (25)

2 = l' 3

tpd "" 5.0 ns

Po= 130mW

~D-4

(1)
(1)

( ' ) " D - 12 (25)
(1.5) 13

~D-3

(1)
:=r>7

1°=r>9

4=2+3

tpd = 5.0 ns
PO=130mW

tpd = 2.0 ns
Po = 320 mW

LATCH--------------------~

:=r>6

(I)

,~=r>8

(1)

1 3 = L / - 12
14

2 =.,...-;-a

(1)

(1)

II

(1) 10

(1.5) 13

MC1063, MC1263
Quad 2-lnput NOR Gate

MC1062, MC1262
Quad 2-lnput NOR Gate

MC1048, MC1248
Quad 2-lnput NAND Gate

(1~

(25)

(25)

(25)

1 2 = L / - 11 (25)
13

3=1+2

tpd

= 2.0 ns

Po = 320 mW

DRIVERS -------------,
MC1023, MC1223
Dual 4-lnput Clack Driver

MC1040, MC1240
MC1070, MC1270
Quad Latch

(3)2~
3
6

(25)

(3)

4

1

(25)

(3)

5

(3)9~
(3) 10
8

(25)

(3)

13(25)

(3) 11
(3) 12

6=2+2+4+5
1=2+3+4+5

tpd = 2.0 ns

Po = 250 mW

MC1026, MC1226

Dual 34 Input Transmission
Line and Clock Driver
Cl (Clock) <:2 (Strobe)
1 (1.25)
8 (4)

::: : 6 3 D : 3
2
(3)

TRUTH TABLE

0

CI

C2

Qn+1

0

0
0

an
an

1
1

0
0
0
0

1

0
0

1
1

0

1

1

1

1
1

0
0
0
0

1

0
1

0

0
1

(3)8
(3)

tpd = 8.0 ns
Po = 250mW

9

(3) 10

(MC1040/1240)

p

(25)
(25)

12 (25)
13 (25)

(3)11

= 200mW

(MC1070/1270)
3=4+5+6
2=4+5+6

5-104

= 2.0 ns
PO= 140 mW

tpd

~ rn ~ [1 DO

LOGIC DIAGRAMS

FLiP-FLOPS---------------------,
MC1013, MC1213
AC-Coupled J-K Flip-Flop
(85 MHz typ)
(1)
( 1)
(1)
(1)
(1)

~ID ---!-J

5

(1_5)
CLOCKED J-K
OPERATION

13 (25)

a

J

6

9

(1) 10
(1) 11

K

(1)

ao
an

Co

• • ,
0

(1)1~

(1)

MC1014, MC1214
MC1015, MC1215
Dual Clocked R-S Flip-Flop

,f{

i

0

_
1

0

,

a

,
,
,

,

0

(25)

0

, ,

"n

,

0

an

(1.5)

'={J='
4
5

C
R

Q

1

MCI014/1214

, , an.'
,
,
, ,
, ,
, , ,

c

125)

0

0

C
R

6.

;;::<

6.0 ns

(2)

~ID---!-(2)f~
f

(2)
(2)
(2)

:

J

af--13 (25)

(2)

(2)

and

K

inputs refer

R-S OPERATION

R-

9

J

The

to logic levels while the Co
input refers to dynamic logic swings. The J and K inputs should be changed to
a logical "1" only while the
Co input is in a logic "'"
state. (Co maKimum ", ..
level'" Vee - 0.6 v.) Clock
Co is obtained by tying one
J and one R input together.

Po = 125 mW

1

(25)

S

,

0

0

0

an

0

,

,

(2)12

,

,

0

(2)10
(2)11

an>

R

N.D.

:r

0

tpd = 6.0 ns

,
,

,

NO

PO=140mW

'

0

0

0'

(11

4

C1 5 2

{II

2

C2

'4]='
'" '4]=" ""
(1)

4

C

a

2

6.

C

Q

0

,

(6)

5

C

D

0

0

,
,

0

,

(25)

0

,

0

a n+ 1
0

0

0

0

,

0

0

,

MC1033/'233

C

12 (25)

,
,

D

0

0

0

0

,

,

on+l

0
0

0
0

0

,

MC1034, MC1234
Type D Flip-Flop

I

5

( 1) 6 - Cl

51

0

MC1016/'216

(25)

tpd = 6.0 ns
Po = 140 mW

MCI 022, MC1222
Type D Flip-Flop

I

on+l

o
o

(1.5)

MC1027, MC1227
AC-Coupled J-K Flip-Flop
(120 MHz typl
(1111

, ,

c

13125)

Po ~ 250 mW

(1110

0

MC1016, MC1216
MC1033, MC1233
Dual Clocked Single Rail R-S Flip-Flop

(1.5) 10

tpd = 4.0 ns

0

0

MC101S/1215

(1) 12

tpd

0

0

NO

>

0

"." '~"""
(1) 10
(1.5) 9

0

0

0

(25)

51251

Q

2 (251

Q

3 (251

(1) 8 - C2

(1112

01

11) 13

°2 R , R2

11)

8

(1)

9

I

Q

6 (25)

tpd = 8.0 ns
Po~ 110mW

(2)10- 0

R

(6) 4

I

tpd

~

4.0 ns

Po'" 185 mW (using external
GOO-ohm pull-down resistors)
240 mW (using internal pulldown resistors)

R-S TRUTH TABLE
Pin No.

a n +'

S

Bar 9

10 or 11

5

6

0

0

an

an

,

0

,

0

,

,

R-S TRUTH TABLE

a n +'

A

,

Pin No.

0

0

,

N.O.

N.O.

Pin No.

12 or 13

2 or 4

5

6

0

0

an

0

an

0

,.

an
an

,.

0

,

0

0

an

0

0

,

N.D.

N.O.

N.O.

C

,

2

,

,

~

3
~n

,

0

Not Oefined

CLOCKED TRUTH TABLE

On+1

D

,

a n+1

5

,
,

CLOCKED TRUTH TABLE
On+1

S

0

N.O. = Not Oefmed

a n+1

A
4

Pin No.

D

C

on+1

'0

6 or8

2

0

0

an

an

,.
0

an

an

,.

0

,

1

0

,

,

0

0

,

·A "1" or Clock input is defined for this
flip-flop as a change in level from a low
input to a high input.

on+l
3

-A ", •• or Clock input IS defined for thiS

flip-flop as a change in level from a low
Input to a high input.

(continued)

5-105

~ rn~ [1 DO LOGIC DIAGRAMS

FLlP·FLOPS ( c o n t i n u e d ) - - - - - - - - - - - - - - - - - - - - - - - ,
MC1032, MC1232
100 MHz AC-Coupled Dual
J-K Flip-Flop

JD -

R-S TRUTH TABLE

(1)

2 f i aR 1

(1)

3

K

(2)

:

4

c

(1)

5

J

(1)

6

5

Pin No.

(25)

0
0
1

1

a

7

(25)

All

C

(1) 13

K

(1) 14

R

1-K

0

an

1
0
1

0
N_D_

N.D.

=

KD TRUTH TABLE

. .

JD
Pin No_

0
0
1
1

1

inputs and Clock inputs

a n+1

KD

1 & 15

0
1
0
1

All Clock inputs and

an
0
1

an
~he

R-S

inputs are at a "0" Level

are static

:~ll~
a
15 (25)

(2) 12

an+ 1
R
S
2& 14 6& 10 1 & 15

Output state not defined

CLOCKED l-R TRUTH TABLE

a

9

. .
J

(25)

Pin No

cp
0
0
1
1

tpd = 4.5 ns
PO= 180mW

K

Clock
4& 12


0
1
0
1

0
1
1
1
1

an
1 & 15

an
an
1
0

an

• Any 'j' or
All other

K input
J·K inputs and the

R-S inputs

are at a .. 0" Level
cP = Either logic level will result in the
desired output.

The J and K. inputs refer to logic levels while the clock input refers to dynamic
logic swings. The J and K inputs should be changed to a logic "," onlv while the
clock input is in a logic''','' state (Clock mSKimum .. , .. level = Vee -0.7 VL

MEMORY-----------------------------------------,
MC1036, MC1236
MC1037, MC1237
16·8it Coincident Memorv
(2)

(2)

tpd=17ns
Po 250 mW

=

CVcle Time

= 50

(2)

(2)

M ..:imum Power Supply V.riation '" ±.10%

ns typ

5-106

[i'1] rn CS [1

00 lOGIC DIAGRAMS

~RECEIVERS------------------------.
MC1035. MC1235
Triple Line Receiver

MC1020. MC1220
Quad lino Receiver

(1)
(1)

;=t>-3

(1)
(1)

:=t>-4

(25)

3~1
4

2

(25)

(1)

:=1>-a

(25)

(1)

(25)

tpd = 5.0 ns
Po = 140 mW

(1) 'O~12(251

(25)

(1) 11

(1)

(1)

(1)
(1)

13 (25)

8-

:=t>-10(25)

9

(1) 1 2 = t > - 1 1 (25)
(1) 13

TRUTH TABLE
INPUTS

OUTPUTS

A

B

OR

NOR

1
0

Vaa
Vss

0

0
1

Vss
Vss

1

0

tpd = 4.0 ns
PD:115mW

1

0

0

•

MC1066. MC1266
Triple Line Receiver

~EXPANDER--------,

(3)3~1

(25)

4~2

(25)

(3)

A

MC1025. MC1225

Dual 4-5 Input Expander

(3)

5~a

(3)6~

(25)

(3)

10~ 12

(25)

(3)

11~13(25)

8-

(1)1~~
2
_~

9

(25)

(1)

(1) 3
(1)13
(1) 14

7

TRUTH TABLE

12

INPUTS
A
B

(1)6~~
a
_~

(1)

(1) 9
(1) 10

7

11

OUTPUTS

OR

NOR

1
0

Vse
Vee

1
0

0

Vsa
Vas

1

0

0

tpd = 2.0 ns
P D = 300 mW typ

5-107

1
1
0

I

~ rn ~ [1

DO

LOGIC DIAGRAMS

DATA SELECTORS - - - - - - - - - ,

SUBTRACTOR----~
MC1021. MC1221
Full Subtractor

MC1038. MC1238
8·Channel Data Selector
(1)

(1) 01 5
(1) 02 6
(1) 03 3
(1) 04 4
(1) 0513
(1)

tpd

1
2

(1) 51

8

(1) 52

9

= 7.0 or

(2)
Bj

4

18 ns·

(2)

8j
3

PO~150mW

-Data Input = 7.0 ns

Select Input

D612

(1) 07
(1) 08

(1)

y X

= 18 ns

11 (25)

(1) 53 10

~ = ~~~i + YXB j + YXBj + \lX8j
D = YXBj + YXBj + VXBj + YXBj

= YXBj + YXBj + YXBj +
Bo = YXBj + YXBj + YXBl +
Bo

tpd

= 4.0 to

11 ns

Po

YXBj
YXBj

= 145 mW

Output Function:

51 52
+ 51 52

~ 01
~ 05

+ 51 52 53 02 + 51 52 S3 03 + 51 5253 04
+ 51 52 53 06 + 51 52 ~ 07 + 51 5253 08

MC1028. MC1228
Dual 4-Channel Data Selector

FULL ADDERS-----,
MCIOI9. MC1219

Full Adder
(1) 01

7
(1) 02 9
(1) 03 1 0 0 - - - - (1) 04 110---~

(1)

(1)

AB

(2) (2)

C,C,
4

3

[[

(1) 51 3

(1) 52 2

w

o

o

~ t - t - -....._ /

o

(1) 0 , · , 5 0 - - - - - - ,
(1) 0 2 · , 4 0 - - - - - - ,
(1) 0 3 · , 3 0 - - - - - ,
(1) 04' 120-----,
[[

(1) 51' 4
(1) 52' 5

w

o

o
()

w

o

S ~ ABCI + ABC, + ABC, + ABC,
S ~ ABC, + ABC, + ABC, + ABC,
CO ~ ABC, + ABC, + ABC, + ABCi
Co ~ ABC, + ABC, + ABC, + ABC,

tpd == 5.0 or 10 ns·
PO~170mW

-Data Input:: 5.0 ns

Select Input

Output Funct'on:

51 52 01

+ Sl

= 10 ns

52 02 + 51 S2 03 + Sl

S2 04

tpd

=

3.0 to 8.0 ns

PO~145mW

(continued)

5-108

[i'1] rn ~ [1 DO

LOGIC DIAGRAMS

FULL ADDERS ( c o n t i n u e d ) - - - - - - - - - - - - - - - - - - - - - - ,
Al
81

6--.,---9_---5
7---rrt-____..,
4

MC1059, MC1259
Dual Full Adder

Al 81
Al 81

) 0 - - - - 3 (AB + A8)1
Ci1-..g~~r---2

Sum 1

TRUTH TABLE
INPUTS
Al
0
1
0
1
0
1
0
1
A2
0
1
0
1
1
0
1
0

A2 10-i-r-r---,,==--11 A2B2
B2 9 -t-t"H--.J-,
12 A2 B2

)0----13 (AB + AB)2
14 Sum 2

tpd (Add delay) = 9.0 ns typ
Po "'" 375 mW typ

OUTPUTS
Al Bl
0
0

Bl Cil
0
0
0
0
0
1
1
0
1
0
0
1
1
1
1
1
B2 Col
0
1
0
0
1
0
0
1
1
1
1
1
1
0
0
0

Al

G:J
1
0
0
1
1
0

0

1
0
0
0
0
1
1
A2 B2 A2 G:J
0
1
0
0
0
0
1
1
1
1
0
0
0
0
1
0

81
1
0
0
1
0
1
1
0
B2 SI
0
0
0
1
0
1
1
1
Bl

COl
1
0
0
0
1
1
1
0
Co 2
1
0
0
0
0
1
1
1

•

r- DECODERS
MC1042, MC1242

Dual Binary To
One·Of·Four Decoder

(1)10~
A

(1.5)
(1)

5 B
9 A

H~
0

IIi;--

(1)
(1.5) 4 B
(1) 12 8

2 (25)

~3(25)
.;::=:..
32

2=10+9+5
3 = 10 + 9 + 5
6= 10+9+5
7= 10+9+5

6(25)

0

13 (25)

Ell

14 (25)

f-Ei2

15 (25)

~93

1 (25)

~
~

~7(25)

-

H

13 = 11 + 12 + 4
14=11+12+4
15=11+12+4
1=11+12+4

tpd"'" 6,0 ns

Po = 245 mW

TRUTH TABLE
OUTPUTS

INPUTS
Pin No.

EA
10
0

BA
9
0

AA
5

0
0
0

0

1

1
1

0

0

. .

1
• Either state

1

°A
2
0
1
1
1
1

lA
3
1
0

1
1
1

2A
6

1
1
0

1
1

INPUTS
3A
7
1
1
1

Pin No.

OUTPUTS

EB
11

Be
12

A8
4

08
13

0
0

0

0

0

0
1
1

1
0

1
1
1
1

0

0

0

1

1

. .
1

18
14
1
0
1
1
1

28
15
1
1
0

1
1

3e
1
1
1
1
0

1

(continued)

5-109

~ rn ~ [1 DO

LOGIC DIAGRAMS

DECODERS (continued) ---------------------------t
MC1043, MC1243
3-Bit Binary To 1-Of-8 Line Decoder
(1) 5
(1) 6
(1.5) 1

8

(25)

9

(25)

MC1044, MC1244
Binary To 1-Of-10 Line Decoder

(\~l 1~-----"-'

m

13 (25)

n..,...,...,...,-,.-~

8 - 5+6+1
9 - 5+6+1
10- 5+6+1
11 - 5+ii+"i
12 - 5+6+1
13 - 5+6+1
3 - 5+6+1
4 -lhii+f

10 (25)

11 (25)

12 (25)

15 (25)

13 (25)

3

(25)

4

(25)

13- 10+ 11 + 12+4
14- 10+ 11 + 12+ 4
15-10+11+12+4
1-10+11+12+4
2- 10+" + 12+4
3- 10+"+ 12+4
5-10+"+12+4
6-10+"+12+4
7-10+ 11 + 12+4
9-10+11+12+4

14 (25)

1

(25)

2

(25)

3

(25)

5

(25)

6

(25)

7

(25)

9

(25)

tpd = 6.0 to 11 ns
Po- 210 mW

tpd :::: 6.0 ns

Po - 245 mW

MC1045, MC1245
Driver

Decoder - Nixie

®

PARITY TREE - - - - - . . . ,
MC1046, MC1246
Eight-Bit Parity

(2) 12

A

Checker and Generator

(1) 11

(1)

00

13

01

14

02

15

03

B

(1)

04

2

(1)
(1)

(25)

05

3

(1)

r-7l....--,,--2 (25)

c

(1) 10

(1)
(1)
(1)

(1)

9

0

06

4

07

5

OB

6

09

7

tpd= 13 ns

Po - 205 mW
TRUTH TABLE
OUTPUTS

INPUTS

o C B A 09

TRUTH TABLE

OB

Q7

06

05

A.

OUTPUTS

80

6,

82

83

84

85

86

87

10

12

ANV 000 NUMBER OF INPUTS ~,
ANY EVEN NUMBER OF INPUTS - 1

000

•

1
1
1

•

1

FUNCTIONAL TRUTH TABLE-

Input States
Not Allowed

... Zero suppression states

Po - 178 mW typ

5-110

03

02

01

00

~ I] ~ [1 DO

LOGIC DIAGRAMS

TRANSLATORS------------------------------------~
MC1017. MC1217
Level Translator

p

(OTL1.313
(OTL 1.3)

4

(OTL 1.3)

5

(OTL 1.3)

6

MC1018. MC1218
Level Translator

(OTL1.3)9
(OTL 1.3) 10
(OTL 1.3) 11

(MECL 2)

1

(MECL 2)

2

(MECL 2)

3

8 (7 OTL)
(25 MECL)

1

p

(MECL 2)

4

(MECL 2)

6

(MECL 2) 10
(MECL 2) 11

13 (25 MECL)

(MECL 2) 12

(OTL 1.3) 12

(MECL2) 13

or
8 = 6+10+11+12+13

1 = 3-4-5-6
t p d=15ns
Po = 105 mW

MC1039. MC1239
Quad Level Translator
(MECL 1.5)
(MECL 1.5)
(MECL 1.5)
(MECL 1.5)

34~···-2
~

65~7
~

(MECL1.5) 13
(MECL 1.5) 14

(MTTL III) (1)

(7DTL)

4

(1)

Strobe (1)

6-.-'--_.,-----2

(1)

(1)

7-,--s--""---3

(1)

(7DTL)

(1)

VCC (+5.0 Vdc) ~ 9
VEE (-5.2 Vdc) ~ 8

(1) 1 0 - , - - s - - " " - - - 1 2 (1)
..--....____- - - - 1 5 (1)

15 (7 DTL)
(1) 11

2=3+4

tpd=12ns
PD

~

5

Gnd = 16

~10(7 DTL)

=D-

mW

MC1067. MC1267
Quad MTTL to MECL Translator
With Strobe

(MECL1.5)11~
(MECL 1.5) 12

PD~55

tpd=19ns

tpd
PD

200 mW

13 (1)
- ' - -____- - - 1 4 (1)

= 5.0 ns typ
~

2 = 5.6
4 =

s;-s

300mW

MC1068. MC1268
Quad MECL to MTTL Translator
(With Totem·Pole Outputs)

DATA DISTRIBUTOR------------.
MC1029. MC1229

2 x 3 Data Distributor
(2.5)
(2.5)
(2)

A 6

(2)

B 1

21~

C

13

(2.5)
(25)

8
X

<>--t......---+......--+-...L/

(25)
(25)

5

(3)

(10) (MTTLlII)

(2.5)6~

7~5

(25)

(3)

.4

~

(2)

yC>-~---~~-----L~

(2.5) 10
(2.5) 11

=D-

(2.5) 1 4 = f > -

12 (10)

13 (10)

(2.5) 15

(25)

Gnd

(25)

VCC (+5.0 Vdc)
9
VEE (-5.2 Vdc) = 8

tpd = 4.0 ns

~

4 =

16

=

tpd = 7.0 typ
Po ~ 340 mW

PD ~ 160 mW

5-111

(10)

1""+2

•

~rn~~ DO

'.

INTEGRATED CIRCUITS

"!,'>'BASlCMECl~GAii~~' ':' ,,;,',," " ,
Iltf'mIEtmAL ":'81A$;
v"':'::: !lND, EMmet:
''''1£1'" ,' __ ~l~';,
' ,,,~I,!OW£iI
r; ,

,,I Symbol I Rating

Characteristic

Power Supply Voltage (Vee = 0)
Input Voltage (Vee

= 0)

Output Source Current
Storage TemDerature

"NOll"

Range

OUTPUT

Unit,b

VEE

-10

Vdc

V..

o to VEE

Vdc

10

MCIOOOL
MC1200F

!

Iltc)

010125
55 to +125
-6510 +175

mAde
·C

, i "Recommended rmtldmum ratlnes above which perfOl'l'har'tn :

may"" ............"

TA

010 +75
-55 to +125

AC Fan·ln (Expandable Gates)

m

20

-

AC Fan-Out· (Gates and Flip·Flops)

n

15

-

Operating Temperature

Range

R,

Ro

Ro

"ill\"

'ClirrPIlt
. ;, . .-:"'?~

, fOR LilGIcAi,'i" iNPIiT, '~bii'lPiir ~ -1;80 V- ,

,',' " "

"

"Oil" OUTPUT = -0.15 Y :

fOR lOGlCAL-O:':lNPIIT, "liDa-OurPuT :" ..::.0.75'0;

'L'

•
POWER·SUPPLY
CONNECTIONS
SYSTEM LOGIC
SPECIFICATIONS

MCIOOOL
MC1200F

,,!'Cf: OUTPIIT=-l.60 V

,

CIRCUIT DESCRIPTION
The MECL II line of monolithic integrated logic circuits was designed as a
non·saturating form of logic which eliminates transistor storage time as 'a speed
limiting characteristic, and permits extremely high-speed operation.
The typical MECL II circuit comprises a differential-amplifier input with in·
ternal bias reference and with emitter·follower output to restore dc levels. High
fan-out operation is possible because of the high input impedance of the differ·
ential amplifier and the low output impedance of the emitter followers. Power·
supply noise is virtually eliminated by the nearly constant current drain of the
differential amplifier, even during the transition period. Basic gate design
provides for simultaneous output of both the function and its complement.
As shown in the schematic diagram above, it is recommended that -5.2 V
be ,applied at VEE with Vee = Gnd.
The nominal output logic swing of 0.85 V then varies from a law state of
VL
-1.60V to a high state of VH
-0.75 V with respect to ground.
If Positive logic is used when reference is made to logical "a's" or "1 's",
then
"0" = -1.60 V
"1" = -0.75 V f tYPical

=

=

t

.

Dynamic logic refers to a change of logic states. Dynamic "0" is a negative going
voltage excursion and a dynamic" I" is a positive going voltage excursion.
CIRCUIT
An internal bias of -1.175 V is applied to the "bias input" of the differ·
OPERATION ential amplifier and the logic signals are applied to the "signal input". If a
logical "a" is applied, the current through R, is supplied by the internally biased
transistor. A drop of 0.85 V occurs across ReI' The OR output then is -1.60 V,
or one V,,-drop below 0.85 V. Since no current flows in the "signal input"
transistor, the NOR output is a V,,-drop below ground, or -0.75 V. When a
logical" I" level is applied to the "si~nal input", the current through ReI is
switched to the "signal input" transistor and a drop of 0.85 V occurs across
Rcl . The OR output then goes to - 0.75 V and the NOR output goes to -1.60 V.
Note: Any unused input should be connected to VEE'
The bias voltage applied to the bias input is obtained from an internal regBIAS VOLTAGE
SOURCE ulated, temperature·compensated bias network. The temperature characteristics
of the bias network compensate for any variations in circuit operating point over
the temperature range or supply voltage changes, and insure that the threshold
point is always in the center of the transfer characteristic curves.

5-112

,

·C

',.,

INTEGRATED CIRCUITS
MC1600 Series (0 to

+75 0 C)

The requirement for digital systems with ever higher
performance has increased the need for high-speed inte·
grated circuits. The industry has recognized that the only
economical way to obtain high operating system speed is
through the use of emitter-coupled logic. As the result of
considerable effort in research and development, Motorola

sub-nanosecond local propagation delays - M Eel III .

MECL III circuit design is similar to that used in the
popular MECL II and MECL 10.000 families. In the
MECL III line. as well as MECL 10.000. more advanced
processing techniques are employed and the capability of
driving low-impedance terminated lines is provided.

offers a state-of·the-art, emitter-coupled logic family with

GENERAL FEATURES
• Gate Switching Speeds of 1 .0 ns
• Capability of Driving Terminated Lines with Impedance as Low as 50 Ohms
• Flip·Flop Toggle and Shifting Rate Greater Than 300 MHz
• Operation with Unused Inputs Left Open
• Multilayer Metalization for Optimum Performance
SSUFFIX
• New Packages with Improved Electrical and Thermal Characteristics
CERAMIC PACKAGE
CASE 617
• Compatibility with MECL II' and MECL 10.000' Series

_'""OX

PLASTIC PACKAGE
CASE 646
TO·116

••
L SUFFIX
CERAMIC PACKAGE
CASE 620

LSUFFIX
CERAMIC PACKAGE
CASE 632
TO-116

FUNCTIONS AND CHARACTER ISTICS (VCC = O. VEE = -5.2 V. TA = 250 unless otherwise notedl
.,

,-.

..

Function
Digital Mixer
Prescaler-Binary Counter/TTL Translator

Oto+75OC

Case

MC1644
MC1646

632.646

Voltage Controlled Oscillator

MCI648

Dual AID Comparator
Dual AID Comparator
Binary Counter (High Z)

MC1650

MCI651
MC1654

632.646
632.646
617.620
617.620
620

Dual4-lnput ORINOR Gate (High Z)

MC1660

Dual 4-lnput ORINOR Gate (Low 2)
Quad 2-lnput NOR Gate (High Z)

MC1661

617.620
617.620

Quad 2-lnput NOR Gate (Low 2)

MC1662
MC1663

617.620

Quad 2-lnput OR Gate (High Z)

MC1664

617,620

Quad 2·lnput OR Gate (Low Z)

MC1665

617.620
617.620
617.620
617.620
617.620

Dual Clocked R-5 Flip-Flop (High Z)

MC1666

Dual Clocked R-S Flip·Flop (High Z)

MCI667

Dual Clocked Latch (High Z)

MC166S

Dual Clocked Latch (Low Z)
Master·Slave Type D Flip·Flop (High Z)

MC1669
MC1670

Master-Slave Type 0 Flip·Flop (Low 21

MC1671

Triple 2·lnput Exclusive OR Gate (High 2)

MC1672

Triple 2-lnput Exclusive OR Gate (Low 2)

MC1673

Triple 2-lnput Exclusive NOR Gate (High Z)

MC1674

617,620

617.620

DC O\nt>UI
Loading Fac10f #

P,_tion

Delav

TolalPowar

EaehOutput
HighZ
LowZ

51).o/lm Lo....

'Dissipation (No Lo ....l

'pd,ns tVP

PD.mWtVp

-

I

""250 MHz typ
"·250 MHz typ
-225 MHz typ

70
70
70

7
7
7

70
70
70
70
70
70
70
70
70
70
70
70
70
70
70
70
70
70

7
7
7
7
7
7
7
7
7
7
7
7
7
7
7
7
7
7

"325 MHz typ
1.1

1.1
1.1
1.1

1.1
1.1

1.8
1.8
18
1.8
""350 MHz typ
""350 MHz typ

450
450
150
260
260
750 LLJ
120
120
240
240
240
240
220
230
220
220
220
220
220
250
220
250
750 LLJ
750 LL./

Triple 2-lnput ExclusiVE: NOR Gate (Low 2)

MCI675

Bi-Quinary Counter (High Z)

MC1678

Bi-Quinary Counter (Low Z)

MC1679

617.620
617.620
617.620
617.620
617.620
620
620

Random Access Memorv (RAM) Cell
(High Z)

MCI680

620

70

7

Read Delay 2 5
Write Delay 3.5

270

Random Access Memory (RAM) Cell
(LowZ)

MC16S1

620

70

7

Read Delay 2.5
Write Delay 3.5

300

MCI682

620

70

7

Search Delay 2.8
Write Delay 4.0

270

Content Addressable Memory (CAM) Cell
CLowZ)

MCI683

620

70

7

Search Delay 2.8
Write Delay 4.0

300

Content Addressable Random Access
(CARAM) Memory Cell (High Z)

MCI684

620

70

7

Read Delay 2.5
Search Delay 2.8
Write Delay 4.0

270

Content Addressable Random Access
(CARAM) Memory Cell (Low Z)

MCI685

620

70

7

Read Delay 2.5
Search Delay 2.8
Write Delay 4.0

300

MCI692
MC1694

617.620
620

70
70

7
7

Content Addressable Memory (CAM) Cell

(High ZI

Quad Line Receiver
4-Bit Shift Register CHigh Z)

1.3
1.3
1.3
1.3
"350 MHz typ
"350 MHz typ

1.1
-325 MHz typ

220
750 l..LJ

CD L .uffix denote. Dualln·Llne Ceramic Package, S suffix denotes Caramic Flat Package with
U....

D stud, P suffix denotes Duelln·Line Plastic Package.
MC1600L" Ceramic Dual In-Line Package. MC1600S - Ceramic Flat Package with 8 stud.

"'DC Loading Factors are basad on:

-Maximum Operating Frequency
- -Toggle Frequency
. . To Ba Announced

1. Full load output current, 'L '" -25 mAdc max
LI.J Requires heat sink -IERC LIC-14A2CB or equivalent

5-113

2. Maximum input current, lin'" 350 $lAdc (High Z)
3.1 mAdc (Low Z)

•

U'1] rn ~ [1 000

LOGIC DIAGRAMS

Loading factors listed are de unterminated.
Numbers at ends of terminals denote pin numbers for S package (Case 617).
Numbers in parenthesis denote pin numbers for L package (Case 620 unless noted as Case 632)
and P package (Case 646) if noted as available.

CASE
617
620

Pin No.

VEE
Pin No.

1,14
1,16

Stud
8

VCC

..
See individual drawrng

for devices with other Cases.

GATES------------------------------------------~
MC1662 (High Z)
MC1663 (Low Z)
Quad 2-lnput NOR Gat.

MC1660 (High Z)
MC1661 (Low Z)
Dual 4-lnput OR/NOR Gate

::;:~3(31
(6)

6

(7)

7

2

45~

(5)~

(2)

(6)

67~

(7)~

(10)8~

(11)

(4)

(10)

MC1I164 (High Z)
MC1665 (Low Z)
Quad 2-lnput OR Gate

2

(51
3

(7)

12 (14)

(111

13 (15)

(12110~ 13 (151

:=:[>--3

(3)

(tl) : = : [ > - - 1 2 (14)
(12)10~

(13)11~13(15)

(13111

2=4+5

3=4+5+6+7
2=4+5+6+7

2=4+5

I nput Load ing Factor"" 1
Output Loading Factor
Driving High Z Devices = 70
D riving Low Z Devices = 7

I "put Loading Factor = ,
Output Loading Factor
Driving High Z Devices = 70
Driving Low Z Devices = 7

Input Loading Factor == 1
Output Loading Factor
Driving High Z Devices = 70

Driving Low Z Devices"" 7
tpd

(2)

(10)

:~t2(14)

9

:=:[>--2

(6)

(3)

(12) 10
(13) 11

(4)

(2)

= 0.9 nstyp (510·ohm load)

tpd

= 0.9 ns typ (S10'ohm loadl

tpd

= 0.9

Po

= 240

1.1 ns typ (50-ohm load)
mW typ/pkg (no load)

PD

= 240

1.1 ns typ (50-ohm load)

Po = 120 mW typ/pkg (no load)

MC1674 (High Z)
MC1675 (Low Z)
Triple 2 lnput Exclusive NOR Gate

MC1672 (High Z)
MC1673 (Low Z)
Triple 2-lnput Exclusive OR Gate

a

(3)3~2(2)
(5)5~

3~

(3)
(5)

(13) 11~

(11)9~13(15)
(7)7~

(t 1)

(6)6~·

= (3

•

5) + (3 •

(6)

6~12(14)

~~13(15)

5)

DC Input Loading Factor
MC1672: A = 1.0

B

(7)

2 = (3 • 5)

+ (3 • 5)

DC Input Loading Factor

MC1674: A = 1.0
B = 0.75
MC1675: All Inputs = 1

= 0.75

MC1673: All Inputs = 1
DC Loading Factor = 70 (High Z)

__ 2(2)

5~

(13)11~12(t4)

2

ns typ (S10-ohm load)
1.1 ns typ (50-ohm load)
mW typ/pkg (no load)

DC Loading Factor

=

70 (High Z)

7 (Low Z)

7 (Low Z)
tpd

tpd = 1.1 nstyp (510-ohm load)
= 1.3 ns typ (SO·ohm load)

=

1.1 nstyp (510-ohm load)
= 1.3 ns type (50-ohm load)

Po = 220 mW typ/pkg (High Z)
= 250 mW typ/pkg (low Z)

Po = 220 mW typ/pkg (High ZI
250 mW typ/pkg (Low Z)

5-114

[r'i] rn ~ [1 DOD

LOGIC DIAGRAMS

FLiP-FLOPS----------------------.
MCl666 (High Z)
MC1667 (Low Z)
Dual Clocked R-S Flip-Flop

(5) 5UO

(7)
(4)

(12)
(91

7

2

(2)

3

(31

MC1668 (High Z)
MC1669 (Low Z)
Dual Clocked Latch

:::

C

a

lOU
4

R

8

C

(13) 11

R

a

13(151

a

12 (141

(71

7

(4)

4

C

R

a

2

(21

3

(3)

(12)10~
(111

9

0

5

a

13(15)

(9)

8

C

R

Q

12 (14)

(13) 11

Input Loading Factor
MC1666 =5, R = 1, C = 0,6
MC1667 =5, R,C= 1
Output Loading Factor
Driving High Z Devices = 70
Driving Low Z Devices = 7

tpd

:~5:

I nput Loading Factor
MC1668 = 0, S, R = 1, C = 0,6
MC 1669 = 5, R, 0, C = 1
Output Loading Factor
Driving High 2 Devices"" 70
Driving Low Z Devices = 7

= 1.6 ns tvp (5l0-ohm loadl
= 1.8 ns typ (50-ohm load)

Po

= 220

mW typ/pkg (no-load) High Z
230 mW typ!pkg (no-Ioad- Low Z

tpd = 1.6 ns typ (5l0-ohm load)
= 1.8 ns typ (50-ohm load)
Po = 220 mW typ/pkg (no-load)

MC1670 (High Z)
MC1671 (Low Z)
Master-Slave Tvpe D Flip-Flop

(5)

5

(11)1:0
(7)

8

(91

7

(4)

4

y

Input Loading Factor
MC1670 5, R = 1,5
= 0,75
Cl, C2 = 0,67
MC1671

o

i
5

a

2(21

a

3 (3)

5, R - 1,1
Cl,C2,O= 1
Output Loading Factor
Driving High Z Devices = 70
Driving Low Z Devices = 7

Cl

C2 R

fTog = 350 MHz !yp
tpd = 1.6 ns typ (S'10-ohm load)
1.8 ns typ (50-ohm load)
Po = 220 mW typ!pkg (no load)

5-115

~ rn~ [1

000 LOGIC DIAGRAMS

MEMORIES---------------------,

----

Read Enable

Write Enable

We

(12)

(7)

(10)

MCl680 (High ZI
MCl681 (Low ZI
Random Access Memorv (RAM) Cen

~
Re R;{ Re RA

WA

(5)

(11)

(6)

a ...,

XI

(4)

Cl

(1)

WardA
Bit 1

Select

af-l

1

~(2)

FLIP-FLOP TRUTH TABLE

Out Sit 1

w

X

0
0

1

a"

,, o,~ 1°"-'
,-

Flip-Flop

0n-1

0

Flip-Flop

ah

Select
I'

Word B
Bit 1

r----o (3)

Out Sit l'

af-!

L-

SELECTOR TRUTH TABLE

RA RB aA aB

X2

Word A
Bit 2

(9)

C2 (13)

aL

Flip-Flop

'--

ah

Word B
Bit 2

af-!

'---

Select

af-l

Flip-Flop

'--

0
0
0
0
0
0
0
0

2

--o(15),Out Bit 2

Select
2'

,
,,,

,,
,,
,,
,

1'-l--

0
0
0
0

0
0
0
0

0

0
0
0
0
0

0

0

0

0

0
0
1

,
,, , ,,
0

0
0

0
0

0

,
,,, ,, ,, ,,
0
0

0

0
0

0

1

r----o(14)IOut Bit 2'

OUT

,, ,,
, ,
, , ,

0
0

L--

MCl682 (High Z)
MC1683 (Low ZI
Content AddreSSBb)e Memory (CAM) Cell

WA

MA
(2)

(7)

We

MB
(15)

(10)
Flip-Flop

Select

aXI

(3)

Cl

(4)

X

0
0
0
0

0

aJ---

0

1

0

L-

,
,,, ,, ,, ,
0
0

0

0

M

0
0
0

5"1"1

(5)

5"0"1

(6)

WardA
Bit 1

j[

Word A
Bit 1

1
Flip-Flop

Select

ar-SELECTOR TRUTH TABLE

s..o..
0
0
0
0

,
,,,

a f.1
,
,, ,, ,,
, , ,

8"'"
0
0

Word B
Bit 1

at--

a"

, °n,, , °n-'
,

0
0

r-

Select

Flip- Flop
FLlp·FLOP TRUTH TABLE

c w

M

ar---

Word B
Bit 1

0

M

at--

X2 (14)

Word B
Bit 2

C2 (13)

r-

WordS
Bit 2

0

0
0

0

, ,
0

Flip-Flop

0
0

Select

at--

0
0

'-'--

M

at-WardA

Bit 2

5"1"2 (12)
5"0"2 (11)

rr1

WardA

8it2

(continued)

5-116

[i'(i]rn~[1 DOD INTEGRATED CIRCUITS

MEMORIES ( c o n t i n u e d ) - - - - - - - - - - - - - - - - - - - - - - - - MC1684 (High Z)
MC1685 (Low Z)
Content Addressable Random
Access (CARAM) Memory Cell

(6)

(7)

(I)

Select

Flip-Flop

01-01--( 3)
FLIP·FlOP TRUTH TABLE

Flip-Flop

Bit 1

SO

51

~

~

0
0
0
0
0
0
1
1
1
1
1
1
1
1

0
0
1
1
1
1
0

0
0

0
1
1
1
1

R

Q

o ~
1
1

0
0
1
1
0
0
1
1
0

0
1
1

0
1
0
1
0
1
0
1

0
1
0
1
0
1

M

Ro

1
1

0

0
1
0
1
0
0
1
0
1
0
0
0
0

1
0
1
0
1
1
0
1
0
1
1
1
1

(2
Read Out 1

WardA
Bit 1

rr

5"1"1

I

S"O" 1
( 5)

00(1 3)

Word B
Bit 2

X2
Flip-Flop

a-

-

WardA

Bit 2

5"0"2
(11)

M
Ao

Read Out 2

(1 4)

III

Bit 2

RECEIVER-------~
MC1692
Quad Line Receiver

MC1650 (High Z)
MC1651 (Low Z)
Dual AID Comparator

In 1

(6)

6~2

(2)Outl

In 2

(5)

5

(3) Out 2

(4)

45~

2

(21

67~3

(3)

(5)~

~~
~~O
\>'

(7)

(6)~

In 1

(12)10~
....O~'"
12(14)Outl

(10)

In 2

(Ill

(11)

9

Word B
Bit 2

WardA

COMPARATOR------------

"",0_3

~

MI-Ao

Select

Ci-

5"1"2

4

Select

Flip-Flop

~

(1 2)

Gate A ( 4)

Word B
Bit 1

M
Ao

WardA
4)

MI-Ao

Select

01-01--

SELECTOR TRUTH TABLE

-

Word B
Bit 1

Xl

Q

(15

(10)

(9)

13 (15) Out 2

:=:::::t>---

(13)ll~

Gate B (13) 11

12 (14)

13 (15)

(12) 10
Vee on pin (9) L package only.

Vee vee

Case

Gnd

617

1,14

7.8

620

1,16

7,10

15

I nput Loading Factor = 1
Output Loading Factor = 70

= 0.9 ns typ (510-ohm load)
1.1 ns typ (50-ohm load)
Po - 220 mW typ!pkg (No load)

tpd
Po - 260 mW typ/pkg (No load)
Output Loading factor = 70

5-117

•

[r'A] rn (S [1

000 LOGIC DIAGRAMS

MIXER----------

OSCILLATOR--------r
MC1648
Emitter Coupled Oscillator

MC1644
Digital Mixer

Co

Translator

Analog "--'114--r~
Input ...---,

(5)~(4)

;~~B;~~: O~~v-<>--

1

Reset (10)

1

I

1

(4)

(13)

50

03

Input Loading Factor
MC1654:
C 1.70
5 = 1.70
R = 2.70

=

•

·po = 750 mW typ/pkg
Operating Frequency = 325 MHz typ
• Requires special heat sink IE AC-14A2CB or equivalent.

MC1678 (High Z)
MC1679 (Low Z)
BI-Quinary Counter
50114)

Clock (15)

51110)

00113)

01 (11)

5213)

02 (4)

5317)

03 (6)

6

Cl

R

Reset

(9)o--------1------Jl--Jt====:t======j:~:::::t::::::::~----~
60 112)

C212)

I nput Loading Factor
MC1678:
R = 2.40
Cl = 0.77
C2 = 1.23

5
MC1679:

= 1.00
= 3.38

R
C2 = 1.97
Cl.5 = 1.00

63 (5)
Output Loading Factor

High Z = 70

Low Z = 7
·PO = 750 mW typ
Toggle Frequency

= 350 MHz typ

-Requires special heat sink IERC-14A2CB or equivalent.

5-119

I

~ rn~ ~

000 LOGIC DIAGRAMS

SHIFT R E G I S T E R - - - - - - - - - - - - - - - - - - - - - ,
MC1694
4-Bit Shift Register

F LI P-F LOP TR UTH TABLE
INPUTS OUTPU.!
Qn
0 c R S
0
0

0
0

0
0

0

a
a

a a
1
a a
a 1 a a
a 1 a 1
a 1 1 a
a 1 1 1
1 a a a
1 a a 1
1 a 1 a
1 a 1 1
1 1 a a
1 1 a 1
1 1 1 a
1
1

1

1

1

00
(13)

51
(10)

01
(12)

52
(3)

02

53

03

(4)

(6)

(5)

°n-1

1

a

Dl (14)
D2 (15)

a
1

.
a
.

1

·Output State
Undefined

50
(2)

a

n -1

1

Clock (710--+----+--+---;--+---;----'
Reset

(91o------'-----I~----I~-------'

a
1
1

a

DC I nput Loading Factors

Reset := 2.7 Set = 1.7
Clock = 1.7 Data = 1.7
DC Output Loading Factor

= 70

Total Power Dissipation =- 750 mW tvp/pkg
Maximu'm Shift Frequency = 325 MHz typ

5-120

~rn~~ DDD INTEGRATED CIRCUITS

BASIC HIGH INPUT IMPEDANCE MECL III GATE
DIFfERENTIAL
AMPLIFIER

MAXIMUM RATINGS

Vee 2

I

Characteristic

I Symbol I

Rating

Unit

Ratings above which device life may bt impaired'

lOGIC "1"· ·0.80 V tvHl
lOGIC "0" •• 1.70V!Vt.l

Power Supply Voltage (Vee'" 0)
Input Voltage (Vee =- 0)
Output Source Current
Storage Temperature Range
el:ommetuled maximum ratings abO""

VEE
Vin

2k

Vdc
Vdc
mAde

40
-55 to +125
'e
p.rlOfman..e rnay be: degtlde

10
Tst,

w_

Operating Temperature Range
LOC Fan-Out* (Gates and Flip·Flops)
"At falr-out~!I limited by desired syst&m

-8
Oto VILmin

TA
n

Oto +75
70

~

'c
-

pllrtormane-e,

'958

FOil lOGIC "I" INPUT.
"NOR" OUTPUT' -1.70 V
"0 R" OUTPUT .. -6.00 V

VEE' -5.2 V
SIGNAL INPUTS

fOR LOGIC ·0" INPUT.
"NOR" 0 UTPUT" ..0.80 V
"OR" OUTPUT--1.70V

CIRCUIT DESCRIPTION

POWER-SUPPLY
CONNECTIONS
SYSTEM LOGIC
SPECI FICATIONS

The MECL III line of monolithic integrated logic circuits designed for extremely high speed system
operation, is similar to and compatible with MECL II, but employs more advanced processing and
design techniques.
The typical MECL III circuit consists of a differential-amplifier input with internal bias reference and
with emitter-follower output to restore dc levels. High fan-out operation is possible because of the highinput impedance of the differential amplifier and the low-output impedance of the emitter followers_
Power-supply noise is virtually eliminated by the nearly constant current drain of the differential amplifier, even during the transition period. Basic gate design provides for simultaneous output of both the
function and its complement.
As shown in the schematic diagram above, it is recommended that -5.2 V be applied at VEE with
VCC = Gnd.
The nominal output logic swing of 0_90 V then varies from a low state of VL = -1.70 V to a high state
of VH = -0.80 V with respect to ground_
If Positive logic is used when reference is made to logic "O's" or ""s", then
"0" = -170 V}
"1" = -0:80 V typical at 25 0 C

CIRCUIT
OPERATION

BIAS VOLTAGE
SOURCE

DC LOADING

Dynamic logic refers to a change of logic states. Dynamic "0" is a negative-going voltage excursion and
a dynamic "1" is a positive-going voltage excursion.
An internal bias of -1.300 V is applied to the "bias input" of the differential amplifier and the logic
signals are applied to the "signal input". If a logic "0" is applied, the current through RE is supplied by
the internally biased transistor_ A drop of 0_90 V occurs across RC2. The OR output then is -1.70 V,
or one VBE-drop below 0.90 V_ Since no current flows in the "signal input" transistor, the NOR output
is a VBE-drop below ground, or -0_80 V_ When a logic "1" level is applied to the "signal input" the
current through RC2 is switched to the "signal input" transistor and a drop of 0.90 V occurs across RC1The OR output then goes to -0_80 V and the NOR output goes to -1.70 V. Note: all unused inputs are
internally connected to VEE.
The bias voltage applied to the bias input is obtained from an internal regulated, temperature-compensated bias network_ The temperature characteristics of the bias network compensate for any variations
in circuit operating point over the temperature range or supply voltage changes, and insure that the threshold point is always in the center of the transfer characteristic curves.
DC Loading factors are based on:
(1) Full Load Output Current, IL = -25 mAdc max
(2) Maximum Input Current, lin = 350 IlAdc (High Z)
= 3_1 mAdc (Low Z)

5-121

•

INTEGRATED CIRCUITS
*MCSSO Series (-30 to

+75 0 C)

Motorola's MHTL integrated circuits are especially designed to meet
the requirements of industrial applications because of the outstanding
noise immunity, MHTL circuits provide error' free operation in high
noise environments far beyond the tolerance of other integrated circuit
families, Multifunction packages and broad operating temperature range
further tailor this device family to the industrial designer's requirements,
"'MHTL cermaic dual in-line devices are available with specification over the -55°C
to +12SoC temperature range andlor with hi-rei processing on special order. See
your Motorola representative for pricing.

"""
PSUFFIX

PLASTIC PACKAGE
CASE 646
TO·116

PSUFFIX

PLASTIC PACKAGE
CASE 64e

MAXIMUM RATINGS
AMlng

(TA =

250 el

>

v....

Svmbol

P"w~r

SupplV VOltage
Continuous
Pulsed, lOs

Uloit

L SUFFIX

Vdo

Vee

CERAMIC PACKAGE
CASE 620

'8
20

I nput Voltage
Me666 MOTL
MATL
All Others

Vdo

Von

-, 0 to +6.0
-4.0 to +4.0
-1.0 to +18

Output Current (into outputs)

mAde

MC662
Me663
MC664
MC669

60
28
26

All Others

'F
T

Forward Current (individual) MC669P

Operating Temperature Range
Storage Temperature Range

T stg

FUNCTIONS AND CHARACTERISTICS
~.

30
0.'
30
-30 to +75
-55 to +125

'R

Input Reverse Current@ 18 V

LSUFFIX

(Vee

=

CERAMIC PACKAGE
CASE 632
TO·116

mAde
mAde
°e
°e

15 V ± 10 Vde TA

=

25 0 e)

;.
TypeG)

.:'

... _"

...

:-s.•. ;"O"

~O'tO

Output
Loading

,

FactOI'

Powe,

Propagation

Dlalpatian ; ,
PD

DelaV .

'Pel

+15"(:

c-.

Expandable Dual 4-lnput Gate (active pullup)

MC660

632,646

10

110

Expandable Dual 4-lnput Gate (passive pull up)

MC661

632,646

125

Expandable Dual 4·lnput Line Driver

MC662

632,646

10
30

Dual J-K Flip-Flop

MC663

632,646

9

140
3.0 MHz

Master·Slave R-S Flip-Flop

MC664

632,646

8

3.0MHz@

160

Triple Level Translator

Me6G5

632,646

MOTL - 8
MTTL III = 5.5
MRTL = 5

40

83 (MOTL)
104 (MRTL)

Triple Level Translator

MC666

632,646

Dual Monostable Multivibrator

MC667

632,646

10
10

140

105
240

176/52

. :w: ..
~

"

"

FIUICtion

'El'Ieh

Output

mW~klJ'

nstyp

88/26 ~
88/26 (2)
180/26 (2)

G)

75

Quad 2-1 "put Gate (passive pullup)

MC668

632,646

10

125

Dual 4-lnput Expander

MC669

-

-

Triple 3·1 "put Gate (passive pullup)

MC670

632,646
632,646

10

125

Triple 3·1 "put Gate (act;ve pullup)

MC671

632,646

10
10

110

632,646

110
125
150 (pins 1,6)

Quad 2·1 "put Gate (active pull up)

MC672

Dual 2-lnput AND-QR·INVERT Gate

MC673

632,646

10

Dual 2-lnput AND-DR-INVERT Gate

MC674

632,646

10

200

®

-

®

132/39
132/39@
176/52 2
160/50
160/50(3)

110

®

Dual Pulse Stretcher

MC675

632,646

10

BCD-To-Decimal Decoder-Driver

MC676

620,648

-

-

380

180

246/96
192/96
250/30@

1'0 (pins5,6)

®

Hex I nverter With Strobe (active pullup)

MC677

620,648

10

110

Hex Inverter With Strobe (without output resistors)

MC678

620,64e

10

125

Dual Lamp Driver

MC679

632,646

125

0.5 IlS typ

Hex Inverter

MC680

632,646

10

110

Hex Inverter (open collector)

MC681

632,646

10

125

246/96@
192/96

Quad Latch

MC682

620,648

10

250

375

Quad 2-lnput Exclusive OR

MC683

632,646

10

-

380

CD L suffix denotes Dual In-Line Ceramic Package, P denotes Dual In·Line Plastic Package (I.e., MC660L =
MC660P

@

= Dual

In· Line Plastic Package).

Inputs High/Input Low

®
®

Dual In-Line Ceramic
,

@fTog

5-122

LOGIC DIAGRAMS

Numbers at ends of terminals represent pin numbers.
Numbers in parenthesis indicate loading.
(VCC = Pin 14, Gnd = Pin 7 for Case 605 and 632; VCC = Pin 16, Gnd = Pin 8 for Case 612 and 620.)

GATES------------------------------------------~
Expandable

Dual 4-lnput NAND Gate
(passive output pullup)

(active output pullup)

:~: ~3:r

(11
(11

4
5

MC66S
Quad 2·lnput NAND Gate
(passive output pullup)

MC661

MC660
Expandable
Dual 4-lnput NAND Gate

6 (101

(
Ill3=>(11 2
(11

4

(11

:

,

(115~6(101

(1110~B(101

(11 12
(1113

( 1112
(1113

=CY-

11 (101

11

6

6 = 1 • 2 ·4 • 5 • [31
tpd

(119~

(119~ B (101

(1) 10

(1) 13
11

= 110 ns typ

=1

tpd;::: 125

Po

Po = 88 mW tvp/pkg (Inputs High)
26 mW typ/pkg (Input Low)

=.

3=~

• 2 ·4 • 5 • [31
tpd

ns typ

= 125

ns typ

Po =<'76 mW typ!pkg (Inputs Highl
52 mW typ/pkg (Input Low)

88 mW typ/pkg (I nputs High)
26 mW typ/pkg (Input Low)

MC670
Triple 3·lnput NAND Gate

MC671
Triple 3·lnput NAND Gate

MC672
Quad 2·lnput NAND Gate

(passive output pullup)

(active output pullup)

(active output pullup)

(1133J(11 4
6
(11
(11

(101

(114~
,

3------..>.

;;;~i~B(101

2'=CY-3

(11
(11

6 (101

(113~

(101

(1)

4

(11
(11

5
9

5
9

(1110~-8(10)

11)1~

6

(1)2~3(101

(101

(1)4~

(115~61(101

(1110~B(101

(1111~

('1'~
2
12 (10)

(1)

11111~

1119~

('1'~ 12 (101

11)12~

11110~8(10)

11) 2
(1) 13

(1) 13

1'113~"('01

6=~
tpd

= '25 ns typ

Po = 132 mW typ/pkg (Inputs High)
39 mW typ/pkg (Input Low)

tpd == 110 ns typ

tpd = 110 ns typ

Po = 132 mW typ!pkg (Inputs High)

Po

MC673
Expandable Dual 2-Wide 2-lnput AND-QR-INVERT Gate

'5:~

(1)~

::;;~~8110)

6(10)

::;::~Bll01
(1110
11)

6 = 11 .2) +""("'{"'3""1-.-4-.""'5)

9

9

6 = 11 • 2) + I (31 • 4 • 5)

tpd"" 125 ns typ

tpd == 110 ns tvp

Po

:5:~

11)~

:::

6110)

(1) 10

111

mW typ/pkg (Inputs High)
52 mW typ/pkg (Input Low)

MC674
Expandable Dual 2-Wide 2-lnput AND-OR-INVERT Gate
(passive output pullup)

(active output pullup)

:::

= 176

39 mW typ/pkg (Input low)

= 160 mW typ/pkg (Inputs High)

Po = 160 mW typlpkg II nputs Highl
50 mW typ/pkgllnput Lowl

50 mW typ/pkg II nput Lowl

(continued)

5-123

•

[UJ Da 'IT [1

LOGIC DIAGRAMS

r-GATES (continued)------....,

r- FLlp·FLOPS

MC683

MC663
Dual J-K Flip-Flop

Quad 2-lnput Exclusive OR

W

(1)

:::~~3
~(10)

(1.5) 4

:=:~.".,

(1)

2

(2)

3

(1)

9

I~ ~o :1

(1.5)10

(1)

12

(2)

11

:::1:~.
~8(10)

6

(9)

1

(9)

8

(9)

13 (9)

= 3.0 MHz typ
= 200 mW typ/pkg

fTog
Po

(2)12~

TRUTH TABLE

(2) 13

t.

11 (10)

tn+
K
0
0

I

Q

Q

Q,

Q"

0
Q,

Q,

1

Direct input (AD) must be high.
0-= low state
1 =- high state
tn -= time period prior to negative transition of clock pulse
tn+ 1 -= time period subsequent to negative transition of clock pulse
On = state of Q OUfput in time period tn

Po = 380 mW typ/pkg

NOTE: A low state "0" at the direct reset RD causes a!ow state
"0" at the Q output and the complement at the Q output.
MC664

Master-Slave R-S Flip-Flop

CLOCKED OPERATION

DIRECT INPUT
OPERATION
~

(1)10
(1)

3:~1

11)

4

(~

2

( 1)

5

a-6(8)

Q

Q

NC

NC

NA

NA

52

(1) 11~=G:=:1
(1) 12

s.
50

R2

R

0-9(8)
AO

fTog = 3.0 MHz typ

Po

S,

R,

R,

0

X

0

x

.,a..,
.,
0

E

'----r-

5

t. ~ I

s,

= 160 mW typ/pkg

Clock InputCClmust be low
low state
=: high state

o =1

Direct inputs (RD. 50)
must be high.
NA"'" Not allowed
x = state of input does not affect state of the circuit
U = indeterminate state
tn "" time period prior to negative transition of clock pulse
tn+1 = time period subsequent to negative transition of clock pulse
an = state of Q output in time period tn
NC = No change

(continued)

5-124

LOGIC DIAGRAMS

MULTIVIBRATOR---------.

FLIP-FLOPS (continued)
MC682

MC667

Quad Latch

Dual Monostable Multivibrator

(8) (1)

15

(1) 1

1

(1)

3

Q

2

7

(10)
11) 13

5.

4

(10)

Q

6

(10)

5.

5

(10)

Q

10 (10)

2

(10)

6

(10)

TSS

o

C
(1)

-£1=
£

tpd -'" 140 ns typ
Po

0=

240 mW typ/pkg

'2(10)

TSS

o

8 110)

PULSE STRETCHER--------.
MC675

Dual Pulse Stretcher
(1)

9

Q

11 (10)

Q

13 (10)

(1)1~
Q
6

(1) 2

(10)

5
(1)
(1) 14

Q

0

(1)12~
Q

12 (10)

(1)13

8

(10)
(1) 9

tpd

EXPANDER----.....,

= 150 nstyp (Pins I, 6)

110 ns typ (Pins 5, 6)
Po = 180 mW typ/pkg

tpd == 250 ns typ
Po = 375 mW typ/pkg

DRIVERS-------------.

MC669

MC662

MC679

Dual 4-lnput Expander

Expandable
Dual 4-1 nput Line Driver
(active output pull up)

Dual Lamp Driver

\

!~:(1)~
~6~~\4
\

(1)9~\

(1) 10
(1) 12

(1) 13

-

:::~~(3~)

(1) 4
(1) 5

(1)~~

(1)10
\
11

(1)12
(1)13
11

(3~)

~~:~~(1~5)

(1) 4
(1) 5

3

(1)9~
(1)12
(1~5)
(1)10

(1)13
11

6 - , • 2.4.5. [3]

tpd = 140 ns typ
Po = 180 mW typ/pkg (Inputs High)
26 mW typ/pkg (I nput Low)

5-125

tpd
Po

= 0_5 jL' typ
= 250 mW

(Input. High)
30 mW (Input Low)

•

[D] [}{] lJ [S

LOGIC DIAGRAMS

TRANSLATORS-----------,

INVERTERS - - - - - ,

MC665
Triple Level Translator

MC677
Hex I nverter With IStrobe
(active pullup)

(1)1~3

MHTL

(1)

(10)

(1)

(MDTL ~ 8)
(MTTL III ~ 5.5)
(MRTL ~ 5)

2

(1) : 3 5 = :
(11 1

l1-D- IO

(10)

(101

(1110

9

(101

(1) 13

11 (101
(1)15
14 (101

(1112

tpd = 40 ns typ

•

Po

~

83 mW typ!pkg (MOTL)
104 mW typ!pkg (MRTL)

tpd"" 110 ns.typ
PO:;; 246 mW typ/pkg (Inputs High)
96 mW typ/pkg (Input Low)

MC666
Triple Lavel Translator
MC678
(1.0)
MDTL
MTTL

(0.8)

MRTL

r

Hex Inverter With/51rabe
(without output resistors)

12 MHTL
(101

13

(1.0)

( 1 1 3 3 5 = 5 (10)
(114
5

7 (10)
(1) 6

6

3

(111

4

(1110

8

(1)13

(10)

9 (10)

11 (10)

9

(1)15

11

(1)12

14(10)

10

12~2·

III + 13

tpd = 125 ns typ
tpd :;; 75 ns typ
Po

=

Po

105 mW typ/pkg

=

192 mW typ/pkg (Inputs High)
96 mW typ/pkg (I nputs Low)

(continued)

5-126

~ liD 11 [1

LOGIC DIAGRAMS

INVERTERS (continued)
MC681

MC680
Hex Inverter
(active pull up)

Hex Inverter
(Open Collector)

(1)

l---{>o-2

(10)

(1)

l---{>o-2

(10)

(1)

3---{>o-4 (10)

(1)

3---{>o-4

(10)

(1)

5---{>o-6 (10)

(1)

9---{>o-6

(10)

(1)

9---{>o-a

(10)

2 =

2=1
(1)

9---{>o-a

(10)

(1) l1---{>o-10 (10)

(1) 11---{>o-10(10)

(1) 13---{>o-12 (10)

(1) 13---{>o-12 (10)

t p d=110nstyp

tpd = 1 25 ns typ

Po = 246 mW typ/pkg (Inputs High)
96 mW typ/pkg (Input Low)

Po

=

'1

192 mW typ/pkg (I nputs High)

96 mW typ/pkg (I nput Low)

DECODER------------------------~
MC67fl
BCD-To-Decimal Decoder-Driver

(1)

7

A

(1)

6

a

00

5

01

4

02

3

03

2

04
(1) 10

C

(1)

0

9

Power Dissipation

=

a5

15

a6

14

a7

13

aa

12

a9

11

380 mW typ/pkg

5-127

•

MC5400/MC7400 SERIES
INTEGRATED CIRCUITS
MC5400 Series (-55 to +125°C)
MC7400 Series (0 to +70°C)

PSUFFIX

MC5400/MC7400 series integrated circuits comprise a
family of transistor-transistor logic designed for general
purpose digital applications. The family has a medium operating speed (15-30 MHz clock rate), good external noise
immunity, high fan out, and the capability of driving capacitive loads of up to 600 pF.

PLASTIC PACKAGE
CASE 646
TO-116

FSUFFIX

CERAMIC PACKAGE
CASE 607

~

T0-86

MAXIMUM RATINGS
[,i;i'J!'ii~hi;;"\/:,(r;,,,RIitfng,

'

Velue"

':,";!;:; :

Power Supplv Voltage
Input Voltage
Operating Temperature Range

MC5400
MC7400
Storage Temperature Range - Ceramic
Plastic

_ _ ''"x

CERAMIC PACKAGE
CASE 620

•

:.;;

7.0
5.5
-55 to +125
Oto +70
-6510 +150
-55 to +125

" llliit

°c
DC

~
I
I,

I

(VCC

PSUFFIX
PLASTIC PACKAGE
CASE 648

PSUFFIX
PLASTIC PACKAGE
CASE 649

_

ill'Jrr'

:

LSUFFIX

CERAMIC PACKAGE
CASE 623

FUNCTIONS AND CHARACTERISTICS

-

Vdc
Vdc

LSUFFIX

CERAMIC PACKAGE
CASE 632
TO-116

I I

I

,

= 5.0 V, TA = 25°C)
p ....
gation

'~,:>

'Delav' DIsSipatiOn,
'!pd,'
n.typ

typ/;ikg"

"mW'

Quad 2·lnput NANO Gate

MC5400F,L

607,632

MC7400F, L,P

607.632,646

10

10

40

Quad 2·' nput NAN 0 Gats
(Open Collector)

MC5401F.L

607,632

MC1401F,L.P

601,632,646

10

35

40

Quad 2·lnput NOR Gate

MC5402F,L

607,632

MC1402F,L,P

607,632,646

10

10

40

632,646

10

35

40

607,632,646

10

13

60

632,646

Quad 2·lnput NAND Gate

(Open Collector}
Hex Inverter

MC5403L
MC5404F,L

632
607,632

MC1403L,P
MC1404F,L,P

Hex Inverter

MC5405L

632

MC7405L,P

Hex Inverter Buffer/Driver
(Open Collector)

MC5406L

632

MC1406L,P

10

35

60

632,646

10

15

105

Quad 2·lnput AND Gate

MC5408L

632

MC1408P

646

10

15

70

Quad 2-lnput AND Gate
(Open Collector)

MC5409L

632

MC1409P

646

10

15

70

Triple 3-lnput NAND Gate

MC5410F,L

607,,632.646

10

10

30

Hex Inverter Buffer/Driver
(Open Collector)

MC5416L

632,646

10

15

105

Dual 4-lnpl.!t NAND Gate

MC5420F,L

Quad 2-lnput Interface
NAND Gate

MC5426L

a-Input NAND Gate

MC5430F,L

607,632

Dual 4-1 nput NAND Buffer

MC5440F,L

607,632
632

MC1410F,L,)
MC7416L,P

607,632,646

10

10

20

632,646

10

17

40

MC7430F,L,P

607,632,646

10

10

10

607,632

MC7440F, L,P

607,632,646

30

13

50

140

140

607,632
632

MC7420F,L,P
MC7426L,P

BCD-to·Decimal Decoder
and High·Level Driver

MC5441AL

620

MC7441AL,P

620,648

BCD-to-Decimal Decoder

MC5442L

620

MC7442L,P

620,648

10

Excess Three-to-Decimal Decoder

MC5443L

620

MC7443L,P

620,648

10

22/23#
22/23#

Excess Three Gray-toDecimal Decoder

MC5444L

620

MC7444L,P

620,648

10

22/23#

MC7445L,P

620,648

BCD to One-of-Ten
Decoder/Driver

CD F suffix denotes Flat Package.

MC5445L

620

105

50max

L suffix denotes Dual In-Line Ceramic Package. P suffix denotes Dual In-LlOe PlastiC Package.

112 Logic Levels/3 Logic Levels.

5-128

'<

140

215
Io--2 1141(10)

(1)

1 ---{>o-2

110)

111

1 ---{>o-2

1101

111( 3) 3-{>o--4 ( 2 )(10)

(11

3---{>o-4

110)

11)

3---{>o-4

1101

111( 5 I 5-{>o--6 ( 6 )(10)

(11

5---{>o-6

1101

(1)

5---{>o-6

1101

111( 7 ) 9-{>o--e ( e )(10)

(1)

9---{>o-S

(10)

111

9---{>o-S

110)

111( 9 I l1-{>o--10(10)(10)

11)

11---{>o-10 110)

11)

11---{>o-10 (10)

(1)(131 13-{>o--12 (121110)

(1)

13---{>o-12 (10)

111

13---{>o-12 1101

(11( 11

2~'

tpd

Po

= 13 ns typ

= 60 mW typ/pkg

2='

tpd

= 35 ns typ

Po = 60 mW typ/pkg

5-133

2='

tpd = 15 ns typ
Po = 105 mW typ/pkg

MC5400/MC7400
SERIES LOGIC DIAGRAMS

ADDERS--~--------------------------------------MC54BO/MC74BO
Gated Full Adder

(1)
(1)

SO-- Al
gO-- A2

5 f----o5 (10)

(1) 110-- AC
(1) 120-- Bl

S f----os (10)
(1) 130-- B2
(1) 2 0 - - BC
(5) 3 0 - - Cin ~ f----o4 (5)
A* B*

Vee

= Pin

7

Coo

•

A

C OUI

S

S

D
D
D
D
1
1
1
1

D
D
1
1
D
D
1
1

D
1
D
1
D
1
D
1

1
1
1
0
1
D
D
D

1
D
D
1
D
1
1
D

D
1
1
0
1
D
D
1

Gnd = Pin 14
l. A. .. A*-,o,C. B ..

s* -Be

wh.r'A*"~
B."~

10!
(I nput = 1.S25)

= 3)

(Output

!1

2. Whln A* ttl. 8'*1 .1 ..ned al an

(Input = 1.6251
(Output:::: 3)

must b, c:onnected to
3. Whl" AI .nd ,0,2 lor 81
USid IS Inputl, A*
must to. opan, a. ulld to
wlfed·OR logIc:

.t.

tpd (Add Delay) = 55 ns typ
tpd (Carrv Delay = laos typ
Po = 105 mW typ/pkg

MC154B2/MC174B2
MC254B2IMC274B2
2-Bit Full Adder

•

(2)

2 0 - - Al

(2) 140-- A2
3<>-- Bl
(2) 130-- B2

Ell 1 f----o 7t (10)

(3)

$2 f--08t (10)
Cout f--o lO (10)

5 0 - - Cln

INPUT

51 f--ol (10)
52 f----o 12 (10)

(2)

~,"d

Input. AI and ,0,2 (or 81

(Add Delay)

= 15 ns

tpd (Carry Delay)

= 12 ns

tpd
Po

= 165 mW typ/pkg

perform

OUTPUT

AI

.,

A2

.2

.,

D

D

D

D

D

Cin = 1

Cin =0

(!),' (!)2 '

51

.2

D

D

1

D

D

D

'2

C

D

1

D

D

D

1

D

D

D

1

D

1

D

1

D

D

1

D

D

D

1

D

1

D

1

1

D

D

D

1

D

1

1

D

D

D

D

D

1

D

D

1

D

1

D

D

1

1

D

, ,
,

D

1

1

D

D

D

1

1

1

D

D

,

,

D

,

1

,
, ,
,

,

D

,

1

D

D

1

1

D

,
,
,

D

1

1

1

,
,
,

D

D

D

0

0

D

D

VCC = Pin 4
Gnd = Pin 11

82)

g.ound.
and 82)
tor B"

D

D

D

1

,

0

1

D

1

1

D

0

1

D

D

,
, ,
, , ,
,
,
D

1

D

D

1

D

1

D

1

1

1

0

1

D
D

1

1

1

,

1

D

D

1

D

1

D

1

D

1

1

D

1

D

D

1

1

1

D

1

, , ,

D

D

D

D

1

D

,

D

1

1

, ,

D

1

1

D

D

D

t Available only on MC25482/27482

MC54B3/MC7483
4-Bit Binary Adder

INPUT

OUTPUT

% ~
~ ~ ~ %~ %%1% %%
Cm=O

""'.n

(4) 130-- Cin
(4) 100-- AI
(4) 110-- 81
(1)

so-- A2

(1)

70-- B2

(4)

3 0 - - A3
4 0 - - B3

(4)

51 f----og

(10)

52 f--06

(10)

A3

53 f--02

(10)

= Pin 5
Gnd = Pin 12

Vee

54 f----o15 (10)

(1)

1 0 - - A4
(1)160-- B4

C out f--014 (5)

tpd
Po

eln "'1

When

C2= 1

C2=D

D
1
D
1
0
1
D
1
D
1
D
1
D
1
D
1

.3

D
D
1
1
D
D
1
1
D
D
1
1

0
0
1
1

S3

D

0
D

0
1
1
1
1
D
D
D
D
1
1
1
1

D
D
D
D
D
D
D
D
1
1
1
1
1
1
1
1

D
1
1
0

,

0

1
D
D
1
1
D
0
1
1

0

S4

D
D
D
1
1
1
1
0
1
1
1
D
D
D
0
1

Co

D
D
D
D
D
D
D
1

0
0
0
1
1
1
1
1

S3

1
0

D
1
1
D
D
1
1
D
D
1
1
D

0
1

D
1
1
1
1
D
D
D
1
D
D
D
D
1
1
1

D
D
D
D
0
1
1
1
D
1
1
1
1
1
1

,

Input condl1.IOni ., AI. A2. B I, 82, and C,n are used to deler·
mini outputs 5' Ind 52. Ind thl valul of thl ,ntarnll clrrv. C2.
Thl VllUI.ltC2. A3, 83. A4. and 84 Ire thin uSld to determine
outPlili S3, S4, Ind Couto

= 35 ns typ
= 390 mW typ/pkg

5-134

MC5400/MC7400
SERIES LOGIC DIAGRAMS

COUNTERS--------------------------------------~
MC5490/MC7490

Decade Counter
(2) 14c>---q CO

QO

12 (10)

(4)

Ql

9

(10)

Q2

B

(10)

l C > - - - q Cl

COUNT

Pm2 Pin 3 Pm6 Pm 7 a3

,,

0
0

2

(1)

3

(1)

6

X

Vee = Pin 5
Gnd

= Pin

,, x0, x,
x
~I ~

X

10

.

0

x

X
X

0

X

X

0

0

0

OUTPUT
COUNT
ao

,

0

I ~ I,
0
0

0

x
x

11 (10)
(1)

OUTPUT
a,
a2

R.

2
3
4

COUNT
COUNT
COUNT
COUNT

0

X

TRUTH TABLE

se~UENCE

RESET/COUNr TRUTH TABLE

RO

••
••
7

Don I ca, •

a3

a2

Q1

0
0
0
0
0
0

0
0
0
0

0
0

,,

0

,

0

I
I

I

0

0

I

I

I
I

0
0

0
0

0

-

aD

,, ,,
0

0

0

0

I

aOo;onnected 10 Cl

tpd = 20 ns typ/bit
Po = 160 mW typ/pkg

(1)

MC5492/MC7492

MC5493/MC7493

Divide·by-Twelve Counter

4-Bit Binary Counter

(2) 14 o-----qCO

QO

12 (10)

(2) 14o-----qCO

QO

12 (10)

Cl

Ql

11 (10)

(2)

Ql

9

(10)

Q2

9

(10)

Vee

Q2

B

(10)

B

(10)

Gnd

(4)

1

Vee = Pin 5
Gnd = Pin 10

(1)

6

(1)

1

(1)

2

(1)

3

Cl

= Pin 5
= Pin 10

11 (10)

OUTPUT

· ·
··
·

COUNT

a3

02

0

COUNT

a3

0

0
0
0

·••
•

= 60 ns typ

3

Po = 160 mW typ/pkg

0
0

01
0

3

00
0

I
I

·
·

0

0

•
•• •• • •
•
•
• •
•

,
I

tpd

a2

I

I
I

I
I

7

I.

11

I
I
I
I

0
0
0

I
I

I

-

00 c:onnecaed to Cl

I

0

·

I

tpd

I

Po

= 20 ns typ/bit
= 160 mW typ/pkg

aD

0

0

I
I

0

0

0

I
I
I
I

7

9
I.

I

11

I

12
13

I.
I.

I

01

, •• • •
•• • • •
•
•
• • •• •• •
• • ••
• •
I

OUTPUT

I
I
I
I
I
I
I
I

I
I
I
I

00 connected to c1

I
I

0

I

I
I

I

I

I
I

I
I

I
I

I

MEMORIES----------------------------------------~
6

7

MC5484/MC7484

B 9

16-8it Scratch Pad Memory Cen With Gated Inputs

4

Xl

3

X2

2

X3
X4

S"l"

12

S"O"

11

tpd: Write Mode = 25 ns typ
Read Mode = 15 ns typ
Po = 250 mW typ/pkg

W"O"
15
16

VCC = Pin 5
Gnd = Pin 12

10
11

5-135

•

MC5400/MC7400
SERIES lOGIC DIAGRAMS

DECODERS----------------------------------------~
MC5441A/MC7441A
BCD-to-Decimal Decoder and
High-Lavel Driver

MC5442/MC7442
BCD-to-Decimal Decoder

(1) 15

(10)

MC5443/MC7443
Excess Thrae-to-Decimal Decoder

(1) 3

16
15

8
(1) 6

(1) 14

MC5444/MC7444
Excess Three Gray-to-Decimal Decoder

9

Vee = Pin 16
Gnd = Pin 8

13

10

MC5442/MC1442

oeD

Vee = Pin

5

0

·

•• •
••
•
••
•
0

0

1
1
1
1

0
0

1
1

•• •
•
1

1
1

0

1

0

0

••
•• •
1

1
1

1

1

Po

0
0

0

7

6 5 4 3 2 1 0

1
1
1
1
1
1
1
1

1
1
1
1
1
1
1

1
1
1
1
1
1

1
1
1
1
1

0

1
1
1
1

0

0

1

0

1
1

1
1
1

0

1
1
1
1

0

1
1
1
1
1

1
1
1
1
1
1

•

1
1
1

•
••
1
1
1
1
1
1
1
1
1

1

1
1
1
1
1
1
1
1
1

1
1
1
1
1
1
1
1

= 105 mW typ/pkg

(10)

6

(10)
(10)
(10)

11 (10)

(1) 12

1
1
1
1
1
1

0
0
1
1

0

•
•

0

1

1
1
1
1
1
1
1
1

1

1
1

1

0

1

0

1
1
0
0
1

1

,, , ,
1

0

MC5444/MC7444
EXCESS3GRAV
INPUT
C
0
A
1
0
0
1
1
1
1
1
1
0
1
1
1
0
1
1
1
1
1
1
1
1
0
0
1
1
0
1
0

· · ··· · ·· ·
·
··
•
·
·· , ···
0
0

1

1
1

MC5443/MC7443
EXCESS 3
INPUT
0
A
C

0

0

1

1
1
1
1

0

•
1
1

,

0

0

0

1
0
1
0
1

0

0

0

0

1
1

0
0

1

,

0

1
1
1
1
1
1
1
1
0

1

1
1

1
1
1
1

0
0
0

1

0
0

0

•

1

1

•

0

0
0

0

0
0

1

1

BCD-to-Seven Segment Decoder/Drivers

Vee
Gnd

1

= Pin
= Pin

3

= 265

Po

4

8

1
1
1
1
1
1
1
1
1
0

1
1
1
1
1
1
1
1

:
1
1
1

,

0

1
1
1
1
1

, ,,

ALL TYPES
DECIMAL
OUTPUT
7 6 5 4 3
1 1 1 1 1
1 1 1 1 1
1 1 1 1 1
1 1 1 1 0
1
1 1 1
1 1 0 1
1 1
1
0 1 1 1 1
1 1 1 1 1
1 1 1 1 1
1 1 1 1 1
1 1 1 1 1
1 1 1 1 1
1 1 1 1 1
1
1
1

·

•1

2
1
1

1
1

••
1
1
1
1
1
1
1
1
1
1

1
1
1
1
1
1
1
1
1
1
1

1

1
1

.•
1
1
1
1
1
1
1
1
1
1
1
1

,, ,, , ,, ,, , ,,,

(1) 7

13

(1) 1

12
11

(1) 6

10

(1) 3

9

(1) 5

15

(2.6/5) 4

14

16
B

mW tvp/pkg

9

(1) 2

2
14

(10)

5

10 (10)

MC5446IMC7446
MC54471MC7447
MC5448/MC7448

MC5445/MC7445
MC54145/MC74145
BCD to 1-af-10 Decoder/Driver
15

0

1
1
1
1

0

A

0
0

0

OUTPUT

1
1
1
1
1
1
1
1
1

INPUT
C
0

·· ·• • ·
·••
•
·
·• ·
0

Gnd "" Pin 12
INPUT
C 0 A 9

(10)

Po = 140 mW typ/pkg

2

(1) 4

3
4

9

tpd, 2 Logic Levels = 22 ns typ
3 Logic Levels = 23 ns typ

11

(10)

(1) 13

14
(1) 7

2

5
6

OR
FUNCTION LT RBI 0 C B A BI/ABO
1
1 o 0 0 0
1

•,
,

9

1

10

·•,

11

12

5

7

Vee = Pin 16
Gnd = Pin B

9

I.
11

OUTPUT

INPUT

• • •• • •
•• •• •

0

·•

C

0

A 9
1

0

1
1

·
·

1

1
1
1
1
1
1
1
1
1

1
1
1
1
1
1
1
1

7 6 5 4 3 2 1 0
1
1
1
1
1
1
1

1
1
1
1
1
1

•• • ••
• • • •••
• • ••
•• •
••
1
1
1
1

1
1
1
1

1
1
1
1

0

1
1
1
1

ALL TYPES

DIGIT

13

1
1

1
1

1

1

1

1

1

1
1

1

1
1
1
1
1
1

1
1
1
1
1
1
1

1
1
1
1
1
1
1
1

1
1
1
1
1
1
1
1
1

1
1
1
1
1

0

1
1
1
1
1
1
1
1
1
1

1
1
1
1
1
1
1
1
1
1
1

.

1
1
1
1

1
1

1
1
1

0

1
1
1
1
1
1
1
1
1
1
1
1

1
1
1
1
1
1
1
1
1
1
1
1
1

•

1
0

1
1
1
1
1
1
1
1
1
1
1
1
1
1

•
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1

"I."
"

15

RBI
LT

o

0 0

•
•

•
•
1
1

1
1
1
1
1
1
1
1
1
1
1

X
X
X

1
1
1
1

x

x

x x x x
0
o 0 0

1
0

X
X
X
X
X
X
X
X
X
X
X

X

a
o

•o

1
1
0
•
1
1 1

1

•
1
0
1

·
1

1 0 0 0
1
1
1
1
1
1
1

0
•
•
1
1
1
1

0 1
1
1 1
0 0
0 1
1
1 1

X )( X

•
•
X
0
)(

1
1
1
1
1
1
1
1
1
1
1
1
1
1
1

.
0

1

MCS446/MC7446
MC5447/MC7447
OUTPUT
b
d
f g

.• ,

• ••
• • ••
•• • •
•,, • •
•
•
•• •
,

.
0

1
0

1
0
1
0
0
0

1
1
1
0
1
1
1
1
0

,

0

0

0
1
1
0
0

0
0

0

1
0

0
0

0

0

0

1
1

1
1

1
0
1
1
1
1
1
1

1

0

1

1
1
1
0

1
1

0

· ·
1

1
1
1

1
0
1

1

0
1

0

0

0

0
1
0
1 0
1
1 1
1 0
1 0

0
1
1
1

1
1
1

0

0
1

0

0

0

1
1
1

1
1
1

••• •• •

., ·
•
,

MC5448/MC7448
OUTPUT
b
d
f

1
0
1

0
1
0

1
1
1
0

1
1
1
1
1

1
1
0
1
1
1
1
1
1
1

1

1
1

1

1

1

0
0
1
1
1
0
1
1

o •
0

• • ••
•
0
1
1
1
0
0
1

1
1

1

0

,
•
0

1
1
1
1
1

0

.• ·• • ·
•• · • •
•• ·
•·
• ••
0
1
0
0
0
0
1

1

1

0

1
1

1
0
1
0

0

0
0

1
1
0

1

1

0
1

0
1
1
1
0

0

o •

1

1

1

1
1
1
1
1
1
1

0

1

X" Don'1 ~1I'e

SEGMENT
IDENTIFICATION

tpd = 50 ns max
Po = 215 mW typ/pkg

(continued)

5-136

MC5400/MC7400
SERIES LOGIC DIAGRAMS

DECODERS ( c o n t i n u e d ) - - - - - - - - - - - - - - - - - - - - - - - -__
MC54491MC7449
BCD·to-Seven Segment Decoder/Driver

(115

o

1
2
3

10

(111

9

Vee == Pin 16

8

(112

Gnd == Pin

6
(114

8

13
12

(2.613

.
··, , •, ·•,, •, ·,•
., ··,, ··., ·•, ·, •, ·•• ·, ,
·..., · ·•, ·• • • ·• ·,
,.
·, .·,, ·····•, ····, ···•
. ·• ··• ·• ····

DIGIT
INPUT
OR
FUNCTION DeB A 61

11

Po"" 165 mW typ/pkg

o
•
•

0 0 0
0 0

•
•

1

1 1
1

5

•

11
12
13
14
15

1 •1
1
1
1 a 0
tOt
1 • 1
1 1
1 1 •
1 1
1 1 1

8'

)( X X X

7
8
9

1
1

1
0
1
1

1
1
1
1

,
,

b

1

1
1

,

,

1
1

1
1

1

1
1
1

1
1

f

1

1

1

1
1
1
1
1
1

OUTPUT
d

1
1
1

1
1
1
1
1

1

1

1

1

1

,

1
1

1
1

,
,
1

1

1

1

1

1

1
1
1

1
1
1
1

1

SHIFT R E G I S T E R S - - - - - - - - - - - - - - - - - - . . .
MC5491A1MC7491A

MC5494/MC7494

8-8it Shift Register

4·8it Shift Register

13 (101

S1 0S

6

SIA
2
(1) C

9

(II All

14 (101

(1) B 12

SIB

3

SIC

4

SID

11

S20

13

S2C

14

S2B

16

S2A

Q

9

15

Vee = Pin 5
Gnd = Pin 10

10
8

TRUTH TABLE
synchronous Inputs

Vee = Pin 5
Gnd = Pin 12

tpd "" 25 ns typ
Po = 175 mW tvp/pkg
f

= 18 MHz

typ

tpd. Clock to Q = 25 ns typ
= 175 mW typ/pkg
fTog = 10 MHz

Po

(continued)

5·137

•

I

,

MC5400/MC7400
SERIES LOGIC DIAGRAMS

SHIFT REGISTERS (continued) - - - - - - - - - - - - - - - - - - - - - ,
MC5495/MC7495

MC5496/MC7496

4-Bit Shift Register

5-Bit Shift Register

(1) 1

15

13 (10)

9

(2) 6

B

(1) 9

12 (10)

(1) B

Vee::: Pin 14

(1) 2

Gnd

3

= Pin 7

13

4

11 (10)

(1) 3

14

2

6

(1) 4

VCC=Pin 5
Gnd = Pin 12

11

7

(1) 5

10 (10)

16

10

tpd. Clock to 04 = 25 ns typ
= 240 mW typ/pkg

tpd = 25 ns typ
Po = 250 mW typ/pkg
f::: 31 MHz typ

Po

fTog

=

10 MHz

MULTIVIBRATORS---------------------,
MC54121/MC74121

•

tn INPUT

tn+lINPUT

AI

A2

B

I
0

I
X

0
I
1
0
0
1
1
0
0
1
1
0
0

X

0

0

X

X
X

0
1
1
0

0

X

1
1
X

0

0
1
1

X

1
1

AI

A2

B

I
0

I
X

I
0
0
1
1
1
1
0
0
1
1
0
0

X

0

0

X

X
X

0
0

0

X

X

1

1
1
1

X

X

0

0

X

1
1

OUTPUT

Monostable Multivibrator

hlhlbn
Inhibit
Inhibit
Triggering

Tflgge.,ng
Tflggenng
Trlgge'lng

Vee = Pin 14
Gnd

= Pin

tpd. B to a = 35 ns typ

7

Po = 90 mW typ/pkg (50% duty cycle)

Inhibit
I~hlbn

Inhibit

h,h,bit
Inhibit
Inhibit

X" 00n'1 eer.
t" '" Tim. pe"od prlO' 10 Input nan,lI,on

(1) Al 3
(1) A2 4
(1) B 5 0 - - - - - '

2 k

6 a
(10)

1 Q
(10)

In+ 1 '"' Tim. panod following Input tranSition

PARITY FUNCTIONS - - - - - - - - - - - - - - - - - . . . . . . . ,
MC54180/MC74180

II-Bit Odd/Even Generator/Checker
DO
01

Data
Inputs

8
9

02 10
0311
0412
0513
06
07

1
2

Co ntro I { Odd
Inputs
Even

3

Vee = Pin 14
Gnd

4

tpd = 15-30 ns
Po = 170 mW typ/pkg

5-138

= Pin 7

MC5400/MC7400
SERIES LOGIC DIAGRAMS

DATA ROUTING F U N C T I O N S - - - - - - - - - - - - - - - - - .
MC54150/MC74150

MC54151/MC74151
8·Channel Data Selector

16·Channel Data Selector
90----,
15
14

11

9
Vee == Pin 24
Gnd = Pin 12

8
7
6

4

3

5

2

4
3
2
1

15

Vee == Pin 16

Z = E -(ABeD XO + ABeD X1 + ABeD X2 +

23

ABCO X3 +

22

ABeD X4 + ABeD X5 +

20
19
18
17
10

tpd :::. 8.5 to 35 ns typ
Po = 200 mW typ/pkg

Gnd

= Pin

8

14
13
12

.... + ABCO X15)

21

16

5

10

13
12

6

Z = E -(AilcXO+ABCX1 +ABCX2+
ABCX3+ABCX4+ABCX5+
ABCX6+ABCX7)
tpd == 8.5 to 35 ns typ
Po = 145 mW typ/pkg

•

5-139

INTEGRATED CIRCUITS
MC400 Series (0 to +750 C)
MC500 Series (-55 to +125 0 C)

MTTL integrated circuits comprise a family of transistor-transistor
logic designed for general purpose digital applications. The family has
a medium operating speed (20 MHz clock rate), good external noise
immunity, high fan out, and the capability of driving lines up to 600
pF capacitance.

_

••,""X
PLASTIC PACKAGE
CASE 646

.,.

TO-116

MAXIMUM RATINGS
'~Unit

Value
Supply Voltage - Continuous
MC500/550 Series
MC400/450 Series

Vdc
+8.0
+7.0

Supply Operating Voltage Range

4.5 to 6.0

Vdc

I nput Voltage

+5.5

Vdc

Output Voltage
Operating Temperature Range
MeSOD/SSO Series

+5.5

Vdc

-55 to +125
o to +75

MC400/450 Series

°c

Storage Temperature Range
Ceramic Package

-65 to +150

Plastic Package

-55 to +125
+175
+150

MC400/450 Series

°C/mW

Thermal Resistance - Junction To Case (8 JC)

Ceramic Package
Plastic Package

0.09
0.15
0.26
0.30

Ceramic Package
Plastic Package

FUNCTIONS AND CHARACTERISTICS

: ~

case

"
.. ',.:.

, Function

,,~:'~Ctor'

~~~,'

.. '

".<
:

(Vcc = 5.0 V. T A = 25°C)

",.",

"

:~

CERAMIC FLAT PACKAGE
CASE 607
TO-B6

°C/mw

Thermal Resistance - Junction To Ambient (8 JA)

I)

~,.

°c

Maximum Junction Temperature
MeSOD/5S0 Series

,,":",:"

LSUFFIX

CERAMIC PACKAGE
CASE 632
TO-116

°c

,

:

1,"

~ .632.a4s'·
Oto+nDc

case

; .~ 1:; ~.

' . ':&.ti,oUtput

601,632,' ' " '.MC400 '.MC600
--65 to +12t!i~ . .'~'
s.riea

' "", '~opagat!on
DeI/Iy

Powllf

lpd'
nstyp

PhsiPation
rnW
typ/pkg

10

30

12

30

12

15

Dual 4-lnput NAND Gate

MC400
MC450

MC500
MC550

12
6

15
7

Expandable 4·Wide 2-2·2·3-lnput

MC401
MC451

MC501
MC551

12
6

15

a-Input NAND Gate

MC402
MC452

MC502
MC552

12
6

15
7

2,Wide 3·lnput ANO·OR-INVERT

Gate with Gated Complement

MC403
MC453

MC503
MC553

12
6

15
7

11

35

Expandable 3·Wide 3-lnput
ANO-OR-INVEAT Gate

MC404
MC454

MC504
MC554

12
6

15
7

12

25

Expandable 2-Wide 4-lnput
AND-OR·INVERT Gate

MC405
MC455

MC505
MC555

12
6

15

12

20

Expandable a-Input NAND Gate

MC406
MC456

MC506
MC556

12
6

15

lB

15

Line Driver

MC407
MC457

MC507
MC557

12
6

15

25@
1000 pF Load

60

Quad 2·lnput NAND Gate

MC40B
MC458

MC508
MC55B

12
6

15
7

10

60

4·Wide 3·2·2-3 Input Expander
for AND-OR·INVERT Gates

MC409
MC459

MC509
MC559

12
6

15
7

Dual 4·lnput Expander
for AND-OR-INVERT Gates

MC410
MC460

MC510
MC560

12
6

15
7

Dual 4-lnput Expander
for NAND Gates

MC411
MC461

MC511
MC561

12
6

15

ANO·OR-INVERT Gate

CD F suffix denotes Flat Package, L suffix denotes dual in·line Ceramic Package, P suffix denotes dual in-line Plastic Package,
(i.e., MC401F "" Flat Package, MC401L "" Ceramic Package, MC401p· Plastic Package.)

5-140

(continued)

~lJlJ~

0LOGIC DIAGRAMS

FUNCTIONS AND CHARACTERISTICS (continued)
Tvpe(!)

Case

Case
601.632

601,632,646

Function

Oto+1fiOC

~55

to+t250C

Output
Loading Factor
6aChOutpllt

MC500

tpd

mW

Seri.,

Series

nstyp

tyP!pk9

12
6
12
6

10

45

15
7

15
7

MC412
MC462

MC512
MC562

R-S Flip-Flop

MC413
MC463
MC414
MC464
MC415
MC465
MC416
MC466

MC513
MC563

MC419
MC469

MC519
MC569

-

-

Expandable Dual 2-Wide
2-lnput AND-OR-INVERT Gate
AC Coupled R-S Flip-Flop

MC420
MC470

MC520
MC570

12
6

MC421
MC471

MC521
MC571

Dual Type 0 Flip-Flop

MC422
MC472

Dual J-t( Flip-Flop
lseparate clock)
Dual J-K Flip-Flop

AND J-K Flip-Flop

OR J-K Flip-Flop

MC514
MC564
MC515
MC565
MC516
MC566

Power
Di$$ipation

MC400

Triple 3-lnput NAND Gate

Gated R-S Flip-Flop

PrQpagation
Delay

12
6
12
6
12
6

20/15'

30

15
7

20/7.5'

30

15
7

13/25'

40

15
7

13/25'

50

50115'

54

15
7

12

40

12
6

15
7

18

30

MC522
MC572

12
6

15
7

16

84

MC423
MC473

MC523
MC573

13
7

16
8

10/12'

110

(common clock)

MC424
MC474

MC524
MC574

13
7

16
8

10/12'

110

Dual 3-lnput Pulse
Shaper/Delay AND Gate

MC426
MC476

MC526
MC576

13
7

16
8

15

60

OR Expandable Dual
4·lnput AND Gate

MC427
MC477

MC527
MC577

12
6

15
7

10

38

Dual 2-Wide 2-3 Input
OR Expander

MC428
MC478

MC528
MC578

-

-

-

-

15

Hex Inverter

MC429
MC479

MC529
MC579

12
6

15
7

10

90

Triple 2-lnput
Buss Driver

•

CD F suffix denotes Flat Package, L suffix denotes dual in-line Ceramic Package, P suffix denotes dual in-line Plastic Package,
(i.e., MC401 F "" Flat Package, MC401 L

= Ceramic Package,

MC401 P . Plastic Package.)

*tpd+/tpd_

Numbers at ends of terminals represent pin numbers.
Numbers in parenthesis indicate input loading factor.
For output loading capability, see Functions and Characteristics Table.

Vce =

Pin 4, Gnd == Pin 10.

DRIVERS----------------------,
MC401!MC457
MC507!MC557

MC419!MC469
MC519/MC569

Dual 4-1 nput
Line Driver

Triple 2-lnput Buss Driver
(1)

(lUI)
1.5

!

1.5)

~

1.5)

12

3

5k

(1)6~7

8

4

tpd = 25 ns typ

5D--

l:~l ~

2=C>-

::::~114~k

(1.5) 13D - -

11

@ 1000 pF

9

Po

Load

= 60 mW typ!pkg

(1)12=C>(1) 13

11 9

5k

1~2.3

Propagatlon Delay Time (using 5.0 k ohm pullup resistor):
tpd+ = 50 ns typ
tpd_ = 15 ns typ
Po = 54 mW typ!pkg

12=1·2·3·13

5-141

~TI'TI'[1 0LOGIC DIAGRAMS

GATES------------------------------------------_
MC400IMC45D
MC500IMC55D
Dual 4-lnput NAND Gate

H~

MC401IMC451
MC5D1/MC551
Expandable 4-Wide 2-2-2-3 Input
AND-QR-INVERT Gate

MC403IMC453
MC503IMC553
2-Wide 3-lnput AND-QR-INVERT
Gate with Gated Complement

1l13D12

1)

3

11

!D-1112.1.2.3.13

(1) 14

1

(1)

2

tpd::: 10 ns typ

(1)

3

Po "" 30 mW typ/pkg

(1)

5

MC4D21MC452
MC5D2/MC552
8-lnput NAND Gate

(1)

6

(I!

11~~12
11 ~

13====,"'"

(1)

11)

11) 14

12

~

111
(1)

3

III

~
7

11

~
9

1)

Emitter 13:::::::::::::::~~
Collector 12
12 = 11 • 13 ·14

9

11 • (1 • 2 • 3)

1 13

+

(5' 6 • 7)

12·~1~·~2~·~3~·~5~.~6~·~7~.~9~.~1~3

tpd

tpd"" 12 ns tv.,e
Po ::: 15 mW typ!pkg

Po

MC405IMC455
MC505IMC555
Expandable 2-Wide 4-1 nput
AND-QR-INVERT Gate

MC4D4IMC454
MC5D4IMC554
Expandable 3-Wide 3-lnput
AND-OR-INVERT Gate

(I!

~

11)

3

III

~
7-,--_/

III

~

1)

= 12 ns typ
= 30 mW typ/pkg

1111
11 ~

Emitter

!H

5
6
7
8

(1)

MC4D8IMC458
MC5D8IMC558
Quad 2-lnput NAND Gate

MC412IMC462
MC512IMC562
Triple 3-lnput NAND Gate

:=cJ-7
:=cJ-ll
(1) 12=cJ(1)
(1)

(1)

(1)

(1) 13

3=~

tpd == 10 ns typ
Po == 60 mW typ/pkg

14

8

B

12

12 = 1

·3 • 5

.7 • B • 14

tpd = 18 ns typ

Po

= 15

mW typ!pkg

MC42DIMC47D
MC52DIMC57D
Expandable Dual 2-Wide 2-lnput
AND-QR-INVERT Gate

(1)14~
1

(1)

1
2 =cJ- 3

~

Base 9
Collector 13

tpd = 12 ns typ
Po ::: 20 mW typ!pkg

tpd:::: 12 ns typ
Po "" 25 mW typ/pkg

1

11 5~

2 • "'"(1~.-=2~.3=)~+~(-=5-.6=-,-=7"")-+""(8~.-=9-.1,.,1,-,)-+-.-.-.- - 1 1 2 = (1 4 • 1 • 2 • 3) + (5 • 6 • 7 • 8) + ...

(1)

(1)14
1)

Collector 13:::::::::::::::~~
9

1) 11 - ' - -__ 0/

MC4D6/MC456
MC5D6/MC556
Expandable 8-lnput NAND Gate

(1)

1

(1)
(1)
(1)
(1)

tpd ::= 11 ns typ
Po ::: 35 mW typ/pkg

(1) 2
(1)

P) ~=iJ-5

(1)

1)

~=iJ-9
mi~=iJ-14

!ll
1)

5'~

(1)

13

3

13 = (1 ·14) + 12 . 3)

(1)5~
6
12

11)
(1)

9

(1)11

Emitter 7
Collector 8
12=15·6)+19·11)+ ...

tpd:::: 10 ns typ
Po "" 45 mW typ!pkg

12 ns typ

tpd

=

Po

= 40 mW

typ/pkg

(continued)

5-142

[~TITlJ~ 0LOGIC DIAGRAMS

GATES ( c o n t i n u e d ) - - - - - - - - - - - - - - - - - - - - - - - - - - - - . . , .
MC426fMC476
MC526fMC576

MC427fMC477
MC527fMC577

Dual 3·1 nput
Pulse ShaperfDelay AND Gate

OR Expandable Dual
4-1 nput AN D Gate

RESISTOR3~
BASE 2

III
m

l~

12

7~ ~

8

11

RESISTOR:

12

BASE 6

1 .13

•

14

tpd:: 10 ns typ

tpd"" 15 ns typ

Po

~

60 mW typ/pkg

Po ~ 38 mW typ/pkg

EXPANDERS-------------------------

MC429fMC479
MC529fMC579

MC409fMC459
MC509fMC559

MC410fMC460
MC510fMC560

4-Wide 3·2·2·3 I nput Expander
for AND.QR·INVERT Gates

Dual 4·lnput Expander
for AND.QR·INVERT Gates

(1) 13
(1) 14
(1)

1

(1)

2

(1)

3

(1)

5

(1)

6

(1)
(1)
(1)

7

14
(1)
(1) 1

III

~

D

I NVERTER--Hex I"verter

Collector
- \12

-~13
Emitter
Emitter

8

111 ~::::r1- _\ 9

(1)

1-{:>o--2~1

l1)

(1)

3-{:>o--S

(1)

6-{:>o--7

(1)

8-{:>o--9

~:::L.J.-\ 11
Collector

9

MC411fMC461
MC511fMC561

MC428fMC478
MC528fMC578

Dual4·lnput Expander
for NAND Gates

Dual 2·Wide 2·3 Input
OR Expander

Collector
1)
14D-\12
1) 1

111 ~

-~13

Base

I11

II

Base

~~-\9
~~-\11
Collector

:::::~'

(1)

1

(1)
(1)

2
3

(1) 11-{:>o--12
(1) 13-{:>o--14

I,

_~

6
11
(I)S~
7
,

(1)
(1)
(1)

8

(1)

9

-->

5-143

tpd == 10 ns tvp
Po ~ 90 mW typ/pkg

~lflf~

0LOGIC DIAGRAMS

FLIP-FLOPS-------------------......,
MC413/MC463
MC513/MC563
R-S Flip-Flap

MC414/MC464
MC514/MC564
Gated R-S Flip-Flap

(1)

m ~
'''~'~
1Hfi

~

120

110

9

(1)

5

0
0
1
I

0
1
0
1

lo.n+1

°n+1

NootTw~d
1

0

an

an

R = Ai . R2 . R3
!!"=S1·S2.S3

SI 14
S2 I
S3 2
RO 13

(2) CLOCK

3

(1)

So

(1)

Rl
R2
R3

5
6
7

(1)
(1)

R

Where

(1)
(I)

MC415/MC465
MC515/MC565
AND J-K Flip-Flop

(1)

120

110

~13

III
m

(1.5) CLOCK

3

Jl
J2
J3

5
6
7

~
(1)~

8
9

(I)

9

RC

Sc

on+1

0
0

an

1

0
I
0

1

1

Indeterminate

110

K

an

Qn+l

I

0
0

0

0
I
0
1
0
1

0
I

0

0
0
1
I
0
0
1
I

0
I
1
1
I

Where RC=6.7.9
Sc = 1.2.14

t- =

Po = 30 mW typ/pkg

120

J

0
I

0
0
I
1
1
0

Where J = Jl . J2· J3
. K = Kl . K2· K3

t+ = 7.5 os typ

t+ == 15 nstyp
t- == 20 ns typ

K314
.K2 I
Kl 2

tpd_ = 25 n. typ
tpd+ = 13 ns typ
Po = 40 mW typ/pkg

20 os typ

Po = 30 mW typ/pkg

MC416/MC466
MC516/MC566
OR J-K Flip-Flop

m
(1)
(I)

M213
M114
K2
Kl

1
2

12

(3)CLOCK 3

1')
I)

m
(1)

J

L

K

M

an

°n+1

0
I
X
X
X
X

0
X
I
X
X

x

x

X
X
0
I

X
X
0
X
I

0
0
0
I
I
I

0
I
I
I

x

x

o·
0

x - Don t Care

Jl
J2

Where
Ll
L2

7
8

SET

9

J ~ Jl . J2
L=L1·L2
K = Kl . K2
M = MI. M2

tpd_ == 25 ns typ
tpd+ = 13 ns typ
Po ~ 50 mW typ/pkg

(continued)

5-144

~lJlJ[1

0LOGIC DIAGRAMS

FLIP-FLOPS ( c o n t i n u e d ) - - - - - - - - - - - - - - - - - - - - - - - - - ,
MC421/MC471
MC521/MC571
AC Coupled R.s Flip·Flop

AD

(1)

12

11

a

S

R

On+1

0

0

Not
Allowed

0
1
1

1
0
1

1
0

an

a
f == 10 MHz typ

Po = 30 mW typ/pkg

MC422/MC472
MC522/MC572
Dual Type D Flip·Flop

MC423/MC473
MC523/MC573
Dual J·K Flip·Flop
(Separate Clock I

(,)

(2)
(1)

"".~
o 2

(2) CLOCK

1

(3) RESET

3

(2)

SET

(1)

0

(2) CLOCK
(3)

13

a

12

'j)=
a

6

:

(1)

a

a

9

11

~,.~
J

2

(1.5) CLOCK

3

(1)

K

(1)

1

(1)

K

(,)

SET
tn

tn+1

0

a I a

0
1

o
1

I

1
0

SET 14

13

(1)

J

2

a

12

(1)

K

1

(3) CLOCK

3

(,,) RESET

5

(1)

J

6

(1)

K

7

(,)

SET

8

a

9

Q

tn

tn+1

tn+1

K

a

(l

J

K

a

(l

0
0
1
1

0
1
0
1

an

0
1

an

0
1
0
1

an
1
0

On

0
0
1
1

On

0
1

an
1
0

On

an

f -45 MHz typ
Po - 110 mW typ/pkg

5·145

13

12

J

'MC423, MC473 = 1.7
'MC523, MC573 = 1.B
f - 30 MHz typ
PD = B4 mW typ/pkg

(,)

a

:'3)='."

J

(1.5) CLOCK

RESET

tn

MC424/MC474
MC524/MC574
Dual J·K Flip·Flop
(Common Clock I

'MC424,
'MC524,
"MC424,
"MC524,

MC474 MC574 MC474 MC574 -

1.7
1.B
3.4
3.6

f = 45 MHz typ
Po - 110 mW typ/pkg

11

•

00

~LJLJ[S

INTEGRATED CIRCUITS

MC2000 Series (0 to +75°C)
MC21 00 Series (-55 to +125°C)
MTTL II integrated circuits comprise a family of transistor-transistor logic designed
for general purpose digital applications. The family has a high operating speed (30-50
MHz clock rate). good external noise immunity. high fan out. and the capability of
driving capacitive loads to 600 pF.

~FSUFFIX

CERAMIC FLAT PACKAGE
CASE 607
TO-86

MAXIMUM RATINGS

. ;vaki.:

Unit

+8.0
+7.0

Vdc

4.5 to 6.0

Vdc

+5.5

Vdc

Supply Voltage-Continuous - MC21 00 Series
MC2000 Series

Supply Operating Voltage Range
Input Voltage
Output Voltage
Operating Temperature Range - MC21QO Series
MC20QO Series
Storage Temperature Range
Ceramic Package

- Plastic Package

+5.5

Vdc

-55 to +125
o to +75

°c

-65 to +150

-55 to +125

°c

+175
+150

°c

0.09
0.15

°C/mW

0.26
0.30

°C/mW

Maximum Junction Temperature - MC2100 Series
MC2DOD Series

-

'

.

.

(

( r

I

LSUFFIX
CERAMIC PACKAGE
CASE 632
TO-116

Thermal Resistance-Junction to Case (8 JC)

- Ceramic Package
- Plastic Package
Thermal Resistance-Junction to Ambient (8 JA)
- Ceramic Package

- Plastic Package

FUNCTIONS AND CHARACTERISTICS (Vee
',',",
",

~

-::

~.-

,.

.
..
"

,

~

.;

";.

F~'

Expandable 2-Wide 4-lnput
AND-OR-INVERT Gate
Quad 2-lnput NAND Gate
4-Wide 3-2-2-3 Input Expander
for ANO-OR-INVERT Gates
Dual 4·lnput NAND Gate
Expandable 4-Wide 2-2-2-3 Input

ANO-OR-INVERT Gate
a-Input NAND Gate
Dual 4-lnput Expander for
AND-OR·INVERT Gate.
Triple 3-lnput NAND Gate

Expandable a-Input
NAND Gate
Expandable 3-Wide 3-lnput
AND-OR-INVERT Gate
Expandable Dual 2-Wide 2-1 "put
AND-OR-INVERT Gate
Quad 2·lnput

=

5.0 V.

TA =

25 0 el

·"-rV... ,
MC2000
MC20&0
MC2001
MC2051
MC2002
MC2052
MC2003
MC2053
MC2004
MC2054
MC200&
MC2055
MC2006
MC2056
MC2007
MC2057
MC2011
MC2061
MC2012
MC2062
MC2013
MC2063

.".; 'OutpUt.
Loadine

• ; Cesit·
.607,'&32 .

"5610 +t2S0{:
,

~

PSUFFIX
PLASTIC PACKAGE
CASE 646
TO-116

~

! -"

'.

MC2100
MC2150
MC2101
MC2151
MC2102
MC2152
MC2103
MC2153
MC2104
MC2154
MC210&
MC2155
MC2106
MC2156
MC2107
MC2157
MC2111
MC2161
MC2112
MC2162
MC2113
MC2163

F_

Propagation

i '~OlllJ>Ut

. , "!C2GOO
' Series
9
5

9
5

9
5
9
5
9
5
9
5
9
5

9
5
9
9
9
5
9
5

C>aIIIV

MC2100 .

"~'.SIerieS
11
6
11
6
11
6
11
6
11
6
11
6
11
6
11
6
11
6
11
6
11
6

Lampl

Line Driver

MC2065

MC2165

24

Hex Inverter

MC2016
MC2066

MC2116
MC2166

5
5

9
9

MC2023
MC2073
MC2024
MC2074
MC2025
MC2075
MC2026
MC2076
MC2028
MC2078

MC2123
MC2173
MC2124
MC2174
MC2125
MC2175
MC2126
MC2176
MC2128
MC2178

9
5
9
5
9
5

11
6
11
6
11
6
11
6
11
6

Dual J-K Flip-Flop
(separate clock)
Dual J-K Flip-Flop
(common clock)
AND J-K Flip-Flop
OR J-K Flip-Flop
OR J-K Flip-Flop

o-2

(1l5~ ..

(1)

3---{>o-5

(1l8~

(1)

6---{>o-7

(11

8---{>o-9

(ll2~3
(1l6~7

(1l9~11
Po = 28 mW typ/pkg

MC2006/MC2056
MC2106/MC2156
Dual 4-1 nput Expander for
AND-OR-INVERT Gates

(1l12~
(1l1a~

14

(11 1 1 - - - { > o - 1 2
(1l1a---{>o-14

Collector

(1l14~\
1
12
(1) 2
-~13

2

=1

(1)

(1)

3

Emitter

tpd = 20 ns typ
Po = 105 mW typ/pkg

Emitter

(1l5~\
(1)
6
9
(1)

7

_\"

(1 I 8

Collector

Po = 1 4 mW tYP/pkg

5-148

tpd = 6.0 ns typ
Po = 132 mW typ/pkg

~lJlJ~ DO

lOGIC DIAGRAMS

FliP-FlOPS---------------------,

RESET

( 1.2)

K3 14
K2 1
K, 2

Cl:"6CR:

( 1.00)

~g:~;i

12

=,.~
a
2

(1.0)
(0.67)

CLOCK

3

11

K

1

7 ---<"--'

J3

PRE~H ~====~

J

K

an

Qn+l

a

0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1

0
1
0
0
1
1
1
0

0
0
0
1
1
1
1

J

(0.67)

3

j~ ~

(0.67)

!UI

13
(')

(0.67)
(0.67)
(0.67)

MC2024/MC2074
MC2124/MC2174
Dual J-K Flip-Flop
(Common Clock)

MC2023/MC2073
MC2123/MC2173
Dual J-K Flip-Flop
(Separate Clock)

MC2025/MC2075, MC2125/MC2175
AND J-K Flip-Flop

(0.67)

J6

(1.0)

CLOCK

5

K

7

SET

8

(0.67)
J '" J, • J2 • J3
K " K , ' K 2' K 3

(.)

a

K

Q

y

(')

SET

13

(0.67)

12

(0.67)

f

='

(") RESET

5

9

(0.67)

J

6

a

11

(0.67)

K

7

SET

K

'1.15

!g:~~1

K2
K,

(2.00)

8

Q

(j

an

an

0
I

I
0

On

an

MC2000 Series
'1.15

·-2.3
MC21 00 Series
'1.2

·-2.4

f "" 70 MHz typ
PO" 110 mW tvp/pkg

70 MHz typ

Po "" 110 mW typ/pkg

1

2

Fi"ESET

13

1~:~51

Kl
1
JKl14

(0.67)

K2 2

12

6

t~

7
8

11

11
(0.67)
(1.2)
(1.2)

K

L

0

0

1
X
X
X
X

X
1
X
X
X

x '"

3

IH,I

5

J,
J2

SET 9

J

C1:"OCi<

(2.0)

Cl:"6CR: 3

18:~~1

•

MC2028/MC2078
MC2128/MC2178
OR J-K FLIP-FLOP

12

Ig:~~1

(1.2)

11

tn+1

In

MC2000 Series

(1.2)
M 2 '3
Ml'4

12

1
3

_

MC2026/MC2076, MC2126/MC2176
OR J-K Flip-Flop

Ig:~~1

13

K

MC21 00 Series
'1.2

f "" 50 MHz typ
PD "" 50 mW typ!pkg

2

CLOCK

(2.0)

(.)

(j

14

X
X
X
0

1
X

M

X
X
X
0
X
1

Don't Care

an

°n+1

0
0

0
1
1
1
0
0

0

1
1
1

M=M1· M 2

Jl

J2

Kl

K2

JKl

JK2

°n+1

X
X
X
X
1

X

X

X

0

an

1
0

X

0

X

1
X

X
0

1
1
X

0
0

0
1

0

X
X

1
1

0
0
1
X

x

f = 50 MHztyp
60 mW typ/pkg

=

7

SET
SET 9

1
0
X
1

J===J1- J 2
L:::= L1· L2
K"K , 'K2

Po "

Jl

0

a

1
X

0
0

0
0
X

X
1
1

1

X

Don't Care

1
0

an

1

0

1

X
X
0
0
0

1
1
1
1
1

0
0
1
1
1

0

1
X
X
1

1
1
1
1

1
1
1
1

f=35MHztyp

Po "

5-149

0

1
1

60 mW wp!pkg

an
an
1
1
0
0

an
an

~1J1J~ DOD

INTEGRATED CIRCUITS

MC3000 Series (0 to +750 C)
MC3100 Series (-55 to +125 0 C)

MTTL III integrated circuits comprise a family of transistor·
transistor logic designed for general purpose digital applications.
The family has a high operating speed (30·50 MHz clock rate).
good external noise immunity. high fan·out. and the capability of
driving lines up to 600 pF capacitance.

.,,,x -.w,,,x
PLASTIC PACKAGE
CASE 646
TO.116

CERAMIC PACKAGE
CASE 632
TO·116

~UFFIX
~A~~CPACKAGE
CASE 607
TO·86

FUNCTIONS AND CHARACTERISTICS (Vcc ~ 5.0 V.
~.:

I;;',

[>r,'

..

<~~'

:,

,

TA

~ 25°C)

.Type@
.,

·>·."~illn·

Quad 2-lnput NAND Gate
Quad 2-1 nput AN 0 Gate
Quad 2-lnput NOR Gate

Case 607,,632. 646"

() tII+75OC

Output

~iQ~

loading

, Qelay-

. Factor
Case 607. G32
-55"C to +125OC' ,Each Output

tpd

Power
Oisslpatlon

.mW

I\5typ

typfpkg

MC3000
MC3001
MC3002
MC3003

MC3100
MC3101
MC3102
MC3103

10
10
10
10

6.0
9.0
6.0
9.0

88
112
122
150

MC3004

MC3104

10

8.0

88

MC3005
MC3006

MC3105
MC3106

10
10

6.0
9.0

66
84

MC3007

MC3107

10

8.0

66

MC3008
MC3009
MC3010
MC3011

MC3108
MC3109
MC3110
MC3111

10
10
10
10

6.0
8.0
6.0
9.0

140
90
44
66

Dual 4-lnput NAND Gate
(Open Collector)

MC3012

MC3112

10

8.0

44

a-Input NAND Gate
a-Input NAND Gate
4-Wide 3-2-2-3 Input Expander For
AND·OR·INVERT Gate.

MC3015
MC3016

MC3115
MC3116

10
10

8.0
8.0

22
22

MC3018

MC3118

at p dl ~ 0.4
atpdO = 0.05

40

Quad 2-lnput OR Gate
Quad 2-1 nput NAND Gate
(Open Collector)
Triple 3-1 "put NAND Gate
Triple 3-lnplJt AND Gate
Triple 3-lnput NAND Gate
(Open Collector)
Hex rnverter
Hex Jnverter
Dual4-lnput NAND Gate

Dual4-lnput AND Gate

Triple 3-1 nput Expander For
AND-OR Gates
Expandable Dual 2-Wide
2·lnput AND·OR·INVERT Gate
Quad 2-lnput Exclusive OR Gate
Quad 2-lnput Exclusive NOR Gate
Dual 2·Wide 2·lnput AND·OR·INVERT Gate
Dual 4-'nput NAND Buffer Gate
Dual 4-lnput NAND Power Gate
Dual 4-lnput AND Power Gate
Dual 3-lnput 3-0utput AND Series
Terminated Line Driver
Dual 3-lnput 3-0utput NAND Series
Terminated Line Driver
Dual 4-lnput Expander for
AND·OR·INVERT Gates
Expandable 4-Wide 2-2-2-3 Input
AND·OR Gate
Expandable 4-Wide 2-2-2-3 Input
AND·OR·INVERT Gate
4-Wide 2·2·2·3 Input AND·OR·INVERT Gat.
Expandable 2-Wide 4-1 nput
AND·OR·INVERT Gate
AND J·K F iip·F lop
AND Input J·K Fllp·Flop
AND Input Jj·KK Flip·Flop
Double-Edge-Triggered Master-Slave
Type D Flip·Flop
OR input J·K Flip·Flop
AND Input J·K Fllp·Flop
Dual Type D Fllp·Flop
Dual J-K Flip·Flop
Dual J·K Flip·Flop
Dual J-K Flip-Flop

..

MC3019

MC3119

..

MC3020

MC3120

10

6.0

62.5

MC3021
MC3022
MC3023
MC3024
MC3025
MC3026

MC3121
MC3122
MC3123
MC3124
MC3125
MC3126

8

14
14
6.0
6.0
6.0
9.0

100
85
62.5
90
70
90

MC3028

MC3128

MC3029

MC3129

MC3030
MC3031

,:,tpd1 = ".~.
atodO = 0.05

25

8
10
30
20
20

MC3130

.
..

atpd = 1.0

15

MC3131

10

10

87.5

9.0

56

6.0

44

MC3032

MC3132

10

7.0

40

MC3033

MC3133

10

7.0

40

MC3034

MC3134

10

7.0

30

MC3050
MC3051
MC3052

MC3150
MC3151
MC3152

10
10
10

f = 40 MHz
f - 40 MHz

80
50
75

MC3053

MC3153

10

-

100

MC3054
MC3065
MC3060
MC3061
MC3062
MC3063

MC3154
MC3155
MC3160
MC3161
MC3162
MC3163

10
10
10
10
10
10

f = 30 MHz

95
80
120
100
100

f~50MHz

f~30MHz

f
30 MHz
f - 50 MHz
f=50MHz
f - 30 MHz

-3

tpd = 6.0 ns typ
Po = 122 mW typ/pkg

(10)

(1)

4=D- 6
5

(10)

(1)9~

MC3005/MC3105
Triple 3-lnput NAND Gate

11)

1==C)-2
12110)

(1)
11)

3==C)--

(1)

11) 13

11)4~

(')5~6(10)

6

110)

(1)
9==cr- 8
(1) 10
(1) 11

(10)

11)

(1)9~

(1)10~8(10)

(')10~8

(1) 12 = D - 11 (10)
(1) 13

(1)12~11(10)
(1)13~

(10)

4
5

12=~

3=T:"2
tpd

tpd = 9.0 ns typ
Po = 150 mW typ!pkg

(1)1~
1~===L-J-

12 (10)

III
~~6
11)5~

m1?===L-J

11)
(1)

tpd = 6.0 ns typ
Po = 66 mW typ/pkg

1
= = c r - 12 (10)
2

(1) 13

(10)

(
1)3=D-6
(1) 4

(10)

(
(1 1
10 1 9 = D - 8
(1) 11

(1)9~
8

8.0 ns typ

MC3007/MC3107
Triple 3-lnput NAND Gate
(Open Collector)

MC3006/MC3106
Triple 3-lnput AND Gate

m

=:

Po = 88 mW typ!pkg

(1)

(10)

5

(10)

MC3010IMC3110
Dual 4-lnput NAND Gate

(1) I3=>(1)93=>(1)

2

(1)

4

(ll

5

(1) 10
(1) 12

6 (10)

8110)

(1) 13

12=~

tpd :. 9 0 ns typ
Po < 84 mW typ/pkg

tpd "'" B.O ns typ
Po = 66 mW typ/pkg

tpd "" 6.0 ns typ
Po = 44 mW typ/pkg

(continued)

5-151

•

[r'A]1f1f~

GATES

000 LOGIC DIAGRAMS

(continued)------------------------------,
MC3012/MC3112
Quad 4-lnput NAND Gate
(Open Collector)

MC3011/MC3111
Dual 4-lnput.AND Gate

m~_=::j_.......~r6

MC3015/MC3115
a-Input NAND Gate

mi~

(1)

(1)1=

Lr

mlg~

(1)

9

11)
(1)
(1)
(1)
(1)

(10)

3
4
10
11
12
13

8 (10)

S (10)

(1) 13------L---'

B = l ' 2' 3' 4 '10'

tpd == 8.0 ns typ

tpd == 9.0 ns typ
Po = 56 mW typ/pkg

•

MC3020/MC3120
Expandable Dual 2-Wide 2-lnput
AND-OR-INVERT Gate

::::~:~=l-----'::~~

1!3~

1
1

4
5

1) 6
1111

8,(10)

1 12
1 1
1

(1)

MC3021/MC3121
Quad 2-lnput
Exclusive OR Gate

::i:~6(10)

(1)5~

8 == 3 . 4 . 5 . 6 . , 1 • 12.' .2

:=:)[>-3 (8)

(1.6)

45~6

(S)

(1.6)~

_ _ _ _ _ _~

Collector 1 2 - - - - -_ _ _ _-->.

2

(1.6)

(1.6)
8(10)

1

Emitter 11 -

12' 13

tpd == 8.0 ns typ
Po = 22 mW typ/pkg

Po = 44 mW typ/pkg

MC3016/MC3116
a-Input NAND Gate

l' .

(1.6)9~
(1.6)
(1.6)
(1.6)

10~S

12=:)[>13

(8)

11 (8)

S::(9·,0)+(13.,) + (Expanders)

MC3023/MC3123
Dual 2-Wide 2-lnput
AND-OR-INVERT GATE

MC3022/MC3122
Quad 2-lnput
Exclusive NOR Gate
(1.6)
(1.6)

:=:)[>-3 (8)

(1.6)4~

(1.6)

(1.6)

5~6

10~8

12=:)[>-

(1.6) 13

:::1:9(1)29(1) 13

(8)

(1.6)9~
(1.6)

(8)

(1)

1

(1)

3

(1)

45

MC3024/MC3124
Dual4-lnput NAND
Buffer Gate

8 (10)

6 (10)

!~I !==i
!~!ii==i

}--6(30)

}--S(30)

11 (8)
(1)

8
tpd = 14 ns typ
Po - 85 mW typ/pkg

tpd = 14 ns typ
Po = 100 mW typ/pkg

tpd :::: 6.0 ns typ
Po = 62.5 mW typ/pkg

tpd = 8.0 ns typ
Po = 22 mW typ/pkg

(9'10) +(13.1)

tpd = 6.0 ns typ
Po = 62.5 mW typ/pkg

tvp == 6.0 ns typ
Po = 90 mWtyp/pkg

(continued)

5-152

Il1ITITI[s DOD

LOGIC DIAGRAMS

GATES (continued) - - - - - - - - - - - - - - - - - - - - - - - - - - - - - .
MC3031/MC3131
Expandable 4-Wide 2-2-2-3-lnput
AND-OR Gate

MC3032/MC3132
Expandable 4-Wide 2-2-2-3-lnput
AND-OR-INVERT Gate
(1) 13

(1)

1--.----....

(1)

2--,_~

(1)

3

(1)

4

(1)

5

8 (10)

(1) 10

.

.----....

(1) 1 1 - - , - - - ,
11) 1 2 - - . -__

(1)

1--1----'

(1)

2

(1)

3--,---,

(1)

4

8 (10)

(1)

5

(1)

6

(1)

9--------'1~

(1) 10,-----1--_
Emitter 1 1 - - - - - - - - - - - - '

(1) 13
Collector 9 _ _ _ _ _ _ _ _ _---'

Collector 1 2 - - - - - - - - - - - - '
8 = (13 .1)

+ (2 .3) + (4. 5 .6) + (9 .10) + (Expanders)

tpd = 10 ns typ
Po ~ 87.5 mW typ!pkg

tpd = 7 ns typ
Po ~ 40 mW typ!pkg

MC3033/MC3133
4-Wide 2-2-2-3-lnput
AND-OR-INVERT Gate

MC3034/MC3134
Expandable 2-Wide 4-lnput
AND-OR-INVERT Gate

(1) 13

(1)

1--"L-...-/

(1)

3

8 (10)

(1)

1

(1)

2

(1)

3

(1)

4

8(10)

(1) 10

11)11

(1)

(1) 12

(1)

(1)

(1) 13

9

5-------------~

(1) 10'---"L-...-/

9

8

c

113 '1) + (2 '3) + 14.5.6) + (9.10)

8 = (1 .2.3.4) + (10 .11 .12 .13) + Expanders
tpd = 7.0 ns typ
Po ~ 30 mW typ!pkg

tpd = 1 ns typ
Po ~ 40 mW typ!pkg

POWERGATES------------------------------------~
MC3025/MC3125
Dual 4-lnput NAND Power Gate
(1.3)
(1.3)
11.3)
(1.3)

'3J-

2
4

93J-

MC3026/MC3126
Dual 4-lnput AND Power Gate
1,.3)130(1.3) 2
'
11.3) 4
61201
11.3) 5

6120)

5

(1.3)
(1.3) 10
(1.3) 12
(1.3) 13

1,.3)930(1.3) 10
(1.3) 12
8 (20)

8120)

11.3) 13

tpd = 9.0 ns typ
PD = 90 mW typ/pkg

tpd = 6.0 ns typ
PD = 70 mW tYp/pkg

5-153

•

[i'1]LfLf[b DOD

LOGIC DIAGRAMS

EXPANDERS--------------------------------------~
MC3018/MC3118

3-2·2·3 Input Expander For
AND·OR·INVERT Gate

(1)

3

Full output loading factor of the expandable
gate is maintained.

(1)

4

(1)

6

(1)

1 _ _. I " -......

(1)

2

Propagation Delay Time:
Atpd1 = +0.4 ns typ

lltpdO: 0.05 ns typ
When added to the expandable
"ANO·OR·INVERT" gate.

(1) 12

lltpd l/pF - +0.3 ns/pF typ
lltpdO/pF = +0.04 ns/pF typ
Caused by additional capacitance

(1) 13 - - ' - - - - '
(1)

9

(1) 10

at expansion points.

(1)11

PO: 40 mW typ/pkg

MC3019/MC3119

Triple 3-1 nput Expander
For AND·OR Gates

•

(1)130(1)430(1)1130(1)

Full output loading factor of the expandable

gate is maintained.

23

9

56

B

Propagation Delay Time:
.6tp d1 "" +0.4 ns typ
AtpdO"" +0.05 ns typ

(1)

(1)

When added to the expandable

"AND-OR" gate.

lltpdl/pF = +0.3 ns/pF typ
lltpdolpF = +0.04 ns/pF typ
Caused by additional capacitance

(1)

(1) 12

10

at expansion points.

(1) 13

Po : 25 mW typ/pkg

MC3030/MC3130

Dual 4-lnput Expander for
AND·OR·INVERT Gates

Collector

:~: 1~~ __~

12

Full output loading factor of the expandable gate is maintained.
.:l.tpd :;;. "'1.0 ns typ
When added to the expandable
"AND OR-INVERT" gate.

2~c- __ ll. 11

(1)
(1)

3

(1)

4

(11

6

(1)

8

Emitter

Emitter

.:ltpd/pF .. "".0 ns/pF typ
Caused by additional caPacitance
at expansion points_

(115~--~10
__ll. 9

Po • 15 mW typ/pkg

Collector

5·154

~lTITI1

DOD LOGIC DIAGRAMS

LINE D R I V E R S - - - - - - - - - - - - - - - - - - - - ,
MC3029/MC3129
Dual 3-lnput 3-0utput NAND
Series Terminated Line Driver

MC302B/MC312B
Dual 3-lnput 3-0utput AND
Series Terminated Line Driver

(1)

=0-£
=0-£

1

(1)

2

(1)

3

(1) 11

(1) 12
(1) 13

5(1)

6

(')

4

(1)

(1)
(1)
(1)

1
2
3

9(1)
(1) I I
(I) 12
(I) 13

a (')

=0-£
=0-£

5(1)
6

(')

4

(1)

9(1)

8

(')

10 (I)

10 (1)

4.5.6=~

4, 5, 6, "" 1 • 2 • 3

tpd = 9.0 ns typ
PD = 56 mW typ/pkg

tpd =- 6.0 ns typ
Po = 44 mW tvp/pkg

's M(NUS THE

NUMSER OF
RESISTOR-TERMINATED
OUTPUTS BEING USED.

'S MINUS THE NUMBER OF
RESISTOR·TERMINATED
OUTPUTS BEING USED.

INVERTERS--------------------~
MC300BIMC310B
Hex Inverter

MC3009/MC3109
Hex Inverter

(1)

1~2

(10)

(1)

1~2

(10)

(1)

3~4

(10)

(1)

3~4

(10)

(1)

5~6

(10)

(1)

5~6

(10)

(1)

9~S

(10)

(1)

9~S

(10)

(1)11~10(10)

(1)11~10(10)

(1)13~12(10)

(1)13~12110)

2=1

2=1

tpd = 6.0 ns typ

tpd = S.O ns typ
Po = 90 mW typ/pkg

Po = 140 mW typ/pkg

5-155

~lJlJ[1 DOD

lOGIC DIAGRAMS

FLIP-FLOPS - - - - - - - - - - - - - - - - - - - - - - ,
MC3051/MC3151
AND J-K Flip-Flop

MC3050/MC3150
AND J-K Flip-Flop
(0.75)

SET
J3
J2
Jl

1 1
0. 75
0.75
0.75

9------,

4--'------,.
3
2

a

(1.5) CLOCK 13
JK 1
(1.5)
0 .751
0.75
1
(0.75
(0.75)

K, '0
K2"
K312
RESET

0
0
0

an

°n+1

0
0

0

0
1
0

1
0

1

0
1
1
1

0

1

1
1

0

6 (10)

5

K

1
1
0
0

0
1
1
1
1

0:

0

J

K

0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1

an a n+1
0
1
0
1

t

0.75
75
0.75

0
1
0

0
1
1
1
0

0
1
0
1

t

(2.0) RESET

•

1

(0.75) CLOCK
(1.5)
JK

Where:

t

0.75
75
0.75
(2.25)

K=Kl-K2.K3·JK

K;::: Kl • K2. K3. JK
f = 40 MHz
Po = 80 mW typ/pkg

f
Po

8 (10)

(1) 2

RESET 13

(1)10

J

K

an

°n+1

0

0

0

0

0

1
0

1
0

1

0
1
1
1
0

0
0
0
1
1
1
1

1
1
0

0

1
0
1

0
1
1

= 50 mW typ!pkg

HI!

1
3

= 50 MHz

MC3053/MC3153
Double-Edge-Triggered
Master-Slave Type D
Flip-Flop

6(10)

1316

1

Where.

(1) 12

J=J1 -J2.j3.JK
K = Kl. K2.

f

= 40

K"3.

(2) 9

MHz

1m'

5

(2)

SET

JIA
J18

1
2

(1)

Jl

3

(1)

J2
J3

4

6(10)

(1)

J2A 3
J28
4
(2) CLOCK 13
(1)
KIA
9
(1)
K18 10

0
0

8(10)

1
1

K

a
an
0
1
an

13 - - - - - - ,

8 (10)

5

(l)CLOCK 12
(1)
K, 9
(1)
K2 10
(1)
K311
(2)

K2A 11
K28 12

0
1
0

8 (10)

MC3055/MC3155
AND Input J-K Flip-Flop

(1)
(1)

tn+l

a
Po = 100 mW typ!pkg

(3)

tn

6 (10)

JK

MC3054/MC3154
OR Input J.K Flip-Flop

(1)
(1)

a

(1)11
(1) 13

Po ;:: 75 mW typ!pkg

(1)
(1)

5

J=Jl·J2-J3.JK

J;::: J1 • J2. J3. JK

9

Kl

6 (10)

where

SET 2
jJ 5
J2'2
J1 4

75
0.75
0.75

9
4
3
2

Jl 10
J2 11
J3 12

!

75
0.75
0.75)

MC3052/MC3152

t

1

K3
K2
Kl

(2.3) CLOCK 13
(1.5)
JK 1

~D Input JJ-KK Flip-Flop
(2.25)

SET

(2.0)
8 (10)

REsET

0
0

tpd = 20 ns tvp
f = 30 MHz typ
Po = 95 mW typ/pkg

5-156

2 ------'

tn+l

tn

J = JIA 0 JIB + J2A oJ2B
K=KIAoKIB+K2AoK2B

6 (10)

J = Jl 0 J2 • J3
K :;: Kl • K2 • K3

K

a

0
1
0

an
0
1

tpd"'" 10 ns typ
f = 3D MHz typ

On

Po

=80 mW typ/pkg

U'1JITIT~ DOD

LOGIC DIAGRAMS

FLIP-FLOPS ( c o n t i n u e d ) - - - - - - - - - - - - - - - - - - - - - - - - - - ,
MC3061/MC3161
Dual J-K Flip-Flop

MC3060/MC3160
Dual Type D Flip-Flop

.. ~

(1.151

.'

o

2

~

5 (10)

(1.51 CLOCK

3

a

6(10)

(0.751

(1.71
(1.15
(0.75)

"m':j)=
---

012

(1.5) = 1 1
(1.71

a

Q

J

K

an

°n+1

a
a
a

a
a

a

a

1

1
0
0
1
1
1
0

1
1

0
I
1
1
1

9 (10)
8(10)

a
0
1
1

RESET 13

a
1
0
1
0
1

(1.751

SET

4

(0.75)

J

3

°

5 (10)

(0.751

K

2-

Q

6 (10)

(3.71 CLOCK 13(3.71 RESET I (0.75)

J 11-'-

(0.75)

K12_

(1.751
0

On

0

1
1

0
0
1
1

(1.75)

J

K

an

°n+1

0
0
0

0
0
1
1
0
0
1
1

0
1
0
1

0
1
0

a

1
0
1

1
1
1
0

1
1
1
1

a

SEfl0

0n+1 = On

(0.75)
( 1.7 51
(0.75)

f

Po = 120 mW tvp/pkg

Po ;:: 100 mW typ/pkg

SET

J

CL5'Ci<
K

(1) J

;~"'"
2

a:

(2) CLOCK
(11 K

6 (10)

(2)

RESET

'''~"
""
a:

(2)

CL5'Ci<

CL5'Ci<

13
K12

':u"""
IJ"
3

a

(10)

SEfl0

Ci

tn+1

In

13 (10)

2

(I)K 1:

8(10)

(2) RESET
(1.751

MHz

MC3063/MC3163
DUAL J-K FLIP-FLOP

(1) J
(1.75)
<0.'"
(0.75)

= 50

f = 30 MHz

MC3062/MC3162
Dual J-K Flip-Flop

a

Cif-8 (10)

Qn+1

0
1
0
1

a

01-9 (10)

--<

J

K

Q

a

a

On

0
1
1

1
0
1

a
1
an

8 (10)

Po = 176 mW Iyp/pkg
f'" 50 MHz
Po = 100 mW typ/pkg

tpd = 10 ns typ
f=30MHztyp

Rating
Supply Voltage - Continuous
Me3l00 series
MC3000 series

Unit

+7.0
+7.0

Vdc
Vdc

4.5 to 5.5

Vdc

Input Voltage

+5.5

Vdc

Output Voltage

+5.6

Vdc

-55 to +125
o to +75

°c
°c

-65 to +175
-55 to +125

°c
°c

Supply Operating Voltage Range

MAXIMUM RATINGS

Value

Operating Temperature Range
MC3100 series
MC3DOO series

Storage Temperature Range Ceramic Package
Plastic Package

5-157

INTEGRATED CIRCUITS
The MTTL complex functions are designed for digital applications
in the medium to high·speed range.
These MTTL devices provide significant reduction in package count
ar:d increased logic per function over devices in the basic MTTL and
MDTL families.

PSUFFIX

LSUFFIX

•

.,.

LSUFFIX

PSUFFIX

CERAMIC PACKAGE
CASE 620

PLASTIC PACKAGE
CASE 648

CERAMIC PACKAGE
CASE 632
TO·116

ALSUFFIX
CERAMIC PACKAGE
CASE 690

- .

PSUFFIX

PLASTIC PACKAGE
CASE 646
TO·116

FSUFFIX
CERAMIC PACKAGE
CASE 607
T0-86

PLASTIC PACKAGE
CASE 649

LSUFFIX
CERAMIC PACKAGE
. -

~~. ~ ~

CASE 623

FUNCTIONS AND CHARACTERISTICS (Vcc = 5.0 V, TA = 250c)
All devic•• shown can be used with all MTTL and MOTL davices; however, the loading factors shown reflect use with

other devices in the lame Me-number series unless otherwise noted.

,'.",;~:~;?;{~il}X.:

;;~

>

'"

. . ;.,:> ...

:'

-",

\ . . \ i ~,~~(\L>
,:"t

Dual 4-Channel Data Selector

,.eto~;lIll"e

MC4300F,L

"',<
'. ~:::

~'~~":,rp.rt .

607,632,646

10

620,648

Open Collector
lOLa '6mA

BCO-to-Binarv/Binary-to-BCO
Number Converter

MC4Q01L,P

Dual Data Distributor

MC4Q02F .L.P

607.632,646

1G·Bit Scratch Pad Memory Cell

MC4304F.L

607.632

MC4004F ,L,P

607,632,646

16-Bit Scratch Pad Memory Cell

MC4305F.L

607,632

MC4005F ,L,P

607,632,646

Binary to One-of-Eight Line Decoder

MC4306F,L

607,632

MC4006f L,P

Dual Binary to One-of-Four Line Decoder
S-Bit Parity Tree

MC4307L
MC430SF.L

607,632

MC400BF L P

607,632,646
620648
607632646

Dual 4-Bit Parity Tree

MC4310F,L

607,632

rOL-40mA
IOL - 20 mA

MC4010F,L,P

607.632,646

4·Bit Shift Register

MC4012F .l.P

607,632.646

Quad Type 0 F lip-F lop

MC4015L,P

620,648

10
10
10
10
10
10

MC4016L.P

620,648

8

Programmable Modulo-N
Decade Counter
Programmable Modulo-N
Hexadecimal Counter

MC4316L
MC431SL

620
620

MC4007L P

620,648

MC4018l,P

MC4021P
MC4022P

Dual 4-Blt Comparator (Open Collector)
Dual 4-81t Comparator

MC4023F ,L.P

4-Bit Universal Counter
Dual Voltage-Controlled Multivibrator

MC4324F.L

601.632

MC4024F .l,P

Full Adder

MC4326F,L

607,632

MC4026F ,L,P

Full Adder
Adder (Dependent Carry)

MC4327F.l
MC4328F,L

607,632

MC4021F ,L,P

607,632

MC4028F ,L.P

Adder (Dependent Carry)

MC4329F,L

607,632

MC4029F ,L,P

Adder (Independent Carry)

MC4330F,L

607,632

MC4030F ,L,P

Adder (I ndependent Carry I

MC4331F,l

607.632

MC4031F,L,P

Carry Decoder

MC4332F.L

607,632

MC4032F ,L.P

Quad Latch (Open Collector)
Quad Latch

MC4335F,L
MC4337F,L

607.632

MC4035F ,L,P

607,632

MC4037F ,L.P

(i) F

:''".1>0'
:'
.......tYJ>Iiokt

Control Line"" 18
Data Lins .. 11
Address Time
<45 ns

10
Open Collector

620

'."~~';> ~:

648
648

10
10

607,632,846
607,632,646
607,632,646
607,632,646
607,632,646
607,632,646
607,632,646
607,632,646
607,632,646

10
7
15/12"
716"

607,632,646
607,632646

"Add delaY/Carry delay

5-158

25
15
25
15

14
14
15 to 30
9.5 to 22
22/bit

16

250
250
100
125
150
125
180
190

Clock to 03 • 50
Clock to BUI - 35

250

Clock to 03 '" 50
Clock to BUI· 35

250

20
20

250
250
200
150
90
90
125
125
125
125
20

l6/bit
f ma )C=30MHz

25/13*
25/13*
25/13*
25113*

15/12··
7/6··

15/12··
716' •

25/1311'

25/13.
J1t~d

10

suffiX denotes ceramic flat package, L suffiX denotes ceramiC duallO-hne package, P suffiX denotes plastiC dual tn-hne package .

•• MC4300 S.ri.sfMC4000 Series; loading speCified for use with MTTl I devlc ...

mode:
mode:
mode:
mode:

300
175

10.5
Write
Sen..
Write
Sense

150

os 4.0/decoder

25
25

140
150

[fl]'IT'IT~

COMPLEX LOGIC FUNCTIONS

FUNCTIONS AND CHARACTERISTICS (continued)

Function

1'YPIG)
-55 to +,2S"C

Caie

I nvertino/Non-I nllerting

One-of-Eight Decoder
Seven-Segment Character Generator
Binary to Two-af-Eight Oecoder
Single-Error Hamming Code Detector

TY(Ie (i)
Oto+75"c

648

MC4039P
MC4040P

648
648

MC4041P

and Generator

P,_.ion

Po_

Delay

Olstipation

Factor

tpd
"''VP

mWtyp/'pkg

e_o..... t

C-

MC4038P

0.. ....
t.o.cIillf

Po

240
Address

Open Collector

Time
<45 ns

'Ol:= 20 rnA

648

240
200
240

Quad Predrill'er

MC4042F ,l,P

607.632,646

} 'OL' 50 rnA
Open Collector

15

120

Dual Line Selector

MC4043F ,L,P

607,632,646

IOL=4:~::

20

70

MC4044F ,L,P

601,632,646

10

9.0

Phase-Frequency Detector

MC.4344F,L

607.632

Non-lnvertingOne-of·Eight Decoder

Counter-latch-Decoder

MC4048P
MC4350L

620

MC40S0L,P

620.648

Counter-Latch-Decoder

MC4051P

648

Dual Majority Logic Gate

MC4062P

646

85

Open Collector
'OL'"' 16 rnA

Address Time

Open Collector
'OL""40mA

'Tog"" 35MHz

450

Open Emitter
40 rnA Sourcing
Capability @
10% Duty Cycle

fTog'" 35 MHz

460

648

<50ns

Z - 20
Z=11

240

75

Oto +70"C
BCD-to-Decimal Decoder and
Hi h- Lavel Dnver

MC5441AL

620

MC7441AL,P

620,648

BCD-to-Declmal Decoder

MC5442L

620

MC7442L,P

620,648

10

2 Logic Levels'" 22
3 Logic Levels"" 23

140

Excess Three-to·Decimal Decoder

MC5443L

620

MC7443L,P

620,648

10

2 Logic Levels'" 22
3 Logic Levels'" 23

140

Excess Three Gray-to-Decimal Decoder

MCS444L

620

MC7444L,P

620,648

10

2 Logic Levels. 22
3 Logic Levels - 23

140

BCD to One-of-Ten Decoder/Driver

MC5445L

620

MC7445L,P

620,648

SCD-to·Seven Seament Decoder/Driver

MC5446L

MC7446L,P

620,648

BI/ABO:: 5

BCD·to-Seven Sagment Decoder/Driver

MC5447L

620
620

MC7447L,P

620,648

BI/RBO'" 5

265
265

BCD-to·Seven Segment DecoderlDriver

MC5448L

620

MC7448L,P

620,648

SI/RSO 5
a thru g = 4

265

SCD-to,Seven Segment Decoder/Oriver

MC5449F

607

MC7449F

607

MC7475P

648

10
5.5-10

30

160

632.646

Cout '" 5
A*, B* - 3

55/10#

105

15/12#
15/126

165
165

35

390

Quad Latch

632

Gated Full Adder

MC5480L

2-Blt Full Adder

MC1S482F,L

607,632

MC17482F,L,P

607.632.646

2-Blt Full Adder

MC25482F,l

607,632

MC274B2F ,L,P

607,632,646

4-Bit Binary Full Adder
16-SitScratch Pad Memory Cell
With Gated Inputs

MCS4B3L

620

MC5484L

620

MC7480L,P

MC7483L,P

50 max

10
10
S - 10
Cout "" 5

}

IOL -40 rnA
Open Collector

MC7484L,P

620.648

'OL =20mA

607.632.646

10
10
10
10

Decade Counter

MC5490F,L

607,632

MC7490F,l,P

MC5491AL

632

MC7491AL,P

632.646

MC5492F,L

601,632

MC7492F,L,P

607.632.646

632

4-Bit Binary Counter

MC5493L

4-Bit Shift Regllter

MC5494L

4-Blt Shift Register

MC5495F,L

5-Bit Shift Aegister

MC5496L

Monostable Multivibrator

MC54l21F,l

BCD to One-of-Ten Decoder/Driver

MC54l45L

620

MC74l45l,

l6-Channel Data Salac.-or

MC54150l
MC54151L
MC54180L

623
620
632

MC74150P

8-Channel Data Selector
8-Bit Odd/Even Generator/Checker

620
607,632

620
607,632

MC7493L,P
MC7494P
MC7495F,L,P
MC7496L,P
MC14l21F,L,P

MC74151P
MC74180P

632.646

5-159

} W.;te Mode' 25
Sense Mode: 15

20/bit

25
60
20/bit

25

648
607.632,646

607.632.646
620.648
649
648
646

250

160
175
160
160

10

25
25

175
250
240

10

tDd+, BtoQ""35
50 max

90
215

8.5 to 35

200
145
170

620.648

L suffiX denotes ceramic dual," hne package, P suffiX denotes plastiC dual

NAdd delaytCarry delay

215

165

620.648

a·Bit Shift Register
Divide-by- Twelve Counter

 0 - - - - - 6 (101

6=,020405

B =,0203090,00110'20,3
6=405

= X• y

where X 0 Y

wherexev=x-y+x-y

+X • Y

tpd = 9.5-22 ns typ
Po = 125 mW typ/pkg

tpd = 15·30 ns typ
Po = 150 mW typ/pkg

MC4041
Single-Error Hamming Code Detector and Generator

14

9

11
5

6

0

0
0

0

,,

0

3

0

0
0

,,,
,,, ,,,
0

0

0

0

,

0

A
0

,, ,,
,
,, ,
,, ,,
, ,

,,

0
0

0
0

2

10

0

0
0

Vee ::Pin 16
Gnd "" Pin 8

4

15

C

0
0
0

12

•

0

0

0

0

0

0
0

,

OUTPUT

INPUT

13

0

, , , 0,

, 6, 5,, 4,, 3,, 2, , a,
,,,
, , ,,
,, , , ,
a, ,
, , a ,, , , ,
,
,, ,, ,, ,, ,, ,, ,
, , ,, ,, , , ,
, , ,, ,, , , ,
7

0

0
0

0

0

0
0

0

0

0

0

0
0

0

0

0

0

0

0

0

0

0

0
0

0

0

0

0
0

0

0

0

0

0

0

0

0

0
0

0

0

0

0
0

0

0

0

0

0

0

0

0

0

0
0

0

0

0

0

0
0

0

Po = 240 mW typ/pkg

MC54180/MC74180
8-Bit Odd/Even Generator/Checker
DO

B

0,

9

5

D2 10

Data

1:
Even

D3 "

Inputs

04,2
05,3
06
07

2

Control {

Odd

4

Inputs

Even

6

C>--------------------'
tpd = 15-30 os
Po = 170 mW typ/pkg

5-161

1:
Odd

Vee:;: Pin 14
Gnd = Pin 7

•

U'1ILlLI~

COMPLEX LOGIC FUNCTIONS

SHIFT REGISTERS-----------------........,
MC4012
4-Bit Sh ift Regi~er

TRUTH TABLE
Synchronoullnputs

9 (10)

(1) 10
(5)

MC5491A/MC7491A
8-Bit Shift Register

13 (10)

1

t,

or

A

(1) 12

(1) 13
(1) C

(1) 11
(1)

8

(1)

5

(1)

3

tn+e

Q

Q

9

4 (10)
(I)All

2 (10)

tpd == 25 ns typ
Po ; 175 mW typ/pkg

tpd == 22 ns typ/bit
Po ; 180 mW typ/pkg

f=18MHztyp

MC5494/MC7494
. -4-Bit Shift Register

PI

MC5495/MC7495
4-Bit Shift Register

Os

(2) 6

PIB

(1) 9

3

PIC

(1) 8

4

Pl0
P20

13

P2C

14

P2B

16

P2A

15

P2

MC5496/MC7496
50Bit Shift Register

13 (10)

(1) 1

PIA

11

15

9

8

12 (10)

9

3
11 (10)

(1) 3

13

4

(1) 4

6

11

16

10

10 (10)

(1) 5

Vee

== Pin 5
Gnd == Pin 12

Vee == Pin 14
Clock

10

14

2

(1) 2

a

Vee::::; Pin 5
Gnd = Pin 10

14 (10)

(1) B 12

Vee = Pin 14
Gnd = Pin 7

6

B

° °, °°OJ',,
, , , °

6 (10)

Gnd = Pin

Vee = Pin 5

7

Gnd

= Pin

12

8
tpd. Clock to

a = 25 os typ

tpd

= 25 ns typ

f ;; 31 MHz typ

MC8328/MC9328
Dual 8-Bit Shift Register

MC8300/MC9300
Universal 4-Bit Shift Register

(1)

1

(1)

2

15 (6)

(1)

3

(2.3)

9

(1)

4

(1)

5

(1)

6

(1)
(3)

(4) 10

tpd. Clock to Q4 == 25 ns typ
Po "" 240 mW typ/pkg
fTog; 10 MHz

Po ::: 250 mW typ/pkg

Po "" 1 75 mW typ/pkg
fTog = 10 MHz

14 (6)
13 (6)

MR
9

(1.5)

a

3

(6)

C
C

(2)

4

Os

(1)

6

DO

(1)

5

01

Vee:::: Pin 16
Gnd:::: Pin 8

a

2

(6)

12 (6)

(1)

14(6)

11 (6)
C
(1.5) 10

Vee

= Pin

16

Gnd

=

Pin

8

tpd == 25 ns typ
Po ; 300 mW typ/pkg

(2) 13

tpd. Clock to Output = 22 ns typ hpd-)
_
== 13 ns typ hpd+)
MR to Output:::: 35 os typ (tpd_ or tpd+)
Po ; 250 mW typ/pkg

C

Os

(1) 11

DO

(1) 12

01

5·162

a

15 (6)

~1J1J[b COMPLEX LOGIC FUNCTIONS

DECODERS----------------------------------------~
MC4001
BCD-to-Binary/Binary-to-BCD

MC4007/MC4307
Dual Binary to l-of-4 Line Decoder

MC4006/MC4306
Binary to l-of-8 Line Decoder

Number Converter
(1) B

7

13

9

14 } 4-Bit
12
Binary

6

13 (10)
4

(10)

3

(10)

2

(10)

1

(10)

(1) 6
} 4-Bit
BCO

2
3

10

(1) 9

VCC = Pin 16
Gnd = Pin B
TRUTH TABLE (POSITIVE LOGIC)

D
0
0
0
0

Binary tD BCD
7 6 5 4

a a
0
0
0
0

0
0
0

0
0

,

14

Gnd = Pin

7

0
0

1

0
0

1

1
1

0
0
0

0

0
0

1
1

,

0

0

1

0

0

1

1

1
1

0

,,
,

0

0

1

1

1

Po

1

1

0

1

0

0
0

0

1
1

0

1

0

1

1

1

,

1

ALL TYPES
INPUT
C B A
0
0
a 0

14 (10)

,, 5,
,,
,,
a

6

1

0

1

4 3 2

1
1

1
1
1
1

1

0

1
1

1
1
1

1
1
1

0

1
1
1
1

1
1
1
1

a

1
1

,

1
1

a

0

15 (10)

9

1
1
1
1
1
1
1

0

1
1
1
1
1
1

INPUT
B
A
0
0

,,

a

1

OUTPUT
2 1 a

3
1

1
1

a

a

1

tpd
Po

,, , ,,
,

Vee = Pin 16
Gnd = Pin 8

0

0

a

1
1

1

•

= 14 ns typ
= 125 mW typ/pkg

11

0

1
1

,

0

0

1
1
1

OUTPUT
5 4 3 2

1

1

1
1

0
0

1

1

1

2

0
0

1
1
1
1
1

1

1
1

0

0
0

0
0

0
0

0
0

0
0

1

0

0

0

0
0

Po

0
0

0

1

0

1

1

0

0

0

0

0

0
0
0

0
0

0
0

0

0

0
0

1

0
0
0

0
0

0
0

0

1

0

1
1

0

= 240 mW typ/pkg = 200 mW typ/pkg -

MC4039
7-Segment Character Generator

5

VCC
Gnd

1

1
1
1

1
1

0
0

0
0

1

1

0
0
0
0

1
1
1
1
1
1
1

0

1

0

, a,
,,
,,, ,
1

1

0

B

II Ib
9

SEGMENT
IDENTIFICATION

14

el

a

2

h

Po

0

0

0

, ,, ,,
,
, ,, ,,
,, , a,
, ,, ,,
1

0

0

0

1
1
1

1

1

0

0

1

0

0

0

= 240 mW typ/pkg

7

6

5 4 3

2 1

a

a

0
0
0
0

0
0
0
0

0
0

0
0

0
0
0

0
0

a

0

0
0

0
0
0
0
0
0
0

a
a 0
0 a

0
0

d

Ie

0
0

0
0
0

0

0

0
0

0

a

0
0
0

0
0
0
0

0

0
0

0

0

0

0

0
0

0
0
0

,

0
0
0
0
0

,

0
0

0 1 0
a
a a a
0 0
a a 1 a a a

0

0

1

0

0

0
0

0
0
0

0

a
a
a

0
0

a 0 0 0
a a a a

,

a

0
0

,

1

a

0

0

a

0
0
0
0

MC4038. MC4048
MC4040

3
4

5

•

••b,e,d,1I
b,c,f,g
',c,d,t,1I
c,d,e,f,a
_,b,e
.,b,c.d,II,f,1I
.,b,e,f,g

·• .
7

9

NONE

ho(Ellt.1

NONE

3

a

,, ,, ,,
,,,
1

,

4
13

1

2

SEGMENTS
DIGIT ILLUMINATED 3
.,b,c,d,.,f
0
0
b.,
0
2
•• b.d ••,U
0

= Pin 16
= Pin

5 4 3

0

0
0

,,
,
,
,
,

6

1
1
1

0
0
0

0

0
0
0
0

1
1

12
11

7

1

0

0
1

0
0

1

0

1
1
1

1
1

0

Vcc = Pin 16
Gnd = Pin 8

,, , ,
,,
, ,,, ,,
,, a
,,,
, a,,
a
, a
, ,
,,
, ,,
a ,, ,,
1

1

1

1

1

OUTPUT

a

, ,, ,, ,, ,, ,,
, 0 a , , ,, ,

0

MC4048
OUTPUT

MC404Q

6

0

1

3

10

a
a

0

0

1

4
15

0

0
0
0

5

6

0
0

MC4048
Non-Inverting l-of-8 Decoder

MC4038
7

, a ,, ,
, , a, , ,,
, a aa, a, a ,
, ,
, a a a
,, ,,, ,, ,,
a

12

10

(1) 10

MC4040
Binary to 2-of-8 Decoder

14

9

15

12 (10)

= 300 mW typ/pkg

13

6

(1) 11

tpd = 14 ns typ
Po = 100 mW typ/pkg

D

9

(10)

,

MC4038
Inverting/Non-Inverting l-of-8 Decoder

7

(10)

7

(1)

OUTPUT

7
1
1
1
1
1
1
1

,

,,
,

0 0 a
a a
a a 1 0
a a 1 a
a a a a

1
1

e B
a a
a a
0
0

,

1

0
0
0

0

1

0

1
1

1

1

1
1

INPUT
A

0 0 a a
a 0 0 1
a 0
a
a a 1 1
a 1 a a
a
0 1
0
1 0
1 1
a
a 1 0 1
a
1 a

,,
a ,
, , a, a
a ,
a,
a a
,, a , ,, a a
,, ,, , a aa,
,,
,aaa
,,, , a,, ,, a, a a, a,,
0

1
1

(10)

6

(1)

BCD to Binary
3 2
a

a
1
a

(10)

3
2

OUTPUT

e • A
a a a
a 0 1
a 1 a

4

13 (10)

= Pin

Vee
INPUT

(1)

12 (10)

(1) 5

11
4

5

11 (10)

5

15

(1)

10 (10)

NONE
NONE

INPUT

0 0

.
1

1

0

0

1

.

OUTPUT

2

1

a

0
0
0

0
0

0

<

d

a a
a a
a a
0 a 0

0

b

1

h

, , , , ,, ,,, , ,,
a a , ,
,, a , , , , a ,,
, , aa a aa ,,
a , ,
a , ,
a a a ,
,, a, aa aa, , a, a,, a, , , ,,
, ,,,,,
,, ,, a , ,, ,, ,, , ,, ,, , ,,
, ,, ,, ,, ,, ,, , , , ,, ,,
0
0

1

0

0
0

0

0

1 0

0

0

1

0

1

1

0

0

0
0

0

1 0

0

0

1

0

0

0
0
0

1

1

0

0
0

1 0

1

0

0

1
0 0

1

1

1

0

0

1

1

0
1

1

1

1

(continued)

5-163

rTIJLlLI[1 COMPLEX LOGIC FUNCTIONS

DECODERS ( c o n t i n u e d ) - - - - - - - - - - - - - - - - - - - - - - - - ,
MC5441A1MC7441A
BCD-to-Decimal Decoder and
High-Level Driver

MC54421MC7442
BCD-to-Decimol Decoder

(1) 15

(10)
2 (10)
3 (10)

MC5443/MC7443
Excess Three-to-Decimal Decoder

(1) 3

16
MC5444/MC7444
Excess Three Gray-to-Decimal Decoder

15

(1) 14

4

(1) 13

5 (10)
6 (10)
7 (10)

8

(1) 6

9

Vee::: Pin 16
Gnd = Pin 8

13

10
(1) 4

"CO

Vee = Pin 5
Gnd :::: Pin 12
C

0
0
0
0
0
0
0
0
1
1

0
0
0
0

1
1
1
1
0
0

"

0
0
1
1
0
0
1
1
0
0

OUTPUT

A 9 8

7

0
1
0
1
0
1
0
1

1
1
1
1

1
1
1
1

1
1
1
1
1

••
1

1
1
1

•

5 4 3 2

1 0

1
1
1

1
0
1

0
1
1

1

1

1
1
1
1
1
1

1
1
1
1
1
1

1
1
1

1
1 1
1 1 1 1
1 1 1 0
1 1 0 1
1 0 1 1
0

1

1
1

1
1

1
1

1
1
1

1
0
1
1
1
1
1

1
1
1
0
1

1
1
1
1
1

1
1
0
1
1
1
1
1
1
1

0

C

0

0
0
0
0

"
•
,
,, ,
, ,
,, , ,
,,
,,
,,, ,, ,
, , ,
0
0
0
0

.

0
0

0
0

0

0
0
0
0

0
0

1

0
0

MCS444fMC7444

MC5443/MC7443
eXCESS 3
INPUT

MC5442/MC7442

INPUT

0

A

0

0

0
0

,
,
,
,
,

., ",

,

,

0
0

0

• ,,, , ,,
,, •
,
, ,

·,

0

0

0

0
0

0
0
0

0

,, ,, ,
,, ,, ~,

0

,
,
,
,

0

0

~

,

o·

•
•

•,

0
0
0

0

0

0
0
0
0
0

0

0
0
0
0

MC5445/MC7445
MC54145/MC74145
BCD to 1-of-10 Decoder/Driver

0

Vee - Pin 16
Gnd == Pin 8

15

Po - 265 mW typ/pkg

4

0
0

1

0
0

5 4 3 2 1 0

7

0

,, ,, ,, ,, ,, ,, , , ,, ,,
,, ,, ,, ,, , , •,, ,, ,, ,,
,,,,,
,,,
,, ,, ,, ,, ,,, ,,, ,,, ,,, ,,, ,,,
,,, ,,, ,,, ,,, ,,, ,,, ,, ,,, ,,, ,,,
,,,,,,,, ,
,, ,, ,, ,, ,, ,, ,, ,, ,, ,,
0

0

0

0

0

·

0

0

1

1

1

1

(1) 7

13

11) 1
(1) 2

12
11

(1) 6

10

(1) 3

9

(1) 5

15

(2.6/514

14

2
3

9

0
0

0
0
0
0

• •
, ,, ,, ,, ,, ,, ,, ,, , ,

A

0

0
0
0
0

0
0

0

OUTPUT

",,
, ,,
,, ,,
,, •,,
, ,,

,,
,
,,
,
,,

· ·•

0

,

C

,

0

0
0

0

,,
,,
,,,

0

0

DECIMAL

INPUT
A

C

ALL TYPES

Excess 3 GRA Y

MC5446/MC7446
MC5447/MC7447
MC5448/MC7448
BCD-to-Seven Segment Decoder/Drivers

Po - 105 mW typ/pkg

14

11 (10)

(1) 12

Po - 140 mW typ/pkg

2

INPUT

10 (10)

tpd. 2 Logic Levels == 22 ns typ
3 Logic Levels == 23 ns typ

11

(10)

9

14
(1) 7

(10)

5
6

OR

,

0

10

2
3
4

11

12

5
6
7
8
9

Vee - Pin 16
Gnd = Pin 8

,.
11

INPUT

OUTPUT

.

" •, , 8 ,, 8, , 4 3 ,, , 0•,
•
••• ••• • • , , , , •, • ,
,
,
,
,,,
••
• • •
••• •
•• • •
••
•
0

C

A 9

0

0

1

1

1

0

0
1

··
·
1
1
1
1
1
1
1
1

1
1

1
1

1
1
1
1

1

1

1
1
1
1
0
1
1
1
1
1
1

1

1

1

1

1

·
1

1

1
1

1

1

1
1
1

1

1
1
1

1

1

2

5

7

1
1

1

1

1
1
1

,,
,• ,
,
,, •,, ,,
,
, ,,

·.

1
1
1
1

.

1
1
1
1
1
1
1
1

1

1
1
1

1

1
1
1
1
1
1
1

1

1

1
1
1
1

1

1
1
1
1
1

1
1

1
0
1
1
1
1
1
1
1
1
1
1

1

1
1

1

1
1
1
1
1
1
1
1
1
1
1
1

1
1
1

1

1
1
1
1
1
1
1

1

1
1

1

1 1
1 1
1 1
1 1
1 1
1 1
1 1
1 1
1 1
1 1
1 .1

12
13

I.
15
81
RBI

LT

0

0

0 0

1

1

1
1
1
1
1
X

X
X
X
X
X
X

•
•

•
,

1

0

0

,

1

1

0

0

• 1
•1 01 0 0

1

1 0 0

1

1

X
X
X
X
X

1 • 1 1
1 1 ••
1 1 0 I
1 1 1
111 1

1
1
1
1

X

xxxx
o0 0 0

X

X X X X

X
X

,
• •

X'" Con'tears

MC5446fMC7446
MC5447/MC1447
OUTPUT
b
d
f
0 0 0 0 o •
0
1

MCS448/MC7448
OUTPUT
b c d
f

·
·
· ··· ·
· · ·.· ·
. · ·· ·· ··
•
· ·· ·· ·
••
·
··

,,, x, oo
,, o ,
,,
,, ,
x

FUNCTION LT RBI 0 C B A BI/Reo

9

0

ALL TYPES

DIGIT

13

1

, ,
•
, • , , ,, , , ,, • •, •, •
•
•, • •, ,, •, • •, ,, ,, •,, • , ,,,
, ,, • , • , ,, •, ,, ,
•• •• ••, •, , , , , ,, • , •, •,
• • , • • •, •, •, •, ,
•
• • •
• • • • • • • •• • ••
••• •
, , , ,, ,, , •• •• •• •• • ••
•

·

,

,

0

1

1

1

1

0

1

1

0

0

0
0

0

1

1

0

0
0

0

1

0
0

1

1

1

0

1

0

1

1

1
1

1

1
1
1

1
1
1
1

1

1

1
1
1
1
1

0
1

1
1
1

1

1

0

0

1
1

0
0

0

1

1
1

1

0

1
1
1
0

1
0

1
1

1

1
0

0
1

1

1

1

1

0
1
1
1 1
0
0
0
1 1

1
1
1
1
1

1

SEGMENTS ILLUMINATED

SEGMENT
IDENTIFICATION

!dl-I!c! =I!I_I!I= !I=!

tpd ... 50 ns max

Po - 215 mW typ/pkg

8

9

10

11 12 13 14 15

(continued)

5-164

~1J1J11

COMPLEX LOGIC FUNCTIONS

DECODERS ( c o n t i n u e d ) - - - - - - - - - - - - - - - - - - - - - - - - - ,
MC5449/MC7449

(1)5<>--A

12)

a f---o 11

e~6

f~13

12)

9~12

(2.6) 30-- BI

Po = 165 mW typ/pkg

., .

DIGIT

INPUT
OR
FUNCTION DeB A
0
o 0 0 0
1
o 0 0 1
2
o0 1 0
J
o0 1 1

o
o
o
o

7

•

1 0 0
1 0 1

1 t 0
1 1 1

1 0 0 0

1 0
t 0
1 0
1 1

9

'"

11
12
1J

0
1
1
0

1
0
1
0

1 1 0 1
tIl a
t 1 1 1

.,""

x x x x

OUTPUT
b , d
f

Bl
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
0

0

1
1
1
1
1
0
0
1
1
1
0
0
1
0
0
0

"

0

0
1
1
0
1
0
1
1
1
0
0
0

1
1
0
1
1
1
1
1
1
1
0

1

1

1

"11 "1 "0
0
0

1
1
0
1
1
1
1
1

0

0

MC8301/MC9301
BCD·ta·Decimal Decoder
(1) 150-- A

= Pin 14
Gnd = Pin 7
(2/3)

,

1

1

1
0

1
0

0

1
1
1
0
1
1

0
1
1
1

0

0

1----0
1----0 3
06 f----<> 4
07 f----<> 5
OS
1----0 6
09
1----0 7
OS

1000C

(1)

20-- 0

0
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

A 9 B 7
0
1

"
"
1

1

"
1
0
1

"
1
0
1

"
1

1
1
1
1
1
1
1
1
1
0
1
1
1
1
1
1

1
1
1
1
1
1
1
1

o
1
1
1
1
1
1
1

1
1
1
1
1
1
1
0
1
1
1
1
1
1
1
1

1
1
1
1
1

1
1
1
1
1
1
1
1
1

110)

07 f-06

(10)

EIO
0
0

0
0

1
1
1
1
1
1

(1) 19

E

r>-

Vee = Pin 24
Gnd = Pin 12

0
1
0
1

""
""
, ", "
"" "" ,
" , ",
, ,

1
1

1
1

0
1
1
1

0
1

0
0

0

"
""
,,,
,,
0
0

1
1
1
1

1
1

1

1

1
0

1

0

1

0
0
1
1

0

0
1

1
1
1
1
1
1
1
0

1
1
1
1
1
1

1
1
1
1

1
1

1
1

00 f---ol

(10)

01 f---o2

(10)

02 f--03

(10)

03 f---o4

(10)

04 f--05

(10)

aS f---o6

(10)

06 f--07

(10)
(10)
(10)

(10)

1
1

1
1
1
1
1
1
1
1
1
1
1

1
1

0

(1) 20

110)

1
0
1
1
1

1
1
1
1
1
1
1
1
1
1
1
1
1

1
1
1
1
1
1
1
1
1
1
1
1
1
1

tpd = 22 ns typ
Po = 125 mW typ/pkg

1
1
1
1
1
1
1
1
1
1
1
1
1
1
1

1
1
1
1
1
1
1

1

1
1

"
"" "
" ""
""

"

MCM4069, MCM4070
Holierith·to·ASCII

Converter

10--

Word
Drivers

AI

6

A2

7

1

1
1
1
1

1
1

1
1
1
0
1
1
1

1
1
0
1
1
1
1

1
0
1
1
1
1
1

1
1
1
1
1
1
1

1

1
1

,,, ,
,
1
1
1
1

A 1514131211
X 1 1 1 1 1
X 1 1 1 1 1
X 1 1 1 1 1
0 1 1 1 1 1
1 1 1 1 1 1
a 1 1 1 1 1
1 1 1 1 1 1
0 1 1 1 1 1
1 1 1 1 1 1
0 1 1 1 1 1
1 1 1 1 1 1
" 1 1 1 1 1
1 1 1 1 1 1
0 1 1 1 1 1
1 1 1 1 1
1 1 1
1
"1 1 1
1 1
0 1 0 1 1 1
t
1 1 1 1

""

"

"
1
1
1
1
1
1
1

1
1
1
1
1

,
, , , ,,
, , , ,,

,
1

1
1
1

1
1
1
1

1
1
1
1
1

1

,
1
1
1

"

OUTPUT
10 9 8 7
1 111
1 111
1 111

654 3 2 1 0
1 111111
1 111111
1
1 1 1 1 1 1 0
1 1 1 1 1 0 1
1 1 1 1 0 1 1
1 1 1 0 1 1 1

1111
1 111
1 111
1 111
1 1111
1 1 1 1 1
1 1 1 1 0
1 1 1 0 1
1 1 0 1 1
1 01 t 1
0 1111
1 "11
1 1111
1 1111
1 1111
1 1111

1,',11

1 0 1 1 1 1
0 , 1 111
1 1 1 111
, 1 1 111
111 111
111111
111111
111111
111111
111111
111 111
111111

T
I
I

32 X 32 Array

1
I

r

~

Vec = Pin 16
Gnd = Pin 8

5-165

,

1
1 1
1 1
1 1
1 0
0 1
1
1

}--------:-I

CE2 140-

See MC5445/MC7445

1
1

1

Address
Decoders,

5

CE113uMC54145IMC74145
BCD to 1-af-l0 DecoderlDriver

110)

tpd (E to Q) = 26 ns typ
Po = 175 mW typ/pkg

A7150-AD

0

0
1

x - Oon'tcara

AS 20--

Number Converter

1
1
1
1
1
1

1

A3 40--

MCM4067 , MCM406S
Binary·ta·BCD

1
1
1
1
1
1

1
0

1
1
1
1
1

"
""

A4 30-A6

20- 0

MC8251/MC7251
OUTPUT
9 B 7 6 5 4 3 2 1 0
1 1 1 1 1 1 1 1 1 0

0
1
1
1
1
1
1

015 f---o17 (10)

0

""
""
1
1
1
1
1
1
1
1
1
1
1
1

aS f--06
09 f--07

11.5)

"
"

013 f--015 (10)

c

Gnd=Pin8

INPUT

011 f---o13 (10)

B

110)

1

1
1
1

(10)

f-o 5

1
1

1
1
1

110)

07

"
1
,,, ,,, , ,,, , ,, " ,,
,,,, " ,,
,, , ,, , ", ,, ,
""
"
1
1
1
1

aS -03
06 -04

Vee = Pin 16

EO E1 0 C B
1
1 X X X
1
X X X
1 X X X
0
0
0 o 0 0
a a 0
0
0 o 0 1
0
o 0 1
0
0
0 o 1 a
o 1 0
0
o 1 1
0
0
o 1 1
1 o 0
0 1 o 0
1 o 1
0
1 o 1
0
1
0
1 11 "0
0
0 1 1 1
0
111

010 f--011 (10)
(1) 22

1
1
1
1
1

1
1

1
1
1
0
1
1
1

100e

MCS311
1-of-16 Decoder

09 f---ol0 (10)

A

1
1
1
1
0
1
1
1

aS f---o9
11) 23

1
1
1
1
1
0
1

,, ",,, ,,, ,,
, ,, ,
, ,, ,,

(10)
(10)

1
1

03 -010110)
04 -09 110)

MC8250/MC7250
OUTPUT

f--os

(10)

2

12) 140- B

12)

014 f---o16 (10)

1
1
1

00 -013110)
01 -012(10)

7 6 5 4 3 2 1 0

07

(1) 21

"
""
1
1
1
1
1
1
1
1
1
1

06 f-05

012 f---o14 (10)

OUTPUT
6 5 4 3
1
1
1
1
1
1

(10)

(10)

Vcc = Pin 16
Gnd = Pin B
INPUT
C B
0
0
0
0
0
1
0
1
1 0
1 0
1
1
1
1
0
0
0
0
0
1
0
1
1 0
1 0
1
1
1
1

110)

AS f-04

BOTH TYPES
INPUT
C B A

1
1
1
1
1

02 ---011(10)
03 f----7

Xl X2

Output L.oadlng Factor:
MC4330
MC4331
MC4030
MC4031

0~tf----o6

(1) 120-- Cn-2

(1)
MC432S.
MC4329.
MC402S.
MC4029.

5r----o5

(1) 140-- C n-3

= 13 ns typ

Po ~ 125 mW tvp!pkg

(1) 110-- -Lr--,

So-- A

(2)

(1) 110-- C n-l

Independent·Carry Fast Adder

5----<)5

(2)

(2)

15
7
12
6

MTTL
MTTL
MTTL
MTTL

I
I
I
I

I VCC~Pih

Loads
Loads
Loads
Loads

3
20------'

4
Gnd ~ Pin 10

CONDENSED TRUTH TABLE FOR THE Nth STAGE

Output Loading Factor:
MC4326 ::= 15 MTT L
MC4327 = 7 MTTL
MC4026 = 12 MTTL
MC4027 = 6 MTTL

I
I
I
I

8

Loads
Loads
Loads
Loads

A
o
o
o
o

o

Cin

S
0

1

0
1
0
1

0
0
1
1

0
1
0
1

A
0
0
0
0

B
0
0

1
1
1
1

,

o
o
o

OUTPUT

INPUT

,

1
0
1
0
0
1

o

Cau,

o
o
o

0
0
0
1
0
1
1
1

o

9

Cn -l

NOle 1

Note 2

S

~ut

0
0

0
0
1
1
0
0
1

0
1

0
1
1
1
1
1

0
0

0
0

0

0

0
1
1
1

0
0
0
0
1
1
1

,

0

0

1
1

0
0

0
0
1
1
0

0
0
0
1
1
1

0
0

,

1

,

0

,

,

0
1
1

0
0

0
0
1

,

0
0
1
1
1
1
0
0

tpd ~ (Add Delay) ~ 25 ns typ

0
0
1
1
0
0
1
1
0
0

0
0
1
1
1
1

tpd (Carry Delay) = 13 ns typ
Po ~ gO mW tvp!pkg

6

B

1
1

,
1

Pin Numbers
13,14.1
5

0

1
1
1
1
0
0

o
o
o

12,13

11

,

0
1
0
1
0
1
0
1
0
1
0
1
0
1

,

1
1
0
0
0

0
0
0
0
1
0
0

0
1
0
1
0
1
0
1
0
1
0
1

0
0
0
0
0
1

0
1
0
1

1
1
1
1

0

,,

7
MC4330/4030
MC4331/4031

MC432B/4028
MC4329/4029 Comment

Co"

Cou,

0

0
0
0
0

0
0
0
0
0
0
1
1
1
1
1
1
1

0
0
0

g

0

0

0
0
0
0

0

--

0

1

•••
•-

,,
,
,,
,
,

0
0
0

,

NOt83

0

0
0
0

••
••
-

1

1
1
1
1
1
1

0
0

0

0
0

1
1

,

0
0

1
0
0
0
0
0
0
0

0

••

1
1
1
1
1
1
1

0

,

1
1
1
1

••
-•
••

,

,
,

1
1
1
0
1
Notll 1 This o::olumn rap,...nh thll AND func:tion who ... inputs aN pin. 13 and 12. and Is defined by the
ellp,... lon IAn.' (£)Sn.,IICn_21.
Note 2 This column represents the AND function who.e inputs a,. pins '3. 14, and I, and il defined by tha
axpl'tlsslon IAn.' (£) Bn.,I(A n.2 (£) Bn.2HCn.31.
Note 3. tP .. Don't Care. The"Oon't Care" occurafor the MC433().31/4030·31 only. because the C n and tha(£)n
from anyone p"\Ilous stll9llente,lngaglven subsequent stage c:annot be .Imultanaously at logic ",".

MC4032/MC4332

Carry Dscoder

1

MC5480/MC7480

Gated Full Adder
(1)

SO-- Al

(1)

90--A2

5 f--05 (10)
Coo
0
0
0

(1) 110--AC
(1) 120-- Bl

Sf--06 (10)

,

0

(1) 130-- S2

(1)
(5)
V-:"c = Pin 4
Gnd = Pin 10

1
1
1

2 0 - - BC

A*

1.0 ns typ/pF at expander nodes
~

20 mW typ!pkg

e*

~~~:~:~

lob bl
(Input = 1.625)

(Output· 3)

Po

0
0
1
1
0
0
1

A
0
1

0
1
0
1
0

, ,

Cou '
1
1
1
0
1

0
0
0

S

S

1
0
0
1
0

0
1

,

1
0

,

0
1
0
0

,

3 0 - - Cin Cout f---o4 (5)

(Input = 1.625)

Atpd = 4.0 ns typ/decoder

•

(Output· 3)

tpd (Add Delay)

= 55 ns typ

tpd (Carry Delay = 10 ns typ

Po

~

1:

1. A" A*.AC. S" B*eBC

whe,aA*"~

B*"~
2. When A* (or s1I) IS u.ed as an

input. AI and A2 lor B1
must be connectad to
3. When AI and A210r BI
.re u..d a. Inputs. A*
must be open, or used to
wired ·OR logic.

and Bot)
ground.
.nd B21
tor a*'I
p.erform

105 mW typ!pkg

(continued)

5-170

~ITIT[1

COMPLEX LOGIC FUNCTIONS

ADDERS (continued) - - - - - - - - - - - - - - - - - - - - - - - - - - - - .
MC5483/MC7483
4-Bit Binary Adder

(4) 130-- Cin
(4) 100-- Al
(4) 110-- Bl
(1)
(1)

8 0 - - A2
7 0 - - B2

(4)

3 0 - - A3

(4)

4 0 - - B3

(1)

1 0 - - A4

MC8304/MC9304
Dual Full Adder

51 f---o9

(4)

(10)

2

Al

52f---o6

(10)

S3 f--02

(10)

S4

(1) 160-- B4

(4)

3

Bl

(4)

4

Cin 1

f--0 15 (10)

Caut f--014 (5)

(1)1~::[)
(4) 15

5
God == Pin 12

%
~
v.;
%%1%% l%1%1% l%
54

, ,
,
,
,

0
I
0

0
1
0
1
0
1
0
I
0
1

0
0

0
0
0

I
0

0

0

1

1
1
0
0
1

,

0
0

1
0
0
0
0
1
1
1

, ,
1

S2f------<>10 (9)

B2

Cin2

0
0
0
0
0
0
0
0

,

,
1

1
1
I

S4

S3

,, ,
0
,

0

0
0
0

0
0
0

0

1
0
0
1
1
0
0

,
,, ,
0

Co

0
0
0
0

1
1
1
0
1
I
1
0
0
0
0
1

,

0
0
0
1
1
1
1
1

S3

, ,
,
, ,

Caut
2 f------<>11(7)

51

Al

V(

0
0
0
0

0
0

0

0
0
1
1
0
0
1
1
0
0
1

1

0
0
0

,

0
0
0
0
1

,
I

,
, ,

0
0
0

0
0
1
1

I
1
I

0
0
1
1
1
0
1
1
1

.,

SI

Ctn 2

5'

A'

1

0

0
0
0
0
0
0
0

0
0
0
0
0
0
0
0
1
I
1

0
0
0
0

ADDER 2

Col
1

INPUT

, ,
,
, 1 , ,
0
0

0

0
I

0
1

0
I
0
0
0

0
I
1
0

0
I
0
0
1

0
0
0
0
0
0
0
0
0

1
1
1

0
0
0
0
0
0

1
1
1

tpd == 8.0 to 28 ns typ
PD = 110 mW typ!pkg

tpd == 35 ns typ
PD = 390 mW typ!pkg

MC15482/MC17482
MC25482/MC27482
2-Bit Full Add.r

2 0 - - Al
3 0 - - Bl

Sl f--ol (10)
52 f---o 12 (10)
(Ill f---o 7t (10)

(2) 130-- B2

(Il2 f--08t (10)

(2) 140-- A2

(3)

5 0 - - Cin

Caut f--o 10(10)

Vee = Pin
Gnd = Pin

0

0
0
I
1
0
0

(Add Delay) = 15 ns

0

0
0

0
0

0

0
1
0
I
0
1
0
I
0
1
0

1
0
0
I
1
0
0

0
1

0

1
1

0
1
1
0
0
0
1

0
0
0

, ,

, ,
,,

1
0
0
1
0
1
0
1
1
1

0
1
0
I
0
I
0

I
0
0

,

0
0
I
I

,

1
0
0
1
0
1
0
1
1
1

,

0
1
0
1
0
0
0
0

,

0
1

•

0
1
1
0
1
0
1
0
0
0
0
1
0
0
1

0
0

I
1
I
1
I

0

1
0
0

0
0
0
0
I
1
I
I

0
I
I

0
I

INPUT

tpd

Co 2

0

0
0
0
0
I
I
1

0
0
I
I
I
I
I
I
I
I

i

i(,

0
0

I
1
1
0
0
0
0
1
1

1
1

,,

,

OUTPUT

ii,

, S,, S,
,, ,, ,, , ,,
,
,,
, , ,
, ,
, ,,
,, ,
,, ,,

,,
,

The values atC2. A3, 83, A4, and 94 are then used to datermlne
outpuU 53, 54. and C oul

(2)

(10)

OUTPUT

em'

0

0
0
1

INPUT

When
C2= 1

Input <;and,llonl al A1, A2. 91,82. ilnd C ,n are used ,odele,
mine DutputsSl and 52, and the value of the mternal c:arrV,C2

(2)

(7)

52 f------<>9

ADDER 1

em =1

When
C2=O

0

~5

OUTPUT

em ""0

53

Caut
1

ADDER 2
(4) 12

INPUT

(9)

Slf------<>6

Vee == Pin 16
Gnd == Pin 8

(1)14::[)
A2
(4) 1

Vee = Pin

A3

51~7 (10)

ADDER 1

,

OUTPUT

AI

.,

A2

.2

51

52

C

0

0

0

0

0

0

1

0

0

0

1

0

0

1

0

0

1

0

0

em =0

Cin = 1
ct,)lt tIl2'

5,

52

C

0

1

0

0

0

0

0

0

1

0

1

0

0

0

I

0

1

0

I

I

0

0

0

1

,

0

0

0

0

0

,

,

0

0

I

0

1

I

0

0

1

0

1

0

1

I

0

0

0

1

1

,

0

I

I

0

0

0

0

,

I

1

I

tpd (Carry Delay) == 12 ns

1

I

1

0

0

0

1

1

0

1

0

P D = 165 mW typ!pkg

0

0

0

1

0

0

I

I

0

0

I

I

0

,

0

1

,

1
1

0

0

0

I

I

I

0

I

I

0

0

0

I

I

I

I

0

I

0

I

0

,

I

0

0

I

I

0

I

I

1

0

1

I

0

0

I

I

0

,

0

0

,

0

0

I

1

0

0

I

,

I

0

I

1

1

0

I

0

, ,

I

,

1

0

I

0

1

0

I

, ,

0

4
11

0

tAvailable only on MC25482/27482

5-171

1

1

I

1

1
I

~tJ1f~

COMPLEX LOGIC FUNCTIONS

FLIP-FLOPS AND LATCHES - - - - - - - - - - - - - - - - .
MC4015
Quad Type D Flip-Flop
(2) SO

40----.,

(1) 00

2

MC4035/MC4335
Quad Latch
IOpen Collector}

6

00(10)

MC4037/MC4337
Quad Latch

001

(.)

1400 (7)

(.)

1400(10)

001

(5.2) Strobe 2
(8)

C 13

(8)

R

(2) 51

3

(5.2) Strobe 2

C··)

Enable 6

(.)

013

1201 (7)

(')

013

1201 (10)

(.)

025

1102(7)

(')

025

1102 (10)

(.)

037

8

03 (7)

(')

037

8

o-ff--'

5O-+1f--,

(1) 01

01 (10)

7

(2) 52 11 o-+1f--,
(1) 0215

02 (10)

9

VCC ~ Pin 4
Gnd = Pin 10

Vee

(2) 53 12 o-+1f--,

•

= Pin

4

Gnd = Pin 10

Two 5.0 kilohm pullup resistors

1003(10)

(1) 0314

03(10)

are internally connected to Vee
and brought out on pins 9 and 13.

tpd = 25 ns typ
Po ~ 140 mW typ/pkg
tpd = 16 ns typ
Po ~ 190 mW typ/pkg

tpd = 25 os typ
Po ~ 150 mW typ/pkg

All loading factors are for MTTL I loads.
'MC4035, MC4037 ~ 4.0; MC4335, MC4337 ~ 4.2 (Strobe High)
MC4035, MC4037 ~ 0.9; MC4335, MC4337 ~ 1.1 (Strobe Low)
"MC4035 ~ 3.6, MC4335 ~ 4.0

On-1 - time JMlrlod prior to c.lock puLse
On • dm. period following Clock 13"""

MC8308
Dual 4-Bit Latch

MC7475
Quad Latch

(2)

00

1600 (10)

2

1

00(10)

3

1501 (10)

(4) Strobe 13

1401 (10)

(2)

01

(1)

2

(1)

3

(1.5)

4

00

(1.5)

6

01

(1.5)

8

(1.5) 10
(1)
(2)

7

02

9

5

(9)

01

7

(9)

02

9

(9)

INPUTS
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

02
03

03

11 (9)

MR

1

02 (10)
(1) 14

Vee

=

Gnd

= Pin

12

03

6

1003 (10)

(4) Strobe

4

11 Q3 (10)

(2)

Pin 5

8

02(10)

17 (9)

(1) 15
(1.5) 16 O---~OO
(1.5) 18 0---~01

tn+1

,

11

tpd
Po

01

19 (9)

0
X

02

21 (9)

(1.5) 22 o - - - - - I 0 3
MR 03

23 (9)

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

B

X

X

Don tCare

VCC ~ Pin 24
Gnd = Pin 12

(1) 13
0

0

0

(1.5) 20 0------102

tn

~1

,, EO
,
,,t
,,
,,,
,,t
,,,
,, ,, ,t

MR

= 30 ns typ
= 160 mW typrpkg

tpd (E to 0) = 25 ns typ
Po ~ 325 mW typ/pkg

5-172

OUTPUTS

03 02 01

DO Q3 02 01
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
t
0
0
0
0
t
0
0
t
t
0
0
t

0
0

0
0
0

0
0
0

0

0
0

t

0
0
0
0

0

0

0

0

0

x
x

X
X

x
x

X
X

x
x

X
X

,

00

,
, ,
, t
,
t
,,, , , , ,,,
, ,,
t
, ,,
, , t
t
, ,, ,, ,, ,, , ,,
t
,, , , ,
,t ,,, ,
, , , ,
t
t

0
0
0
0

X
X
X
X

0

0
0
0

0
0
0

0

LATCHED
LATCHED
LATCHED

0

0

0

0

[f1]lJlJ[1

COMPLEX LOGIC FUNCTIONS

DATA ROUTING F U N C T I O N S - - - - - - - - - - - - - - - - - - ,
MC4000/MC4300
Dual 4·Channel Data Selector

MC54150IMC74150
16-Channel Data Selector

MC4002
Dual Data Distributor

90----,
(1)

3

A

(1)

5

B

(1)

6

XO

(1)

7

Xl

(1)

8

X2

(1)

9

X3

(3)

11 (10)

2

1

(3) 13
(4)

3

12 (10)

W

(2)

B
11)

2

YO

(1)

1

Yl

(1) 14

Y2

(1) 13

Y3

7

Vee ==

Pin 4
Gnd = Pin 10

Y

= ABXO
= ABYO

8

Wl

9

(10)

= ABX

+ ABXl + ABX2 +

ABX3

+ ABYl + ABY2 +

ABY3

E

7
6
5
4
3
2
1
23
22
21
20
19
18
17
16

(10)

(10)

ZO = ABX
Zl = ABX
Z2

Z

(10)

2

VCC = Pin 4
Gnd = Pin 10

23 = ABX

W

12 (10)

15
14
13
12

'U'

(2)

A

11 (10)
5

WO=CY
Wl =CY

Vee = Pin 24
Gnd

=

Pin 12

10

2 = E " (ABCD xo + ABCD Xl + ABeD X2+
ABCD X3 + ABCD X4 + ABCD X5 +
.... +ABCOX15)

tpd = 10.5 ns typ
Po = 175 mW typ/pkg

tpd = 11 ns typ
Po = 150 mW typ/pkg

MC54151/MC74151
S·Channel Data Selector

tpd = 8.5 to 35 ns typ
Po = 200 mW typ/pkg

MCS3121MC9312
S·Channel Data Selector

MCS309IMC9309
Dual 4·Channel Data Selector

(1) 1 0 0 - - - . ,

5

11
10

(1) 13

A

(1)

B

3

(1) 12

XO

9

(1) 11

Xl

4

(1) 10

X2

3

(1)

X3

9

15 (10)

2

(1) 12
(1) 13
(1)

14

(9)

2
15

A

14

B

13

12

6

(1)

4

YO

(1)

5

Yl

(1)

6

Y2

(1)

7

Y3

1

W

(10)

Vii

2

= E "(ABCXO+ABCXl +ABCX2+
ABCX3+ABCX4+ABCX5+
ABCX6+ABCX7)

tpd = 8.5 to 35 ns typ
Po = 145 mW typ/pkg

(1)

2

(1)

3

(1)

4

(1)

5

(1)

6

(1)

Vee = Pin 16
Gnd = Pin 8

(1)

14 (18/9")

9

(9)

VCC = Pin
Gnd = Pin

Vee = Pin 16
Gnd = Pin 8

2

15 (20/10")

(1) 11

2 = ABXO + Alixl + ABX2 + ABX3
AiiXii + AliXl + ABX2 + ABX3
W = ABYO + ABYl + ABY2 + ABY3
iN = AiiYli + ABYi + ABY2 + ABV3

Z=

tpd

=

9.0 to 24 ns typ

Po = 150 mW typ/pkg

5·173

16
8

2 = E "(ABCXO + ABCXl + ABCX2 + ABCX3 +
ABCX4 + AilcX5 + ABCX6 + ABCX7)

Z=

E "(ABClW + Alici<1 + ABCX2 + ABCX3 +
ABC'X'I + ABCX5 + ABCXil + ABCX7)

tpd = 9.0 to 24 ns typ
Po = 135 mW typ/pkg

[fAJ'IT'IT~

COMPLEX LOGIC FUNCTIONS

MAJORITY LOGIC GATE

COMPARATORS--------~

MC4062
Dual Majority Logic Gate

MC4021 , MC4022
Dual 4-Bit Comparator

Al
61

2

Cl

3

(2)

3

Zl

(2)

4

(2)

5

4 21

(2)

6

(2) 13
(2) 12

A213

Vee = Pin 16
Gnd = Pin 8

(2) 11
(2) 10

8 Z2

6212

1022

C211

(2)

1

(2)

2

(2) 15

9 (10)

(2) 14

Vee"" Pin 14
Gnd "" Pin 7

•

A
0
0
0
0
1
1
1
1

INPUT
B
0
0
1
1
0
0
1
1

AO Al

OUTPUT
C
0
1
0
1
0
1
0
1

Z

Z

0
0
0
1
0
1
1
1

1
1
1
0
1
0
0
0

tpd = 20 ns typ (?;: Output)
11 ns typ (Z Output)
Po = 75 mW typ/pkg

A2 A3 BO Bl

B2 B3 RO Rl

R2

R3 Z1

Z2

0
0
0
0
0
0
0
0

0
0
0
0
1
1
1
1

0
0
0
0
1
1
1
1

0
0
0
0
0
0
0
0

0
0
0
0
0
0
0
0

0
0
0
0
1
1
1
1

1
1
1
1
0
0
0
0

1
1
1
1
1
1
1
1

0
0
0
0
0
0
0
0

0
0
0
0
1
1
1
1

0
0
1
1
0
0
1

,

0
1
0
1
0
1
0
1

1
0
0
0
0
0
1
0

0
0
0
1
0
1
0
0

1
1
1
1
1
1
1
1

0
0
0
0
1
1
1
1

0
0
0
0
1
1
1
1

1
1
1
1
1
1
1
1

1
1
1
1
1
1
1
1

0
0
0
0
1
1

0
0
0
0
1
1
1
1

0
0
0
0
1
1
1
1

1
1
1
1
1
1
1
1

0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1

0
1
0
0
0
0
0
1

0
0
0
0
0
0
1

,
1

,

tpd "" 20 ns typ
Po = 250 mW typ/pkg

EXCLUSIVE GATES--------------------------------,
MC7241/MC8241
Quad Exclusive OR Gate
(2)

(5J

(2)

[6)

(2)

(9]

(2) (10]

~ :=)[)---e3
: :=)[)---e4

MC7242(MC8242
Quad Exclusive NOR Gate
(Open Collector)
[7]
[8]

(10)
(10)

(2)
(2)

[2]

:=)[)---ell

(9J

(2) (13]
[1]

(10)

:~3
:~4

[7J

(10)

[8J

(10)

:~10[14J(10)
12~11

(2)

[2J

(2)

[3J 13

(1]

(10)

3="1e2+1.2

3=1.2+1.2
Vcc = Pin 14 [4]
Gnd = Pin 7 [11 J

[6J

(2)

(2) [12J

: : = ) [ ) - - - e l 0 [14J (10)

12
[3] 13

[5J

(2) [10J

(2) [12J
(2) [13J

(2)
(2)

tpd = 10 ns tvp
Po = 225 mW typ/pkg

Vcc = Pin 14 [4]
Gnd = Pin 7 [llJ

tpd"" 18 ns typ
Po = 170 mW typ/pkg

Numbers at ends of terminals represent pin numbers
for devices in the dual in·line package.

Numbers at ends of terminals represent pin numbers
for devices in the dual in-line package.

Numbers in brackets represent pin numbers for devices
in the flat package.

Numbers in brackets represent pin numbers for devices
in the flat package.

5-174

BEAM LEAD INTEGRATED CIRCUITS
MCBC5400 Series (-55 to +1250 C)
MCB5400F Series (-55 to +1250 C)

~

."ML"D~
MCBC5400/MCB5400F series integrated circuits comprise a family
of transistor-transistor logic designed for general purpose digital
applications. The family has a medium operating speed (15-30 MHz
clock rate), good external noise immunity, high fan out, and the capability of driving capacitive loads of up to 600 pF.
This series is produced using beam lead sealed junction technology.
These devices are particularly useful in highlY reliable systems using
hybrid beam lead assembly techniques or standard flat package assembly techniques.

MAXIMUM RATINGS
Value
7.0

Unit

5.5

Vdc

Operating Temperature Range

-55to+125

°c

Storage Temperature Range - Ceramic

-65 to +150

°c

Rating

Power Supply Voltage
Input Voltage

Vdc

FUNCTIONS AND CHARACTERISTICS IVcc ~ 5.0 V TA

~

Chip

_550

to + 1250C

FSUFFIX

CASE 607

o

o
o

BEAM LEAD

CHIP

114 Le.d)
(Geometry Side Down)
• (actual size)

CHIP

BEAM LEAD
(16 Lead)

(Geometry Side Down)

• (actual size)

BEAM LEAD

CHIP

(24 Lead)
(Geometry Side Down)
• (actual size)

25°C)
Output
.Loudlng·
F_

P<~on

Power

Delay

D!S$ipation .

(:_607

jpd

-55°to+l~

Eaeh OutPut

ns.typ

mW
typlpkg

Type

Function

~

~ CERAMIC PACKAGE

Quad 2-lnput NAND Gate

MCBC5400

MCB540QF

10

10

40

Quad 2-lnput N~ND Gate
(Open Collector Output)

MCBC5401

MCB5401 F

10

35

40

Quad 2·lnput NOR Gate

MCBC5402

MCB5402F

10

10

48

Hex Inverter

MCBC5404

MCB5404F

10

13

60

Hex Inverter (Open Collector)

MCBC5405

MCB5405F

10

35

60

Triple 3-lnput NAND Gate

MCBC5410

MCB5410F

10

10

30

Dual 4-lnput NAND Gate

MCBC5420

MCB5420F

10

10

20

a-Input NAND Gate

MCBC5430

MCB5430F

10

10

10

Dual4-lnput NAND Buffer

MC8C5440

MCB5440F

30

13

50

Expandable Dual 2-Wide
2-lnput AND-OR-INVERT Gate

MCBC5450

MCB5450F

10

13

28

Dual 2-Wide 2-lnput
AND-OR-INVERT Gate

MCBC5451

MCB5451 F

10

13

28

Expandable 4-Wide 2-lnput
AND·OR·INVERT Gate

MCBC5453

MCB5453F

10

13

22

4-Wide 2-lnput AND-OR-Invert Gate

MCBC5454

MCB5454F

10

13

22

Dual 4-1 nput Expander for
AND·OR·INVERT Gate

MCBC5460

MCB5460F

-

5.0

8.0

J-K Flip-Flop

MCBC5472

MCB5472F

10

30

40

Dual J-K Flip-Flop

MCBC5473

MCB5473F

10

30

80

Dual Type 0 Flip-Flop

MCBC5479

MCB5479F

10

16

84

MCB54140F

-

70

20

4-lnput AND Driver with
NOR Strobe

-

5-175

MCBC5400/MCB5400F
SERIES LOGIC DIAGRAMS

Numbers at ends of terminals represent flat package pin numbers.

Numbers in brackets denote beam number.
Numbers in parenthesis indicate loading.
NOTE: Beam numbers shown reflect the change of Beam 1 to the lower left corner Iwith the geometry face down) in accordance with EIA

agreements.

GATES----------------------------------------~
MCBC5401/MCB5401F
Quad 2-1 nput NAND Gate

MCBC5400/MCB5400F
Quad 2-lnput NAND Gate

(1) [14]

~=O-3
~=O-5

(1)

[I]

(1)

[5]

(1)

[6J

(1)

[8J
[9] 10

(1)

[2]

[4J

9=0- 8

[7]

(1)[11JI2=O(1) [12J 13

(10)

(10)

(10)

14 [13J (10)

(1) [14]
(1)

[I]

(1)

[5J

(1)

[6J

(1)
(1)

~=O-3
~=O-5

[8J 9 = O - S
[9] 10

(1) [11J

12=0-

(1) [12J 13

Vee ~ 4 [3J
Gnd ~ 11 [10J

3

~

r;2
tpd

Po

III

!H

!;1

!==C)-5

(2J

(4J

1~1Ig==C)-13[12J
12

1) [11

3

~

110)

110)

(10)

[1]

tpd = 10 ns typ
Po = 30 mW tvp!pkg

[4]

[7J

(10)

(10)

(10)

~=:[>-5

[4J

(10)

(1) [9] 9 = : [ > - S
(1) [10J 10

[SJ

(10)

:=:[>-3

(1)

[5J

(1)

[6J

(1) [12J 1 2 = : [ > - 1 4 [14J (10)
(1) [13J 13
Vee ~ 4 [3J
Gnd~ 11 [II]

3 ::::

= 35 ns typ
~

MCBC5430/MCB5430F
8·1 nput NAN D Gate

(1) [IJ
(2J (10)

11) (4J
(1) (5J

11)

[4J

(1) [6J

(1)

[5J
(6J

(1)

[7]

6

(1) [7]
: P - - 1 O (SJ (10)
9

(1) [SJ

:=:11

:~

12 [IIJ
(10)

9

(1)[9Jl0Vee~4 [3J

Gnd = 11 [9J

2

2_

11) [2J

11) (12] 14

(1)

'i""+2

tpd == 10 ns typ
Po ~ 4S mW tvp/pkg

40 mW typ/pkg

1

11 (10]

= 1- 2 .14

[2]

[I]

(1)

14 [13J (10)

11)[10JI2p
2
11) (11] 13

Vee~4 [::J

Gnd

(1) [16]

MCBC5420/MCB5420F
Dual 4-lnput NAND Gate

11)

~==C)-3

(10)

3 == ,..-;"2

MCBC5410/MCB5410F
Triple 3-lnput NAND Gate

[111
11) [13 14

[2]

Vee ~ 4 [3J
Gnd~11 [10J

tpd = 10 ns typ
Po ~ 40 mW tvp!pkg

m

MCBC5402/MCB5402F
Quad 2·lnput NOR Gate

=1

.12 .13 • 14

tpd = 10 ns typ

Po = 20 mW typ!pkg

Vee~4 [3J

Gnd = 11 [10J

12 = 2 . 3 . 5 . 6 . 7 . 8 . 9 .10

tpd = 10 ns typ
Po = 10 mW typ!pkg

(continued)

5-176

MCBC5400/MCB5400F
SERIES LOGIC DIAGRAMS

GATES (continued) - - - - - - - - - - - - - - - - - - - - - - - - - - . . . . . ,
MCBC5450/MCB5450F
Expandable Dual
2-Wide 2-lnput
AND-OR-INVERT Gate
(1)

[21

3

(1)

[4J

5---'1~

(lJ [12J

13--~

(1) [13J

14---'1~

MCBC5451/MCB5451 F
Dual 2-Wide 2-lnput
AND-OR-INVERT Gate

(1)[2)3~

~r--....

1-------~

Collector [lJ

2---------~

(1)

VCC=4 [3)
Gnd = 11 [10)
:::

[5J
[6J

(1)

[7J

(1)

[BJ

12 [l1J (10)

(1] [13J 14

Emitter [14J

(1)

(1) [4) 6
(1) (12) 13

12 [11] (10)

Vcc = 4 [3J

~:: 7B6935»-Gndl~11[~)l::0)

(1]

[7)

(1)

[B)

12==(3-5)+(13.14)
12 = (3 _5) + (13.14) + (expanders)

tpd
Po

= 13
= 2B

ns typ
mW typ!pkg

tpd == 13 ns typ
Po = 2B mW typ!pkg

MCBC5453/MCB5453F
Expandable 4-Wide 2-lnput
AND-OR-INVERT Gate
(1)

[2J

3

(1)

[4J

5

(1)

[5)

6

(1)

[6J

7

(1)

[7)

B

(1)

[B)

9

MCBC5454/MCB5454F
4-Wide 2-1 nput
AND-OR-INVERT Gate

VCC=4[3J
Gnd = 11 [10)
12 [11J (10)

(1) [12J 13

(1)

[2)

3

(1)

[4J

5

(1)

[5)

6

(1)

[6)

7

(1)

[7]

B

(1)

[B)

9

VCC=4 [3]
Gnd = 11 [10]

12 [11] (10)

(1) [12] 13

(1) [13) 14

(1) [13] 14-_____""

12= (3.5) + (6.7) + (S.9) + (13.14)

12= (3.5)+ (6.7) + (B.9) +(13.14) + (Expanders)

tpd:;;; 13 ns typ
PD = 22 mW typ!pkg

tpd == 13 ns typ
= 22 mW typ!pkg

Po

DRIVER--------------------------------------------~
MCB54140F
4-lnput AND Driver with NOR Strobe

AND

High
Level

l~
9
Strobe

Positive Logic:

8' ==

Negative Logic:

8 = (1 + 2 + 3

7

tpd = 70 ns typ
= 20 mW typ!pkg

Po

5-177

1 • 2. 3 • 4 +

'9

+ 4)9

•

MCBC5400/MCB5400F
SERIES lOGIC DIAGRAMS

INVERTERS--------~------------------------------_
MCBC5404/MCB5404F
Hex Inverter

MCBC5405/MCB5405F
Hex Inverter

(Open ColiectorJ

(1) [16J

1 - - { : > o - 1 4 [14J (10)

(1)

[2J

3--{:>o-2

[IJ

(1)

[4J

5--{:>o-6

(1)

(6J

7--{:>o-S

(1)

(9J

(1) (13J

(1) (16J

1 - - { : > o - 1 4 (14J (10)

(10)

(1)

(2J

3--{:>o-2

(11

(10)

(5J

(10)

(1)

(4J

5--{:>o-6

[5J

(10)

[Sl

(10)

(1)

(6J

7----j)o-S

(SJ

(10)

9 - - { : > o - 1 0 (10J (10)

(1)

(9J

9 - - - - j ) o - 1 0 [ 1 0 J (10)

13~12(12J

(1) [13J

(10)

13~12(12J

14= I
VCC = 4 (3J
Gnd = 11 [11J

VCC = 4 (3J
Gnd = 11 (11J

tpd = 35 ns typ
Po = 60 mW typ/pkg

tpd == 13 ns typ
Po = 60 mW typ/pkg

•

BUFFER----------------~

EXPANDER----------,

MCBC5440IMCB5440F
Dual 4-lnput NAND Buff.r

MCBC5460IMCB5460F
Dual 4-1 nput Expander
for AND-OR-INVERT Gates

[2J
(4J

(1)(14Jl~

(1) (11J 12
(1) (12J 13

2

(10)

14= 1

(5J
[6]

(IJ (30)

(1) (13J 14

3

Collector
,.

5~---'"

2

(IJ

6~---~ 1 (14J
7

Emitter

S

Emitter
..

(1)(5J6~

q)
(1)

(1)

(6J
(7J
[SJ

7

10 [9J (30)

S

(7J
(SJ

9

9~---""4(13J

(9J 10~---~ 13 (12J
(11 J 12
Collector

VCC = 4 (3J
Gnd = 11 (10J

VCC=4 (3J
Gnd ~ 11 [10J

A maximum of 4 expanders may be connected to the
MCBC54501MCB5450F or MCBC5453/MCB5453F.

tpd == 13 ns tvp
Po = 50 mW typ/pkg

5-178

MCBC5400 I MCB5400F

SERIES lOGIC DIAGRAMS

FLiP-FLOPS---------------------,
MCBC5472/MCB5472F

J-K Flip-Flop

Vcc

~ 4 [3J
Gnd ~ 11 [11]

ID

tn

tn+l

(21

[2J

SET 3

J

K

Q

(1)
(11
(11

!869j

Jl
J2 87
J3 9

(21

[1] CLOCK

0
0
1
1

0
1
0
1

Qn
0
1
an

I

II !14j
11 16
11 13

(;>1

(11 13]

0

10 [10](101

MCBC5473/MCB5473F

MCBC5479/MCB5479F

Dual J-K Flip-Flop

Dual Type D Flip-Flop

y
'y"
Q

1

C

3

K

[21

(11 [7]

8

(11

[6]

6 - 0 0 QO-9

(21

17]

7 - C O 0 0 - 1 0 [151 (101

(31

[51

5

so

0

C'

(1)[15] 10

K'

[14](101

13 11BI (101

RO

2

5

(21 [51

(21113]

12117](101

R

(21

J= Jl • J2. J3
K = Kl • K2. K3

f=15MHz
Po = 40 mW typ/pkg

5

0l{19] 14
[1]

12 [12JOOI

2

Kl14
K2 1
K313

[4] RESET

(21

Q

0'

8

(2l{19114

[141 [10)

(11

[131 (101

[1]

51
1 - 0 1 Ql-13[18](101

R'

(2) [6]

(21 [21

6

2-C1

01-12[171(101

Rl
(31

[31

3

Vcc

= Pin 4 [41
Gnd=Pinl1 (16)
VCC ~ Pin 4 [4]
Gnd~Pinl1 [16]

tn

tn+1

J

K

0

0
0
1
1

0
1
0
1

Qn
0
1
an

f=15MHztyp

-Po

~

tn+1

tn

80 mW typ/pkg

5-179

D

01

0
1

~

f

~

Po

~

I

0
1
0

30 MHz typ
84 mW typ/pkg

MCE54HOO / MCE74HOO SERIES
MCE5400 / MCE7400 SERIES
INTEGRATED CIRCUITS
MCE54HOO Series, MCE5400 Series (-55 to +1250 CI
MCE74HOO Series, MCE7400 Series (0 to +70oCI

The Dielectrically Isolated Integrated Circuit (DIICI MTTL family
is designed specifically for use in military and space applications that
require a high degree of reliability under severe radiation environ·
ments and post irradiation operation. The MTTL DIIC family utilizes
nichrome resistors, post metalization passivation, monometallic inter·
connections, and very small high frequency transistor structures to
enhance the radiation resistant qualities of this line.

FSUFFIX
CERAMIC PACKAGE
CASE 607

T0-86

FUNCTIONS AND CHARACTERISTICS

(Vee ~ 5.0 V, T A ~ 25 0 e)

5-180

MCE54HOO/ MCE74HOO SERIES} LOGIC
MCE5400/MCE7400 SERIES
DIAGRAMS

Numbers at ends of terminals represent pin numbers for devices in the flat package.
Numbers in parenthesis indicate loading.

ge_:_v_C_C;..=_Pi_n_4_,G_n_d_=_Pi_n_l_l._ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _.....
GATES_F_I_at_p_a_ck_a_

MCE54HOO/MCE74HOO
Quad 2·lnput NAND Gate

MCE54H01/MCE74HOl
Quad 2·lnput NAND Gate
(Open Collector Output!

(1)

(11

(1)
(1)

(11

(10)

:=L)-3

(1)

11)

(1) 9 = L ) 11) 10
8

11113=C>111 2
3

(101

:=L)-3

:=L)-5

11) 9 = L ) 111 10
8

(101

11)12=L)14110)
111 13

(10)

(1)14

(1)

(10)

:=L)-5

MCE54Hl0/MCE74Hl0
Triple 3·lnput NAND Gate

(101

11)6~

1101

(11 78~5
(11

(10)

(1) 9 3 = C > (1) 10
13 (10)

11112=L)14 (10)
11) 13

(1) 12

3=~

3

tpd = 6.0 ns typ
Po = 80 mW typ/pkg

tpd

Po

MCE54H20/MCE74H20
Dual 4·lnput NAND Gate

="'i""72

= 8.0 ns typ

tpd = 6.0 ns typ

= 80 mW typ/pkg

Po = 60 mW typ/pkg

MCE54H31/MCE74H31
11·lnput NAND Gate

MCE54H51/MCE74H51
Dual 2·Wide 2·lnput
AND·OR·INVERT Gate

1111----.
11) 2

:::;~~2110)

(1)14~
(116
11) 7
(11 98

p

(11 5

6=3=~~~=j

111
11) 7

101101

:::1:~12110)

11) 3

(1)

8

(1)

9

12110)

1116N>7
::: 8
101101
9
111

(1110

(1)

11)14~

11) 13
11)14----'

6= 1.2.4.5

8=(9·10)+(13·1)

8= 1·2·3·4·5·6·11·12
tpd = 9.0 ns typ
Po = 20 mW typ/pkg

tpd = 6.0 ns typ
Po = 40 mW typ/pkg

MCE54H54A/MCE74H54A
4·Wide 2·1 nput
AND·OR·INVERT Gate

tpd
Po

MCE54H56/MCE74H56
Dual 2·Wide 2·3·lnput
AND·OR·INVERT Gate

1111~
2

(11 3
11) 13

11) 6
111 7

121101

(1) 8

•

1

11113

111

111 5

6.0 ns typ

= 58 mW typ/pkg

• MCE54H57/MCE74H57
4·Wide 3·3·2·3·lnput
AND·OR·INVERT Gate
(1)

11) 3

=

11114
12 (10)

111 2
111 3

11114

111 5
12 (10)

(11 9

(1)

11113

11)

(1114

(11
11)
(1)

~?,,""

111 6
11) 7
111 8
(1) 9
(1) 10

12=(3.5)+(6.7)+(8.9)+(13.14)
12 = (1.2.3) + (13 .14)

tpd
Po

=

6.0 ns typ

= 40 mW typ/pkg

tpd
Po

= 6.0 ns typ
= 58 mW typ/pkg

5·181

12= (1.13.14)+(2.3.5)
+ (6.7) + (8.9.10)
tpd = 6.0 ns typ
Po = 40 mW typ/pkg

•

MCE54HOO / MCE74HOO SERIES} lOGIC
MCE5400/MCE7400 SERIES
DIAGRAMS

POWER GATE.----,

FLlP·FLOPS------------,

MCE54H40/MCE74H40
Dual 4·lnput NAND Buffer

(2)

:::

MCE54H79/MCE74H79
Dual Type D Flip·Flop

1

;~~

(2)14~

2 (30)

SET
D

'~

121 CLOCK

(2)6~
::: 9:

121
(1)

(3)

RESET

(2)

SET

(1)

D

10(30)

(2)

Q

9

a

101101

"jJ=

(2) CLOCK

(2) RESET

(10)

2

~

13 (10)

1

Q

12 (10)

3

o
o

tpd = 6.0 ns typ
Po = 80 mW tvp/pkg

6

:

On

On+1

0

o

1

o

o

o
on+1

= On

INVERTER'-----,
f

MCE54H04/MCE74H04
Hex Inverter

Po

= 35 MHz
= 140 mW

typ/pkg

MCE54103/MCE74103
Dual J·K Flip·Flop

(1)

l - { : > o - - 1 4 (10)

(1)

3-{:>o--2

(10)

(1)

5-{:>o--6

(10)

(3)

(1)

7-{:>o--S

(1 )

9 - { : > o - - 1 0 (10)

6~

SET

(1)
J
(31 CLOCK

K 10

(1)

(10)

7

Q

9

(10)

Q

8

(10)

5

14~12(10)

(1)

J
(3) CLOCK

1

(1)

K

3

131

SET

2

Q

(1) 1 3 - { : > o - - 1 2 (10)

f
Po

tpd = 6.0 ns typ
PD ~ 120 mW typ/pkg

5-182

= 50
=

MHz typo

100 mW typ/pkg.

13 (10)

OOG7G7n MCE54HOOI MCE74HOO SERIES} LOGIC DIAGRAMS
lliU U U l..!J MCE5400/MCE7400 SERIES

DECODER----------------------------------------~
MCE54H146/MCE74H146
Binary to 1·of-8 Line Decoder

Eri8'bie
(1)

1007 (10)

7

9

06 (10)

8

05 (10)

1204 (10)
1303 (10)
1402 (10)
1

01 (10)

2

00 (10)

(1)

tpd

= 14 ns typ

Po

= 130 mW typ/pkg

5-183

•

Dielectrically Isolated
INTEGRATED CIRCUITS
MCE930 Series (-55 to +125°)

The Dielectrically Isolated MDTL family is intended for
use in military and space applications that require a high
degree of reliability under severe radiation environments.
In addition to dielectric isolation, this family of devices
utilizes nichrome resistors throughout. Apost·metalization
passivation process further enhances the radiation resistance
qualities of the family and very small high frequency tran·

sistor structures are used throughout.
Dielectrically Isolated MDTL has the same electrical
specifications as the MC930 family and may be used inter·
changeably with it. This eliminates the need for redesign·
ing existing equipment to gain radiation·resistance and al·
lows the design engineer to utilize a familiar logic type for
new systems.

MAXIMUM RATINGS

~"

V.Il«!
Supply Voltage - Continuous
Pulsed,
1 second

<

Output Current (into outputs) Buffers, Power Gates - Continuous
Pulsed,
30 ms

<

All other types
Input Forward Current

Vdc

mAde
150
300
30
-10

Input Reverse CurrentBuffers, Power Gates
All other types

•

8.0
12

mAde

FSUFFIX

mAde

CERAMIC PACKAGE
CASE 607
T0-86

5.0
1.0

°c

Operating Temperature Range MCE930 Series

-55 to +125
-65 to +150

Storage Temperature R.ange

°c

FUNCTIONS AND CHARACTERISTICS (VCC = 5.0 Vdc, TA

ij'n,","" ;',:'
r.:

","',';,:':'

l', , . , : ' .

"

~,

',",":".

'
...

=

25°C)

,,"',i

TVP.~

.,

1'~jJ>,t::': {(~O:""'~I1~'/(::'i:"~f~;,:'i," /::,':! "',;r,o,
Expandable Dual 4-lnput NAND Gats
Expandable Dual 4-1 nput Buffer

"Ou..~,
"~'
FiIctO( ,
, Each

oUtPut'"

MCE930

8.0

22

MCE932

25

85

MCE936

8

66

Expandable Dual 4·1 nput NAN D Power Gate
Clocked Flip·Flop
Quad 2~lnput NAND Gate

MCE944

27

65

MCE945

10

60

MCE946

8

44

Clocked Flip-Flop

MCE948

9.0

70

Triple 3·lnput NAND Gate

MCE962

B.O

33

Dual 4-1 nput Expander
Hex Inverter

MCE933

5-184

~ @TI[1l0GIC DIAGRAMS

~GATES------------------------------~--------------,
MCE930F
Expandable Dual4-lnput
NAND Gate

MCE944F
Expandable Dual 4-lnput
NAND Power Gate

(1)1~
2

:~: :~6(27)

(1)
(1)

(1)

4

6 (8)

(1)

5

(1)

3

MCE962F
Triple 3-lnput NAND Gate

3D
J1)9D

4

5

3

(1)9~
(1)
10
(1)12
(1) 13

5

(1)11
(1) 13
(1) 1

(1) 13-.-J

11

4

(1)

(1) 10

:::~~~8(27)

8 (8)

(1)
(1)

(1)

D

6 (8)

8 (8)

12 (8)

2

11

6 -1-2-4-5-[3]

MCE946F
Quad 2-lnput NAND Gate

rBUFFER----------------~
(1)

MCE932F
Expandable Dual 4-lnput Buffer

(8)

:=L>-3

(1)
(1)

(1)1~
2
6 (25)

(8)

:=L>-6

(1)

•

(1)

(1) 9 = L > (1) 10
8

(1)

(8)

(1)

(1) 12 = L > - 11 (S)
(1) 13

4

5

3

(1)9~

(1)10

8 (25)

(1) 12
(1) 13
11

EXPANDER--------------~

INVERTER

MCE933F
Dual 4-lnput Expander

(1)2
(1) 3
(1)

5

(1)

6

(1)9
(1) 10

MCE936F
Hex Inverter

p '

_~ 4

p '

(1)

l - { > o - 2 (8)

(1)

3 - { > o - 4 (8)

(1)

5 - { > o - S (8)

(1)

9 - { > o - 8 (8)

2=1

,

-~11

(1) 12

(1) 11-{>o-10(B)

(1)13

(1) 1 3 - { > o - 1 2 (8)

5-185

~ [Q)1J [1

LOGIC DIAGRAMS

Numbers at ends of terminals represent pin numbers.
Numbers in parenthesis indicate loading.

Vcc; Pin 14, GND; Pin 7

FLIP-FLOPS-----------------------,
MCE945F
MCE948F
Clocked Flip-Flop

J-K TRUTH TABLE
(Connect 52 to 0, C2 to Q)

SYNCHRONOUS TRUTH TABLE
Q

X

Qn

4~=:J~1
S2

S So Q - 6

0

X

0

X

X

0

Qn

2

T

X

0

0

X

Qn

X

0

X

0

Qn

0

X

1

1

0

X

0

1

1

0

1

1

0

X

1

1

1

X

0

1

1

1

1

1

U

Ci>""

1~J1
11
C2
5

C C

0.-9

~

Input Loading Factor:

Sand C "" 2/3
SO. CO. T" 2
Output Loading Factor:

MCE945" 10
MCE948" 9

S2

C2

C1
0

S1

0 - Low State (more negative)
, -

High State (more positive)

X - State of the input does not affect the state of
the circuit.

U - Indeterminate State

•

5-186

1n+1

tn

1n+1

tn

10

S1
0
1
0
1

Q

C1
0
0
1
1

Qn

1
0
an

ASYNCHRONOUS
TRUTH TABLE
So
1
0
1
0

Co
1
1
0
0

Q

Q

NC
1
0
1

NC
0
1
1
Asynchronous inputs, direct set (Sol and direct
clear (CO), override the syn-

chronous inputs; they are
independent of all other
inputs.

INTEGRATED CIRCUITS
MC830 Series (0 to +750 C)
MC930 Series (-55 to +125°)
MAXIMUM RATINGS
Rating

Value

Supply Voltage Operating

MDTL integrated circuits provide an excellent balance of speed,
power dissipation. and noise immunity for general purpose digital
applications. The line includes many multifunction types. Addi-

Vdc

tioQal logic power is provided by the "wired OR" capability of the

4.5 to 5.5

Continuous

basic MDTL gate.

8.0
12

< 1 second

Pulsed,

Unh

GSUFFIX

Output Current (Into Outputs with

METAL PACKAGE
CASE 603-02
TO-l00

mAde

Outputs Low)

Buffers, Power Gates - Continuous
Pulsed. 30 ms

100
300
30
90

<

All other types - Continuous
Pulsed, < 30 ms

_
_ .

Input Forward Current -

mAde

Continuous

Pulsed,

~SUFFIX
~A~/IIC
PACKAGE

-10
-30

< 30 ms

or
Negative Voltage at InputContinuous
Pulsed,< 30 ms

-0.5
-1.5

Input Reverse Current

Storage Temperature Range
Metal Can, Ceramic Package
Plastic Package

1.0

mAde

5.5

Vdc

CASE6~

. .

-,rf

_ _.

l~

DC

~

L SUFFIX

CERAMIC PACKAGE
CASE 620

-55 to +125
o to +75

LSUFFIX
CERAMIC PACKAGE

DC

~CASE632

~II ~'"

-65 to +150
-55 to +125

Maximum Junction Temperature
Me930 Series
MeS3D Series

PLASTIC PACKAGE
_

or
Operating Temperature Range
Me930 Series
MeS30 Series

PSUFFIX

CASE 607
TO-B6

Vdc

Positive Voltage at Diode Input

PSUFFIX
PLASTIC PACKAGE
CASE 646
TO-116

DC
175
150

FUNCTIONS AND CHARACTERISTICS IVcc = 5.0 Vdc. T A = 25 0 CI

Type

/l1lljJ

T$
.:t
..
.+ni'lC .'

Dual J-K Flip-Flop (common clock and CO.

MCB55

607,632,646

MC955

607,632

11/9

~

40

140

MC856
MC857
MC858

607,632,646
607,632,646
607,632,646

MC956

1119
25
27

~

MC95B

607,632
607,632
607,632

40
35
30

140
170
130

MeS61

607,632,646

MC961

607,632

25

33

MC861
MC862
MC862

603
607,646
603

MC961

MC962
MC962

603
607,632
603

25
30
30

33
33
30

resistor)
Dual 2·lnput NAND Gate plus Inverter

MC863

607,646

MC963

607,632

25

50

(2 k pullup resistor)
Dual 6-lnput NAND Gata
Dual 5·lnput NAND Gats (2kpullupresistor)
Expandable a-Input NAND Gate

MC863
MC1800
MC1801
MC1802

603
607,632,646
607,632,646
607,632,646

MC963
MC1900
MC1901
MC1902

603
607,632
607,632
607,632

25
30
25
30

45
22
33
11

MC1803
MC1804
MC1B05
MC1806
MC1807
MC1808
MC1809
MC1Bl0
MC1811
MC1812
MC1813
MC1814
MC1815
MC1816

607,632,646
607,632,646
607,632,646
607,632,646
607.632,646
607,632,646
607,632,646
607,632,646
607,632,646

MC1903
MC1904
MC1905
MC1906
MC1907
MC1909
MC1910
MC1911
MC1912
MC1913
MC1914
MC1915
MC1916

607,632
607,632
607,632
607,632
607,632
607,632
607,632
607,632
607,632
607,632
620
607,632
607,632
607,632

25
30
25
35
30
35
30
30
25
40
35
35
40
40

16.5
11
16.5
72
85
97
115
60
72
120
220
220
65
75

MC1818
MC1820

607,632.646
632,646

MC1918

607,632

30
40

32
42

separateSe. 2 k pullup resistor)
Oual J-K Flip-Flop (separate clock and SO.
no CO. 2 k pullup resIstor)
Quad 2-1 nput Buffer
Quad 2·lnput NAND Power Gate
Expandable Dual 4·lnput NAND Gate
(2 k pullup resistor)

Expandable Dual 3·2 Input NAND Gate
(2 k pullup resistor)
Triple 3-lnput NAND Gate

Oual 2-lnput NAND Gate plus Inverter

MC957

7
8
B

Triple 3-lnput NAND Gate (2 k pullup

Expandable a·lnput NAND Gate
(2 k pullup resistor)
10-lnput NAND Gate
10-lnput NAND Gate(2kpullup resistor)
Quad 2-lnput AND Gate
Quad
Quad
Quad
Quad
Quad

2-lnput AND Gate(2kpullup resistor)
2-1 nput 0 R Gate
2-lnput OR Gate (2k pullup resistor)
2-lnput NOR Gate
2-lnput NOR Gate (2kpullup rasistori

Quad 2-lnput Exclusive OR Gata
Quad Latch
Quad Latch
Parallel Gated Clocked Flip-Flop
Parallel Gated Clocked Flip-Flop
Quad 2-lnput NAND Gate (without
output resistor)
High Voltage Hex Inverter

CD

®

607,632,646
620,648
607,632,646
607,632,646
607,632,646

MC1908

7
B
7
B

8
8
7
8
7
B
7
8
7
7
12/10~
11/9 ®
8

F suffix oenotes Ceramic Flat Pack aVe, G suffiX denotes Metal Can, L suffix denotes Dual In-Line Ceramic Package. P suffix denotes
MC830G. M.tal Can. MCB30F oc Flat Package. MC830L = Dual In Line CaramlC Pack ave.
Dual In-Line Plastic PackaVe
MC830P = PlastiC Packagel
Fan·out for MC830 seuas typal Fan·out for MC930 sene' type.

el .•..

Numbers at ends of terminals represent pin numbers, Numbers in parenthesis indicate loading.

MC830/MC930
MC861/MC961
Expandable Dual 4-lnput NAND Gate
(1)
(11
(11
(11

1B""""
"''"B
(1)

9

(1112

603
646

Gnd*
Pin No,
5
7

607
648

7
8

620
632

8

Case

@ Ma,lumum counting frequency.

r-GATES

·Unless otherwise noted

MC830G/MC930G
MC861G/MC961G
Expandable
Dual 3-2 Input NAND Gate
I
11 l
2 l l = : [ ) - 4 (8) (7)'
(11 3
(l)B==L{-

(ll~

6(8)(7)'

6·· 1 • 2' 4· 5. (3)
·Applies to MC86l/MC961

10
14
14
16
16
14

MC844/MC944
Expandable
Dual 4-lnput Power NAND Gate
(1)
(1)
(1)
(1)

lB'"''
""B
(1) 10
(1) 12
(1) 13
11

8(8)(7)'

(11 13
11

7

Vcc'
Pin No.

B (27)

4"~
'Appliesto MC861G/MC961G

6"~~

(continued)

5-188

~ @l?[1

LOGIC DIAGRAMS

GATES ( c o n t i n u e d l - - - - - - - - - - - - - - - - - - - - - - - - - - - . . . . ,
MC844G/MC944G
Expandable
Dual 3-2 Input NAND Power Gate

(1)1==C?(1) 2

':=[J4:=[J(1) 9:=[J(1)
(1)

4 (27)

(1) 3

(1)8~

(1):~

MC846/MC946
MC849/MC949
Quad 2-lnput NAND Gate

6(27)

2

(1)

3 (8) (7)'

6 (8) (7)'

5

(1)

8 (8) (7)'

(1) 10

(1)12:=[J-

11 (8) (7)'

(1) 13

MC858IMC958
Quad 2-lnput NAND Power Gate

(')"~

(1)2~3

(1)65~

(1)~

4

(27)

(27)

(1)8~
(1) 9~'0(27)

(1)12~

(')'3~"(27)-

• Applies to MC849/MC949

MC862/MC962
MC863/MC963
Triple 3-lnput NAND Gate

MC1800/MC1900
MC180l/MC190l
Dual 5-lnput NAND Gate

MC862G/MC962G
MC863G/MC963G
Dual 2-lnput NAND Gate
Plus Inverter

(1)
(11
(1)

3==C>-4
6 (8) (7)'

5

(1) 9 = = C > - (1) 10
8 (8) (7)'
(1)11

(1)2:=[J-

(1)1~
2

(1)
4 (8) (7)'

(1)3

(1)7:=[J-

6(8)(7)'

(1)8

(I)
(1)
(I)

3

6 (8) (7)'

4

5

(1)9~
(1)10

(1) 13 = = C > - (I) 1
12(8)(7)'
(I) 2

(I) l - { : > o - - 9 (8) (7)'
4

0

(1) 11
(1)12
(1) 13

8 (8) (7)'

"2""73

60~

6'-2,3,4-5

9=1

• Applies to MC863/MC963

• Applies to MC863G/MC963G

• Applies to MC1801/MC1901

MC1802/MC1902
MC1803/MC1903
Expandable 8-lnput NAND Gate

MC1804/MC1904
MC1805/MC1905
10-lnput NAND Gate

MC1806/MC1906
MC1807IMC1907
Quad 2-lnput AND Gate

1
2
4

(11
(11

1
2

(I)
(11

(1)

(1)

5

(I)

3
4

(1)4~

(1)

9

(1)

5

(1)
(1)
(1)

(1) 10
(1) 12
(1) 13
11

8

0

(1)

8 (8) (7)-

9
(I) 10
(1) 11
(I)

1--1\_

2~3(8)(7)'

5~6(8)(7)'

9=0-

(11
(11 10

8 (8) (7)'

(1I'2~'1(8)(7)'

(11 12
(1)13

(1)'3~

"2,4'5,9"0,'2,,3,[,,1

'Applies to MC1803/MC1903

• Applies to MCleOS/MC1905

• Applies to MC1807/MC1907

(continued)

5-189

•

I

LOGIC DIAGRAMS

GATES

(continued)-----------------------------w
MC18121MC1912
Quad 2-lnput Exclusive OR Gate

MC1810/MC1910
MC1811/MC1911
Quad 2-lnput NOR Gate

MC1808/MC1908
MC1809/MC1909
Quad 2-lnput OR Gate

(21'~_
1212~3(BI
2~3(BI(7I'

1215~61BI

III

(1)4~ . . .

(11

(214~_

(11'~
2~3(BI(7I'

1'1'~ __

(11

1219=0-

(114~

5~6IBI17)'

111

5~6(BI(7I'

121 10

12112~_

1119~

1119~

B IBI

(1110~SIBI171'

11110~B(81(71'

(1112~

11113~"IBI(7I'

(1112~_
111 13~11 IBI171'

3'" 1 + 2

3=~

121'3~'1IBI
3"'1.2~1.2

FUNCTIONAL LOGIC DIAGRAM

• Applies to MC181 l/MC1911

• Applies to MC lS09/MC 1909

MC1818/MC1918
Quad 2-lnput NAND Gate
(Without Output Resistors)

DRIVER------------

(11
(11

MC843G/MC943G
4-lnput AND Driver with NOR Strobe

(1)

"AND"
HIGH LEVEL
6 (251
B

1111
(11 2
(11 3
(11 4

:==L)-3

(11
:==L)-6

1119==L)(1) 10
B

(BI

(S)

(S)

11112=L>11 (S)
(1) 13

9 (11
STROBE

7
3=~

MULTIVIBRATOR'--------------------.
MC851/MC951
Monostable Multivibrator
F,L,P, PACKAGES

GPACKAGE

Vee"" Pin 6, Gnd = Pin 1
9

121
(2)

10

11

:~Lhp~~:

2

(10)

(21

(10)

5·190

3

4

::gLhp~~,:

(10)
1101

[iYiJ [QYIT [S

LOGIC DIAGRAMS

FLiP-FLOPS-------------------~
MC831IMC931
MC845/MC945
MC848/MC948

Clocked Flip-Flop
F.L. & P PACKAGES
(0)

G PACKAGES

10

(2/3)

3

(2/3)

4

(0)

CP

(2)

2

(2/3)

12

(2/3)

11

(0)

5

6

(

9

(

T

..
..

• So and Co loading factor: -% for MC831/MC931 types
2 for other clocked flip·flops

7

(213)

2

)

(2/3)

3

4

(.. )

)

(213)

9

6

( .. )

(2/3)

8

•• Q and

Q loading factar:

(2)

7
12
10
11
9

for
for
for
for
for

MC831/MC931 types
MC845 types
MC945 types
MC848 types
MC948 types

J-K TRUTH TABLE
SYNCHRONOUS TRUTH TABLE

t.
S,
0
0

x
x
0
X
1
1
1

a-

(Connect 52 to
tn+1

S,

C,

C,

Q

X

0

X

a.

x

x

0
0
X
0
1
1
1

0

1

0
X
0
1
1

0
X
1

X
0
1

x
1

0,

tn+1

S,

C,

Q

0
1

0

a.

0
1
1

1
0

a..

a.

0
1

Q.

0
0
1
1

n.

ASYNCHRONOUS TRUTH TABLE

So

Co
1
1
0
0

1

0
1
0

U

1X-

Low State (more negative)
High State (mor~ positive)
State of the Input does not affect the state of the

U-

I ndetermlnate State

MC852/MC952
MC855/MC955

(2/3)

3

(4)

1

ASYNCHRONOUS TRUTH TABLE
MC952/MC852 and MC955/MC855

--;::::==l-...,
6 (0)

(2/3)

5 (0)

So
1
0
1
0

Co
1
1
0
0

(2) 10

8 (')

(2/3) 12

ii

NC

NC

1

0
1
1

0
1

Q

Q

NC

NC

Asynchronous inputs, direct set (So) and direct clear
(CD), override the synchronous inputs: they are Independent of all other Inputs.

9 (')

t.

and Q loading lector:
12
10
11
9

-

MC852
MC952
MC855
MC955

1
0
1

0
1
1

•

J
0
1
0
1

Dual J-K Flip-Flop

(2)

4

(2/3)

3

(2)

1

(2/3)

2

6(")

5(")

(2) 10
B(")

(2/3) 11

12) 13
(2/3) 12

J-K TRUTH TABLE
All Types

(4) 13

.Q

Q

ASYNCHRONOUS TRUTH TABLE
MC953/MC853 and MC956/MC856

So

(2{3) 11

ii
NC

MC853IMC953
MC856/MC956

Dual J-K Flip-Flop

4

Q

NC

Asynchronous Inputs. direct set (Sol and direct clear
(Co), override the synchronous inputs; they are inde·
pendent of all other Inputs.

CI(CUIt.

(2)

C2 to Q)

t.

9(")

tn+t

K
0
0
1
1

Q
Q.

1
0

n.

J & K inputs must not change while clock Is high.

• *Q and

Q

12
10
11
9

-

loading factor:
MC853
MC953
MC856
MC956

(continued)

5-191

~ [IDIJ [1

LOGIC DIAGRAMS

FLlp.FLOPS

(continued)-------------------------.
MC1814/MC1914

MC1813/MC1913

Quad Latch

Quad Latch
FUNCTIONAL LOGIC DIAGRAM
(21

C 0.

4 171

(21

1

C

(21

D Q

3 (71

(21

2

D

Q

3

(71

5

5 (71

C

(1

4

(71

D Q

6 171

D

Q

5

(71

C

l)

10 (71

8

D

Q

9

(2113

C

(2) 12

0

Q

11 (71

C

(21

7

(21
Information present at the Da
ta Input 0 IS transferred to
the Q output when the clock
IS high, and the Q output will
follow the state of the Data
input as long as the clock re
mains high. Information pre
sent at the Q output Will be retained as the clock goes low

11(71

(2)

10 (7)

9

(2) 15

C

Q

12171

until such time as the clock 15
(2) 14

permitted to go high.

13 (7)

D Q

6

TRUTH TABLE

tn

tn+1

D

Q

1

1

0

0

I

Q

O

(21

0

I

• As applicable
(see loading diagram)

MC1815/MC1915
MC1816/MC1916

•

Parallel Gated Clocked Flip.Flop
(2/3)

2

(2/3)

3

(2/3)

4

(2/3)

5

(31

6°

•a

13--------oj

(2/3)

9
80

(2/3110

and Q loading f~ctor
12 - MC1815
10 - MC1915
11 - MC1816
9 - MC1916

(2/3111
(2/31 12

(21

J·K TRUTH TABLE
SYNCHRONOUS TRUTH TABLE
Co

C3

C4

Cl

tn
C2

1

0

0

0
0

0
0

1
1

1
1

0
0

0

1
1

1
1

0
0

0

1
1

1
1

0
0

0
0

1
1

1
1

1
1
1
1
1
1
1
1
1
1
1
1
1
1
1

o-

1

1

0

0

1

1

0

0

1

1

0

0

1

1

0

0

1

1

0

0

1

1

0

0

1

1

0

0

1

1

0

0

Low State (more negative)
1 - High State (more positive)

(Connect S2 and 54 to

a. C2 and C4 to Q)

S3

S4

S1

S2

a

S1

S3

tn
C1

0
0
0
0

0
0
0
0

0
0
0
0

0
0

an

0

0

0

0

0
0

0
0
0

1
1
1
1

1
1
1
1

0
0

0
0

0
0
0
0

U
U
U

0
0
0
0

0

1
1
1
1

1
1
1
1

1
1
1
1
1
1
1
1

1
1
1
1
1
1
1
1

tn+l

0

0
0

1

1
U
U
U

1
U
U
U

NC - No Change
U - I ndeterminate State

5-192

171

1

tn+1
C3

Q

an

1

1

0

0

1

0

0

1

1
1

0

1

1
1

On

ASYNCHRONOUS
TRUTH TABLE
Co

Q

Q

1
0

NC

NC

0

1

Asynchronous input. direct
claar (CO), overrides the synchronous inputs. Clocked operation will occur only when

Co is in the High State.

[l1][IDl:J[1

LOGIC DIAGRAMS

~INVERTERS----------------------------------------~
MC834/MC934

MC835/MC935

Hex I "verter

Hex Inverter
(Without Output Resistors)

III

1~6

lSI

III

2~3

lSI

III

5~4

lSI

III

9~101SI

11I12~11

11113~S

lSI
lSI

6'=1

III

1 - - [ : > - 2 lSI

III

3 - - [ : > - 4 lSI

III

5 - - [ : > - 6 lSI

III

9 - - [ : > - 8 (SI

(S)

9---{>-S

(SI

(11

3 - - [ : > - 4 (S1171'

(11

5 - - [ : > - 6 (S1171'

(11

9--[:>-S

lSI 171'

2""1

* Applies to MC837/MC937

f

MC841/MC941
Hex Inverter
(Without Output Resistors
and I nput Diodes)

1---{>-2
3---{>-4
5---{>-6
9---{>-B (B)
11 ---{>- 10 (B)

(B)

5---{>-6

1 - - [ : > - 2 181171'

11113--[:>-12 IS) (7)'

1 - - - { > - 2 (S)
(S)

(11

1' 1'3--[:>-'2(81

(B)

3---{>-4

Hex Inverter

(1111--[:>-10 (SI 171'
III 1 1 - - [ : > - 1 0 lSI

2=

MC840/MC940
Hex Inverter
(Without Input Diodesl

MC836/MC936
MC837/MC937

r

BUFFERS----MC832/MC932
Expandable Dual 4-lnput Buffer

;;; ! B 6 ( 2 5 )
(1)

(8)

11---{>-10(81

3

l;::~BS(25)
(1) 13
11

1 3 - - - { > - 12 (8)

13~12(8)

2

~

5

6=~~

1

2"'1

MC832G/MC932G
Expandable Dual 3-2 Input Buffer

(11

(1)

( 1 ) 1 = L » - 4(25)
(112

MC846G/MC946G
MC849G/MC949G

MC1820
High Voltage

Quad Inverter

Hex Inverter
(Without Output Resistors)

(1)

1---{>-2

(7)

(1)

3---{>-4

(7)

111

5---{>-6

17)

111

9---{>-a

17)

' - - - { > - 2 ( 8 1 (7'·
3 - - - { > - 4 (8)

(1) 3

(7)"

(1) 7 - - - { > - 6 ( 8 ) (7)"
(1)

1 1 - - - { > - 1 O (7)

(1) 9 - - - { > - a (8) (7)·

2=1

:::~g6(25)
4=~

MC857/MC957
Quad 2-lnput Buffers

(')2'~-3

(25)

(1)65~4

(25)

(1)~
(1)~

(1)S~
(1) 1 3 - - - { > - 1 2 (7)
20;1

• Applies to MC849G/MC949G

5-193

(1)

9~'0 1251

( 1 ) 1 2 = C } - 11
(1) 13

(25)

•

[f'i] @lY[b

LOGIC DIAGRAMS

COUNTERS--------------------------------------.
MC838/MC938
Decade Counter
(1.5)

(8)

3501 40 1

(1.5)

(8)

1250211Q2

(1.5)
(8)
10503 90 3

(1.5)

(8)

5504 6°4

OECOOING LOGIC
Q,

0
1
2
3
4
5
6

(1) CP 1

____________

~

____________

~

__________

Q.

ii,

Q,

Q,

ii.

Q,

Q,

ii,

ii.

Q,

Q.
Q.
Q.
Q.

Q,

ii,

7

(5)C02~--------~

Q,
Q,

Q,

Q,

Q,

Q,

a

ii,

9

Q,

ii,
Q,
Q,

Q.
Q.

~

MC839/MC939
Divide·by-Sixteen Counter
DECODING LOGIC
(1.5)
IS)
3501 4 01

11.5)
18)
12502 11 °2

11.5)
18)
10503 90 3

(1.5)

(S)

5504 6 °4

0
1

Q,
Q,

Q,

2

Q,

3
4
5

Q,

Q,
Q,

I!'
a.

ll,
Q.

Q.

ii.
ii.

ii,
ii,
Q,
Q,

Q.
Q.
Q,
Q.

a

ii,

ii,

Q,

Q,

ii.

9
10
11
12
13
14
15

Q,

Q,
Q,

6
7

(1) CP 1

(5)C02~--------~------------~-----------~~----------~

Q,

Q,

Q,

ii,
Q,

Q,

Q,
Q,

Q,
Q,

Q,

Q.

Q,

Q,
Q,

Q.
Q,

Q,
Q,

Q.
Q,

ii.
ii.
ii.
ii.
ii.
Q.
Q.
Q.
Q.
Q.
Q.
Q.
Q.

EXPANDERS----------------------------------------~
MCB33/MC933
Dual 4-lnput Expander

(1)2~~
3
-'~4

(1)
(1)
(1)

6
5

93i=J

(1)
(1) 10
(1) 12

':.
- 11

MC833G/MC933G
Dual 4-3 Input Expander

(1)10~
1
~,

(1)

-~2

(1)
(1)

3
4

(I!

6:=[)'

Ih

MC847IMC947
Quad 2-lnput Gate Expander

(1)
(1)
(1)

32 D ';0"'1

4=O-~_~1.6

(1) 6

~

-:'8

(1)

9=0-""

(1) 10

--·8

(1)II=O-~'~
-- 13

(1) 13

(1) 12

5-194

LOGIC DIAGRAMS

PULSE TRIGGERED B I N A R y - - - - - - - - - - - - - - - - ,
MC850/MC950

Pulse Triggered Binary

SYNCHRONOUS
TRUTH TABLE

I.
F.L. & P PACKAGES
(1.5) 1 3 - - - -.....

S,
0

S,
0

C,
0

(1.5)

4

1
X

(100pF)

5

X
1
1

(100 pF)

6

1
X
1
X
1
0
0

--=-.. .

r"""F::-'

3 (8) • (10)

11 IB) • (10)

(1.5) 10
(1.5)

1 _ _ _ _..J

(1.5)

9

(1.5)

3

(100pF)

4

0
0

0

0
1
X

0
X
1

ASYNCHRONOUS
TRUTH TABLE
tn+1

s.

Q

1
0
1
0

c,
0
X
1
0

1
X
0
0

U

a.
a,
a,
1
1
0
0

C.
1
1
0
0

a

a

NC

NC
0

1
0
1

1
1

0= low state (more negative)
1 = high state (more positive)
X = don't care
U = indeterminate state
NC = no change

SINGLE TRIGGER
TRUTH TABLE
(Pins 52 and C,

tied together)
I,

S,
0
1
0
1

tn+1
C,

Q

0
0

U
0

1
1

a,

1

G PACKAGE

(100pF)

5

(1.5)

7

(1.5)

2 (8) • lID)

BIB) • (10!

G Pkg: Vee = Pin 10, Gnd == Pin 6
• Applies to MC950

•

5·195

MEDIUM POWER
INTEGRATED CIRCUITS
MC700 series (+15 to +5fiOC)
MCsaO series (0 to +75 and 0 to +1000C)
MC900 series (-55 to +1250 C)

Medium-power MRTL integrated circuits provide a broad line of loW-COst, multi-function,
digital circuits. Typical gate speed is 12 ns, with
power dissipation averages of 19 mW (input high)
and 5.0 mW (inputs low) per logic node. Devices
from the MC700 Series have loading factors
normalized for compatibility with the low-power
mW MRTL devices for ease in mixing the two
power levels in a system.

iii

II

GSUFFIX

GSUFFIX

PSUFFIX

METAL PACKAGE
CASE 601

METAL PACKAGE
CASE 603·02

PLASTIC PACKAGE
CASE 646

TO-99

TO-lOa

TO-116

FSUFFIX

FSUFFIX

PSUFFIX

CERAMIC PACKAGE
CASE 606

CERAMIC PACKAGE
CASE 607
TO-S6

PLASTIC PACKAGE
CASE 648

TO-91

FUNCTIONS AND CHARACTERISTICS
(VCC = 3.0 V ± 10% for MC900 Series and MCBOOF, G Series; 3.6 V ± 10% for MC800P Series and MC700 Series, T A = 25°C)
..

-

'.-

• 1510

" F~tion

•
..

<55"c

-

IIII~'

c_

O.
.' .'1lI"C

ca.

Buff.r
Counter Adapte'
A·S Flip·Flop
3-lnput NOR Gate
Half Adder

MC702
MC703
MC704

Half-Shift Register
Half·Shift Register {w/o Inyerter}
4-lnput NOR Gate
Dual 2·lnput NOR Gate
Duel 3·lnput NOR Gate

Me706
MC707
MC714
MC715

601,606
601,606
601,606
603,606,646 MCB15

J-K Flip·Flop
J-K Flip-F lop
Qued 2·lnput NOR Gate
Duel 4-lnput NOR Gate
J-K Fllp·Flop

MC723
MC724.A
Me725
MC726

MCB16
601,606,646
MC824,A
607,646
607,646
MC825
603,606,646 MC826

Quad Inverter
5-lnput NOR Gate
Quad Exclusiye OR Gate
J-K Flip-Flop
Dual Half-Adder

MC727
MC729
MC771
MC774
MC775

603,606
601,606
607,646

MeB71

646

607,646

MCB75

646

Binary Up Counter
1 J-K Flip-Flop, 1 Expander,2 Buffers
Decade Up Counter
Dual Half-Shift Register
Dual Half·Shlft Register Iw/inyerter)

MC777
MC779
MC7Ba
MC7B3
MC784

646
646
646

MCB77
MCB79
MCSBO
MC8S3
MCB84

Quad 2·lnput Expander
Dual 4·lnput E xpande,
1 J-K Flip·Flop, 1 I nverter, 2 Buffers
Dual3·lnput Buffer, non·inverting
Hex Inve,ter

MC785,A
Me7B6
MC?B7
MC7BS
MC789,A

Dual J·K Flip·Flop
Duel J·K Flip·Flop
Triple 3·lnput NOR Gate
Serial-Parallel Shift Aeglner
Dual Full Adder

MC?90
MC?91
MC192
MC794
MC796

646
64G
646
646
646
646
646
646
646
646
646
646
646
646
646

Dual Full Subtractor
Dual8uffer
Dual 4·Channel Data Selector
Dual J·K Flip·Flop
4·81t Parallal Full Adder
Oual4·Channal Data Distributor

MC797
MC799
MC9701
MC9702

Quad Schmitt Trigger
Quad 2·lnput AND Gate
Qued 2·lnput NAND Gate
Quad 2 Input OR Gate
Hex Expander

MC9709
MC9713
MC9714
MC9715
MC9719,A

A

Me700
Me7D1

Me7DS

MC9704
MC9707

601,606
601
601
601,606
601,606
601,606

607,646
607,646

646
607,646
607,646
607,646
607,646
607,646

646
607,646

OtO .

+1GO"C

MC885,A
MCBB6
MeBB7
MCBSS
MCBB9,A
MCS90
MCS9l
MC892
MC894
MC096

and'

601
601
601,606
601,606
601,606
601,606
601,606
601,606
603,606

607.646

CD G

601,606
601
601
601,606

MegDS

601,606
601,606

MC90S
MegO?
MC914
MC915

601,606
601,606
601.606
603,606

601,606 MC916

601,606

MC924
MC925
603,606 MC926

607
607

MC827
MC829
MC871
MC874
MCS7S

603,606 MC927
603,606 MC929
Me97l
607
601
MC974
MC975
607

607
607

MeS83
MC884
MCB8S
MCSS6
MCBSS
MCB89
MCB90
MC891
MC892

603,606
603,606
601,606

607
601
607

607
607
607
607

MC9S3
MC984

607
607

MC985
MC9B6

607
607

607
607
607
607
607

MC9BS
MC9B9

607
607
607
607
607

MC990
MC991
MC992

MC996
607
MCS96
607
MC997
607
646 MCB97
607
646 MCB99 603,606 Me999 603,606
648
64.
648
648
646
MC9B09
Me9S13
646
MC9814
646
646
MC9815
Me9919
607
MC9819,A 646 MC9819
607

.. suffIX deVIces have Insured capabllltv to dnve at least one MTTL load or two MDTL loads

Suffix denotes Metal Can, F suffix denotes Flat Package, P sufftx denotes Plastic Package.

® Inputs High/Inputs Low
@)

MegOl
Me9a2
MC903
MC904

646 MC824
646 MC825
646 MC826

607,646
MCS97
603,606.646 MCS99
MC9801
648
646
MC9802
648
MC9804
648
MC9807

646
646
646
646

Only Clock Inputs Highllnputs Low

@Only Clock Input high on flip·flop, other alement Inputs High/Inputs Low

® Operating Frequency (MHz)

5-196

.... _.0

MC1OO.

ca.' +125"c . CIoo
601,606 MC90D

646
646 MC816

" - ' Diaipallon
toWtyp

",,-

-OUtput

-55 ....

MeaOl

MeSQS
MCa06
MeS07
MC814
MCB1S

T....

- - ., - -

McsaD

MeS02
MeS03
MC804

601

607,646
607,646

-

MC800

...

OUtput

~

TYPO~\p

T_,.g;

TYPo G)

.. TVlIOG)
. MC10D

..

-.

toW
MATL·
80
16
13
16
16
13
13

,.
16
16

MRTL ...yp
25
5
4
5
5
4
4
5
5
5
3

10
16
16
16
16
16
16
16
16
10

-

-

-

10
13
13

3
4
4

-80
16
10
16
16
16
16
16
80

,.

10
6
16
16
16
16
16

-

M~··

WillI

5
5
5
5
5
5
5
5
3

-

25
5
3
5
5
5
5
5
25
5
3
2
5

•
5
5
5

-

20
22
14
12
14
22
22
12
12
12
30
30
12
12
35
12
12
12
3.
20

-

22
22
12
12

-

24
12
35
40
12
55
60
60
15
2'
35
125
2.
30

21~
14
14
12

25/50 ~

80
32
28/7.5 ~
65
75
52

~

3017,5
50115

55/15
91179
91179
100/30

i

60/15
100/86

~

87/30

3317.5

16/45

~

55
22
19/5.0 @)
45
53
3.
19/'.0

3B/10
38/10

$

62~54

@

76/20
38/10
130/65

i$

76/20
19/5,0

28
72
100/B6 @ 130/65
120
90
180
141/124@
250
140
110
100
75

@

-

20/-

'i

20/
138/132
A
145/56
130/15

1821158

~

22S
225
225

2B/loo
13/-

1241108

155/130
57/15

~

$
~

190
190

~

100
182/158@
265
150
95
100
145

17176/20

190/160 3
82/24

50/90

17/

128/42

$

32/90

-

~

-

--13/-

~

~ mTI 11

lOGIC DIAGRAMS

vary with the package types. The alpha pin designations
shown on the logic diagrams, used in conjunction with the
Package Information Table (following the logic diagrams),
make it possible to ascertain pin numbers for a specific
device and package.

The numbers in parenthesis indicate loading factors for
medium-power MRTL devices. The numbers at the end of
the terminals indicate the normalized loading factors used
for compatability with the low-power mW MRTL devices
when mixing the two power levels in a system. Pin numbers

GATES------------------------------------------~
MC714, MCB14, MC914
Dual 2-lnput NOR Gate

MC707, MCa07, MC907
4-1 npu t N DR Gate

MC703, MCS03, MC90J
3-lnput NOR Gat.

b( 113
c (II 3

b(113~

c (11 3
d(113

b(1)3==:[>c(113
16(51h
d (11 3

16(51h
d(1 13

9 (1 I 3

9 (11 3

=D=D-

16 (51 i

16 (51 h

h=b+c+dl-g

MC715, MCa15, MC915
Dual 3-lnput NOR Gate

MC724,A, MCB24,A, MC924
Quad 2·lnput NOR Gate

MC725, MCS25, MC925
Dual 4-lnput NOR Gate

a(113~16(5IC

b (113

b(113~

b ( 1 1 3 = = : [ > - 16 (51 c
! (113
m(113

f 111 i

f ( 113

(113

= = : [ > - 1 6 (5) j

j

( 113
(113

! ( 113
m(113

=D=D=D-

C(113~
m (113
16 (51

16 (51e

!

n (1) 3
e (1) 3

~:::~~-16(5)j

16 (51 h

(113~

16 (51 n

I==b+c+m+n

MC729, MCS29, MC929
5-lnput NOR Gate

MC792, MC892, MC992
Triple 3-1 nput NOR Gate

Mcn1, MC871, MC971
Quad Exclusive OR Gate

a(2)5~
16151 c

b(113~
c (I) 3
16 (51 i

d (II 3
9 (II 3
h II) 3

a (113==:[>b(1)3
16151c
n 1113

fll)3~.

~!11~~'6(5Ie

b (2) 5

f

(2) 5

--.-01

j (1)3==:[>I (I) 3
16 (5) i

mil) 3

16(5) •
i==b+c+d+g+h

c=a+b+d

9 1215-~--~__/

(2)5~_1615)

h

1215~
11215--..-Q16(5) n
m (2) 6--...........0(_

(continued)

5-197

•

~ lliI:J 11

lOGIC DIAGRAMS

GATES ( c o n t i n u e d ) - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ,
MC9713, MC9813
Quad 2-lnput AND Gate

MC9714, MC9814
Quad 2-lnput NAND Gate

a (1)
b (1)
f

•

:==:C=:>--16 (5)

C

f (1)

(1)

9 (1)

:==:C=:>--16 (5) e

(1)

i

J (1)
I (1)
mil)

(1)

bll)

9 (1)
i

:==:C=:>--16 (5) h
: ==:C=:>--16 (5) n

(1)

i (1)
1(1)
m(l)

:==:[J--16
:==:[J--16
:==:[J--16
:==:[J--16

c=aeb

MC9715, MC9815
Quad 2-lnput OR Gate

a (1)
(5)

C

b (1)

: = = L : > - 1 6 (5)

C

f (1)

(5) e

9 (1)

: = = L : > - 1 6 (5) e

i (1)

(5) h

i (1)

: = = L : > - 1 6 (5) h

1(1)

(5) n

m(1)

: = = L : > - 1 6 (5) n

c=a+b

c "" ae1)

BUFFERS----------------------------------------~
MC700, MC8DD, MC9DD
Buffar

•

MC799, MC899, MC999
Dual Buffar

MC788, MC888, MC988
Dual 3-lnput Buffar
10 (3)

]~;

9 80 (25)

(2) 6

d

i ==

a(l) 3
bpI 3
C 1) 3

n

~16(5)m
80(25) I
f (2) 6

fe(1)3~
(1) 3
80(25) i
9 (1) 3
16 (5) i

10(3)

a

g=d

n=~
m=a+b+c
I-a+b+c

h

'1>Ic:

i
80 (25) e

m'''.~ 80 (25) c
16 (5) b

I

J=f

e=1

INVERTERS---------------------------------------,
MC727, MC827, MC927
Quad Inverter

MC789,A, MC889,A, MC989
Hex Inverter
h (1) 3 - - - { : > o - - - 1 6 (5) 9
, (1) 3 - - - { : > o - - - 1 6 (5) f

• (1)3 - - - { : > o - - - 1 6 (5)

(1) 3 - - - { : > o - - - 1 6 (5) e

b (1) 3 - - - { : > o - - - 1 6 (5) h
i ""

8=n

i

( 1 ) 3 - - - { : > o - - - 1 6 (5) 9

I (1)3---{:>o---16(5) c

d (1) 3 - - - { : > o - - - 1 6 (5) f

m (1) 3 - - - { : > o - - - 16 (5) b

C

n (1)3---{:>o---16(5) •

5-198

~ ill lJ [!,

lOGIC DIAGRAMS

FliP-FlOPS----------------------,
MC723, MC8l6, MC9l6

MC726, MC826, MC926

J-K Flip-Flop

J-K Flip-Flop

,'"'y'''''
b 121 5

c(113

I (11 3
CLOCKED INPUT OPERATION0
In-ft@

tnC!)

T

S

CCOQ

I
I
0

10(31.

i (113

m(113 --C 5 SOQ

Q

Q

I
0
I

Q,@

Q,

I
0

0
1

Q.

Q.@

c (113 --C CCOQ
i

(1) 3

tion is denoted

2. The time period prior to the negative tran·
sitien of the clock pulse is denoted In and
the time period subsequent to this transi-

tion is denoted 1,,+ I.

c (1)3

CCoC

16(51.

f (1) 3

0
I

=

C
1
0
I

S
1
1
0
0

tn+l@

ij
Q,

Q
Q.®

a

1
0

0
1

Q,

Qn®

1. Direct input (CD) must be low_

period tn.

Q.

Q.@

a
I
1

I

Q

ii

0

0

I
0
I

0
1
1

=

Dual J-K Flip-Flop

t.,(!)

3. On is the state of the Q output In the time

0
1

MC790, MCB90, MCGGO

CLOCKED INPUT OPERATIONQ)

2. The time period prior to the negative transition of the clock pulse Is denoted tn and
the time period subsequent to ttl is transi·
tion Is denoted t..+ I.

CD
0

a

5. The output state will not ch!!,.nge when the
Input state goes from So
Co to So =
Co = O. The output state cannot be predetermined 1M the case where the input
goes from So :::; CD
1 to So :::; CD
0_

J-K Flip-Flop

.''''y''''''

So

4. Clock (T) to remain unchanged.

MC714, MC874, MC974

T

a

I

DIRECT INPUT
OPERATION0

tH I,

=

Q,0

a
a a

3. Qn is the state of the Q outDut in the time
period tn,

3. Qn is the state of the Q output In the time
period t ...

b (2) 5

I
I

ii
ii.

Q

C
I
0
I

S

r-- 16 (51 f

1. Direct inputs (Co and So) must be low.
2. The time period prior to the negative tran·
sition of the clock pulse IS denoted tn and
the time period subsequent to this tranSI'

1. Direct input (Co) must be low.

tn,'@)

1.(1)

b (21 5 --C T

C

a a

CLOCKED INPUT
OPERATlON0

r-- 16 (51 j

'''''y'''''"
' ' 'U' ' '
b(215

T

.(113

CCOQ

CLOCKED INPUT OPERATION(!)

1(1) 3

f (2) 5

T

0(11 3

C Co 0:

i

(each Flip-Flop)

10(31n

tn@

S
1
1
0
0

tn+l@
Q

ii

Q.®

Q.

I

I
0

0
1

a

Q.

Qn®

C
1

a

10 (3) h

(1) 3

1_ Direct input (CD) must be low.

2. Ttle time period prior to the negative transition of the clock pulse is denoted tn and
the time period subsequent to this transi·
tion is denoted tn+ I.

NOTE,
Clock pulse fall time must be within the range
of 10 ns to 100 ns on all J·K Flip-flops except
MC926, MC826F, and MC826G which have a range
of 10 ns to 200 ns.

3. Qn is the state of the Q output in the time
period tn.

MC702, MCB02, MC902

MC79l, MC89l, MCGGl

R-S Flip-Flop

Dual J-K Flip-Flop

y"'' m
'''''y''''''
c (11 3

R

'"' 11'' '
c(113

S

Q

13(4).

S

Qn+

0

a

Q,

a

1

I

1

0

0

1

1

0

I

b (215

T

• (113

CCoQ

16 (51 n

1(1) 3

f (2) 5

T

g(113

CCOQ

16(5)h

I (1) 3

(continued)

5-199

~

rn lJ [1

LOGIC DIAGRAMS

FLlP·FLOPS (continued) - - - - - - ,

FULLSUBTRACTOR----------~

MC9702, MC9802
Dual J-K Flip-Flop

MC797, MC897, MC997

Duol Full Subtractor
(1) 3 (1) 3

C(I)3~Q 10(3)m
b (2) 5

T

a (1) 3

C Co

a

10 (3)

I (1) 3

0 ( 1 ) 3 l l = Q 10(3) i
f (2) 5
T

CCoQ

9(1)3

10(3)h

j (1) 3

CLOCKED INPUT

OPERATlON(j)
tn

I2l
C

I
0
0

1

C2>

tn+1

,S ,

,

Q

Q

a n@

On

0

,

On

a n@

t

0

0

0

16 (5)

Preclear Input {COl must be low

TRUTH TABLE

2. The time period prior to the negative transition of the

Input Logic Level Output Logic Level

clock pulse IS denoted tn end the time period subsequent

e,

to this transition IS denoted t n .1
3. an is the state of the

Q

output

In

I

the time penod t""

o
0= VXBj + YXBI + YXBj + YXBi
8 0 ;; YXBi + YXBj + YXBj + YXBi

4. Clock pulse fall time must be .::100 ns

SCHMITT TRIGGER----....,

COUNTER ADAPTER---------,

MC9709, MC9809

MC70l, MC80l, Me90l

Quad Schmitt Trigger

Counter Adapter

b~

c~16(ma

a (2) 6 -,--..,-........
16 (5) 9

.~.

f~16(5)9
b (2) 6

-t---\-........ ......... - ,
16 (510

i~

c (11 3 - - - - - - - '

I~

g=a+b

j~.-16(5)h

m~16(5)n

e"" (i+ b)

5-200

c

~ lliTI[1 lOGIC DIAGRAMS

FUllADDERS-------------------------------------,
MC796, MC896, MC996

Dual Full Adder
(1)3
b
A

(11 3
j

(1)3

(1)3

Co
S

MC9704, MC9804
4-Bit Parallel Full Adder

(0.75)
(0.75)
(0.75)
2.25
2.25
2.25
Y2
Y3
Y4
(0.75)
(0.75)
(0.75)
2.25
2.25
2.25
X3
X4
X2
p
I
k
b

(0.75)
2.25

Yl
(0.75)
2.25

Xl
n

q

Co

Cj

•

= ASCi

+ ASCi + ASCi + ASCi
+ ASCi + ASCi + ASCi

TRUTH TABLE
Input Logic Level Output Logic Level

Co

Cj
2.25
(0.75)

51
6 (2)

= ASCi

A

B

Cj

5

Co

0
0
0
0

0
0
1
1

0
1
0
1

0
1
1
0

0
0
0
1

1
1
1
1

0
0
1
1

0
1
0
1

1

0
1
1
1

0
0
1

i
52
6 (2)

HAlFADDERS------------------------------------~
MC704, MC804, MC904

MC775, MC875, MC975

Half Adder

Dual Half Adder

b(1)3~

j

.(113~
(1) 3
16 (51

n

b (113

c (1) 3

16 (5) j

d (1) 3
9 (1J 3

13 (4) h

= (b + c) (d + g)

h "" Ci'+"i

m

13 (4) I

c (113

IF: d=b.&g= C
THEN: h = b . c

O ( 1 ) 3 R s s = 16 (5)
f (1) 3

i

9 (1) 3

j

13 (4)

h (113

i=bec+b.c

IF: b=i.&c=ii
THEN: 1=8 .nl&m=a

5-201

.'O+i. n

•

~ rnTI [1

LOGIC DIAGRAMS

SHIFT R E G I S T E R S - - - - - - - - - - - - -_ _ _--,
MC705, MC805, MC905

MC783, MC883, MC983

Half-8hift Register

b(1)3@

e

(3) 9

MC784, MC884, MC984

Dual Half-8hift Register

: : : :::

d (1) 3

Dual Half-Shift Register
(without inverter)

~
a
13 (4) n
a(1)3@

B ( 1 ) 3 IaD 13(4) n

16 (5) h

IT

b(3)9

e

13(4)1

b (2) 6

(1) 3

IT

e (1) 3

13(4) I

16 (5) m

i - 9 (b + c)
g-T(e+d)

a
13(4) h
e(1)3@

MC706, MC806, MC906

Half-Shift Register
(without inverter)

1(3) 9

0 ( 1 ) 3 IaD 13 (4) h

_

a

9 (1) 3

I (2) 6

13(4) j

IT

9 (1) 3

13(4) j

16 IS) i

b ( 1 ) 3 I aD 13(4) i

e

(2) 6

a:

d (1) 3

13 (4) 9

n -

I

T (a + b)

n - I(a +

=n (c + b)

I

-

ii

(e

b)

+ b)

i:::: g(b + c)
9 - T (e + d)

MC794, MC894
Serial-Parallel Shift Registor

Parallel Inputs
A

Data A
(1) 3

Data B

j

aA
h

Sorial Input 9 (1)

Parallel Enable n (2)

Clock i (1)

(1) 3

16 (5)

30--+-------1

6

3o----q

Direct Clear a (4) 14

5-202

Data C

m

aB
I

(1) 3

Data 0
(1) 3
I

b

ac
16 (5)

16 (5)

aD
0

16 (5)

~ mTI [1

LOGIC DIAGRAMS

COUNTERS--------------------------------------~
MC777, MCS77
Binary Up Counter

DECODING LOGIC
0

(1) 3

(3) 10

(1) 3

(3) 10

(1)

(3) 10

(1) 3

(3) 10
h

SDl Ql

c (1)

T

T

T

T

I (3) 9-

Direct Clear

MC7S0, MCSSO
Decade Up Counter

(1) 3

(1) 3
n
SDl

(1) 3

(1) 3

f

9
SD4

SD3

ABCD

1

ABeD

2

ABeD

3

ABeD

4

ABCD

5

ABCD

6

ABCD

7

ABCD

8

ABeD

9

ABeD

10

ABeD

11

ABeD

12

ABCD

13

ABCD

14

ABCD

15

ABCD

DECDD(NG LOGIC
0
1
2
3

c (1) 3
Clock

A
A

A
A

B
B
B
B

4

A

il

A

B

CD

5
6
7
8

(Common)

9

(Input)

1 (3)9

o-----~

__+--+__~__~~----~--~--_+~---J

A

B

C
C
C
C

A

ii

C

A

B

C

A

B

•

0
0
0
0
0

C

C
C
C

5

0
D
D
D

EXPANDERS--------------------------------------~
MC7SS,A, MCSSS,A, MC9SS
Ouad 2·( nput Expander

a (1.313.75

V-:'
\

b (1.313.75
f

(1.313.75

MC786, MCS86, MCSS6
DUI( 4·1 nput Expander

"

h (1.3)3.75--£>--:' 9

c

\.

~....l.e

9 (1.3) 3.75
j

(1.3) 3.75 ~....l. h
(1.3) 3.75

V-..:.

b (1.31 3.75~\
c (1.313.75
..:. I
m (1.3) 3.75
n (1.31'3.75

\

j

I (1.3) 3.75
m (1.3) 3.75

MC9719,A. MC9819,A, MC9919
Hex Expander

\

n

(1.3)3.75~\
•f (1.3)
3.75
:. j
9 (1.3)3.75
i (1.3)3.75

i

(1.31 3 . 7 5 - D - - \ f

j

(1.31 3 . 7 5 - D - - \ .

\

I (1.31

3.75-D--~ c

m (1.3)

3.75-D--~

\

\

n (1.31 3 . 7 5 - = - - - - D -

c = 8+'1)

I=b+c+m+n

5-203

g= h

b

-~ •

!

,

~ [RtIT [1

LOGIC DIAGRAMS

MULTIFUNCTION D E V I C E S - - - - - - - - - - - - - - - ,

I

MC719, MC879
(1 J·K Flip-Flop, 1 Expander, 2 Buffers)

----f:>o--t>---

tn(!)

80 (25) b

S

n (2) 6----f:>o--t>--- 80 (25) m

1
1
0
0

a

(2) 6

,

(1.3) 3.75

~_.l

.""~
f (2) 5

T

9 (1) 3

CCoi:!

I

b=a
I=c

Me787, Me887
(1 J·K Flip·Flop, 1 Inverter, 2 Buffers)

CLOCKED INPUT OPERATlON(j)
tn+l®

C
1
0
1
0

Q

ii

Q,,@

Q.

1

0

0
1

Q"

Q,,@

a

n (2) 6

80 (25) b

80 (25) m

C(I)3~16(5)1

1. Direct Input (Co) must be low.

10 (3) I

2. The time period prior to the negative tran·
sltion of the clock pulse Is denoted tn and
the time period subsequent to this transi·
tion is denoted tn+ I.

10 (3) h

3. Qn is the state of the Q output in the time

period tn.

h (1) 3

---{)o-{>----{)o-{>--

(2) 6

.""y"''''
f (2) 5

T

9 (1) 3

C Co Q

I

(1) 3

10 (3) h

_
b=a

I

_
I=c

DATA ROUTING F U N C T I O N S - - - - - - - - - - - - - - - ,
MC9701, MC9801
Dual 4·Channel Data Selector
b (1) 3 01
p(1) 3 03
n (1) 3 04
q (1) 352

h
9
k
I
j

• (1) 3 51

I (1) 3

c (1) 3 02

= 51 52

Output

+51

(1)

b (2)

q (2)

i (1)

h (2)

(2)

16 (5) c

ol:~~~~F~

02
(1) 3 03
(1) 3 04
(1) 3 52 - , - 1/- 114-1--1

51

01 + 51 52 02
03 +515204

52

MC9707, MC9807
Dual 4-Channel Date Distributor

•

(1) 3
(1) 3

TRUTH TABLE

(nput Select

c=a.'beCi

16 (5) e

a=a.'beq

16 (5) p

p=aebeq

16 (5) n

n=a-b.q

SI

S2

0

0
1

0

9= i •

ii • T

= i • ii •

16 (5) f

f

16 (5) k

k = i •

16 (5) I

I =i • h • j

j

h.1

5·204

01
02
03
04

0

1
1

1

TRUTH TABLE
INPUTS

16 (5) 9

Data Line
Selected

Pin
Numbers
Leve I

0

SI S2

a

b
h

I
0
1
1
1
1

.

0

0
1
1

q
j

0
1

OUTPUTS

3

0

1 2

c

e

p

9

f

k

I

0
1

0

0

0
1

0
0

0
0

1

0
0
0
0

0

1

0

0
0

1

0

-Either state.

n

~ lliTII1

INTEGRATED CIRCUITS

MAXIMUM RATINGS (TA = 250 C)
Rating
Input Voltage
Power Supply Voltage (Pulsed';; 1 second)
Operating Temperature Range
MC900 Series
MC800F ,G Series
MCBOOP Ser ies
MC700 Series
Storage Temperature Range
Metal Can, Flat Package
Plastic Package

Svmbol

Value

Unit

-

±4

Vdc
Vdc

TA

Tstg

+12
-55 to
a to
a to
+15 to

+125
+100
+75
+55

-65 to +150
-55 to +125

°c

°c

•
INSTRUCTIONS FOR USE OF PACKAGE INFORMATION TABLE
MC900, MCBOO. and MC700 Series Medium-Power MRTL devices are available in the packages pictured in the following table as indicated
on the line following each device type number. Plastic packaged devices are available in the MC700/BOO Series only.
Pin numbers for any of the following devices and packages may be determined by:
1. Find the device among the logic diagrams appearing on the preceding pages (grouped by function!' Note the alpha pin designations for
the device.
2. Find the device type number in the lett hand columns of the "Package Information Table".
3. The letters in the columns following the type number and below the drawing of the desired package indicate the correct pin numbers for
the specific package by their numbered positions beneath the package drawing. (These letters are the same as indicated on the logic diagram for the device.)
4. Notes: Blanks in an area following the type number and directly beneath a package indicate the device is not available in that package.
A dash indicates this pin or lead is not connected nor otherwise utilized for this device and package.
* indicates this pin number is the ground connection for this device and package.
(I indicates this pin number is the VCC connection for this device and package.

5-205

~rnlJ[b

INTEGRATED CIRCUITS

PACKAGE INFORMATION TABLE
(See instructions on preceding page.)

PSUFFIX
PLASTIC PACKAGES

F SUFFIX

CASE 607
TO-S6
114 pin)

CASE 649
(16 pin)

CME646
TO·11(t

e'4plni

Type No.
MC700

MC800

Me7D1

MeaOl

MeSOl

MC702

MCa02

MC902

MC703

Me803

Me903

MC9aD

MC7Q4

MC804

MC904

Me7DS

MeaaS

MeSDS

Me706

MeSD6

MC906

Me7D7

Mea07

Meg07

MC714

MCB14

MC914

Me71S

Me8l5

MeglS

Mea16

Meg'6
..

MC723

MCB24.A

MC924

Me72S

MeB2S

Me925

Me726

Mea26

Me926

Me727
Men9
Me771

Mea21

Me927

MC774

MC724.A

MeB29

MC929

Mea71

Me971

MC874

MC914

Me77S

MeB75

Me975

Me777
Me779

Me8n
Mea79

Me780

MCSSa

-

MC783

MC883

Me983

MC784

MC884

MC984

MC785,A

MC88S.A

Me985

Me7B6

MCSS6

MC9SS

MC787

MeSS7
MC888

Me9SS

MC789.A

MCS89.A

MC9S9

MC790

MCB90

MCS90

MC791

MeS91

MC991

MC792

MC892

MC992

MC794

MCB94

-

MC796

MC896

MC996

MC797

MeS97

MC997

MC799

MCB99

MC999

MC9701

MCSS01

MC97D2
MC9704

MC9802
MC9B04

MC9707

MCS8D7

MC9709

Me9S09

-

MC9813

MC9714

MC9814

MC9715

MC9815

MC9719,A

MCSB19.A

(10pll1)

-

Me7Ba

MC9713

•

CASE tWa
TO·91

MC9919

5-206

,

G SUFFIX

CERAMIC PACKAGES

METAL PACKAGES

CASE 603·02

TO-l0D
(10pln)

INTEGRATED CIRCUITS
MC70S series (+15 to +55° C)
MCSOS series (0 to +75°C)
MC90S series (-55 to +125°C)

II

-

f

,~

Low·power mW MRTL integrated circuits are
designed for use where minimal system power
consumption is desired. Typical gate speed is
27 ns, with typical power dissipation of 6.5 mW
(input high) and 0.5 mW (inputs low) per logic
node. Devices from the MC708 Series can be
mixed with devices from the medium·power
MC700 Series which has loading factors normal·
ized for compatibility.

GSUFFIX

GSUFFIX

METAL PACKAGE
CASE 601
TO·99

METAL PACKAGE
CASE 603·02
TO·l00

~
-.

~

F SUFFIX

F SUFFIX

CERAMIC PACKAGE
CASE 606
TO·91

CERAMIC PACKAGE
CASE 607
TO·B6

PSUFFIX

PLASTIC PACKAGE
CASE 646
TO·116

PSUFFIX

PLASTIC PACKAGE
CASE 648

FUNCTIONS AND CHARACTERISTICS
(Vcc = 3.0 V ±.10% for MC90S Series, 3.6 V ±. 10% for MCSOS Series and MC70S Series; T A

= 25°C)
Total

Output
Loading

Function
Half Adder
2-lnput Buffer

Dual 2-lnput NOA Gate
4-1 nput 0 R/N OR Gate
Half Adder
Type 0 Flip-Flop
Quad 2-lnput NOR Gate
Dual 3-lnput NOA Gate
Dual 4-lnput NOR Gate

J-K Flip-Flop
Dual 2-fnput Gate EXpander

J-K Flip-Flop
5-lnput NOR Gate
Dual Exclusive

Typa (D

Type (D

MC708

MC808

Type(D
MC908

Serie'

Series

Series
+15 to
+55 o C

Oto
+7SOC

-55 to
+l25o C

Case

Factor
Each
OUlput

Case

All
.Series

nsWP

4
30
4
4
4

60
57
27
60
66

19/12.5
7.0/23
10/2.5
B.0/5.5
15.5/10.5

14/B.5
5.5/16
B.0/l.0
6.0/3.5
11.5/5.5
17.5/13
16/2.5
9.5/1.0
11/1.0
15.5/10

tp

MC70B
MC709
MC710
MC711
MC712

MCBOB
MC809
MCB10
MCBll
MCB12

601.606
601,606
601,606
601,606
601,606

MC911
MC912

601.606
601,606
601,606
601,606
601,606

MC713
MC717
MC71B
MC719
MC720

MCB13
MC817
MC818
MCB19
MCB20

601,606
607,646
603,606,646
607,646
601,606

MC913
MC917
MC91B
MC919
MC920

601,606
607
603,606
607
601,606

3
4
4
4
2

75
27
27
27
50

24/17.5
20/5.0
12/2.5
13/2.5
20.5/14.5

MC721
MC722
MC72B

MC821

601,606

MC921

603,606,646
601,606

MC922
MC92B

601,606
603,606
601,606

-

MC822
MC82B

27
70
27

3.0/24/20
7.5/1.0

MC90B
MC909
MC91Q

4
4

MC764

MC864

646

-

-

4

-

Quad Latch
BCD-To-Decimal Decoder
Dual J-K Flip-Flop
Dual TYI e 0 Flip-Flop
Dual Buffer

MC767,A
MC770
MC776
MC778
MC7Bl

MCB67,A
MC870
MCB76
MCB7B
MCBBl

648
648
607646
607646
601

-

-

9
7
2
3
30

50
36
50
60
57

J-K Flip-Flop
Triple 3*lnput NOR Gate
Dual 2-1 nput Buffer
Hex Inverter
Hex Expander

MC7B2
MC793
MC79B
MC9718
MC9720

MCBB2
MCB93
MC89B
MC9B18
MC9B20

Quad 2-lnput Expander
Dual J-K Flip-Flop
Quad 2-lnputAND Gate
Quad 2-lnput NAND Gate
Quad 2-1 nput 0 R Gate

MC9721
MC9722

MC9B21
MC9B22

MC9723

MC9823

MC9724
MC9725

MC9824
MC9B25

ORINOR Gate

MC976
MC978

-

MC9S1

601
607,646
607,646
646
646

MC9B2
MC993
MC998

601
607
607

2
4
30
4

BO
27
57
27
12

23/21
lB/3.5
14/46
7.0/3.0
30/

607646
646
646
646
646

MC9921

607

-

27
75
50
50
50

20/24/
12
20/5.0
17.0

-

-~

I

1~~

1=L>-\

g1~

~g

i1~\h

1

i

•

,

,
:=L>-~i

j1~

=8+1i

11~\

m1~

c=a+b

r-INVERTER--------------,
MC9720. MC9820. MC9920
Hex Expander

MC9718. MC9818. MC9918
Hex Inverter

h 1-{:>o--4
1-{:>o--4
1-{:>o--4
I 1-{:>o--4
1-{:>o--4 b
1-{:>o--4

h1--[>--~\ 9
1--[>--\f
1--[>--\.
I.
I 1--[>--~C

g=h

c

\I

m1--[>--~b

m
n

\I

n1--[>--~.

a

5-209

g=h

ODDW [iYi]~lJ[1 LOGIC DIAGRAMS

FLlP.FLOPS----------------------.
MC713, MC813, MC913

MC778, MC878, MC978

DIRECT INPUT OPERATION 'i)

Tvpe 0 Flip-Flop

Co
0
0
I
I

So
0
I
0
I

®

Q
®

I

0

0
0

0

Q

Dual Tvpe 0 Flip-Flop

"3:1

I

CLOCKED INPUT
OPERATlON0

c

1

I

1.8

s So 0

3

n

3

•

:I;tsoo3

h

T

CoO

: : I ; t s o o39
a 1.8

T

Co 0

Q

Q

I

0

o

0

I

m

1. Clock (T Input) must be high.
2. The output state will not change when the Input slate goes

3 f

from So

:

=Co to InSo :::: Co :::. O. The output stale cannot be

predetermined

1

i

S
I

the case wh{'rc the input goes from

So :::. Co = 1 to So = CI) :::::: O.
J. Direct mputs (So and Co) must be low.
1= high stale

==

j

1.8

i

1

T

Coa

0= low state
I"

1

3 9

time perIOd prior to negative transition of clock pulse

tn+ I :::: time period subsequent to negatille transition of
clock pulse

MC720, MC820, MC920

MC782, MC882, MC982

MC776, MC876, MC976

J-K Flip-Flop

J-K Flip-Flop

Dual J-K Flip-Flop

• .'y'
b

2

T

d

:

CCo 0

2

i

::yT
0 29

f

c 1
f

9

C Co"Q

2

::YTo

e

a l e co Q

1

I

CLOCKED INPUT OPERATION
I,
S

1
1
0
0

C
1
0
1
0

Q
Q,

0= low state
1 = high state
til :::: time period prior to negative transition of
clock pulse.

1
0
Q,

Q

Q,

0
1

Qn =

i

91~2h

In+ I:::: time period subsequent to negative transition of

Q,

2 n

1

: :=fI2

Direct input (CD) must be low.

tn+1

2m

j

clock pulse.
state of Q output in time period tn.

I

1

NOTE,

1-------------------------------------11 beClockwithinpulsethe fallrangetimeof 10mustns
MC722, MC822, MC922

10 100 ns on all J-K Flip-Flops.

J-K Flip-Flop
I

1

m

l--C S So a

b

2--C T

c

l--C

i

1

-4j

ceo a - 4

f

CLOCKED INPUT
OPERATIDN®

DIRECT INPUT
OPERATION CD
So
0
1
0
1

Co
0
0
1
1

Q

ii

®

®

1
0
0

0
1
0

I,

S
1
1
0
0

I,
C
1
0
1
0

I

a

Q
Q,

Q,

1
0

0
1

Q,

Q,

1. Clock (T) to remain unchanged.
2. The output ltate will not change when the input state goes
from So
CD to So = CD = O. The output state cannot be
predetermined in the case where the input goes from
So=Co= ItoSo=Co=O.
3. Direct inputs (So and Co) must be low.

=

0= low state
1 = high state
tn = time period prior to negative tranSition of clock pulse
tn+ I

=

Qn

=

time period subseqlJent to negative transition of
clock pulse
state of Q output in time period tn

(continued)

5-210

[]ili)

w~ [~rIT [1

LOGIC DIAGRAMS

FLIP-FLOPS ( c o n t i n u e d ) - - - - - - - - - - - - - - - - - - - - - - - - - ,
MC767.A. MC867.A
Quad Latch

MC9722. MC9822
Dual J-K Flip-Flop

o

c 1
01 9 b

4 m

b 2

4 n

a 1

01 9 a

11-----'
a2 9 9

o

e 1

4

02 9 h

4 h

9 1

a39
j 1------'
03 9 k

CLOCKED INPUT
OPERATION Q)
a4 9 p

'n@
04 9 n

TRUTH TABLE

S

C

1

1

1

0

'n+1 @
0

0

On@ an
1
0

a

1

0

1

0

0

an

On@

E D o.n+1 o.n+1
0
0

0

1

On
On

1
1

0

0

an
an
1

1

1

0

1. Direct,input (Co) must be low.
2.

The time period prior to the negative transition of the
clock pulse is denoted tn and the time period subsequent
to this transition is denoted t n +1.

3.

an is the state of the Q output in the time period tn.

HALFADDERS------------------------------------~
MC70B. MCBOB, MC90B
Half Adder

MC712. MCBI2. MC912
Half Adder

4i

b
d 0.8

)O-.....- - - 3 g
f 0.8

i ~ (a + b)
9 ~

(d + f)

d +f

j ~ (a

5-211

+ b)(d + f)

•

[jUiJ IS!]

~ rnTI[1 LOGIC

DIAGRAMS

DECODER----------------------------------------.
MC770, MCB70
BCD-to-Decimal Decodar
20

7 j

0.75

I

7 i

~7h

21
k 0.75

7 9
22

~7f

p 0.75

7 a

nO.75~V-

~7C

TRUTH TABLE
INPUT (BCD)
Value
Pin No.
Laglc Level

•

23 22
1415
0 0
0 0
0 0
0 0
0 1
0 1
0 1
0 1
1 0
1 0
1 0
1 0
1 1
1 1
1 1
1 1

21
11
0
0
1
1
0
0
1
1
0

0
1
1
0
0
1
1

20
12
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

OUTPUT (DECIMAL)
o 1
109
1 0
0 1
0 0
0 0
0 0
0 0
0 0
0 0
0 0
0 0
0 0
0 0
0 0
0 0
0 0

0 0

2

8
0
0
1
0
0
0
0
0

0
0

0
0
0
0
0
0

3
7
0
0
0
1
0
0
0
0

4
6
0
0
0
0
1
0
0
0

0
0

0

0

0
0

0
0
0

0
0
0
0

0
0
0

5
5
0
0
0
0
0
1
0
0
0
0
0
0
0

6
3
0
0
0
0
0
o·
1
0
0
0
0
0
0

0

0

0
0

0
0

7
2
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0

8
1
0
0
0
0
0
0
0
0
1
0

7 b
9
16
0
0
0
0
0
0
0
0

~7a
7 q

0
1

0
0
0
0
0

0
0
0
0
0

0

0

BUFFERS----------------------------------------~
MC709, MCB09, MC909
Buffar

b
d

;k-~.

MC7B1, MC881, MC9B1
Dual Buffars

"~

m'~

b

2

b 2
30 9

30 c
I

c
e

g-il+d

MC79B, MC89B, MCgg8
Dual Buffa..

:~~'
g=

8'+"b

5-212

i
f

;Et:r"
c= ii""+"'m

e

Dill Win! ~LJI1INTEGRATED CIRCUITS

INSTRUCTIONS FOR USE OF PACKAGE INFORMATION TABLE
MC90S, MCSOS, and MC70S Series Low·Power mW MRTL devices are available in the packages pictured in the following table as indicated
on the line following each device type number. Plastic packaged devices are available in the MC70S/SOS Series only.
Pin numbers for any of the following devices and packages may be determined by:
,. Locate the device as shown in the logic diagrams appearing on the preceding pages (grouped by function). Note the alpha pin designations
for the device.

2, Find the device type number in the left hand columns of the "Package Information Table",
3, The letters in the columns following the type number and below the drawing of the desired package indicate the correct pin numbers for
the specific package by their numbered pOSitions beneath the package drawing, (These letters are the same as indicated on the logiC
diagram for the device.)
4. Notes: Blanks in an area following the type number and directly beneath a package indicate the device is not available in that package.
A dash indicates this pin or lead is not connected nor otherwise utilized for this device and package .
• indicates this pin number is the ground connection for this device and package.
Vee connection for this d~vice and package.

# indicates this pin number is the

PACKAGE INFORMATION TABLE
PSUFFIX
PLASTIC PACKAGES

F SUFFIX
CERAMIC PACKAGES

CASE 607
TO-86
(14 pin)

TVpe No

MC7D8

MeaDS

MC709

MC809

MC909

MC71D

MC91D

MC711

MC81D
Meal 1

MC712

MC812

MC912

MC713

MC813

MC913

MC717

MC817

MC9D8

MeS11

MC917

MC718

MealS

Meg1S

MC719

MC819

MC9l9

MC72D

MC82D

MC92D

Me721

MC821

MC921

MC722

MC822

MC922

MC728

MC828

MC928

MC764

MC864

-

PmNa.
MC767.A

MC867.A

McnD

MC87D

Mcn6

-

MC876
MC878

MC976

Mcn8
MC781

MeSS1

MC981

MC782

MC882

MC982

MC793

MC893

MC993

MC798

MC898

MC998

MC9718
Meg720

MC9818

-

-

MC9721

MC982Q
MC9821

MC9722

MC9822

MC9723

MC9823

MC9724

MC9824

MC9725

MC9825

MC978

MC9921

-

5·213

G SUFFIX
METAL PACKAGES

II

CASE 603-02

TO·l00
(10pin)

•

DililW ~mlJ[1INTEGRATED CIRCUITS

MAXIMUM RATINGS (TA = +25 0 C)
Rating
Input Voltage
Power Supply Voltage (Pulsed :Ii! 1 second)
Operating Temperature Range
MC90B Series
MCBOB Series
MC70B Series
Storage Temperature Range
Metal Packages, Flat Packages
Plastic Packages

Symbol

Value

-

+4
+12

-

TA

Tstg

•

5-214

-55 to +125
o to +75
+15 to +55
-65 to +150
-55 to +125

Unit
Vdc
Vdc

°c
°c

INTEGRATED CIRCUITS
MCll00 Series Metal Gate, High-Threshold P-Channel MOS
MC2200 Series Metal Gate, Low-Threshold P-Channel MOS
MC2300 Series Silicon Gate, Low-Threshold P-Channel MOS

GSUFFIX
METAL PACKAGE
CASE 602A

Motorola's MOS monolithic integrated circuits provide low-cost,
high-complexity logic in functional blocks. These devices utilize the
high component density and simplified processing available with the
MOS technology.

~''''''''

--

.' nn
~
-~

,

'

1\

UU
LSUFFIX

~

PACKAG~'lt YrlY I

LSUFFIX

CERAMIC PACKAGE
CASE 638

CERAMIC PACKAGE
CASE 637

I

G SUFFIX
METAL PACKAGE
CASE 619-02

CERAMIC
CASE 632
TO-116

LSUFFIX

LSUFFIX

LSUFFIX

L SUFFIX

CERAMIC PACKAGE
CASE 677

CERAMIC PACKAGE
CASE 684

CERAMIC PACKAGE
CASE 694

CERAMIC PACKAGE
CASE 695

FUNCTIONS AND CHARACTERISTICS
FullCtlon

Type

I Temperatllte

•

Comments

High-Threshold, Metal Gat.

Triple S6·Bit Dynamic Shift Register

MCl141G

Oto +7SoC

602A

Operating frequency =: 10 kHz to 1.0 MHz, powpr
dissipation"" 1.0 mW/bit @ 1.0 MHz.

20Q-Bit Dynamic Shift Register

MCl142G

a to

+5SoC

619-02

Operating frequency - 10 kHz to 1.0 MHz, power
dissipation = 1.0 mW/bit @ 1.0 MHz.

a-Channel Multiplex Switch

MCl150L

a to

+7SoC

638

High onloff resistance ratiO, zero offset voltage, all
channel blank ing.

Dual 1-of-4 Channel Multiplex Switch

MCl151L

o to

+7SoC

638

High onloff resistance rat'io, zero offset voltage, all
channel blank ing.

General·Purpose Logic Element

MCl155L

o to

+7SoC

632

Can be externally connected to form a variety of NAND,
NOR and functional gate configurations.

Dual 100-Bit Static Shift Register

Operating frequency - de to 2.0 MHz, non-inverting

MCl160G

-55 to +8SoC

Dual 50-Sit Static Shift Register

MCl161G

-55 to +8SoC

Frequency Divider

MCl180L

o to

+70o C

632

Rssattable Rhythm Counter

MCl181L

o to +70o C

620

Asynchronous binary counter deSigned for driving
the count-address inputs of a rhythm generator.

Frequency Synthesizer

MCl183L

o to

+70u C

632

Provides the C9 thru G8 and C8 portions of the top
octave synthesizer function for tone generation in
electronic organ applications.

Frequency Synthesizer

MCl184L

o to

+70o C

632

~rovides the F;;:S thru C::;:8 portion of the top octave
synthesizer function for tone generation in electronic
organ applications.

602A

buffered outputs, independent input/output lines.

602A

Operating frequency = de to 2.0 MHz, non·inverting
buffered outputs, Independent input/output fines.
Six stages of binary division; particularly suited for
tone generation in electronic organs.

2048-Bit Read Only Memory

MCMlll0L

-25

to Issue

684

Mask-programmable static ROM; may be organized
as 512 words of 4 bits or 256 words of 8 bits.
Output deVices may be open drain for use with TT L
devices or with pul/down resistors for use With other
MOS devices.

2048-8it HOllerith-to-ASCII Converter

MCM1111 L

-25 to +85 0 C

684

Pre-programmed MCM1' 10L; 256 words of 8 bits,
pulldown resistors in output buffer for compatibility
with MOS devices.

2048-Sit HOllerith-to-ASCII Converter

MCM1112L

-25 to +850 C

684

Same as MCMll11 L except open·drain output
buffers for TT L compatibility.
(continued)

5-215

~ @@ INTEGRATED CIRCUITS

FUNCTIONS AND CHARACTERISTICS (continued)
~

::, ..

·::i<, ..

High-Threshold, Metal Gate
224Q·Bit Read Only Memory

MCM1120L

-25 to +B5 u C

684

Mask-programmable static character generator ROM;

or 695

64 characters of 35 bits (5X7), seven address inputs,
three row select Inputs, and chip enable input. Opendrain or push-pull output buffers.

2240·Bit Row Select USASel1
Character Generator
2240-Bit Row Select USASel1
Character Generator

MCM1121 L

-25 to +85 v C

695

Pre-programmed MCM1120L; push-pull output
buffers are MOS compatible.

MCM1122L

-25 to +85 0 C

695

Same as MCM1121 L except open-drain output buffers
that sink 1.6 rnA min Imum for TTL and DT L compatlbllitv; can be wire ORed for memory expansion.

2240-8it Read Only Memory

MCM1130L

-25 to t8S0C

684
or 695

Mask·programmable static character generator ROM;
64 characters of 35 bits (5X7) or 32 characters of
70 bits (5X14), seven address inputs, five column select
inputs, and chip enable Input. Open-drain output
buffers for TTL compatibility.

2240-8it Column Select USASCII
Character Generato r

MCM1131L

-25 to +85 C

684

Pre·programmed MCMl130L; 64 characters of
35 bits (5X7L

2240-8it Column Select USASCII
Character Generator

MCM1132L

-25 to +85 v C

695

Same as MCM1131 L except only six address inputs and
different pack age.

4096-8it Read Only Memory

MCM1140L

-25 to +85 0 C

684

Mask-programmable static ROM; 512 words of 8 bits
or 1024 words of 4 bits. Output buffers open-drain
or with pulldown resistors.

4096-8it Read Only Memory

MCM1141L

-25 to +85 0 C

684

Pre·programmed MCM1140L, 512 words of 8 bits,
open-drain output buffers.

2560·Bit Read Only Memory

MCM1150L

25 to +85 C

684

Mask·programmable static ROM; 512 words of 5 bits
or 256 words of 10 bits. Output buffers open-drain
or with pulldown resistors.

2560·8it Read Only Memory

MCM1151L

-25 to +85 C

684

Pre-programmed MCM1150L; 256 words of 10 bits,
open-drain output buffers, programmed for ASCII-toSelectric and Selectric·to-ASCII code conversion.

64-Bit Random Access Memory

MCM1170L

o to

+75 v C

637

Binary addressing, enable line for address expansion,
single·phase clock, organized as 16 four-bit words.

1 024-B it Dynamic Random
Access Memory

MCM1172L

o to

+70u C

694

Organized as 1024 one-bit words; power dissipation 75 IlW/bit, access time ~350 ns, read cycle time ~
535 ns, write cycle time ~860 ns.

1024-8it Dynamic Random Access
Memory

MCM1173L

o to

+70u C

684

Same as MCM1172L except package.

1024-8it Dynamic Random Access
Memory

MCM1175L

o to

+700 C

677

Organized as 1024 one·bit words; access time = 150 ns
tyP. read cycle time = 250 ns typ, write cycle time =
250 ns typo

General-Purpose Logic Element

MC2255L

o to

+75 0 C

632

Can be externally connected to form a variety of NAND,
NOR, and functional gate configurations.

Terminal Transmitter

MC2257L

o to

+75 C

684

Synchronous/asynchronous data communications adapter.
Accepts parallel binary data in the form of characters and
serially tran5mits the data to a modem.

Terminal Receiver

MC2259L

o to

+750 C

695

Synchronous/asynchronous data communications adapter
that receives serial digital data from a modem. organizes the
data into fixed word lengths corresponding to characters,
and transfers these characters to a buffer register from which
the character may be accessed in a parallel format.

Low-Threshold Metal Gate

-.

Low Threshold SIlicon Gete
Dual 100-8it Shift Register

MC2360G

-55 to +850 C

602A

Operating frequency = dc to 5.0 MHz

Dual 128-Bit Shift Register

MC2361G

-55 to +B50C

602A

Operating frequency - dc to 5.0 MHz.

Dual 250-Bit Shift Register

MC2362G

-55 to +B50C

602A

Operating frequency = dc to 5.0 MHz.

Dual 256-8it Shift Register

MC2363G

Operating frequency = dc to 5.0 MHz.

Dual 100-Bit Dynamic Shift Register

MC2380G

-55 to +85 v C
602A
-55'0 +125 u C 619-02

Dual 100-81t DynamicShlft Register

MC2381G

-55 to +125 0 C

Same as MC2380G except pulldown resistor on output
device.

619·02

Selectric is a registered trademark of IBM

5-216

Operating frequency guaranteed to 3 MHz, 0.4 mW/bit
power dissipation @ 1 MHz, 40 pF clock input capacitance, open d rain output devices.

~ @g)

LOGIC DIAGRAMS

MEMORIES-----------------------,
A4
A5
A6
A7
AB

MCMlll0L
2048-8it Read Only Memory
MCMllllL, MCMl112L
2048-8it Hollerith·to·ASCII
Converters

Address
Decode

Memory

Matrix

Opllon B (512 X 40nr I

A3

24

A2

23

Veo

NC

A1o-----------~

L.S.B.

A20------------I

NC

Addross Decode

A3O-----------~

82

A6

83

A8

VGG

A9O-----------~
MCo-----------~

NC

Output Buffer

vss

CEo-----------~

12

A9

B1 B2 B3 B4 B5 B6 B7 B8

MCMl120L
224O-IIit Read Only Memory
MCMl121L, MCMl122L
2240-8it Row Select USASCII Character Generator
OUTPUT AND ROW
SELECT ASSIGNMENTS
B1

A1
A2
A3
A4

MCM1121L, MCM1122L

B2
Address

Decode

AS

Memory

Matrix
(2240 Bits)

Row
Decode

Output
Buffers

B3
B4

A6
B5

A7

See MCM1120L

data sheet for
RS1
RS3
RS2
Row Select Lines

pin-out options.

Chip
Enable

MCMl130L
2240-llit Read Only Memory

I-- 5---.j
C1 C3 C5

MCMl131 L, MCM1132L
2240-8it Column Select USASCII Character Generator

Ti~1
1Ii

OUTPUT AND COLUMN
SELECT ASSIGNMENTS

7

A1

B1

A2

B2

A5

MCM1132L

C2 C4

28
MCM1131L

A3
A4

B7

Address
Decode

Memory
Matrix
(2240 Bits)

Direct
Colu!1ln

Select

OpenEnded

Output
Buffers

B3
B4

"

2

22

B5

A6

B6

5

20

22

A7'

87

6

19

,.
21

18

10

C1 C2 C3 C4 C5
Column
Select L.ines

Chip
Enable

-....-=----... "
CASE 684

" '-=__....:::::.r-"
CASE 696

See MCM1130L data sheet for pin-out options.

'Avallable on MCM1130L and MCM1131 L only.

(continued)

5·217

[f'A] @@LOGIC DIAGRAMS

MEMORIES (continued)-----------------------~
MCM1140L
4096-Bit Read Only Memory

MCM1150L
256O-Bit Read Only Memory

MCM1141L
4096-Bit Read Only Memory

MCM1151L
ASCIl-to-Seleclric and
Seleclric-to-ASCII
Code Converter

A1
A2
A3
A4
A5
A6

Address
Decode

A1

Memory

Matrix

A2
A3
A4

A7
A8
A9

Address
Decode

Memory
Matrix

AS

L.S.B.
Address Decode

A6

A7
CS1
CS2
CS3
CS4
(A10)

Chip
Select

A8

Output Buffer

A9

Decode

MC
CE
61 B2 63 B4 65 66 67 6B
616263 B4 65 B6 B7 68 69 810

A'
Al

VDD

23
22

C52

NC

,.

A6

I.

CS3

A7
A6

,. .,

VSS
NC
NC

"

Voo

c•

•3

16 Words of 4 Bits

Enable

Storage Array

3
Address

Lines

{'"
A1

4

A2

S

A3

6

MCM1170L
64-Bil Random Access Memory
Sense Digit
Lines

Write 13O------------L-._....:.-._ _,-_-,,-....J
Voo = Pin 1
VSS = Pin 7
VGG;: Pin 14
Bit

Lines

(continued)

5·218

[rAJ @~

LOGIC DIAGRAMS

MEMORIES ( c o n t i n u e d ) - - - - - - - - - - - - - - - - - - - - - - - - - - ,
MCM1 172l, MCM1 173l
1024-8it Dynamic Random Access Memory

Chip Select

[10J 19

A9

[IIJ 10

,,,.Lines

{

.

A8

[121 11

A7

[13J 12

A6

[16J 14

A5

[17} 15

"C

0

~
0

Memory

3

(32 Bits x 32 Bits)

Matrix

0

Read/Write

[6J

6

Data In

171

7

Data Out

[81

8

Aeset

a:
VOO = Pin 16 (18)
VSS = Pin 2 [31
VSx~P;n
1 [I)

[24J 22

17 18 19 20 21
5
[19](20](21](221123) [51
A4 A3 A2 Al AO 1

4
(4)
2

•

~
Addre$$ Lines

MCM1175l
1024-8i, Dynamic Random Access Memory

AO 15
A114
A213
A312
A411

Clock 17 0 - - - - - '

19 Input/Output

Vss = Pin 2
VSx = Pin 1
VOD1 = Pin 9
V002 = Pin 21

20 Input/Output

Reset 1 0 0 - - - - - - . 1 . - - - - - - - 1
Decoder

Chip Select
7

6

5

4

3

A5 A6A7 A8 A9

5-219

22

I

~@@

LOGIC DIAGRAMS

SHIFT R E G I S T E R S - - - - - - - - - - - - - - - - - - " " " I
MC1160G
Dual100-Bit Static Shift Register

MC1141G
Triple 66-Bit Dynamic Shift Register

MC1161G
Dual 50-Bit Static Shift Register
In 1

3

i-----<> 4 0 ut l'

In 1

~

Clock 1 10
Clock 2

Cloci< 1 4

2 Out 1

Clock 2 6
In 2

Vee =Pln 3
VGG = Pin 7
Gnd =Pin5

5

i-----<> 6 0 ut 2'

F1

Vee = Pin 2
Gnd "'" Pin 9

in 3

8 Out 2

7

i-----<> 8 Out 3'

~

MC2360G

Dual 100-6it Static Shift Register
MC2361G
Dual 128-Bit Static Shift Register

·13.3 kilohm external resistor to load supply.

MC1142G
200-Bit Dynamic Shift Register

In 1
Clock 1 4

2 Out 1

Clock 2 6
In 8

Clock 1 6

200-8it
Register

2 Out

In2 9

Clock 2 5

Vee = Pin 3
VGG = Pin 7
VSS = Pin 5

8 Out 2

Vee = Pin 1
VSS = Pin 4

MC2380G, MC2381G
Dual 100-Bit Dynamic Shift Register

MC2362G

Dual 250-6it Static Shift Register
MC2363G

Dual 256-6it Static Shift Register

In 1 2
Cloci< 1 3

In 1

Clock 1 5

Out 1

Clock 2 5

In2 8

Clock 2 3
Vee = Pin 10
VGG = Pin 6
VSS = Pin 9

Veo = Pin 8
VCC = Pin 4

7 Out 2

6 Out 2

5-220

~@~

LOGIC DIAGRAMS

MULTIPLEX S W I T C H E S - - - - - - - - - - - - - - - - - - ,
MC1150L
S-Channel Multiplex Switch

MC1151L
Dual 1-of-4 Channel MUltiplex Switch

C2
C4
C6
ca
Cl
C3
C5
C7
14 2 13 3 12 4 11 5

Ji-

7

Xl

~-,---t-f=F.3

C12 C14
C22
C24
Cll
C13
C21
C23
2 3 4 5 1413 1211

~

6

Xl~---~~~

~-

9

X2~.......--t--H

J

J

9

t-

X2~-----'

;.

15

10

X4~-,--~~~~~

XCO~----+1~

;.
;.
6

;.

XCO~----~~~

Voo::::: Pin 1
VGG = Pin 16
VSS = Pin 8

10

•

Voo"= Pin 1

VGG = Pin 16
VSS "" Pin 8

GENERAL-PURPOSE LOGIC ELEMENTS-------------,
MC1155L, MC2255L

General Purpose Logic Elements
VDO

INOR Se;;lon -

- - - - - - - - -

c::::t

1

?.I-~: --1-2- -

r

Gl

; ______

(Substrate)
4

5

!-~jL.~-O-_-_-_'.J..!---08
6

Numbers adjacent to MOS devices indicate the relative gain of each device.

5-221

1
I -----<011

I
~----rI-----<09

I

Vss

1

rrl~+-::----,!----<010
J

1

_ _ _ _ _ _ _ _ _

1

1

J;-'--i-

G2

i

1"

n

t=J

I'

1

.:~~~ r.ict r11i-~f30
~! ~ ______

- - -NANDS;(;tIOnj

I

'I

1

1
I

VGG

Ir'il @@ LOGIC DIAGRAMS

COMMUNICATIONS

PERIPHERALS------------~
MC2257L

Terminal Transmitter

WORD
LENGTH
SELECTOR

X
11

2nd STOP BIT
SELECT

Y
12

13

INTERNAL EVEN
PARITY PARITY
17
16

ASYNCHRONOUS
MOOE
14

+ 16
ENABLE
+64
ENABLE

r--r--~-r--r--r--r--r~~l_--------~------~'9 DATA

EXTERNAL 8
CLOCK

OUT

LOAO
STROBE
VGG

=

Pin 4

VSS' Pin 10
VOD' Pin 18
8 DATA INPUTS

MC2259L

Terminal Receiv.

EVEN
PARITY
4

EXTERNAL
CLOCK

r--------4-----------------}---4----+---~.o'8CLOCKOUTPUT
7

,--------------------....---;----+-1>--<-0 SERIAL OUTPUT
DATA 3
INPUT ~------I
DATA
20
STROBE 0;.::.....-------1

SHIFT REGISTER
BUFFER STORAGE REGISTER

VGG ·PinS
VSS • Pin 12
VDO' Pin 21
T
8 DATA OUTPUTS

5·222

6 ASYNCHRONOUS
MODE
2
"SYN" DETECTED

~ @®

LOGIC DIAGRAMS

ELECTRONIC ORGAN APPLICATIONS - - - - - - - - - - - - . ,
MC1180L
Frequency Divider

MC1181L
Resettable Rhythm Counter

~4
In 1

Trigger Out 1
Aeset116~
In1
2~· _15Ql

5~12Q1
2

Reset 2

Trigger Out 2

In 2

:~14Q2

14 Q2B

Reset 38
In2 3

13 Q30

5

13 Q2A
12 Q3C

11 Q3C

Reset 3A

6

11 Q3B

10 Q3B
10 Q3A

In 3
In 3 6

9

Voo ""

•

Q3A

Pin

1

Voo - Pin 8

Vs = Pin
Gnd = Pin

7
8

VGG = Pin 1
Gnd = Pin 9

MC1184L
Frequency Synthesizer

MC1183L
Frequency Synthesizer

14

+478

13

7239

2

13

3

12

7253

3

12

7358

4

11

7268

4

11

7379

5

10

7284

5

10

+402

6

9

7301

6

9

+426

B

7319

B

+451

14

5-223

7338

INTEGRATED CIRCUITS
MC14000 Series Complementary MOS

•

The MCMOS series of monolithic integrated logic circu its is
designed to provide the system design engineer with a medium·speed
integrated circuit family which approaches the ideal in performance.
The low power dissipation and flexible power supply requirements
of this family of devices greatly simplify power supply design, and
the high noise immunity and large fanout capability reduce parts
count and simplify printed circuit board layout.

•

,'
L SUFFIX

C

CERAMIC PACKAGE
CASE 620

FEATURES

LSUFFIX
CERAMIC PACKAGE
CASE 632
TO·116

• Quiescent Power Dissipation = 10 nW/pkg typical
• Noise Immunity = 45% of VDD typical
• Supply Voltage Range = 3.0 Vdc to 18 Vdc (AL Series)
3.0 Vdc to 16 Vdc (CL Series)
• Single or Multiple Supply Operation - Positive or Negative
• Fanout>50
• Output Logic Excursion Independent of Fanout
•

L SUFFIX

Diode Protection on All Inputs

CERAMIC PACKAGE
CASE 684

•
FUNCTION AND CHARACTERISTICS
Qui~tP~.r

;

Type

Dissipation
nllV IVP/pkg'
ALSerieo
CLSeriet

Propagation
Delay

VDD" 18Vdc
·55 to +125"<:

Von= 16Vdc
-40 to +86"<:

Cast

Quad 2-lnput NOR Gate

MC14001AL

MC14001CL

632

10

50

Dual 4·Input NOR Gate

MC14002AL

MC14002CL

632

10

50

25

Quad 2-lnput NAND Gate

MC14011AL

MC14Q11CL

632

10

50

25

Dual 4-lnput NAND Gate

MC14012AL

MC14012CL

632

10

50

25

Oual Type 0 Flip-Flop

MC14013AL

MC14013CL

632

50

200

80

Dual 4-Bit Static Shift Register
8- Bit Static Shift RegiSter

MC14015AL

MC14015CL

620

10/lW

10/lW

125

MC14021AL

MC14021CL

620

3000

3000

100

Dual J-K Flip-Flop

MC14027AL

MC14027CL

620

50

200

75

Triple Gate

MC14501AL

MC14501CL

620

10

50

25

Quad Exclusive OR Gate

MC14507AL

MC14507CL

632

10

50

35

Dual 4-Bit Latch
S-Channel Data Selector

MC14508AL

MC14508CL

684

1000

1000

75

MC14512AL

MC14512CL

620

500

500

75

4-Bit Latch/4-to-16 Line Decoder (Low)

MC14514AL

MC14514CL

684

200

200

300

4-Bit Latch/4-to-16 Line Decoder (High)

MC14515AL

MC14515CL

684

200

200

300

4-Bit AN D/OA Select
(Quad 2-Channel Data Select or
Quad Exclusive NOA Gate)

MC14519AL

MC14519CL

620

100

100

85

64- Bit Random Access Read-Write Memory

MCM14505AL

MCM14505CL

632

300

300

Function

n. typ
25

(OuaI4-lnput NAND Gate and 2-lnput NOR!
OR Gate or B-Input ANO/NAND Gate)

Read Cycle = 150

5-224

Write Cycle

= 200

~~~@ffi lOGIC DIAGRAMS

GATES--------------------------------------------~
MC14001

MC14002

MC14011

Quad 2-lnput NOR Gate

Dual 4-lnput NOR Gate

Quad 2-lnput NAND Gate

:=1>-3
:=1>-4
:=1>-10

:D-3
:D-4
:D-l0
12D-

1 : 3 D -13
11

12~ ___ 11
13~

12

11

13

1=2+3+4+5

3=~

Voo = Pin 14
VSS == Pin 7

Voo = Pin 14
VSS = Pin 7

Voo'" Pin 14
VSS =: Pin 7

MC14012

MCl4501

Dual 4-lnput NAND Gate

Triple Gate
(DuaI4-lnput NAND Gate and 2-lnput NOR/OR
Gate or 8-lnput AND/NAND Gate)

MC145D7
Quad Exclusive OR Gate

~Q-use
Dotted Connection Extemally

1:~13

3

,

4

r---J

10

11~' 14 (AND)

11~

12

___ :

!~~ 15(NAND)

12

~

13
3= 1\1,2

Note: Pin 14 must not be used
as an input to the inverter.

Voo = Pin 14
VSS=Pin7

Voo
VSS

Voo == Pin 16
VSS == Pin 8

= Pin
=

14
Pin 7

SHIFT R E G I S T E R S - - - - - - - - - - - - - - - - - - - - .
MC14015

MC14021

Dual 4-Bit Static Shift Register

8-Bit Static Shift Register

DA

9

CA

ODA

5

01A

4

02A

3

Q3A
RA

9
10

10
6
Voo;::: Pin 16
VSS "" Pin 8

DB

Dp3

4

Dp4

13

Dp5

13

14

DP6

OIB

12

15

Dp7

Q3B
RB

2

07

12

08

3

Dp2

5

OOB

02B
Ca

06

Dpl

6--

15

PIS
C

Dp8

11
11

2

14

5-225

DS

VOO = Pin 16
VSS:::: Pin 8

•

I

~~~@@ LOGIC DIAGRAMS

FLIP-FLOPS AND L A T C H E S - - - - - - - - - - - - - - - - - ,
MC14013

MC14021

Dual TVPB 0 Flip·Flop

MC1450S

Dual J·K Flip·Flop

Dual 4-8it Latch

6

7

MR

00

6

2

Strobe
7

51
5

3

01

01

Cl

2

Rl

6

Jl

3

Cl

5

Kl

4-------'

4

8----,

9

9

02

52

02

13

10
13

11

C2

Q2

12

R2

02

15

C2
14

3

Disable 01

4

DO

6

01
02

Q2

9

8
10

03

03

11

13

MR

00

17

14

Strobe

15

Disable 01

19

16

DO

18

01

20

02

22

03

02

21

Q3

23

12

Voo = Pin 14
=.

2

Rl

11

10----'

VSS

J2

01

Voo

Voo.= Pin 16
V55

Pin 7

= Pin 8

VSS

= Pin
c:

24
Pin 12

DATA ROUTING FUNCTIONS------------------.,
MC14512

MC14519

S·Channel Data Selector

4-8it AND/OR Select
or
Quad 2·Channel Data Select
or
Quad Exclusvie NOR Gate

15

Disable 0

14

Control {

KA

9

Inputs

10

Inhibit

KS

14

11
12

AO
Al

Al

6

13

A2
61

DO
01
3

02

4

03

5

04

6

05

7

06

9

07

A2

Data

4

11 02

A3

2

1203

63

3

62

Inputs

A4 15

1304

64
VOO
VSS

= Pin 16
= Pin 8

VOO"" Pin 16
VSS == Pin 8

5-226

[r'A] ~ [r'A] ®@ LOGIC DIAGRAMS

DECODERS------------------------------------------,
MC14514, MC14515
4-Bit Latch/4-to-16 Line Decoder

Data 1

2

Data 2

3

A
B
Latch

4 to 16
Decoder

C

Data 3 21

D

Data 4 22

Strobe

50
51
52
53
54
55
56
57
58
59
510
511
512
513
514
515

1 0------'

ABeD
ABeD
ABeD
A8eD
ABeD
ABCD
ABCD
ABCD
ABeD
A Be D
A Be D
ABeD
ABCD
ABCD

11
9
10
8
7
6
5
4
18
17
20
19
14
13
16
15

ABCD
ABC D

Inhtbit 2 3 0 - - - - - - - - - - - - - '

VOo;o Pin 24
VSS = Pin 12

MEMORY------------------~
MCM14505
64-Bit Random Access Read-Write Memory

Address Inputs

r
A1

2

A2

3

A3

4

A4 11
A5 12

Data In

VOO" Pin 14
VSS = Pin 7

64 Word

8y
1 Bit

10 Data Out

Storage
Arrav

13

Strobe

5

CE1

6

CE2

B

Control

5-227

9

R/W

•

INTEGRATED CIRCUITS

FUNCTIONAL CIRCUITS
Function
Dual Toggle Flip-Flop

Dual Toggle Flip-Flop with Reset
3-lnput "AND" Gate

R-S Flip-Flop
J-K Flip-Flop

CASE 643A

TyPe

~

+7SoC
+75u C

MFC4040

206A

MFC6020

643A

Ideal for frequency-divider applications
in electronic organs.

+7S0C
+7SoC
+7S o C
+7SoC

MFC6050

643A

Fo!'" use in high-level, low-speed logic and timing systems

MFC6060

643A

MFC6080

643A

For use in high-level,low-speed logic and timing systems.
For use in high-level,low-speed logic and timing systems.

MFC8050

643A

For use in high-level, low-speed logic and timing systems.

Tem.,.ratllhl
-10 to
-10 to
-10 to
-10 to
-10 to
-10 to

Toggle Flip-Flop

CASE 206A

Comments

SPECIAL BIPOLAR LOGIC PRODUCTS
for
NnDN APPLICATIONS

F SUFFIX
CERAMIC PACKAGE
CASE 607
TO-86

•

o

~
~~](Ylli

CERAMIC PACKAGE
CASE 618

L SUFFIX

L SUFFIX

PSUFFIX

CERAMIC PACKAGE

CERAMIC PACKAGE
CASE 632
TO-116

PLASTIC PACKAGE

CASE 620

~

~

~~'1Tn~
PSUFFIX
,~,
PLASTIC PACKAGE
CASE 648

CASE 646
TO-116

-=-'-'~

~

L SUFFIX

CERAMIC PACKAGE
CASE 690

L SUFFIX
CERAMIC PACKAGE
CASE 684

(Additional mask-programmable memories are in the MOS device listing)

'Functk>n

Ty.,.

T.... porilWre

'case

MCM4002L

o to

+7SoC

620

MCM4002P

Oto +75 0 C

648

256-Bit Read Only Memory

Comm_
Bipolar read only memory organized 8s32 eight-bit words.
Compatible with MDTL and all MTTL lines.
Open
collectors or 2.0 kilohm pull up resistors at buffered output
bit lines. Truth table and output option specified by user.

1024-Bit Read Only Memory·

MCM4004AL

o to

+700 C

690

Bipolar read only memory organized as 256 four-bit words.
Input loading of ..Q.25 rnA maximum. Typical address
time of 50 ns, typical chip select time of 25 ns. Open
collectors or 2.0 kilohm pullup resistorsst output bit lines.
Truth table and output option speeified by user.

1024-Bit Read Only Memory·

MCM4006AL

o to +70 0 C

690

Same as MCM4004AL except input loading of -1.6 mA
maximum, typical address time of 40 ns, typical chip
select time of 20 ns.

MCM5003AL

o to +700 C

684

MCM5303AL

-65 to + 125°C

6B4

MCM5004AL
MCM5304AL

o to +70o C
-55 to +125 u C

684
684

Same as MCM5003AL except 2.0 kilohm pullup resistors
on the collector outputs.

128-Bit Read Only Memory

XC170

o to +75 0 C

648

Bipolar read only memory organized as 16 eight-bit words.
Compatible with MDTL and all MTTL lines.
Open
collectors at buffered output bit lines.
Truth table
specified by user.

128-8it Read Only Memory

XC171

o to +7S o C

648

Same as XC170 except 2.0 kilohm pullup resistors on the
collector outputs.

512-81t Programmable
Read Only Memory

512-81t Programmable
Read Only Memory

25-Gate Array

XCI77

607
-65 to +12SoC
61B,632,
o to +75 u C

646,648

Bipolar programmable read only memory organized as
64 eight-bit words. Field programmable by "blowing"
appropriate nichrome resistors to break metalization
links.
Ninth bit available for circuit testing.
Open
collector outputs.

Twenty-five gates with two custom layers of metalization
required to complete the circuit and obtain the desired
function. Compatible with MDTL and all MTTL lines.

·Standard options of the MCM4004 and MCM4006 are available as MCM4067 and MCM4068 Blnary-to-BCD Number Converters (from MCM4004)
and MCM4069 and MCM4070 Hollerlth-to-ASCII Converters (from MCM4006). Detallsaregivenon the MTTL Complex Functions device listing.

5-228

Numbers at end of terminals represent pin numbers.

MEMORIES----------------------------------------~
MCM4002
256-Bit Read Only Memory

MCM4004, MCM4006
1024-8it Read Only Memory

A3 4
15

A4 3
A5 2

10

A6

11

A715

12

AO
Al

13

1

5
6

A2

14

eE113
eE214

963106211611260

Vee = Pin 16
Gnd = Pin 8

AO

:3

Al

4
64 Word Bv 9-Bit Array
With
Nichrome Resistors

5
A3 8
A2

M

A4 9

MCM5303/MCM5003
MCM5304IMCM5004
512-Bit Programmable
Read Only Memory

A510

eEl

6

eE2

7
Vee = Pin 24
G1 = Pin 11
G2 = Pin 13, Pin 23

14
Test
66

15 16 17 18 192021 22
67 66 65 64 6362 61 60

XC170, XC171
128-Bit Read Only Memory

ROM Arrav

7

16 Words

9

of8 Bits

AO

1~________~~-L-L~~~"l

Al

6

0--------1

,~~er;;::i~:[;s

A2 15 0 - - - - - - - - 1

Dec~~i~~;ray

Vee
Gnd
8 Output
Buffers

A310o------------lL-____~~~____~

3 2 4 5 11 12 14 13
67 66,65 64 63 62 61 60

5·229

= Pin

16

= Pin 8

•

lIDll@ll1r£~MTfJN LOGIC

PRODUCTS

MULTI-GATE ARRAY ----------------~
XC177
25-Gate Array
With Two Custom Layers of Metalization

Bus Output NAND Gate

Two custom-designed layers of metallzatlon provide both gating
Intraconnections and gate-to-gate interconnections for the XC177. Each
gate may be used as any of tha four configurations shown. providing 8
choice of the role each gate will playas well as the logic function design

y----7

g~z

A

of the entire array.

External NAND Gate

Po=10mW

x-----'>.

Internal NAND Gate

y----,

y----7

A

'

/

.-

g~z
,

Po

= 15

i~z
,

mW

X----~

Po

= 7.5 mW

51

X----~

SI

4k

Vee

4k

r---~-+-oz

z

A

Vee

2k

z

A
B

e

00------'

A
B

B

e

e

00-----'
y

X

Gl

y x

y x
Use when interfacing With external
circuitry for Improved drive capability.

Use to perform logic within chip.

Use to accomplish theWired-OR function

With minimum power dissipation.

Gate Expander

~ EG:}-o

EGY-

~ a::;:)- ~

EG:r EG:r
~

a::;:)- ~ a::;)-o

EG:r

o
GJ

a;r 8

~ ~ ~

~
8

o

~ EGr ~8

J=<
1

Vee

4k

y

A
B

e

o

X

~~[;)-~~D

B B EJ EJ BEl EJ G B G
5·230

o

Usa to perform the

ANO-Q R-I NVERT function.

INTEGRATED CIRCUITS

APPLICATION SELECTOR GUIDE
LINEAR integrated circuits offer the design engineer a variety of functions for analog applications.
This line includes devices for military, industrial, and consumer applications. Devices are available in
a broad selection of operating characteristics and packaging. Refer to the last page of the linear
Selector Guide for package information.

At aGlance- MILITARY and INDUSTRIAL DEVICES
OPERATI ONA L AMPLI FI ERS
SPECifiCATIONS TYPICAL AT TA' +25 0 C

TYPE
005Sto +125°C

o to +750 C

ea..

A",I.V/V

Vo.Vplc

MC1520
MC1530
MC1531
MC1533
MC1535

MC1420

602A

1.500

'40

5.000
3.500
60.000
7.000
500.000

±52

45.000

±.14

120.000

MCI430
MC1431
MCI433
MC1435

602B. 606. 646
602B. 606. 646
602B. 606. 632. 646
602B. 607. 632, 646
601
MCl436",C

MC1536-

MC1537

MC1437

MC1539"

MC1439'

632,646
601, 632, 646

MC1556
601
MCl456.C
MC155SMCl458",C 601, 626, 632, 646
MC1709MC170SC- 601,606.626,632,646
MCB1709Ft
665
MC1712
MC1712C
601.606.632
MC1741"
MC1741C· 601,606,626.632,646
MCB1741F t
665
MC174SCMC1748601

-

-

MCB1748Ft

-

SR,Y/",

fC.MHz

08
30
0025
05
1.2
0008

50
10
30
10
10
20

50
10
10
20
067
20

10
6.0
6.0

±.13

02
02

10
10

025
42

1.0
20

200.000

t 13

0008

10

"4
.! 14
+ 14

02
0.2
0.2

08
025
025

'53
:t 14

25
02

20
1a
1.0
10
1.1

25

200.000
45.000
45.000
3.600
200.000

1.0
1.0
1.0
50
10

+ 14
± 14

0.2
0.08

±14

0.08

±.52

± 13

U8
±23

0.2
20
10

10

15
08

1.0
10
1.0

0.8
08
0.8

1.0
10

606

200,000
200,000
200,000

614

200.000

.1.13

0.2

10

0.8

11

160.000
160,000

±14

0.03
0.03

0.7
0.7

1.0
0.5

11
1.0

MCH2870M

MCH2870C

MLM101A
MLM107

MLM301A

601,626

MLM307

601

Definitions:

liB. 1'1\ IVlolmV

±14

1.0

Comments
20 '" 50 ohms, Olff Output
20

~

25 ohms

20 " 25 ohms

VIO Adjustable
DualOp·Ampl.
Internally Compensated
+28·Volt Supply

Dual MC1709
dVout/dt " 34
@A v ' 100
Internallv Compensated
Dual MC1741.C
Beam-Lead MC1709
InternaUv Compensated
Beam-Lead MC1741
Noncompensated MC1741,C
Noncompensated
Beam Lead MC1741
load current ±'300 rnA
Internally Compensated
I nternally Compensated

liB Input Bias Current
Vo Output Voltage SWing
SA Slew Aate@ Unity Gain
fC Unity Gam Crossover Frequency
fAlso available as a non-encapsulated beam-lead-device, use MCBC prefix

Avol Open-Loop Voltage Gain

VIO Input Offset Voltage
-Also available as a non-encapsulated chip. use MCC prefix_

LINEAR/DIGITAL INTERFACE CIRCUITS
TYPE
-55 to +l26OC

Temf*ature Range
Ca..
Oto+75OC

Cas.

Typ .... lnput
Threshold
IVth.mVdcl

Voluge

Response

Gain-Typ

Time-Typ

(A v , V/v1

('R,n.)

MC1514

632

MC1414

632

21.5118.5 (j)

1700t

MCl540

602B.606.
632

MCl440

602B.606.
632

17

85

20

MCl541

607,632

MCl441

607,632

17

75

30115@

MCl543

632

-

-

20

MC1544

620

MC1444

620

1.0

-

65150@

MCl546

620

MCl446

620

0.5@

600

60140@

MC1710-

601,606,
632
603002,606,
632

MC171OC'

601,606.
632
603002,606,
632

0

1700t

40

0

1500t

40

MC1711'

MC1711C"

I nput Threshold
mVilV..f=15mV
Typ
Max
Min

40

1013.0@

Commo...Mode
Input Firing
Romge IVI

Cycle

C...

MC7520

o to +700 C

620

11

15

19

±3.0

200

MC7521

o to +700 C

620

8.0

15

22

±3.0

200

Me7522

o to +70o C

620

11

15

19

±3.0

200

MC7523

o to +700 C

620

B.O

15

22

±3.0

200

MC7524

o to +70o C
o to +70o C

620

11

15

19

±3.0

200

620

S.O

15

22

±3.0

200

MC7525

@ Diff. Mode/Com,

CDmmants

Time
Min Ins)

T.mp8tature

TVpo

Comments
A dual differential comparator for level detection. low-level
senslOg, and memory applications
DeSigned to detect bipolar differential signals denved by a core
memory with cycle times as short as 0_5 J-IS
A dual-channel gated sense amplifier With separate wlde-band
differential mput amplifiers
A MECL dual core-memory sense amplifier; adjustable
threshold With excellent threshold stability
AC-coupled 4-channel sense amplifier ideal for plated-wire,
thin-film. and other hi-speed low-level sensing applications.
A four-channel plated wire sense amplifier deSigned to convert
±3_0 mV (or ±4.0 mV) Signals from plated wire memones to
MTTL logiC levels
A differential comparator providing high accuracy and fast
response time
A dual differential comparator prOViding high accuracy and
fast response time

Sense amplifiers featUring dual input preamplifiers connected to a common output stage, each may be strobed
independently
Sense amplifiers providing dual input amplifiers connected to
a common output stage. each may be strobed mdependently features open collector output
Sense amplifiers prOViding two Independent sense channels,
each may be strobed Independently - separate AND gate
outputs

Mode
@ Input Offset Voltage, mV typ
tAvol
-Also available 8S 8 non-encapsulated chip. use MCC prefix.

5-231

•

l1ll Jill~£rn

INTEGRATED CIRCUITS

MILITARY and INDUSTRIAL DEVICES (continued)

LINEAR/DIGITAL INTERFACE CIRCUITS

(continued)

DIGITAL·TO-ANALOG COHVEIIT£lI
CO..
TYPE
J T_ur. I

I

MC1_

I

Oto+75"c

e,

ts

%I",.,.)

MltYPI

....max)

Comment.

0.7

200

50

6-Bit mUltiplying digitalto-analog converter

632

'"

UNE DAIVEIIIRECEIVEII SERIES
Temper....,.
TVPE
C...
MCI488 I
MCI489,AJ

Oto+75"c
Oto+7SoC

I
I

Comments
EIA RS·232C Interface Circuit -Quad MDTL Line Driver

632
632

LINE DIIIVEIIIRECEIVEII SEIIIES

EIA RS-232C Interface Circuit - Quad MOTL Line Receiver

Common-Mod. Vo.....

Il"IIpOdance-typ
(kn .10MHzl

tp

CMVIIO
(V.... i.1

TYPE

T_",*

co..

'in

"ollt

" '.. mI)t

CMVRin
(V .... inl

MC1S60

-55 to +125o C

632

5.0

5.0

18

±3.5

+3.0/-9.0

MC1581

-55 to +12SoC

632

8.0

-

20

±.3.5

-

MC1582

-55 to +12SoC

632

-

7.0

20

-

+9.0/-3.0

Dual MOTL and MTTL line
driver

-55 to +12SoC

632

12(j)

40

±.3.5

-

Dual saturated logic receiver
(open-collectorl

632

7.0

-

37

±3.5

-

Dual MOTL and MTTL receiver

MC1583
MCI584

-55 to

+1250

C

COmments
Dual line driver/receiver; bias
driver for MECL, interfacing for

MDTL. MRTL and MTTL
Dual MeCL line receiver

(active pullup)

(j)f' 5.0 MHz

HIGH·FREQUENCY CIRCUITS
TYPE
-ssto

Oto

'12S"c

.75"c

co..

VCC, VEE
(Vd.1

SOndw_
(MHo)

Vos
Yp-p

MC1510

MC1410

601

±'6.0

de to 40

4.5

l'lnl

kn@kHz
6.0

20

35

(del

GT
SOMH,
(dBI

and Output

AGC

40

-

Ves

No

-

Ves

Ves

25

No

Ves

-

No

No

"vs

'>0'

n@kH.
20

(fixed)

•

MCI545

MCI445

MCI550

-

602A,
607,
632
602B,
606

MC1552

-

602B

MC1590

-

MCI733

MCI733C

MC1553

±.5.0

de to 75

2.5

10

+6.0

50

6.0

1.8

4.2

10

+6.0

602B

+6.0

601

+12

603
632

±.60

40@Av '34dB
35@Av '40d8
35@Av- 46dB
15@A v '52dB
100@Av - 4dB
60@Av '25dB
40@Av -52dB
9O@Av'40dB
120@Av '20dB

50

25

1.0M lOOk
100
100

16
16

50
1.0M
100
100

4.2

10

7.0

3.0 1.0M lOOk 1.0M

4.0

4.0
30
250

1.0
1.0
1.0

20

1.0

18
{fucedl

26
(AGC' 01
30- 40
(fixed)

46 - 52
(fixed)

44
(AGC' 01
52
40
20

Difl.lnput

-

No

No

45

Ves

V.s

-

Ves

No

MULTIPLIERS MODULATORS AND DETECTORS
TYPE
-55 to +12S"C
MCI594

MCI595"

-

oto.7O"C

Unaarlty
Error
(Typl

(Wo!

Comments

±.0.3%
±.0.5%

±.10
±10

A four-quadrant multiplier designed to operate with ± 15-volt
supplies; has internal level-shift circuitry and voltage regulator.

±.10

MCI494

COso
620
620

-

632

X Input - 0.5%
Y Input = 1.0%

632

X Input -1.0%
Y Input = 2.0%

-

MC1495-

Cartier
SUPtJression
Typ
(dBI@f(MHzI
MCI596

MCI496

602A.
632

65
50

l.putV",tage
Range
Mtn

0.5
10

±.10

Applications include multiply. divide, square root, mean square,
phase detector. frequency doubler, balanced modulatorl

demodulator. electronic gain control.

Common.Mode
Rejection
Typ
(CMRR,dB)

85

-Also available as 8 non.ancapsulated chip. use MCC prefix.

5-232

Balanced modulator/demodulator designed for use where the
output voltage 15 a product of an input voltage (signal) and a
switching function (carrier!.

l1ll ~n:~£rn

INTEGRATED CIRCUITS

MILITARY and INDUSTRIAL DEVICES (continued)

REGULATORS
Input..

Va Range TCVo
Vref
IS
'0
IVdel
IVdel
I%I"C{mAde- (mAdeMax)
+l25o C
+70o C
Case I Min 1M•• IMin I M•• I Min M.. I Typl I Min Maxi Max)
POSITIVE VOLTAGE REGULATORS
200
602A
MC1460
9.0
20
3.0
2.5
17
±0.002 3.2
3.8
12
20
500
614
200
602A
9.0
3.8
MC1560
8.5
20
2.7
20
2.5
17
±0.002 3.2
500
614
200
602A
35
3.0
12
3.8
MC1461
9.0
35
2.5
32
±O.OO2 3.2
614
500
200
602A
MC1561
9.0
2.7
8.5
40
37
±0.002 3.2
3.8
40 2.5
614
500
200
602A
MC1469 t
3.0
±0.002 3.2
3.8
12
9.0
35
35 2.5
32
500
614
200
602A
8.5
40
2.7
40
2.5
37
±0.002
3.4
3.6
9.0
MCI569 t
614
500
603·03 9.5
40 3.0
4.0
150
38 2.0
37
±0.002 6.80 7.50
MC1723C t
632
603·03 9.5
150
37
±0.002 6.95 7.35
3.5
40 3.0
38 2.0
MC1723 t
632
MFC4060· 206A
35 3.0
- 4.8
9.0
32
±0.005 3.8
4.6
200
MFC6030· 643A
- 4.8
4.6
9.0
35 3.0
32
±0.005 3.8
200
MLM105
601
8.5
50 3.0
30
4.5
40
0.002
1.6
2.0
2.0
20
MLM305
601
8.5
40 3.0
30
4.5
30
0.0004
1.6
2.0
2.0
20
MLM109K
11
7.0
2.0
30
0.02
1000
4.7
5.3
25
10
7.0
0.02
1000
MLM309K
11
25 2.0
30 4.8
5.2
10
TYPE

-55 to

Vin Range
IVdel

O"c t.

OU'tP... t

Oiff.(Vdel

-

-

-

-

-

-

-

-

-

-

Po
IW-M•• I
TA

RegUne

%VO
IMax--1

RegLoad
I%VO ·Moxl

TC
+25o C

+25o C

1.8
12
1.8
12
1.8
17.5
1.8
17.5
1.8
17.5
1.8
17.5

0.68
3.0
0.68
3.0
0.68
3.0
0.68
3.0
0.68
3.0
0.68
3.0

-

0.8

0.030

0.20

-

0.8

0.030

lJ.15
0.2
0.2

vin

0.13
0.05
0.13
0.05
0.13
0.05
0.13
0.05
0.13
0.05
0.13
0.05

0.030
0.015
0.030

0.015
0.030
0.015

-

1.0

0.03

-

1.0

0.03

20
20

0.68
0.68
3.5
3.5

0.06
0.06
0.04
0.04

1.8
9.0
1.8
9.0

0.68
2.4
0.68
2.4

0.05 @)
0.05 4
100 4
100~

NEGATIVE VO~ TAGE REGULATORS

-

MC1463 t

-

MC1563t

MULTI·PURPOSE

-

602A
614

-9.0

-35

-3.0

40

-3.8

-32

±0.002

-3.2

-3.8

14

602A
614

-8.5

-40 -2.7

35

-3.6

-37

±0.002

-3.4

-3.6

11

0.01

17.3

19.7

12

0.13
0.05
0.13
0.05

0.030
0.015

ReGU~TORS

MC1466

CDl

Harmonic:Distortion
1%- Typi
0.4

Comments

A power amplifier device capable of single or spilt supply operation.

I

ZERO VOLTAGE SWITCH
TYPE
MFC8070

Voltage

Output
Power
CW-Typl

I
I

ea.. I
644A

I

Comments
For use in ac power switching with output capable of triggering triaes

5-233

•

I

l1ll ~&£rn

INTEGRATED CIRCUITS

CONSUMER DEVICES

At aGlance - CONSUMER DEVICES
HIGH·FREOUENCY CIRCUITS
TYPE

Temperature

Case

MC1330

o to +75 0C

626

MC1350

o to +75 0C

626

MCl352

o to +75 0C

646.
647

MC1353

o to +75 0C

646.
647

MC1550

-55 to +125 0 C

602B.
606

MFC4010A

-10 to +750 C

206A

MFCB030

-10 to +75 0 C

644A

Srnall-Signal
Voltage Glin
(A.,dB - TYP)
34

Supplv
Drain
Current
(rnA -Typl

No;seFigure
(dB -Typ)

15

-

®

CornmtlnU
Low·level video detector for color
and monochrome TV receivers:
replaces 3rd IF. detector, video and

AFC buffers.

60®

33

51

FM IF AMPLIFIERS

Drain
Curr""t
IrnA-TVpl

AM Rejection
(dB-Typl

TV sound IF amplifier with quad·

TV sound IF with limiter. FM
detector, audio driver, electronic
attenuatar

TYPE

Temperature

Ca••

Input Signal
3dB Limiting
(mV Irmsl - Typl

SmaU-8ignal
Voltage O.in
(A".dB - TvpJ

AM Rejection
(ein ~ 1 V IrmsH
IdB-Typl

MFC6010

-10 to +75 0 C

643A

55

40 dB @ 10.7 MHz

40dB

Oto +750 C

646.
647

1.75

40 dB @ 10.7 MHz

60dB

o to +750 C

646.
647

MCl355
MC1357

(j)

Power gain

@

0.6

Transducer power gain

® Attenuatar Volume Reduction Range

Comments

(j)ein

53dB@10.7MHz

@

Conversion gain

= 10 mV(rms)

5·234

37 dB (j)

Comments
FM limiting IF amplifier designed

for 10.7 MHz IF applications.
Four-stage limiting FM amplifier
TV sound I F with quadrature
detector or FM radio I F amplifier
suitable for automotive applications

@)Output noise voltage

® I F voltage gain

11 IT li&~£rn

INTEGRATED CIRCUITS

CONSUMER DEVICES (continued)

LOW-FREQUENCY CIRCUITS
AUDIO POWER AMPLIFIER CIRCUITS
Input
Sensitivtty
@FuIiPO
(mV-Max)

Output
TYPE

Case

Temperature

Power

(W-Minl

THO
@}('RatedPw,
(%-Tvp)

Comments

CD

MC1306

o to +75 0 C

626

0.5

0.5

Complementary power amplifier and preamplifier

MFC4000B

-10 to +75 0 C

206A

025

42@

0.7

DeSigned for the output stage of battery-powered
portable radios.

MFC6070

-10 to +55 0 C

643A

1.0

150

1.0

phonograph, TV and radio applications.

MFC8010

-10 to +55 0 C

644A

1.0

1O@

1.0

MFC9020

-10 to +75 0 C

641

2.0

200

1.0

Powe, Supply
Voltage
IVdc- Maxi

OutPUt Swing
IV[rms] - Typ)

270/360

Designed for low-cost audio amplifiers in

PrOVides the complete audio system in TV. radio,
and phonograph equipment, includes preamplifier

DeSigned for the complete audio system in
television, radio and phonograph equipment

DRIVER AND AUDIO PREAMPLIFIER CIRCUITS
TYPE

Open· Loop
Voltage Gain
(dB - Typl

eas.

Temperature

MC1303

Oto+750C

632

80

±15

5.5

MCl380

-40 to +75 0 C

627

49

18

30"

MFC4050

-10 to +75 0 C

206A

42

18

30"

MFC8020.o

-10 to +75 0 C

644A

80

35

(VCC

= 32 Vdc)

MFC8040

-10 to +75 0 C

644A

90

33

(VCC

= 30 Vdc)

CD

@

Avol, preamplifier/power amplifier

Comments
Dual monolithic stereo preamplifier, channel
separation of 60 dB min at 10 kHz
DeSigned to dnve germanium power transistors
In auto radiOS
Audio driver deSigned for driving Class A PNP
power OU tput stage of up to 4 watts of audio
power

9.0

Class B audio driver deSigned as a preamplifier
and driver circuit for complementary output
tranSistors, will dnve ~ 15 W

70

Low nOise audio preamplifier, input noise level
of 1.0 /lV tYPIcal

Input sensitivity IS externally adjustable.

·*mA(rmsl output current

•

REGULATORS
Input

0"(; tn HO·C

Output VoRange
(Vd.)
DHt.JVdcl
Min Mo. Min Mu Min Mao

Vin Range

TYPE

IVd.l

ea..

POSITIVE VOLTAGE REGULATORS
602A
MC1460
90
20
6t4
602A
MC1461
9.0
35
614
602A
9.0
35
MC1469 t
614

~D

TCVO

V,oI

1%I"c •

lVelel

118

to

(W-M.. I

(mAde·

(mAde·

Mo_1

.isc;,c .is'ac

Typl

Min

Ma.

MaxI

30

20

25

17

+0002

32

38

12

30

35

25

32

+.0002

32

38

12

30

35

25

32

'-0002

32

38

12

MC1723C t

603·03
632

95

40

30

38

20

37

±O 002

680

750

40

MFC4060·

206A

g.O

3.0

9.0

32
32

±0.005
f.0.005

4.8

643A

48
48

38

MFC60JO·

35
35

38

4.8

MLM305
MLM309K

601
11

8.5
7.0

40
25

30

200
500
200
500
200
500

t8
12
t8
175
18
175

ISO
200

-

068
30
068
30
068
30

0030

o t3

0030

005
0.t3
0.05
013
0.05

08

0.030

020

1.0

003

02

10

003

02

0.06

0.05 @

0.04

100

,.

200
20
1000

20

0.68
3.5

-

Regl.ine
"VO
ROgLood
IM•• - - )
I%VO-Maxl
vin

0.030

3.0

30

4.5

30

0.0004

1.6

2.0

2.0

30

4.8

52

0.02

-

-

2.0
10

NEGATIVE VOLTAGE REGULATORS
602A
-9.0 -35 -30
MC1463 t
614

40

-3.8

-32

±0.O02

-3.2

-38

t4

200
500

t8
9.0

068
24

0030

CD

0

CD

0.01

17.3

19.7

t2

CD

CD

CD

003

®

013
0.05

MU,-TI-PURPOfE REGUI.ATORS
MCI466

632

CD~ CD~

CD

Temperature Range of -10 to +750 C
t Also available as a non-encapsulated ChiP, use MeC prefiX.

0.03%
+3mV

+~~~I@

G) Limited only by the characteristics of the external series pass tranSistor, may be hundreds of
volts or many amperes

(i) An aUXIliary voltage 127 Vde nom), Isolated from both the unregulated de Input voltage and
Gnd, IS reqUired to bias the IC.

CD

Current Load RegulatIon (max).

5-235

@ mV

11 IT ~rn:£rn

INTEGRATED CIRCUITS

CONSUMER DEVICES (continued)

SPECIAL-PURPOSE CIRCUITS
STEREO DEMODULATORS

Power Supply
Voltage Range
(Vdc:-TypJ

PO-Max
(mWI

TliD - TVI'

1%.

Comments

TYPE

Tarnparature

Ca ..

MCl304

Oto+750C

646,647

8.0·14

0.5

625

MC1305

o to +750 C

646,647

8.0·14

0.5

625

MC1307

o to +750 C

646,647

8.0·14

0.5

625

MC1310

-30 to +850 C

646

8.0-16

0.3

625

Output
Voltage
Swing
(Vp-p-Typ.

Output
Diffe,ential
Voltage
(Vd. -Typ)

Output Vollag.
Temperature
Coefficient
(mV/oC - Typl

An FM multiplex stereo demodulator;
derives the left and right channel audio
information from the detected composite
signal. MC1305 permits use of external

stereo-channel separation control.

CHROMA DEMODULATORS
TYPE

Temperatur•

C...

MCl326

o to +75 0 C

646,647

10

0.3

3.0

MCI328

o to +75 0 C

603-02,646
647

10

0.3

3.0

Thrifty version of MC1304, without

audio mute and stereo switch capabilities.

FM Sterao demodulator uses a phaselocked loop to regenarate the 38 kHz subcarrier - thereby eliminating all coil adjustments.

Comments
Dual doubly balanced chroma demodulatorwith RGB matrix and luminance and

blanking inputs.

TUNING INDICATOR

Dual doubly balanced chroma
demodulator.
Threshold

TYPE

Temperature

Case

Drain
Current
CmA-TVpl

MCI335

o to +75 0 C

626

5.5

Saturation
Vollage
(Vdo- Tvpl

0.85

Noi ..
(Vdc-Typ)

Lamp
On
MinJMn
(Vde!

Lamp
Off
Min/Max
(Vdcl

1.9

5.8/6.2

5.1/6.9

Inhib~

Comments

Designed for fine tuning of
FM radios

TV SIGNAL PROCESSOR
TYPE

Temperature

Case

MCl345

o to +700 C

646

Comments

... With sync separator, advanced high-quality nOise Inverter AGC Keyer and AGC amplifier.
Features one IF AGC output, two tuner AGe outputs and adjustable AGe delay

AUTOMATIC FREQUENCY CONTROL
TYPE

Temperature

Case

MCl364

o to + 75°C

646,
686

High-gain AFT system - 18 mV Input for rated output

TV COLOR PROCESSING CIRCUIT
TYPE

Temperature

Case

MCl398

-20 to +75 0 C

646

ELECTRONIC ATTENUATOR
TYPE

MFC6040

. __ includes complete Chroma IF amplifier, automatic chroma control, color killer. dc chroma
control and injection lock reference system with dc hue conrtol. Low peripheral parts count.

Temperature

Case

Voltage
Gain
(dB - Typl

Attenuation
Range
CdB - Typl

THO
(%-Typl

Power SupplV
Voltage Range
CVdc.

-10 to +750 C

643A

13

90

0.6 t

9.0 to 18

Comments
Ideal for de volume
control and AGC audio
amplifier applications_

ZERO VOLTAGE SWITCH
TYPE
MFC8070

I
I

Temperature
-10to +750 C

I

Cese
644A

Comment.
For use in ac power switching with output capable of triggering triaes

tAt Unity Gain

5-236

l1ll ~n~£rn

INTEGRATED CIRCUITS

LINEAR IC PACKAGES

::·
W

~

~

1

o

2

-. -.

CASE 11 (TO-3)

No Suffix

:..
o

:..

1

o

2

1

2

CASE 6028

CASE 603-02 ITO-l 00)

Suffix G after type number

Suffix G after type number

CASE 603-03
Suffix G after type number

CASE 606 ITO-90
Suffix F after type number

.,

c::::]

16

..
~
+"-0
'

(£l-

CASE 602A
Suffix G after type number

CASE 601 (TO-99)
Suffi" G after type number

CASE 206A

No Suffix

1

~9

CASE 614

CASE 620

CASE 625

Suffix R after type number

Suffix L after type number

Suffix P after type number

CASE 627
Suffix P after type number

Suffix F after type number

CASE 607 ITO-B6)
Suffix F after type number

tJ
1

CASE 626
Suffix P after type number

':~

14

CJ
1

"'"

CASE 632 (TO-116)

Suffix L after type number

:;u;

~

~

~

CASE 644A
No Suffix

No Suffix

14

@

1~10

10

°"0

1

1

~

~

~

14

.'0

~

CASE 643A

No Suffix

0

CASE 628

'

'

CASE 646
Suffix P after type number

CASE 647

CASE 665

CASE 685

CASE 686

Suffix PO after type number

Suffix F after type number

Suffix R after type number

Suffix G after type number

5-237

CJ

5·238

MICROCIRCUIT COMPONENTS

CONTENTS
Microcircuit Components Index
Introduction
General Information
Silicon Amplifier Transistors
Silicon Switching Transistors
Silicon Switching & Amplifier Transistors
Silicon NPN R F Transistors
Silicon PNP RF Transistors
Silicon Field-Effect Transistors
Silicon Power Transistors
Silicon High-Speed Switching Diodes
Silicon Zener Diodes
Thin-Film Resistors
Thin-Film Capacitors

6-3
6-6
6-7
6-13
6-16
6-22
6-28
6-30
6-34
6-36
6-38
6-40
6-46
6-52

MTTL Integrated Circuits (Beam Lead) Index

6-56

Linear I ntegrated Circuit Chips Index

6-107

6-1

•

•

6-2

MICROCIRCUIT COMPONENTS INDEX
TYPE

MJC007
MJC043
MJC044
MJC067
MJC069
MJC070
MJC076
MJC082
MMCD914
MMCD6100
MMCQ 100-300

DEVICE

SILICON POWER TRANSISTORS

SILICON SWITCHING DIODES
~
THIN-FILM CAPACITORS

to

I

MMCQ 100-221
MMCQ 100-330-1
to

MMCQ 100-221-1
MMCQ 101
MMCQ 101-1

IDENT.

THIN-FILM CAPACITORS

+
MMCR100
MMCR105
MMCRllO

THIN-FILM RESISTORS

MMCS709

SILICON SWITCHING TRANSISTOR

MMCS910
MMCS918
MMCS929
MMCS930
MMCS0122
MMCS0123
MMCS0125
MMCS0130
MMCS0131
MMCS0134
MMCS0159
MMCSOl72
MMCS2192
MMCS2193

SILICON AMPLIFIER TRANSISTORS

!

!

SILICON FIELD-EFFECT TRANSISTORS

I

SILICON NPN RF TRANSISTOR
SILICON NPN RF TRANSISTOR
SILICON SWITCHING & AMPLIFIER TRANSISTORS

+

6-3

MICROCIRCUIT COMPONENTS INDEX

•

TYPE

DEVICE IDENT.

MNCS2221
NMCS2221A
MMCS2222
MMCS2222A
MMCS2369
NMCS2369A
NMCS2483
MMCS2484
MMCS2857
MMCS2894
MMCS2906
MMCS2906A
MMCS2907
MMCS2907A

SILICON SWITCHING & AMPLIFIER TRANSISTORS

MMCS3227
MMCS3250
MMCS3250A
MMCS3251
MMCS3251A

SILICON SWITCHING TRANSISTOR
SILICON SWITCHING & AMPLIFIER TRANSISTORS

MMCS3252
MMCS3253
MMCS3444
MMCS3467
J:.1MCS3468
NMCS3498
MMCS3499
MMCS3500
MMCS3501

SILICON SWITCHING TRANSISTORS

!

SILICON SWITCHING TRANSISTOR
SILICON SWITCHING TRANSISTOR
SILICON AMPLIFIER TRANSISTOR
SILICON AMPLIFIER TRANSISTOR
SILICON NPN RF TRANSISTOR
SILICON SWITCHING TRANSISTOR
SILICON SWITCHING & AMPLIFIER TRANSISTORS

1

!
j
SILICON SWITCHING & AMPLIFIER TRANSISTORS

1

J:.1MCS3506
MMCS3507
MMCS3546

SILICON SWITCHING TRANSISTORS

MMCS3634
MMCS3635
NMCS3636
MMCS3637

SILICON SWITCHING & AMPLIFIER TRANSISTORS

MMCS3724
MMCS3725
MMCS3734
MMCS3735
MMCS3762
MMCS3763

SILICON SWITCHING TRANSISTORS

MMCS3798

SILICON AMPLIFIER TRANSISTOR

MMCS3799

SILICON AMPLIFIER TRANSISTOR

l

!

I

6-4

MICROCIRCUIT COMPONENTS INDEX
TYPE

DEVICE IDENT.

MMCS3866

SILICON NPN RF TRANSISTOR

MMCS3903
MMCS3904
MMCS390S
MMCS3906

SILICON SWITCHING & AMPLIFIER TRANSISTORS

MMCS39S9

SILICON SWITCHING TRANSISTOR

MMCS4260

SILICON SWITCHING TRANSISTOR

MMCS4400
MMCS4401
MMCS4402
MMCS4403

SILICON SWITCHING & AMPLIFIER TRANSISTORS

MMCSS087

SILICON AMPLIFIER TRANSISTOR

MMCSS088

SILICON AMPLIFIER TRANSISTOR

MMCSS636

SILICON NPN RF TRANSISTOR

MZC1.8BIO
Thru
MZC200BIO

ZENER DIODES

MZC2.4AIO
Thru
MZC200AIO

ZENER DIODES

!
!

•

6-5

INTRODUCTION

THE TREND TOWARD
HYBRID MICROCIRCUITRY

•

The electronics industry is increasingly turning to hybrid microcircuits for solutions to
problems that have not been solved by conventional monolithic or discrete circuitry. The
trend toward more use of hybrid circuits affects users in all segments of the industry, from
aerospace to consumer equipment. Among the major reasons for using hybrid circuits are
miniaturization, performance, economy, flexibility and reliability.
Hybrid microcircuits can be made very small, therefore, several microcircuits can be
assembled in a conventional integrated circuit package, while others may require special
packaging. A conventional circuit using discrete components can be converted to use
microcircuit components at greater savings in space, and without the extensive changes in
circuit design that would generally be required with monolithic circuitry. Hybrid circuits
can incorporate inductors and passive components with high values.
An interesting characteristic of hybrid microcircuits is that they can be high Iy complex,
and may incorporate power transistors, field·effect transistors, bipolar transistors, zener
diodes and passive components.
Consequently, hybrids operate at higher power levels and can be manufactured to
tighter tolerances. They also incorporate monolithic circuits, ensuring that a hybrid can
always be more complex than any single monolithic ch ip.
Hybrid circuits can be less expensive than conventional discrete circuits due to fewer
interconnections, and deposited components that can result in savings. The reduced
number of soldered connections increases reliability, thus making them attractive for
high·rel applications.
Hybrid circuits are more flexible than discrete circuits as they can be produced faster,
and manufactured with a relatively small investment in equipment and training. This makes
it possible for small equipment manufacturers to produce their own proprietary circuits.
An area in which hybrid circuitry is especially useful is in microwave applications.
Monolithic circuits are not useful above the VHF range, and discrete components may be
too large for maximum efficiency. Hybrid circuits, on the other hand, are ideal as they are
small and have minimum lead lengths. The ceramic substrates typical of hybrids provide
excellent microwave isolation that often cannot be achieved with other techniques.

6-6

MICROCIRCUIT COMPONENTS

GENERAL INFORMATION

STANDARD CHIP PROCESSING

The transistor and small-signal diode "chips" in Motorola's Standard Microcircuit Components line are produced on the same well-proven production
lines that provide Motorola's standard encapsulated devices. They are subjected to the same rigid in-process controls used to insure the reliability and
performance of the eventual packaged components. In fact, as shown in the
flow chart below, all wafer processing is completed before the wafers are
assigned either for subsequent encapsulation or for additional special testing
and handling involved in selling unencapsulated components.
As with standard encapsulated products, the entire test and inspection
sequence for chips is under the auspices of the Quality Control Department,
providing independent quality assurance completely disassociated from
production control.
CHIP PROCESSING AND QUALITY CONTROL SEQUENCE

100% Visual
Scribe

Wafer
Processing

and
Break

~

Carrier

Q.C. (Sample)

Loading

Chips are visually inspected and rejects
removed. Typical rejection criteria:

Wafers are visually inspected

for acceptable passivation
and metalization

•
•
•
•

6-7

Visual

~

cracks intersecting active region
ink marking indicati[1g electrical rejects
missing or smeared metalization
exposed silicon

Chip
Shipment

•

GENERAL INFORMATION (continued)

NON-STANDARD CHIP PROCESSING

The standard unencapsulated semiconductors described in the following sections meet a wide variety of
application requirements. Nevertheless, there may be
occasions when a designer can benefit from a nonstandard device for a specific circuit. To satisfy these
requirements, almost any device from Motorola's broad
line of conventional packaged semiconductors may be
obtained on a specially negotiated basis. Moreover,
though the electrical specifications of these special
chips are limited by certain test limitations, the custom·
er can negotiate additional tests. Please contact your
Motorola sales representative for more information.

On special order, Motorola transistors other than those
listed in data sheets may be obtained in both wafer
and chip form. The following tables list test limitations for these devices. The tests indicated can be made
on a 100% basis. The tests can also be negotiated on
a sampling basis.
Frequency and switching performance correspond to
the inherent capability of a particular product line;
dynamic specifications cannot be obtained by probing
a chip. Such parameters are measured with the chip
sealed in a standard encapsulated package and the resulting measurement includes the package parasitics.

TABLE II - Electrical Test Capability for 100% Wafer
Probing of "Special" Unencapsulated

TABLE I - Electrical Test Capability for 100%
Probing of "Special" Unencapsulated
Small-Signal and RF Transistors

•

Power Transistors

Parameter

Test Condition

Limits

Parameter

Test Condition

Limits

BVCBO
BVCES
BVCEO
BVEBO
ICBO
ICES
lEBO
hFE
VCE(sat)
VBE(sat)
Vf

10 }lAde-LO mAde
10 }lAde-La mAde
1.0 mAde-l a mAde
10 }lAde-lOa }lAde
0-200 V
0-200 V
0-200 V
100 }lAde-SaO mAde
100 }lAde-500 mAde'
100 }lAde-500 mAde'
0-500 mAde

0-300 V
0-300 V
0-300 V
0-300 V
10 nAde
10 nAde
10 nAde
0-1000
0-10 V
0-10 V
0-25 V

BVEBO
BVCBO
BVCES
BVCEO
hFE

10/lAde-l0 mA
50 /lAde-5.0 mAde
50 /lAde-l.0 mAde
1.0 mAde-lOa mAde
IC =50 mAdc-l.0 Adc
VCE =1.0-20 Vdc

30 Vdc
500 Vdc @ 1.0 mAde
500 Vdc@ 1.0 mAde
500 Vdc@ 1.0 mAde

-

Minimum leakage currents will be the same as the minimum
currents listed for the breakdown voltages above. On high
voltage material (100 Vdcl we convert the breakdown voltage to leakage currents for test purpose•. hFE i. te.t equipment limited, higher current. are correlated.

* Accuracv above 250 mAde is not guaranteed due to

contact resistances, etc.

6-8

MICROCIRCUIT COMPONENTS

SHIPPING METHODS

HANDLING PRECAUTIONS
Standard microcircuit components listed in the data sheets

1. Such devices are stored in an environment of no more
than 30% relative humidity.
2. Devices are processed in a non-inert atmosphere not exceeding 100°C, or in an inert atmosphere not exceeding 400°C.
3. Processing equipment conforms to the minimum standards of equipment normally employed by semiconductor
manufacturers.
Moreover, Motorola's engineering staff is available for consultation in the event of correlation or processing problems
encountered in the use of Motorola semiconductor chips. For
assistance of this nature, please contact your nearest Motorola
sales representative.

in this catalog are passivated devices, as are most special selections. However, many other unpackaged components, such
as high power thyristors, silicon mesa power transistors and

germanium power transistors, require special handling. Consequently their parameters cannot be guaranteed.
Forpassivated devices, although the careand handling of unencapsulated semiconductors often require precautions outside
the experience of many equipment manufacturers, Motorola

warrants that such devices meet or exceed the publ ished (or
negotiated) specifications, provided three basic requirements
are met in the customer's establishment.

STANDARD CARRIER PACKAGES
To accommodate customers with both small and
large quantity requirements, Motorola supplies microcircuit components in two standard carriers, the DekaPak and the Multi-Pak. These carriers are shown in
Figures 1 and 2. Both contain individual compartments
to simplify user inventory recordkeeping andto protect
the chips during storage.

The Deka-Pak holds 10 small-signal chips, and is
ideal for prototype development.
The Multi-Pak is excellent for production use. Two
versions, both 2 inches square, are available. One
holds 400 small-signal chips, and the other is designed
for 100 large chips such as power transistors.

FIGURE 2 - MUL TI-PAK

FIGURE 1 - DEKA-PAK

(lO-chip carrier)
_ _ TOPVIEW_!
I
2.00MIN

T

II

2.00
NOM

COMPARTMENTED TRAY

The Multi-Pak carrier is designed for production use. Two
versions are available. one holding 400 small chips. and one
holding 100 large chips such as those used for power transistors. All of the carriers are 2 inches square, and are vacuum
sealed before shipment.

To accommodate the customer with limited quantity requirEr
ments, the Oaka-Pak carrier contains individual compartments
for 10 chips.

6-9

SHIPPING METHODS (continued)

OPTIONAL SHIPPING METHODS

CHIP OPTIONS

TABLE I - Specification Options

For large quantity use, or special applications, shipping
methods other than the standard Deka-Pak or Mu ItiPak may be desired. Various packaging and shipping
options are available on a negotiated basis. For more
information on these options, please contact your
Motorola sales representative.

CHIPS
1. 100% probed. Rejects inked but
included in bulk shipment.
2. 100% probed. Electrical and
mechanical rejects removed.

3. Same as above, but sample tested
in a package to meet negotiated
acceptance criteria.

FIGURE 3 - K-PAK (1 OlIO-CHIP CARRIERI

Shipping
Options
See Figure 4

See Figure 2
and Figure 3
See Figure 2
and Figure 3

TOP VIEW

FIGURE 4 - STRAW-PAK PLASTIC VIAL
BULK SHIPMENT
COMPARTMENTED TRAY

~.

CROSS SECTION

~

This carrier holds 1000 chips. It is designed with individual
compartments for each chip. The chips are placed in the
carrier with the geometry side showing. eVery small chips
may become inverted in transit.)

The Straw-Pak is a vial encompassing a straw that has one end
closed. The chips are inserted in the straw, and then the straw
is bent and placed in the plastic vial for shipment.

WAFER OPTIONS

FIGURE 6 - WAFER SHIPMENT (UNSCRIBEDI

Motorola unencapsulated transistors may be obtained
in waferform. The information in Table II gives thevar·
ious specification verification and packaging options.

TABLE II - Specification Options

FOAM

FIGURE 5 - PLASTIC
BAG SHIPMENT

MYLAR
WAFER

WAFERS
1. Sample probed. Guaranteed

Shipping
Options

MYLAR

See Figure 6

FOAM

minimum yield.

2. 100% probed. Rejects inked.
3. 100% probed. Rejects inked,
scribed and broken. Wafer
is placed between two sheets
of mylar or filter paper and
vacuum sealed in a plastic bag.

See Figure 6
See Figure 5
PLASTIC
BOX

Wafer is 100% probed.

Rejects

inked, scribed, and broken. Wafer

is placed between two sheets of
mylar and vacuum sealed in a
plastic bag.

6-10

Wafers are shipped between two layers of mylar, sandwiched
between two layers of polyfoam pressed together in a plastic
box. This prevents movement or damage to the wafer.

MICROCIRCUIT COMPONENTS

VISUAL INSPECTION

DEFINITION OF TERMS
Emitter-Base and Collector-Base Junctions_ Theregion

the oxide for metalization contact to the emitter
and base regions_

where the base and col/ector, and the emitter and
base meet. These junctions will be defined on the
surface of the chip as an oxide step_

Pre-Ohmic Alignment_ The positioning of the oxide

Diffusion Window_ The opening etched through the

Passivated Region_ Any region covered by glass (Si 02),

oxide to permit the diffusion of the emitter and
base_

Expanded Contact_ Any pattern that has metalization

opening into which the metalization is placed_
nitride, or other protective dielectric_

Active Junction_ A change in 'N'type to 'P' type dop-

crossing a diffused junction.

ing or conversely, by a diffusion step_ On discrete
transistors there are 2 active junctions, the col/ectorbase junction and the emitter-base junction_

Attached Foreign Material. A foreign substance that

cannot be removed when subjected to a nominal gas
flow_ Lint, silicon dust, etc_ are not considered
attached since they can be removed after die mount.

The Pre-Ohmic Window_ The opening etched through

INSPECTION CRITERIA
Visual inspection is performed with a microscope using 40X-80X magnification for Silicon-Power Chips and
100X-125X for other devices_
SCRIBING DEFECTS
window is covered with metalization_

Excess Chip_ A chip shall be rejected if a portion of an
adjacent chip with metalization is still attached to
subject chip_

FOREIGN MATERIAL DEFECTS
Bridged-Across Metal. A chip shall be rejected when

Scribe Line Limits_ A chip shall be rejected if a scribe
line touches or crosses an active junction area or a

attached foreign material bridges across normally
separated metalized areas_

metalized region_

Particle Size Inside Active Area_

MECHANICAL DEFECTS

A ch ip shall be

rejected when attached foreign material greater than

Inspect each chip to insure there are no cracks or

2 mils_ is found inside collector-base junction or on

breaks that:
Non-Expanded Contacts

the emitter-base bonding pads_

(a) Touch the collector-base junction (NPN)_

OXIDE DEFECTS

(b) Extend through the annular ring (PNP)_

Exposed Silicon on Junction_ A chip shall be rejected

Expanded Contacts

if exposed silicon' touches or crosses the collector-

(a) Touch the collector-base junction (NPN)_

base junction or the emitter-base junction_

(b) Extend through the annular ring (PNP)_
Exposed Silicon Touching Metal. A chip shall be re-

(c) Extend under any metalized bonding pad_

jected if exposed silicon touches or extends under
the bonding pad metalization_ (Expanded contacts
only_)

Inspect each chip to insure there are no cracks greater
than one mil. in length in a passivated region and extending toward an active area_

(Does not apply to

Silicon Power devices_)

Oxide Defect in Active Area_ A chip shall be rejected
if an oxide defect occurs inside or on the collector-

ALIGNMENT DEFECTS
emitter pre-ohmic windows that cross the emitter-

base junction with a major dimension greater tnan
1 mil. (Does not apply to Silicon Power Devices_)

base junctions or base pre-ohmic windows that

Oxide Defect Crossing or Touching_ A chip shall be

touch the emitter-base junction or cross the col-

rejected if gross oxide defects, evidenced byalter-

Pre-Ohmic Alignment_

The chip shall not contain

lector-base junction_

nately colored bands (rainbow effect), emit from

Diffusion Window Alignment_

No diffusion window

two separate ohmic contacts and either touch or
cross each other, or cross the collector-base junc-

shall touch another diffusion window_
Metalization Alignment_

tion_ (Not applicable to line geometries with more

The metalization must be

aligned so that at least 50% of the pre-ohmic

than 18 fingers totaL)

6-11

•

VISUAL INSPECTION (continued)

INSPECTION CRITERIA (continued)

metalized areas and if oxide is not clearly visible
between the pre-ohmic window and any adjacent

Oxide Defect Under Bonding Pads. A chip shall be rejected if an oXide defect extends under 25% of the
bonding pad.
Discontinuous Diffusion Lines. A chip shall be rejected
if any diffusion line is broken or missing.

metalization not intended to make contact.
Metal Corrosion. A chip shall be rejected if it exhibits
any corroded metal. Corrosion is a chemical reaction or process causing abnormalities in the metalization. A rough metalization surface is not to be
considered corrosion.
Non-Expanded Contacts

METALIZATION DEFECTS
Expanded Contacts (finger geometries).
Missing Metahzation on Bonding Pads. A chip shall be
rejected when 25% of the metalization is missing
from a bonding pad.

Missing Metalization. A chip shall be rejected when
more than 25% of the metalization is missing from
a bonding pad.

Metalization Width at Oxide Step. Any chip shall be
rejected if the metalization width of any finger is
reduced greater than 25% at any oxide step. 75%

Lifted Metalization. A chip shall be rejected if it exhibits any lifted metalization. SI.ight undercutting
causing a lifted appearance is not cause for rejection.

of the metal width must remain.
Metalization Width In First 50 Percent of Finger. A
chip shall be rejected if the finger metalization is
narrower than 50% of its original design width or if
tne finger width is reduced greater than 50% due to
a severe scratch orvoid in the first 50% ofthe finger.
A severe scratch is one whicn exposes the underlying surface.

Bubbled Metalization. A chip shall be rejected if it exhibits any bubbled metalization on a bonding pad.
Bridged Metalization. A chip shall be rejected for
bridged metal shorting any two normally separated
metalized areas.
Narrow Metal Widths In Relation To Design Width. A
chip shall be rejected if the metalization is narrower
than 50% of its original design width.

Fingers Isolated or Missing. A chip shall be rejected if
any finger is not 100% continuous over the first

Metal Corrosion. A chip shall be rejected if it exhibits
any corroded metal. Corrosion is a chemical reaction or process causing abnormalities in the metalization. A rough metalization surface is not to be
considered corrosion.

50% of the finger (from the bonding pad). For line
geometries with more than 18 fingers, a chip shall
be rejected if over 10% of the fingers do not have
metalization covering the first 50% of each finger.
Bubbled Metahzation. A chip shall be rejected if it exhibits any bubbled metalization on a bonding pad.
Lifted Metalization. A chip shall be rejected if it exhibits any lifted metalization. Slight undercutting

METALIZED ANNULAR RING
Missing Metalization. A chip shall be rejected when a
metalized annular ring is not 100% continuous.
Bridged Metalization. A chip shall be rejected for
bridged metal shorting the metalized annular ring

causing a lifted appearance is not cause for rejection.
Bridged Metalization. A chip shall be rejected for
bridged metal shorting any two normally separated

with any other metalized area.

RECOMMENDED INCOMING INSPECTION PROCEDURES
Motorola with a detailed inspection report. In no
case will Motorola accept rejected material that the
customer has inspected 100%.

Motorola assures that the devices will meet the
customers' incoming visual inspection when inspected to
the visual criteria and LTPD limits specified in the data

B. After the lot has passed incoming visual inspection,

sheet. Inspection must be performed at the power and
magnification indicated. Motorola guarantees dc para-

samples are selected and subjected to electrical tests
of the dc parameters. If samples do not pass the
electrical tests, they shall be packaged separately

meters to LTPD limits specified in the data sheet.

Returned Components

and identified with a II the informatio n from the
original package of chips. The shipping container

It is suggested that the customer perform incoming inspection in the following sequence:

must be closed and secured. The entire lot together

1. Visual

with the test samples and a detailed inspection re-

2. Test dc electrical parameters

port shall be returned to Motorola. In no case will
Motorola accept,rejected material that the customer
has inspected 100%,

A. If the lot fails visual inspection, containers must be
closed and secured and the entire lot returned to

6-12

MICROCIRCUIT COMPONENTS
AMPLIFIER TRANSISTORS

SILICON TRANSISTORS
UNENCAPSULATED
AMPLIFIER TRANSISTORS

... with passivated Annular construction that provides high reliability
and consistent performance. These chips are identical to the chips
used in packaged Motorola transistors with 2N prefixes; i.e., the
MMCS910 chip is used in the Motorola 2N910 transistor. For more
detailed characteristic data, please refer to the equivalent Motorola
2N .... data sheet.

• DC Current Gains to 300 Minimum

MMCS910
MMCS918
MMCS929
MMCS930
MMCS2483

MMCS2484
MMCS3798
MMCS3799
MMCS5087
MMCS5088

• Breakdown Voltages to 100 Volts
• Noise Figures as Low as 0.8 dB Typical

HANDLING PRECAUTIONS
MAXIMUM RATINGS

Although the care and handling of unen-

capsulated semiconductors often require
precautions outside the experience of
manyequipment manufactu rers. Motorola

warrants that such devices meet or exceed
MMCS910
MMCS918
MMCS929
MMCS930
MMCS2483
MMCS2484
MMCS5088

60
15
45
45
60
60
30

100
30
45
45
60
60
35

7.0
3.0
5.0
5.0
6.0
6.0
4.5

-

1
2

50
30
30
50
50
50

3
3
3'
3
5

the published specifications, provided
three basic requirements are met in the

customer's establishment.
1. Such devices are stored in an environment of no more than 30% relative

humidity.
2. Devices are die-and-wire bonded in
a ncninert atmosphere not exceeding
1000G, or in an inert atmosphere not ex-

ceeding 40o"C.
3. Processing equipment conforms to
the minimum standards of equipment
normally employed in semiconductor
establishments.

Operating and Storage Junction
Temperature Range -65 to +200o C

Moreover, Motorola's engineering staff is
available for consultation in the event of
correlation or processing problems encountered in the use of Motorola semiconductor chips. For assistance of this nature, please contact your nearest Motorola
sales representative.

6-13

•

AMPLIFIER TRANSISTORS (continued)

NPN
MMCS910
MMCS918
MMCS929
MMCS930
MMCS2483
MMCS2484
MMCS5088

60
15
45
45
60
60
30

30
3.0
10
10
10
10
1.0

100
30
45
45
60
60
35

100
1.0
10
10
10
10
100

7.0
3.0
5.0
5.0
6.0
6.0
4.5

100
10
10
10
10
10
10

25
10
10
10
10
10
50

75
15
45
45
45
45
20

751201601150175117513001900

10'
3.0'
500
500
100
100
100

0.4
0.4
1.0
1.0
0.35
0.35
0.5

0.8
1.0
1.0
1.0

-

-

10
10
10
10
1.0
1.0
10

AC* PARAMETERS
Ihf.l@

G) SMALL-SIGNAL CHARACTERISTICS

Ic ,VCE, f
Volts MHz

NF
dB
max

50
4.0
0.5
0.5
0.5
0.5
0.5

10
10
5.0
5.0
5.0
5.0
5.0

20
100
30
30
30
30
20

14
6.5
5.0
4.0
5.0
4.0
4.0

loOK
60M
10-15.7 K
10-15.7 K
10-15.7 K
10-15.7 K
10-15.7 K

0.5
0.5
0.5

5.0
5.0
5.0

30
30
20

1.0tvp
0.8 tvp
3.0

1.0K
1.0 K
10-15.7 K

rnA
min

@

Hz

(lC = 1.0 rnA, VCE = 10 V, f = 1.0 kHz.)

NPN

•

MMCS910
MMCS918
MMCS929
MMCS930
MMCS2483
MMCS2484
MMCS5088

15
1.7
8.0
8.0
6.0
6.0

85
2.0

8.0
8.0

-

-

2.5
6.0
1.0
1.0
2.0
2.0
2.0

4.0
4.0
4.0

-

0.8
0.8
1.6

-

PNP
MMCS3798G)
MMCS3799G)
MMCS5087

ill

AC parameter values are as specified in the standard 2N data sheets. (encapsulated devices).

PARAMETER LIMITATIONS AND WARRANTY
Probe limitations allow 100% testing of low level de parameters only. DC parameters have been selected to insure electrical characteristics
to an LTPD of 10 and ae parameters to an LTPD of 20. Visual inspection is performed to an L TPD of 20. See "Vlsual Inspection Criteria"
in General I "formation Section.

6-14

AMPLIFIER TRANSISTORS (continued)

MECHANICAL INFORMATION
MATERIAL - SILICON
FRONT METALIZATION - ALUMINUM
BACK METALIZATION - GOLD (Collector Contactl
ALL DIMENSIONS ARE IN MILS

EMITTER

BASE

GEOMETRY 1

GEOMETRY 3

MMCS910

MMCS929
MMCS930

GEOMETRY 2

NPN

MMCS2483
MMCS2484

GEOMETRY 4

NPN

GEOMETRY 5

MMCS5088

6-15

NPN

MMCS3798

MMCS918

MMCS3799

NPN

MMCS5087

PNP

•

MICROCIRCUIT COMPONENTS
SWITCHING TRANSISTORS

UNENCAPSULATED
SWITCHING TRANSISTORS

SILICON TRANSISTORS

· .. with passivated Annular construction that provides high reliability
and consistent performance. These chips are identical to the chips
used in packaged Motorola transistors with 2N prefixes; i.e., the
MMCS709 chip is used in the Motorola 2N709 transistor. For more
detailed characteristic data, please refer to the equivalent Motorola
2N .... data sheet.

MMCS709
MMCS2369
MMCS2369A
MMCS2894
MMCS3227
MMCS3252
MMCS3253
MMCS3444
MMCS3467
MMCS3468
MMCS3506

• Breakdown Voltage to 80 Volts
• Switching Times as Low as 3.5 ns

MAXIMUM RATINGS

MMCS709
MMCS2369
MMCS2369A
MMCS3227
MMCS3252
MMCS3253
MMCS3444
MMCS3506
MMCS3507
MMCS3724
MMCS3725
MMCS3734
MMCS3735
MMCS3959

•

6.0
15
15
20
30
40
50
40
50
30
50
30
50
12

15
40
40
40
60
75
80
60
80
50
80
50
75
20

4.0
4.5
4.5
6.0
5.0
5.0
5.0
5.0
5.0
6.0
6.0
5.0
5.0
4.5

100
500t
200
500t
1000
1000
1000
3000
3000
1000
1000
1500
1500
30

1
2
2
2
4
4
4
6
6
4
4
8
8
9

12
40
50
12
40
60
15

12
40
50
15
40
60
15

4.0
5.0
5.0
4.5
5.0
5.0
4.5

200
1000
1000
200
1500
1500
30

3
5
5
7
5
5
10

PNP
MMCS2894
MMCS3467
MMCS3468
MMCS3546
MMCS3762
MMCS3763
MMCS4260

Operating and Storage Junction

Temperature Range -65 to +200o C

MMCS3507
MMCS3546
MMCS3724
MMCS3725
MMCS3734
MMCS3735
MMCS3762
MMCS3763
MMCS3959
MMCS4260

HANDLING PRECAUTIONS
Although the care and handling of unencapsulated
semiconductors of ton require precautions outside
the experience of many equipment manufacturers,

Motorola warrants that such devices meet or exceed
the published specifications, provided three basic re-

quirements are met in the customer's establishment.
1. Such devices are stored in an environment
of no more than 30% relative humidity.

2. Devices are die-and-wire bonded in a nonInert atmosphere not exceeding 100 o e, or in an
Inert atmosphere not exceeding 400°C.
3. Processing equipment cOhforms to the minimum standards of equipment normally employed
In semiconductor establ ishments.
Moreover, Motorola's engineering staff is available for
consultation in the event of correlation or processing
problems encountered in the use of Motorola semiconductor chips. For assistance ofthis nature, please
contact your nearest Motorola sales representative.

2.8

2.5
GEOMETRY 1

2.0
MMCS709 NPN

GEOMETRY 2

6-16

~~g~:i::A

MMCS3227

NPN

SWITCHING TRANSISTORS (continued)

ELECTRICAL CHARACTERISTICS (TA = 25°C)
VCE(satl, VBE(satl @ IC
Volts
Volts
mA
max
max

TYPE
NPN
MMCS709
MMC52369
MMC52369A
MMCS3227
MMC53252
MMCS3253
MMC53444
MMC53506
MMCS3507
MMC53724
MMC53725
MMC53734
MMCS3735
MMCS3959
PNP
MMCS2B94
MMCS3467
MMC5346B
MMC53546
MMC53762
MMC53763
MMCS4260

6.0
15
15
20
30
40
50
40
50
30
50
30
50
12

10
10
10
10
10
10
10
10
10
10
10
10
10
10

15
40
40
40
60
75
BO
60
BO
50
BO
50
75
20

10
10
10
10
10
10
10
100
100
10
10
10
10
10

4.0
4.5
4.5
6.0
5.0
5.0
5.0
5.0
5.0
6.0
6.0
5.0
5.0
4.5

10
10
10
10
10
10
10
10
10
10
10
10
10
10

50
400
400
200
500
500
500
1000
1000
500
500
200
200
50

5.0
20
20
20
40
60
60
30
40
40
60
25
40
10

20/200
40/120
3D/100/300
30/90
25/75
20/60
40/200
30/150
60/150
60/150
30/120
20/BO
40/200

10
10
3D
10
500
500
500
1500
1500
100
100
1000
1000
10

0.35
0.25
0.2
0.25
0.5
0.6
0.6
1.0
1.0
0.42
0.42
0.5
0.5
0.2

0.B5
0.B5
0.B5
0.B5
1.3
1.3
1.3
1.4
1.4
1.2
1.2
1.2
1.2

-

3.0
10
10
10
500
500
500
1500
1500
500
500
500
500
1.0

12
40
50
12
40
60
15

10
10
10
10
10
10
10

12
40
50
15
40
60
15

10
10
10
10
10
10
10

4.0
5.0
5.0
4.5
5.0
5.0
4.5

10
10
10
10
10
10
10

10
100
100
10
100
100
50

6.0
30
30
10
30
50
12

40/150
40/120
25/75
30/120
30/120
20/BO
30/150

30
500
500
10
1000
1000
10

0.2
0.5
0.6
0.15
0.9
0.9
0.35

1.2
1.2
1.2
0.9
1.4
1.4
1.0

30
500
500
10
1000
1000
10

AC' PARAMETERS
Cob Cib

TYPE
NPN
MMCS709
MMCS2369
MMC52369A
MMC53227
MMC53252
MMC53253
MMC53444
MMC53506
MMCS3507
MMCS3724
MMCS3725
MMCS3734
MMCS3735
MMCS3959
PNP
MMC52S94
MMC53467
MMC5346B
MMCS3546
MMC53762
MMC53763
MMCS4260

Ihf.1 @IC ,VCE,

f

ts. tf

ton
ns
max

10 ft"

Test
Circuit
Fig. No.

min

3.0
4.0
4.0
4.0
12
12
12
40
40
12
10
9.0
9.0
2.5

5.0
5.0
5.0
5.0
1.B
1.5
1.5
2.5
2.5
2.0
2.0
2.0
2.0
11

5.0
10
10
10
50
50
50
100
100
50
50
50
50
5.0

4.0
10
10
10
10
10
10
5.0
5.0
10
10
10
10
4.0

100
100
100
100
100
100
100
20
20
100
100
100
100
100

lB"
lB"
20"
15"
14"
20"
20'
15"
50,35
lB.35
lB,40
50,35
50,35
lB,40
65,40
lB,40
lB,40
65,40
75'
45"
45'
75'
10,45
35,35
35,35
10,45
2.4,3.0(1) 1.6,3.3(1)

1
2
3
2
5
5
5
7
7
9
9
10
10
12

6.0 13.2
100
1.6
100
1.4

30
50
50
10
50
50
5.0

10
10
10
10
10
10
4.0

100
100
100
100
100
100
100

70
10,30
10,30
4S"
10,40
10,40
1.0,0.5(1)

100'
SO,30
BO,30
35"
95,40
95,40
1.0,1.0(1)

4
6
6
B
11
11
13

6.0
25
25
6.0
18
lB
2.5

2.0
4.0

-

4.0
BO
BO
80
300
300
70
70
BO
SO
2.5

mA

6.0 17.0
95
1.5
95
1.2
2.5
10

Volts MHz

fcI, t!

pF
pF
max max

ns
max

• AC parameters arB as specified in the standard 2N

data sheets (encapsulated devices)
(1) Typical Switching Times
PARAMETER LIMITATIONS AND WARRANTY
Probe limitations allow 100% testing of low level de
parameters only. DC parameters have been selected

to insure electrical characteristics to an LTPD of 10
and ae parameters to an L. TPD of 20.

Visual Inspection is performed to an L TPD of 20.
See "Visual Inspection Criteria" in Generallnforma·

tion Section.

MECHANICAL INFORMATION
MATERIAL -SILICON
FRONT METALIZATION - ALUMINUM
BACK METALIZATION - GOLO (Collector Contact!
ALL DIMENSIONS ARE IN MILS

GEOMETRY 3

MMCS2894 PNP

GEOMETRY 4 MMCS3252

6-17

~~g~~

MMCS3724
MMCS3725

NPN

•

SWITCH I NG TRANSISTORS (continued)

MECHANICAL INFORMATION
MATERIAL -SILICON
FRONT METALIZATION - ALUMINUM
BACK METALIZATION - GOLD (Collector Contact)
ALL DIMENSIONS ARE IN MILS

~----------~--------~~
FIELD RELIEF
ELECTRDDEt

EMITTER

/'

'~_~'_1_!'-......~BASE

L
6O(SQ)

GEOMETRY 5

MMCS3467
MMCS346B

MMCS3762
MMCS3763

PNP

7.0DIA.

. ._ _

6.0

DIA.

GEOMETRY 6

1-1---- ----1-1

MMCS3506

MMCS3507

NPN

15

G=-r---.-,~~"~"

•

~

BASE

EMITTER

FIELD RELIEF
ELECTRODE!

'----+---2.2-+-----+-2---+----'.3
GEOMETRY 7

MMCS3546

GEOMETRYB

PNP

MMCS3734

MMCS3735

~ L- - - -+- -+- t~- - - - ~
GEOMETRY 9

MMCS3959

NPN

2.5

NPN

GEOMETRY 10

tpatented by Motorola - Patent No. 3.302,076

6-18

MMCS4260

PNP

SWITCHING TRANSISTORS (continued)

TEST CIRCUITS
FIGURE 1 - TURN-ON AND TURN-OFF TIME TEST CIRCUIT

VCC=+1.0V
- - - ' - - - - " ' - - - Vin

fT<0.5 ns
TO SCOPE: Zin = 50

0.1 ~F

~VOUI
500

0.1
VSS=-1.0V
Vin = +6.0 V

~F

Vino--r'
Vaa = +5.0 Vdc
Vin = -4.0 V

VSE = -1.0 V

2.0 k

501

Vss

Vin RISE TIME.;; 1.0 ns

FIGURE 2 - SWITCHING TIME EQUIVALENT TEST CIRCUITS
-Ion CIRCUIT - 10 rnA
-toff CIRCUIT - 10 rnA

-I
I---11\
_I~v.:fl L
II

+10.6V

~

+10.75 V 0
I

;i':::

3.3 k

I

_oJ

PULSE WIDTH 1I1I = 300 n'
DUTY CYCLE = 2.0%

-9.15

CS·<4.0pF

11

I--

+3.0 Vo---JOoN'v-...,

7

V~I--

I

1-<1.Ons

4-_
-I

+3.0 V0--'l1IIV--.

270
I
I
;T~'

< 1.0 n,>-"'3"'.3""k-+-t

I

_.J CS' <4.0 pF

PULSE WIDTH Itll = 300 n,
DUTY CYCLE = 2.0%

-TOUI shunteapilCltilnCIi of taltjlg and connactors.

FIGURE 3 - SWITCHING TIME EQUIVALENT TEST CIRCUITS

-I

+10.6V

I---

11

~

~

0--15

. -----l

-I ,,1--

+3.0 V 0--""""--,

+3.0 Vo----JoN'v-...,

V~I--

+10.75 V 0 4 - - 7

< 1.0 ns

3.3 k

-4.15
cst <4.0 pF

PULSE WIDTH 1I1I = 300 n'
DUTY CYCLE = 2.0%

270
I

I

;i,

< 1.0 nsC>--""3fv.3"'k-t-;

PULSE WIDTH 1111=300.,
DUTY CYCLE = 2.0%

I

_.J c,t <4.0 pF

•

tTotal shuntcapaenanca of taltllg and connectoB.

FIGURE 4 - SWITCHING TIME TEST CIRCUIT

-2.0 V

Vaa

62
100
0.1

~F

Vin~

2.0 k

t----<>Voul

TO SAMPLING SCOPE
Zin>IOO k
tr~1.0ns

lIDO

r
.:d1lr-

FIGURE 5 - EQUIVALENT CIRCUIT FOR MEASURING
DELAY AND RISE TIMES
STORAGE AND FALL TIMES

PW.;; 200 ns
RISE TIME.;; 2.0 n'
DUTY CYCLE = 2.0%

59
+30 V o----"M~---.
200

+IO.7n

ViR

-2.0

-=1--

-\::0

Vin

--j

-11.7---13--j

6-19

59
+30 V o----'VIIV----,

l2

.;,o-_-'II2"0°V--1........,H

10<11<500",
IN916
12 < 5.0 n,
13>1.0",
DUTY CYCLE = 2.0% .. -3.0 V

I--

=

SWITCHING TRANSISTORS (continued)

FIGURE 6 - EQUIVALENT TEST CIRCUT
TURN-OFF

TURN-ON
59

-30 V o----'lAfIr---,

+2.0V9--F

-TO.BV

ill

SCOPE

U

59
-30 V o---"W","""--,

SCOPE

B.BV

200

200

I

o --

:-f
I

I

I

11.2V

2.0<11<500".
12<5.0n,
13> 1.0".
DUTY CYCLE = 2.0%

I

-I"~H-l-13
12~ I--

PW = 200 n,
RISE TIME <; 2.0 n,
DUTY CYCLE = 2.0%

IN916
+3.0 V ':'

FIGURE 1 - EQUIVALENT CIRCUIT FOR MEASURING
OELAY AND RISE TIMES
STORAGE AND FALL TIMES
19

19
+30 V

PW <; 300 n'
RISE TIME <; 2.0 n,
~UTY CYCLE = 2.0%

1t-

+16.4 V
TOO
Vin

Vin

+30 V

Jl

Vin
II

-13.6V

13~

0

I--

100
Vin

10<11 <500~,
12<5.0n,
13>1.0.,
DUTY CYCLE = 2.0%

':'

IN916
~

-3.0 V

':'

FIGURE 8 - SWITCHING TIME TEST CIRCUIT
-2.0 V

Vss

62
100

O.I.F
Yin

PU LSE WIDTH> 200 n'
RISE TIME < 1.0 ns

t - - - -.....----o VOU I

Zin

I

2.0 k

I

0----)11----+-----'VV'v----f-f

;f-:: Cs <; TO pF

TOO

=

50

Ion: VSS = +3.0 V, Yin = -7.0 V
1011: VSS = -4.0 V, Yin = +6.0 V

I

I

I
I

o:t--

•

FIGURE 9 - SWITCHING TIMES TEST CIRCUIT
-3.B V

+30 V
15

Vin=+9.7SL
PULSE GENERATOR
tr. tl '" 1.0ns

1.0.F

t-----it----o

1.0 k

TO SAMPLING
OSCILLOSCOPE

43

PW~1.0".

I.O~F

Zin = 50

100

0>----------'.1-----")1---+-~-+-r

DC <2.0%

Zin" TOO k
tr<1.0n5

.
"
.'fb-

FIGURE 10 - SWITCHING TIME EQUIVALENT TEST CIRCUITS
TURN-ON TIME
TURN-OFF TIME
30
+30 V

Vi:I~~

SCOPE
100
Vin

-2.0 V
PW<;200ns
RISE TIME <; 2.0 n'
DUTY CYCLE = 2.0%

'~r

Vin

':'

-

100

--

II
10<11 <500".
-B.9 V
12 < 5.0 ns
13>1.0.,
DUTY CYCLE = 2.0%

~

6-20

Vin

30

IN916
13

f---

-4.0 V

':'

SWITCH I NG TRANSISTORS (continued)

FIGURE 11 - SWITCHING TIME EQUIVALENT TEST CIRCUITS
TURN-OFF TIME

TURN-ON TIME
-30 V

Dft

30

8.9V

+2.0Vl----F

:,~,u~<~.

100

SCOP: _ _ _ _ _

-11.1 V

~

-~1II

RISE TIME .. 2.0 ns
DUTY CYCLE = 2.0%

0----'111"""""----.

+__; _
I

I

30

-30 V

t3

t2 j - - "

I
I-

I
I

I

10 1.0~s
DUTY CYCLE = 2.0%

+4.0 V

FIGURE 12 - TEST CIRCUIT
fVCC RC2

RCI

RL2
You

tLS

!

i RS
I

_--

~"l"'

RLl

-- -

~

INPUT Z

Il..l..GROUNO
PLANES

.;.

RE
VEE

i

VBB

V;n=Vout=2V VBB=IV RC1=RC2
V;n=Vout =IV
IC
RS
RC RLl RL2 RE VEE VCC
RS
RC RLl
mA Ohms Ohms Ohms Ohms Ohms Volts Volts Ohms Ohms Ohms
1.0 2.0 k 6.0 k 3.0 k 3.0 k 10 k 10
16 1.0 k 6.0 k 1.2 k
5.0 360 3.56k 400 450 2.0 k 10
47
175 1.0 k 200
10
160 1.0 k 200 250 3.0 k 30 26.3 75
300 tOO
62
20
300 100 150 1.0 k 20
16
25
150
25
30
28
157
66
116 1.0 k 30
13
8.0
0
77

The test circuit is designed to
simu late a series of cascaded

iden tical circuits. with input
Zeq ual to output Z.

VBB=0.5V RC1=RC2
RL2
RE VEE VCC
Ohms Ohms Volts Volts
1.2 k 24 k 24
32
250 3.0 k 15
27
150 3.0 k 30
17
75
1.0 k 20
11
50 1.0 k 30
9.0

•

FIGURE 13 - TEST CIRCUIT

r

RCI

~~l"'

RLl

r

I RS

~-

-----

_

RE
VEE

Zequal to output Z.

~

INPUT Z

i:l..GROUNO
~ PLANES

The test circuit is designed to
simu late a series of cascaded
iden tical circuits, with input

RL2

r.::-.

You t I L

10:\.

CC RC2

1

VBBH

Vin=Vout=IV
Vin=Vout=2V VBB=IV RC1=Re2
IC
RS
Re
RLl RL2 RE VEE Vee RS
Re RLt
mA Ohms Ohms Ohms Ohms Ohms Volts Volts Ohms Ohms Ohms
1.0 2.0 k 6.0 k 3.0 k 3.0 k 10 k 10
16 1.0 k 6.0 k 1.2k
47
5.0 360 3.56k 400 450 2.0 k 10
175 1.0 k 200
300 100
10
160 1.0~ 200 250 3.0 k 30 26.3 75
25
20
62
300 100 150 1.0k 20
16
25
150
0
30
28
157
13
8.0
77
66
116 1.0 k 30

6-21

VBB=0.5V Rel=Rc2
RL2
RE VEE Vee
Ohms Ohms Volts Volts
1.2 k 24 k 24
32
250 3.0 k 15
27
150 3.0k 30
17
75
1.0 k 20
11
50 1.0 k 30
9.0

MICROCIRCUIT COMPONENTS
SWITCHING & AMPLIFIER
TRANSISTORS

SILICON TRANSISTORS

UNENCAPSU LATED
SWITCHING AND AMPLIFIER TRANSISTORS
· .. with passivated Annular constructionthatprovideshighreliability
and consistent performance. These chips are identical to the chips
used in packaged Motorola transistors with 2N prefixes; i.e., the
MMCS2192 chip is tlsed in the Motorola 2N2192 transistor. For more
detailed characteristic data, please refer to the equivalent Motorola
2N .... data sheet.
•
•

DC Current Gain to 100 Minimum
Breakdown Voltages to 175 Volts

• fT to 300 MHz

MAXIMUM RATINGS

•

MMCS2192
MMCS2193
MMCS2221
MMCS2221A
MMCS2222
MMCS2222A
MMCS349B
MMCS3499
MMCS3500
MMCS3501
MMCS3903
MMCS3904
MMCS4400
MMCS4401

40
50
30
40
30
40
100
100
150
150
40
40
40
40

60
BO
60
75
60
75
100
100
150
150
60

40
60
40
60
40
60
40

60
60
60

60
60
60

5.0
B.O
5.0
6.0
5.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0

1000
1000
BOO
BOO
BOO
BOO
500
500
300
300
200
200
600
600

1
1
2
2
2
2
1
1
1
1
6
6
7
7

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

600
600
600
600
200
200
200
200
1000
1000
1000
1000
200
200
600
600

3
3
3
3
5
5
5
5
4
4
4
4
5
5
B
B

PNP
MMCS2906
MMCS2906A
MMCS2907
MMCS2907A
MMCS3250
MMCS3250A
MMCS3251
MMCS3251A
MMCS3634
MMCS3635
MMCS3636
MMCS3637
MMCS3905
MMCS3906
MMCS4402
MMCS4403

60
140
140
175
175
40
40
40
40

60
50
60
50
60
140
140
175
175
40
40
40
40

Operating and Storage Junction
Temperature Range -65 to +200o C

6-22

MMCS2192
MMCS2193
MMCS2221
MMCS2221A
MMCS2222
MMCS2222A
MMCS29D6
MMCS29D6A
MMCS29D7
MMCS29D7A
MMCS325D
MMCS325DA
MMCS3251
MMCS3251A
MMCS3498

MMCS3499
MMCS35DO
MMCS3501
MMCS3634
MMCS3635
MMCS3636
MMCS3637
MMCS3903
MMCS3904
MMCS3905
MMCS3906
MMCS4400
MMCS4401
MMCS4402
MMCS4403

HANDLING PRECAUTIONS
Although the care and handling of unencapsulated semiconductors often require

precautions outside the experience of
manyequipmentmanufacturers,Motorola

warrants that such devices meet or exceed
the published specifications, provided
three basic requirements are met in the
customer's establishment.
1. Such devices are stored in an environment of np more than 30% relative
humidity.
2. Devices are die-snd-wire bonded in
a noninert atmosphere not exceeding
100Dc. or in an inert atmosphere not ex~
coeding 4000C.

3. Processing equipment conforms to
the minimum standards of equipment
normally employed in semiconductor
establishments.
Moreover. Motorola's engineering staff is
available for consultation in the event of
correlation or processing problems encountered in the use of Motorola semiconductor chips. For assistance of this n.
ture. please contact your nearest Motorola
sales representative.

SWITCHING & AMPLIFIER TRANSISTORS (continued)

ELECTRICAL CHARACTERISTICS (T A = 2SoC)
SVCEO
Volts

@

IC
mA

VCE(satl, VSE(satl
Volls
Vails
max
max

min

@

IC
mA

NPN
MMCS2192
MMCS2193
MMCS2221
MMCS2221A
MMCS2222
MMCS2222A
MMCS3498 CD
MMCS3499 --J>vVv-.....-H"

---to9V

--l " I--

__ J

300 ns
DUTY CYCLE:: 2.0%

"err

0

-3.0

IN916

10---'YW--+-i.
r-

----t

t1

~ 10-~Vv-~--~[.

0

-9.1 V-

I

6-26

I
I

;~::CS<4.0PF·

CS<40pF*

I

__ J

275

+109V~DUTYCYCLE=20%

~<1.0ns

IN91S

I
___ .II

SWITCHING & AMPLIFIER TRANSISTORS (continued)

FIGURE 7 - EQUIVALENT TEST CIRCUIT
DELAY AND RISE TIME

STORAGE AND FALL TIME
-3.0 V

~
'0.5~lJ

,"' \1Y"

10k

I

'r

_L

-10.6V~300nS~
DUTY CYCLE

-3.GV

CS <4(]PF

i
__ ..J

=2.0%

•

0

"11 I----

275
10k

>--"'''''-"-1---+C

,,
,
;j,
__ .J

I CS<4.0 pF-

-10.9V

IN91S

~

1Q<1t<5DO~

DUTY CYCLE = 2.1l%
"Total

~unt

capacitance of test jig and connectors.

FIGURE 8 - SWITCHING TIME EQUIVALENT TEST CIRCUITS
TURN-QFF TIME

TURN-ON TIME

+30 V

+30 V

------I

200

~

I_

r-

tOto 100~.
DUTY CYCLE' 2.0%

200

t'"'''::'~
~~200'

,,

_L

>--,\I",.O,,'_r+-I....

:

- -

...J

IV

-"I Cs*< 10pF
__ J

SCOPE RISE TIME < 4.0 ns

I

-4.0 V

"TQtal shllnt capacitance of test Jig. connectors, and oscilloscope.

FIGURE 9 - SWITCHING TIME EQUIVALENT TEST CIRCUIT
TURN-ON TIME

£

TURN·OFF TIME

tf

-30 V
200

2.00'

-16V

~

+14 V

1.0k

o

_J_
'T'

: Cs· < IDpF

~1.0tOl00J4S.

OUTY CYCLE;; 2.0%

J
--

200

<200'

+20V-1

o

-30 V

I
---I

-16V

SCOPE RISE TIME <4.0 ns

1.Ok
>--¥~~-r~

~'.0tOl00"'.
DUTY CYCLE" 2.0%

"Total shunt capacitance Qf tastJi51. connectors. and oscilloscope

6-27

1

1
_L

'TCS*<10 PF

,

----'
+4.0 V

•

MICROCIRCUIT COMPONENTS
NPN RF TRANSISTORS

SILICON TRANSISTORS

UNENCAPSULATED
NPN RF TRANSISTORS
These devices are passivated Silicon RF transistor chips. The
MMCS2857, MMCS3866, and MMCS5636 chips are identical to the
chips used in the packaged Motorola transistors with 2N prefixes;
Le., the MMCS2857 chip is used in the Motorola 2N2857 transistor.
The MMCS0159 and MMCSOl72 are electrically similar to the
MM1605, MM1606, MM1607 series and MM8002 respectively.
•

Power Outputs to 8.4 Watts Typical at 400 MHz

•

fT to 2000 MHz Typical

MMCS0159
MMCS0172
MMCS2857
MMCS3866
MMCS5636

HANDLING PRECAUTIONS
Although the care and handling of unencapsulated

semiconductors often require precautions outside
the experience ·of many equipment manufacturers,

Motorola warrants that such devices meet or exceed
the published specifications, provided three basic re-

MAXIMUM RATINGS

quirements are met In the customer's establishment.
1. Such devices are stored in an environment
of no more than 30% relative humidity.

NPN
MMCS0159
MMCS0172
MMCS2857
MMCS3866
MMCS5636

10
30
15
30
35

20
40
30
55
60

3.0
3.5
2.5
3.5
4.0

70
400
40

1
2
3
2
4

400
400

Operating and Storage Junction
Temperature Range -65 to +200o C

2. Devices are dle-and-wlre bonded in a nonInert atmosphere not exceeding 100 0 C, or in an
Inert atmosphere not exceeding 4000 C.
3. Processing equipment conforms to the minImum standards of equipment normally employed
in semiconductor establishments.
Moreover. Motorola's engineeringstaff jsavailable for
consultation in the avant of correlation or processing
problems encountered in the usa of Motorola semiconductor chips. For assistance ofthfs nature, please
contact your nearast Motorola sales representative.

MECHANICAL INFORMATION
MATERIAL -SILICON
FRONT METALIZATION - ALUMINUM
BACK METALIZATION - GOLD IColleetor Contactl
ALL DIMENSIONS ARE IN MILS

GEOMETRY 1

GEOMETRY 2

MMCS0159

6-28

MMCS0172

MMCS3866

NPN RF TRANSISTORS (continued)

ELECTRICAL CHARACTERISTICS ITA = 25°C)
BVCEO @
TYPE

Vo~ts
mm

IC

I

BVCBO

mA

VO.lts
min

@

I

Ie

BVEBO

ItA

V~lts

@

I

min

ICBO

IE

IC,,~o"

mA

I

@

VCB
VCE"
Volts

@

hFE

max

minImax

IC

I

mA

NPN

MMCS0159
MMCSOI72
MMCS2857
MMCS3866
MMCS5636

10
30
15
30
35

1.0
5.0
3.0
5.0
200

20
40
30
55
50

100
100
1.0
100
100

0.1
0.1
0.01
0.1
5.0

3.0
3.5
2.5
3.5
4.0

4.0
28"
15
28*
30

0.01
20'
0.01
20'
1000

25
50
3.0
50
200

25/200
30/301150
10/200
5.0/-

AC* PARAMETERS
Ccb
Cob"
pF
max

TYPE

for

rb'C c

G pe

MHz
typ

ps
typ

dB
typ

2000
1500
1500
800

15

-

-

11.4
15
11
7.0

NPN

MMCS0159
MMCSOI72
MMCS2857
MMCS3866
MMCS5636

1.0
3.5*
1.0
3.0*
20

-

15
-

-

@

f

MHz

I
200
450
400
400

@
Pout
poutIOSC}*,
Watt
typ

f

NF

MHz

dB
typ

Pin

&

VCE

&

Watt

,

Volts

I

-

-

-

-

-

-

-

10
28
28

500
400
400

0.035*
1.3
8.4

0.1
2.0

@

f

MHz

I

-

-

2.7
4.0

200
450

-

-

-

-

• AC parameter values are as specified in the standard 2N or MM data sheets. (encapsulated devices)

PARAMETER LIMITATIONS AND WARRANTY
Probe limitations allow 100% testing of low level de parameters only. DC parameters have been selected to insure electrical characteristics to
an LTPD of 10 and ae parameters to an LTPD of 20. Visual inspection is performed to an l TPD of 20. See "Visual I nspection Criteria" in

General Information Section.

MECHANICAL INFORMATION
MATERIAL - SILICON
FRONT METALIZATION - ALUMINUM
BACK METALIZATION - GOLD (Collector Contacd
ALL DIMENSIONS ARE IN MILS

--12-----1°1

f-I

I •
LL--f------\---'
10 RADIUS

GEOMETRY 3

BASE

BASE

GEOMETRY 4

1.0 RAOIUS

MMCS2B57

6-29

MMCS5636

•

MICROCIRCUIT COMPONENTS
PNP RF TRANSISTORS

Microcircuit COIllponents

UNENCAPSULATED

SILICON PNP RF
TRANSISTORS

UNENCAPSULATED PNP RF TRANSISTORS
These devices are passivated silicon high-frequency transistor chips.
The MMCS5160 and MMCS5583 chips are identical to the chips
used in the packaged Motorola transistors with 2N prefixes, i.e.,
the MMCS5160 chip is used in the Motorola 2N5160 transistor.
The MMCS4957 is electrically similar to the 2N4957, 2N4958,
2N4959 Series.

• fT to

MMCS4957
MMCS5160
MMCS5583

1000 MHz Typical

• Gpe to 18 dB Typical

HANDLING PRECAUTIONS

• Pout to 1.2 Watt Typical
Although the care and handling of unencapsulated
semiconductors often require precautions outside
the experience of many equipment manufacturers.

Motorola warrants that such devices meet or exceed
the published specifications, provided three basic requirements are met in the customer's establishment.

1. Such devices are stored in an environment
of no more then 30% relative humldltv.

2. Devices Bre die-and-wire bonded in a non-

inert atmosphere not exceeding 100 o e, or in an
inert atmosphere not exceeding 400°C.

PNP
MMCS4957

30

30

3.0

30

1

MMCS5160

40

60

4.0

400

2

MMCS5583

30

30

3.0

500

2

Operating and Storage Junction
Temperature Range -65 to +200o C

3. Processing equipment conforms to the min·
imum standards of equipment normally employed
in semiconductor establishments.
Moreover, Motorola's engineeringstaff isavailablefor
consultation in the evant of correlation or processing
problems encountered in the use of Motorola semiconductor chips. For assistance of this nature, please
contact your nearest Motorola sales representative.

MECHANICAL INFORMATION
MATERIAL - SILICON
FRONT METALIZATION - ALUMINUM
BACK METALIZATION - GOLD (Collec1or Con1.cd
ALL DIMENSIONS ARE IN MI LS

GEOMETRY 1

GEOMETRY 2

MMCS4957

6-30

MMCS5160, MMCS5583

MMCS4957, MMCS5160, MMCS5583 (continued)

ELECTRICAL CHARACTERISTICS ITA = 25°C I
BVCEO

@

IC

BVCBO

mA

Volts

@

IC

BVEBO

IlA

Volts

@

IE

ICBO

IlA

IlA
max

@

VCB

I

Volts

hFE

@

min

J

&

IC

TYPE
Volts
min

I

I

min

I

min

VCE

1

mA

Volts

PNP
MMCS4957

30

1.0

30

100

3.0

100

0.1

20

20

2.0

10

MMCS5160

40

5.0

60

100

4.0

100

1.0

28

10

50

5.0

MMCS5583

30

10

30

10

3.0

100

0.05

20

25

100

2.0

AC* PARAMETERS
Ccb

fT

'b'Cc

Gpe

pF
max

MHz
min

ps
typ

dB
tyP

MMCS4957

0.8

1000

4.0

MMCS5160

4.0

400

MMCS5583

5.0

1000

TYPE

@

f

Pout

MHz

Watt
typ

18

450

-

-

-

8.0

400

1.2

8.0

-

-

-

PNP

I

@

f

NF

@

MHz

dB
typ

I

-

-

3.2

450

0.16

28

400

-

-

-

-

-

-

-

Pin

&

VCE

&

I

Volts

I

Watt

I

f
MHz

• AC parameter values are as specified in the standard 2N or MM data sheets. (encapsulated devices)

PARAMETER LIMITATIONS ANO WARRANTY
Probe limitations allow 100% testing of low level de parameters only_ DC parameters have been selected to insure electrical characteristics to
an L TPD of 10 and ae parameters to an LTPD of 20. Visual inspection is performed to an L TPO of 20. See "Visual Inspection Criteria" in
General Information Section.

MECHANICAL INFORMATION
MATERIAL - SILICON
FRONT METALIZATION - ALUMINUM
BACK METALIZATION - GOLO (Collecto, Contactl

FIGURE 1 - NOISE FIGURE AND POWER GAIN TEST CIRCUIT

FIGURE 2 - TYPICAL NOISE FIGURE versus FREQUENCY
6.0
5.0

.,

"0.4-6.0 pF

~

w

Vinll'500pF
RG = 50 n
••
LI

1.0-10
pF

flOPF
X

+12V

1

... Button type capacitors
•• Variable air piston type capacitors
1. L1 - silver plated brass bar, 1.0
in. Ig by 0.25 in O. o.
2. L2 - silver plated brass bar, 1.5
in. Ig by 0.25 in O. O. Tap is
0.25 in. from collector.
3. L3 - 112 turn of AWG No. 16wire
0.25 in. from and parallel to L2.
4. The noise source is a hot-cold body
(AIL type 70 or equivalent) with a
test receiver (AI L type 136 or
equivalent).

a:

V

VCE = 10 Vde
4.0 , . . - - - IC = 2.0 mAde

/

:::>

'"
w
'"i5
z

ii:

u:

3.0

,./
2.0

z

1.0

o
0.1

0.2

0.3

0.4

0.5

f, FREIlUENCY (GHz!

MMCS4957

6-31

0.7

1.0

•

MMCS4957, MMCS5160, MMCS5583 (continued)

FIGURE 4 - CAPACITANCE

FIGURE 3 - COLLECTOR-BASE TIME CONSTANT

~

..

30

3.0

MMCS4957

t-

V

Z

!;;
z

20

'"

~8

VCE = 2.0 Vde
10

.......

7.0

- ...-

-

............ ::--...

."

w
'-'

t-

1.0

U

5.0 Vde...........

I""'>roo.

5.0

V

~ ......

.So

V

w

::E

~
'"

"

~

;::
w

2.0

/v

8

MMCS4957

~

.L

5

...."

0.7

<.5
10Vde

--

Cob

0.5

.j

-e

0.3

3.0
1.0

2.0

3.0

5.0

7.0

10

1.0

3.0

Ic, COLLECTOR CURRENT (mAde)

5.0

20

10

VR, REVERSE VOLTAGE (VOLTS)

FIGURE 5 - CURRENT-GAIN-BANDWIDTH PRODUCT

,E 5.0

MMCS4957

OS
t-

'-'
=>

'" 3.0

'"g:

"t::;

2.0

§:

I-

V

'"z

VCE

-

~

:iiI

.........

Z

~ 1.0
,.:.

~

10 Vde

r-- ~

r--.. ,

2.0 Vde

0.7

~

I-' 0.5
2.0

3.0

5.0

7.0

10

20

IC, COLLECTOR CURRENT (mAde)

FIGURE 6 - OUTPUT POWER versus FREQUENCY
1.3
1.2
~

tt-

~
'"~
g:
t-

=>

1=
=>

'"
.E

~

1.1
1.0
0.9

'" '"'" "'"

MMCS51S0

O.B
0.7
O.S
0.5

",

'" '" '" "''"
"-

r-...

'"

0.4
0.3
200

1.2

I'--..

"-

I\."

300

FIGURE 7 - OUTPUT POWER versus INPUT POWER
1.3

~

~

~

0.9

l~mw

O.S

.E

0.5
0.4
0.3

SOD

13.5 Vdc

/"

,/

V

/'
............

....V

.... ....

............

I-

f= 175 MHz

20

40
Pin, INPUT POWER (mW)

6-32

l,...- I--

V
10

I, FREUUENCY (MHz)

........... I -

20 Vdc

/"V

/'"

t-

1=
=>

vr , /
V

./

/'

=> 0.7

~mw

400

1.1

g:

'"

VCE)= 2B vlc

/1-"""

1.0

'"
~
0.8

Pin = 150 mW

MMCS51S0

SO

100

MMCS4957, MMCS5160, MMCS5583 (continued)

FIGURE 8 - CURRENT-GAIN-BANDWIDTH PRODUCT

t:;

1.0

g

0.9

~

0.8

":;

0.7

~

z

0.5

<1

0.4

l'

",

V

0.6

!
'"

MMCS5160

V

"'- '\

/"

/

\

/

\

/

"-

~ o. 3

B 0.2
.t'

0.1

o
20

10

50

30

200

100

IC. COLLECTOR CURRENT (mA)

FIGURE 9 - CURRENT-GAIN-BANDWIDTH PRODUCT

t:;

2.0

=>

50

JM~J5~83

0

~

:r

l;

l'lz
I

"<1

/

1.0

V

/

,

VCE = 10 tc

~

./

5.0Vdc

./

~
\

>=
w

~ 20

\

1/

'""-

'"z

t:; 30

8

./

0.7

MMCS5583

f-

Z

/

;li

FIGURE 10 - COLLECTOR-BASE TIME CONSTANT

w

;li

z 0.5
~

'"=>

'"
.t'

'"o
~

10

8

7.0

f--

----

I--

-

-e

5.0

20

30

50

200

100

300

10

20

40

FIGURE 11 - CAPACITANCES
50
MMCS5583
20
w

z'"

'"
~

10

f-

1.0

U

3.0

e;

-

~ r-....

I-

5.0

1.0
0.1

10 Vde

IC, COLLECTOR CURRENT (mAde)

IC. COLLECTOR CURRENT (mA)

~

G;;i;-.... ......
0.3

0.5

1.0

3.0

5.0

10

VR, REVERSE VOLTAGE (VOLTS)

6-33

",'

I

5.0

500

I- .-

I

.j
0.3

VCB =5.0 Vdc

30

50

60

100

MICROCIRCUIT COMPONENTS
FIELD-EFFECT
TRANSISTORS

SILICON TRANSISTORS

UNENCAPSULATED
FIELD-EFFECT TRANSISTORS
These devices are passivated Field-Effect transistor chips electrically
similar to those listed in Table 1. For more detailed characteristic data,
please refer to the appropriate Motorola data sheet.
• MOS F ETs are Sil icon Nitride Passivated
• MOS and Junction Types

MMCS0122
MMCS0123
MMCS0125
MMCS0130
MMCS0131
MMCS0134

• P-Channel and N-Channel

MAXIMUM RATINGS

Application

ILow Power Switch
ILow Power Switch

•

Application

HANDLING PRECAUTIONS
MMCS0130

25

25

-25

10

20

2

VHF Amplifier and

MMCS0131

25

25

-25

10

20

3

General-Purpose Audio
and Switching
Chopper

Mixer

MMCS0134

30

30

-30

10

-

4

General-Purpose
Amplifier

Although the care and handling of unen-

capsulated semiconductors often require
precautions outside the experience of
manyequipmentmanufacturers, Motorola

warrants that such devices meet or exceed
the published specifications, provided
three basic requirements are met in the
customer's establishment.
1. Such devices are stored in an environment of no more than 30% relative

Operating and Storage Junction

Temperature Range -65 to +200o C

humidity.

TABLE 1 - CROSS REFERENCE CHART
DEVICE

ELECTRICAL CHARACTERISTICS SIMILAR TO:

2. Devices are die-and-wire bonded in
a noninert atmosphere not exceeding
100°C, or in an inert atmosphere not exceeding 400"C.

MMCS0122
MMCS0123
MMCS0125
MMCS0130
MMCS0131
MMCS0134

2N4351
2N4352, 3N155. 3N155A, 3Nl56. 3N156A, 3N157, 3N157A,
3N158 3N158A
2N5460, 2N5461. 2N5462. 2N5463, 2N5464. 2N5465. MPF161.
MFE4007 MFE4008 MFE4009 MFE4010 MFE4011 MFE4012
2N3821. 2N3822. 2N3823. 2N3824. 2N4223. 2N4224. 2N5668.
2N5669 2N5670 MPF102
2N4220. 2N4220A. 2N4221. 2N4221A. 2N4222. 2N4222A.
2N5457 2N5458 2N5459
2N4091. 2N4092. 2N4093. 2N4391. 2N4392. 2N4393. MFE2004.
MFE2005. MFE2006

6-34

3. Processing equipment conforms to
the minimum standards of equipment
normally employed in semiconductor
establishments.
Moreover, Motorola's engineering staff is
available for consultation in the event of
correlation or processing problems encountered in the use of Motorola semiconductor chips. For assistance of this nature. please contact your nearest Motorola
sales representative.

FIELD-EFFECT TRANSISTORS (continued)

ELECTRICAL CHARACTERISTICS (TA = 25 D C)
MOS FETS

1.0/5.0

P·Channel

I MMCS0123

1-1.0/-5.0

I

1.0

3.0

25

0.10

10

300

1000

5.0

1.3

5.0

1 45,65160,100

-1.0

-3.0

-25

0.10

-10

600

1000

5.0

1.3

4.0

1 45,65160,100

JUNCTION FETS

MMCS0125

40

0.2/8.0

5.0

0.5/14

0.5/7.5

2500/6000

75

7.0

2.0

PARAMETER LIMITATIONS AND WARRANTY

Probe limitations allow 100% testing of low level de parameters onlV. DC parameters have been selected to insure electrical characteristics
to an L TPD of 10 and ae parameters to an LTPD of 20. Visual inspection is performed to an LTPD of 20. See "Visual Inspection Criteria"
in General Information Section.

MECHANICAL INFORMATION
MATERIAL - SILICON
FRONT METALIZATION - ALUMINUM
BACK METALIZATION - GOLD (Substrate)
ALL DIMENSIONS ARE IN MI LS

•

S=Source
G = Gate
[]" Drain
GEOMETRY 1

MMCS0122
GEOMETRY 2

MMCS0123

MMCS0125
MMCS0130

~I.~---------25--------~~

I
15

·Sour~and

S=Source*

Drain
GEOMETRY 3

D=Drain*
Gate Contact: Substrata
MMCS0131

·Sourcaand Drain Interchangeable
GEOMETRY 4

6-35

S=Snulee*
[]=

Drain·

MMCSOl34 Gate Contact: Substrate

MICROCIRCUIT COMPONENTS
POWER TRANSISTORS

SI LICON TRANSISTORS

UNENCAPSULATED
SILICON POWER TRANSISTORS

These devices are passivated Silicon power transistor chips suitable
for collector currents to 25 amperes. Both NPN and PNP devices are
available for maximum versatility and may be used in complementary
circuitry.
• Collector Currents to 25 Amperes

MJC007
MJC043
MJC044
MJC067
MJC069
MJC070
MJC076
MJC082

• Breakdown Voltage to 60 Volts
• DC Current Gains to 30 Volts Minimum
• High fT (30 MHz Minimum)

MAXIMUM RATINGS
VEe
Volts

TYPE

•

5.0
5.0
5.0
5.0

60
50
60
60

MJC043
MJC007
MJC067
MJC069

Geometry

2
1
3
4

1.0
3.0
5.0
25

HANDLING PRECAUTIONS
Although the care and handling of unen·
capsulated semiconductors often require

Operating and Storage Junction

Temperature Range -65 to +200o C

precautions outside the experience of
many equipment manufacturers, Motorola

warrants that such devices meet or exceed
the published specifications, provided
three basic requirements are met in the
customer's establishment.
1. Such devices are stored in an en-vironment of no more than 30% relative
humidity.

MJC044 1.0
MJC076 3.0
MJC082 5.0
MJC070 25
PNP
MJC043 1.0
MJC007 3.0
MJC067 5.0
MJC069 25

5.0
5.0
5.0
5.0

500
100
100
100

60

5.0
5.0
5.0
5.0

500
100
100
100

60

50
60
60

50
60
60

100
10
10
10

60
50
60
60

100 30/180 0.250 1.0
100 20/180 1.0 2.0
100 30/180 2.0 2.0
100 20/180 10 3.0

30
30
30
30

100
10
10
10

60

100 30/180 0.250 1.0
100 20/180 1.0 2.0
100 30/180 2.0 2.0
10 3.0
100 20/180

30
30
30

50
60
60

30

PARAMETER LIMITATIONS AND WARRANTY
Probe limitations allow 100% testing of low leval de parameters only. Limits for all parameters
listed for power transistor chips have been selected to Insure the electrical characteristics to an

LTPD of 20.

6-36

2. Devices are die-and-wire bonded in
an inert atmosphere not exceeding400o C.

3. Processing equipment conforms to
the minimum standards of equipment
normally employed in semiconductor
establishments.
Moreover, Motorola's engineering staff is
available for consultation in the event of
correlation or processing problems encountered in the use of Motorola semiconductor chips. For assistance of this nature,please contact your nearest Motorola
sales representative.

POWER TRANSISTORS (continued)

MECHANICAL INFORMATION
MATERIAL - SiliCON
FRONT METAllZATION - ALUMINUM
BACK METAllZATION - GOlO IColiector Contact}
All DIMENSIONS ARE IN MILS

EMITTER

EMITTER

I
50-

_1.0_

GEOMETRY 2
GEOMETRY 1

PLANAR
MJC007
MJC076

PNP
NPN

EMtTTEII

GEOMETRY 3

PLANAR
MJe067
MJC082

PNP
NPN

6-37

PLANAR
MJC043
MJC044

:---

BASE

1
r

-

PNP
NPN

•

HIGH-SPEED
SWITCHING DIODES

~________M_I_C_R_O_C_IR_C_U_I_T_C_O_M_P_O_N_E_N_T_S__~

SILICON SWITCHING DIODES
UNENCAPSU LATED
HIGH-SPEED SWITCHING DIODES
These devices are passivated High·Speed Switching diode chips.
The MMCD914 has electrical characteristics similar to the lN914.
The MMCD6100 is the same chip as used in the Motorola MSD6100.
•

Reverse Voltage to 100 Volts

MMCD914

•

Forward Current to 225 rnA

MMCD6100

•

Reverse Recovery Time of 4.0 ns

MAXIMUM RATINGS

•

TYPE

VR
Volts

10
mA

IF
mA

IF(surgel
mA

TJo~tg

Geometry

MMCD914
MMCD6100

75
100

75

225

-

200

500
500

-65 to +150
-55 to +135

1
1

HANDLING PRECAUTIONS
Although the care and handling of unen-

Operating and Storage Junction

capsulated semiconductors often require

Temperature Range -65 to +200 o C

precautions outside the experience of
many equipment manufactu rers. Motorola

warrants that such devices meet or exceed
the published specifications, provided
three basic requirements are met in the

MECHANICAL INFORMATION

customer's establishment.

MATERIAL -SILICON
FRONT METALIZATION - ALUMINUM

1. Such devices are stored in an environment of no more than 30% relative
humidity.

BACK METALIZATION - GOLD (Cathode Contact!

2. Devices are die-and-wire bonded in
a non inert atmosphere not exceeding
1rooe, or in an inert atmosphere not exceeding 400"C.

ALL DIMENSIONS ARE IN MILS

3. Processing equipment conforms to
the minimum standards of equipment
normally employed in semiconductor
establishments.
Moreover. Motorola's engineering staff is
available for consultation in the event of
correlation or processing problems encountered in the use of Motorola semiconductor chips. For assistance of this nature. please contact your nearest Motorola
sales representative.

GEOMETRY 1

MMCD914

MMCD6100

6-38

HIGH-SPEED SWITCHING DIODES (continued)

ELECTRICAL CHARACTERISTICS (TA = 25°C)
TYPE



. . . .v

200

~-

20

50 0

vz13.LI

3.0

The input voltage and load resistance are high so that the zener diode

2.0

1.0
1.0

is driven from a constant current source. The noise of the amplifier

/
2.0

3.0

5.0 7.0

10

20

Vz. ZENER VOLTAGE (VOLTS)

30

50

70

100

is low so that it is negligible compared to that of the test zener. The
filter bandpass is known so that the noise density can be calculated
from the formula shown.

6-45

•

MICROCIRCUIT COMPONENTS
THIN-FILM RESISTORS

THIN· FILM RESISTORS

UNENCAPSULATED
THIN-FILM RESISTORS
This data sheet lists a series of mUlti-tap 10-percent resistor chips
designed for the manufacturer of hybrid circuits. These chips are
particularly useful for trimming production circuits and for building
prototype circuits. These chips have gold·alloy backing that is suitable for eutectic bonding directly to a metalized substrate; or may be
bonded to a kovar or ceramic tab and then attached to the substrate
using epoxy adhesive or other suitable methods. Electrical connection
from the aluminum bonding pads of the resistor chip to other circuit
elements is accomplished using conventional wire bonding techniques.

MMCR100

HANDLING PRECAUTIONS
Although the care and handling of unen·

capsulated semiconductors often require
precautions outside the experience of
manyequipmentmanufacturers, Motorola

RESISTOR CHARACTERISTICS
Characteristic
Power Rating @ T A = 25°C
Derate to 0 at 200°C

Temperature Coefficient Resistance

•

Value

Unit

250 max

mW

-30 to +300

ppm/DC

See Figure I

Shunt Capacitance to Substrate
Breakdown Voltage to Substrate
Resistance to Substrate
Voltage Coefficient
Drift @ 50% rated Power and 125°C

1.0 max

pF

400 min
101bmin

Volts

0.001 max

Ohms
%/Volt

0.05 max
0.01 max

%/first 100 hours
%/1000 hours

warrants that such devices meet or exceed
the published specifications. provided
three basic requirements are met in the
customer's establishment.

1. Such devices are stored in an environment of no more than 30% relative
humidity.

2. Devices are die~and-wire bonded in
an inert atmosphere not exceeding400o C.
3. Pro~ssing equipment conforms to
the minimum standards of equipment
normally employed in semiconductor
establishments.

Moreover. Motorola's engineering staff is
available for consultation in the event of
correlation or processing problems encountered in the use of Motorola semiconductor chips. For assistance of this nature. please contact your nearest Motorola
sales representative.

TYPE DESIGNATION

MMCRIO(f.025

,~.. ,-.,--~~"~.,....
Multi·tap Resistor

TABLE I
RESISTANCE VALUES
PART NUMBER
OHMSf[]
MMCRIO(f.025
MMCR 100·050
MMCRIO(f.1QO
MMCR 100·200
MMCR10(f.300

25
50
100
200
300

END·TO·END
RESISTANCE
2,750 Ohms
5.500 Ohms
11,000 Ohms
22.000 Ohms
33,000 Ohm,

Each resistor chip is divided into 10 sections of 1 square,
and 10 sections of 10 squares.

6-46

MMCR100 (continued)

CONSTRUCTION DETAILS
CONTACT METALIZATION - ALUMINUM
BACKING - GOLD-ALLOY

SUBSTRATE - N-TYPE SllICDN - 3 to 8 MI LS THICK
ISOLATION LAYER - 10,000 Jl. SILICON DIOXIDE

RESISTOR ELEMENTS - NICKLE-CHROMIUM ALLOY
All dimensions are in mils

34

CHIP GEOMETRY

FIGURE 2 - EQUIVALENT CIRCUIT OF
THIN-FILM RESISTOR CHIP

FIGURE 1 - NICHROME TEMPERATURE
COEFFICIENT RESISTANCE
+300

....

~

+200

~

8:

a:

+100

'-'

>-

-100

R

'"

'-'

o

20

40

-vvvvv1 Cs

...... r--........

1'-..

60

80

r

SUBSTRATE
••- - - - ' - - - -... CONTACT

i'--..
100

120

140

160

Ohms per Nichrome Square

6-47

MICROCIRCUIT COMPONENTS
THIN-FILM RESISTORS

THIN·FILM RESISTORS

UNENCAPSULATED
THIN-FILM RESISTORS
This data sheet lists a series of 5 and 10 percent resistor chips designed for the manufacturer of hybrid microcircuits. These chips may
be used in conjunction with screened or deposited resistors or may replace them entirely. The chips have a gold-alloy backing that is suitable for eutectic bonding directly to a substrate; or they may be bonded to a kovar or ceramic tab and then attached to the substrate using
epoxy adhesive or other suitable methods. Electrical connection from
the aluminum bonding pads of the resistor chip to other circuit elements is accomplished using conventional wire bonding techniques.

MMCR105
MMCR110

HANDLING PRECAUTIONS
Although the care and handling of unen-

capsulated semiconductors often require
precautions outside the experience of
many equipment manufacturers. Motorola

warrants that such devices meet or exceed
the published specifications, provided
three basic requirements are met in the
customer's establishment.

1. Such devices are stored in an en-

RESISTOR CHARACTERISTICS

vironment of no more than 30% relative

Unit

humidity.

Power Rating @ T A = 250 C Derates to 0 at 2000 C

250 max

mW

2. Devices are die-and-wire bonded in
an inert atmosphere not exceeding400o C.

Temperature Coefficient Resistance (See Figure 1)

-30 to +300

ppmJ"C

1.0 max

pF
Volts

Value

Characteristic

Shunt Capacitance to Substrate

•

Breakdown Voltage to Substrata

400 min

Resistance to SUbstrate

1015 min

Ohms

Voltage Coefficient

0.001 max

%/Volt

0.05 max
0.01 max

%/first 100 hrs.
%/1000 hrs.

Drift @ 50% ratad power and 1250 C

3. Processing equipment conforms to
the minimum standards of equipment
normally employed in semiconductor
establishments.
Moreover, Motorola's engineering staff is
available for consultation in the event of
correlation or processing problems encountered in the use of Motorola semiconductor chips. For assistance of this nature, please contact your nearest Motorola
sales representative.

TYPE DESIGNATION
MMCR105-301

MOTOROLA MICROCIRCUIT

RESISTD R

COMPONENT4~

RESISTANCE TOLERANCE'

RESISTANCE VALUE IN OHMS. Thefirst

:

:i;i~~;::~:~h:i~~:~~~tof:~~:~ ::e

follow. (300 OHMS i. iIIustratodl
'RESISTANCE TOLERANCE
SYMBOL

RESISTANCE TOLERANCE
PERCENT

101
102
105
110
120

1
2
5
10
20

6-48

NOTE: Only Sand 10 percent tolerance resistors are available as
standard products and are listed in this data sheel 1,2
and 20 percent resjston ere available on special ordar.

MMCR 105, MMCR 11 0 (continued)

TABLE 1- RESISTANCE VALUES
Nominal
Resistance
In Ohms

10
11
12
13
15
16
18
20
22
24
27
30
33
36
39
43
47
51

~;
68
75
82
91
100
110
120
130
150
160
180
200
220
240
270
300
330
360
390
430
470
510
560
620
680
750
820
910
1000
1100
1200
1300
1500
1600
1800
2000
2200
2400
2700
3000
3300
3600
3900
4300
4700
5100
5600
6200
6800
7500
8200
9100

Part Number
5% Resistors

Part Number
10% Resistors

Geometry

MMCR105-100
MMCR105-110
MMCR105-120
MMCR105-130
MMCR105-150
MMCR105-160
MMCR105-180
MMCR105-200
MMCR105-220
MMCR105-240
MMCR 105-270
MMCR105-300

MMCRllO-l00

1
1
1
1
1
1
1
1
1
1
1
1

~~~~;~::~~

MM".

MMCR105-390
MMCR 105-430
MMCR105-470
MMCR105-510
MM"H1U:>-:>oU
MMCR105-620
MMCR 105-680
MMCR 105-750
MMCR 105-820
MMCR 105-91 0
MMCR105-101
MMCR105-111
MMCR105-121
MMCR105-131
MMCR105-151
MMCR105-161
MMCR105-181
MMCR 105-201
MMCR105-221
MMCR105-241
MMCR105-271
MMCR105-301
MMCR105-331
MMCR105-361
MMCR105-391
MMCR 105-431
MMCR105-471
MMCR 105-511
MMCR 105-561
MMCR105-621
MMCR105-681
MMCR105-751
MMCR 105-821
MMCR 105-911
MMCR105-102
MMCR105-112
MMCR105-122
MMCR105-132
MMCR105-152
MMCR105-162
MMCR105-182
MMCR105-202
MMCR105-222
MMCR105-242
MMCR105-272
MMCR105-302
MMCR105-332
MMCR105-362
MMCR105-392
MMCR105-432
MMCR105-472
MMCR105-512
MMCR105-562
MMCR105-622
MMCR105-682
MMCR105-752
MMCR105-822
MMCR105-912

MMCR 11 0-390

MMCRllO-120
MMCRllO-150
MMCR110-180
MMCR 11 0-220
MMCR 11 0-270
,u-;,;,u

MMCR110-470
MM".

Figure

,u-:>ou

MMCR 11 0-680
MMCR 11 0-820
MMCR110-101
MMCRll0-121
MMCR110-151
MMCRllO-181
MMCR110-221
MMCR 11 0-271
MMCR110-331
MMCR110-391
MMCRll0-471
MMCR110-561
MMCR110-681
MMCRll0-821
MMCRllO-l02
MMCR110-122
MMCR110-152
MMCRll0-182
MMCR 110-222
MMCR 11 0-272
MMCR 110-332
MMCR 11 0-392
MMCR110-472
MMCR 11 0-562
MMCRll0-682
MMCR 110-822

6-49

Pad
Terminations
(Note 1)
A-B
A-B
A-B
A-B
C-O
C-O
C-O
C-O
C-O

c-o
8-0
A-O
A-U

1
1
1
1
1
;[

2
2
2
2
2
2
2
2
2
2
2
2
2
2
2
2
2
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
4
3
4
4
4
4
4
4
4
4
4
4
4
4
4

A-O
A-O
A-O
A-O
A-O

,,-u
c-o
c-o
C-O
B-O
B-O
B-O
B-O
A-O
A-O
B-O
A-O
A-O
A-O
A-O
A-O
A-O
A-O
A-B
A-B
A-B
A-B
A-B
A-B
A-B
C-O

c-o
A-B
C-O
C-O
C-O
C-O
C-O
C-O

c-o
A-O
A-O
A-O
A-O
A-O
A-O
A-O
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C

MMCR 105, MMCR 11 0 (continued)

TABLE 1- RESISTANCE VALUES (continued)
Nominal
Resistance
In Ohms

Part Number
5% Resistors

10,000
11,000
12,000
13,000
15,000
16,000
18,000
20,000
22,000
24,000
27,000
30,000
33,000
36.000
39,000
43,000
47,000
51,000
56,000
62.000
68,000
75,000
82.000
91,000
100,000

MMCR105-103
MMCR105-113
MMCR105-123
MMCR105-133
MMCR105-153
MMCR105-163
MMCR105-183
MMCR105-203
MMCR105-223
MMCR 105-243
MMCR105-273
MMCR105-303
MMCR105-333
MMCR105-363
MMCR105-393
MMCR105-433
MMCR105-473
MMCR105-513
MMCR 105-563
MMCR 105-623
MMCR105-683
MMCR105-753
MMCR 105-823
MMCR105-913
MMCR105-104

Pad
Terminations
(Note 1)

Geometry
Figure

Part Number

10% Resistors
MMCRllO-l03

5
5
5
5
5
5
5
5
5
5
5
5
6
6
6
6
6
6
7
7
7
7
7
7
7

MMCRllO-123
MMCRllO-153
MMCRllO-183
MMCRll0-223
MMCR 11 0-273
MMCRll0-333
MMCRll0-393
MMCRll0-473
MMCR 110-563
MMCR110-683
MMCR 11 0-823
MMCRll0-104

A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C

Note 1: The nominal resistance is measured between pad terminations as listed. Other resistances are available on the chiP.
with approximate values as shown in the schematic with the geometry, but these values are not tested or guaranteed.

CONSTRUCTION DETAILS
SUBSTRATE - N-TYPE SILICON - 3 to 8 MILS THICK
CONTACT METALIZATION - ALUMINUM
ISOLATION LAYER - 10,000 A SILICON DIOXIDE
BACKING - GOLD-ALLOY
All Dimensions in mils
RESISTOR ELEMENT - NICKEL-CHROMIUM ALLOY

•

5.0
R
Geometry 1

R

R

2R

Geometry2

A~D

R

R

R

l R

Geometry3 A~D

Geomatry4

6-50

R

2R

A~D

C

B

C

R

R

A~ C

MMCR105, MMCRll0 (continued)

35

=m

~~
3.0
3.5

R
Geometrv 5

R

R

A~C

Geometry6

R

A~

B

C

B

EQUIVALENT CIRCUIT OF THE THIN-FILM
RESISTOR CHIP
R

~

fcs

o--------...... SUBSTRATE CONTACT

R

R

Geometrv7 A~ C
B

FIGURE 1 - NICHROME TEMPERATURE
COEFFICIENT RESISTANCE
+300

,

""

+200
'-'

"e

~+lOO

GEOMETRY

"'-'i'-..

Squares

~

'"

'-'

I-

r-----..

1
2
3
4
5
6
7

-

I'-.

-100

o

20

40

60

Number of
Nichrome

80

100

120

140

160

OHMS PER NICHROME SaUARE

6-51

1
4
60
101
350
1132
1588

•

MICROCIRCUIT COMPONENTS
THIN-FILM CAPACITORS

Microcircuit COIllponents
UNENCAPSULATED
UNENCAPSULATED THIN-FILM CAPACITORS

THIN· FILM CAPACITORS

This data sheet lists a series of capacitor chips designed for the
manufacturer of hybrid circuits. These chips are particularly useful
for trimming production circuits and for building prototype circuits.
These chips have gold·alloy backing that is suitable for eutectic bond·
ing directly to a metalized substrate; or may be bonded to a kovar or
ceramic tab and then attached to the substrate using epoxy adhesive
or other suitable methods. Electrical connection from the aluminum
bonding pad of the capacitor chip to other circuit elements is accom·
plished using conventional wire bonding techniques.

MMCOlOO-330
TO
MMCQ100-221
AND
MMCQ 100-330-1
TO
MMCQ100-221-1

HANDLING PRECAUTIONS
Although the care and handling of unen-

capsulated semiconductors often require
precautions outside the experience of

CAPACITOR CHARACTERISTICS

manvequipmentmanufacturers, Motorola

Characteristic
Dissipation Factor

@

Value

1.0 kHz

Temperature Coefficient of Capacitance

•

Q (Typicali @ 80 MHz

@ 150 MHz
@ 200 MHz
@ 250 MHz

Drift (after 3000 Hours @ 1250 C)

Operating Temperature Range

Dielectric Time Constant

Unit

warrants that such devices meet or exceed
the published specifications, provided
three basic requirements are met in the
customer's establishment.

0.02

% Max

+25±10

ppm/DC

500
190
75
50

-

<2.0

%

-55 to +125

DC

106

s

10

%

1. Such devices are stored in an en~
vironment of no more than 30% relative
humidity.

-

2. Devices are die·and·wire bonded in
an inert atmosphere not exceeding 400°C.
3. Processing equipment conforms to
the minimum standards of equipment
normally employed in semiconductor
establishments.

(Megohm-Microfarad @ +2So C)

Tolerance

Moreover. Motorols's engineering staff is
available for consultation in the eve"'t of
correlation or processing problems encountered in the use of Motorola semiconductor chips. For assistance of this nature, please contact your nearest Motorola
sales representative.

AVAILABLE PACKAGES

TYPE OESIGNATION

a "- I" designates a package of 10 units
no"- I" designates a package of 400 units
MMCQlOO·330

Motorola Microcircuit Component

-----3~

Capacitor Value in pF
1st two digits = capacity
3rd digit = fI of zeros

C::~:r~r

Capacitor

6-52

MMCQ100-330to MMCQ100-221 AND MMCQ100-330-1 TO MMCQ100-221-1 (continued)

CONSTRUCTION DETAILS
CONTACT METALIZATION - ALUMINUM
BACKING - GOLD·ALLOY

Bonding

Islands

40

•

~----------------------40--------------------~
Dimensions in Mils
CHIP GEOMETRY

All D,mensIOns III M,ll/meters

Device Type

Device Type

Capacity

Breakdown Voltage

MMCQ100-330
MMCQ100-390
MMCQ100-470
MMCQ100-560
MMCQ100-680
MMCQ100-820
MMCQ100·l01
MMCQ100-121
MMCQ100-151
MMCQ100·181
MMCQ100-221

MMCQ100·330·1
MMCQ100·390·1
MMCQ100·470·1
MMCQ100-560·1
MMCQ100·680·1
MMCQ100·820·1
MMCQ100·l01·1
MMCQ100·121·1
MMCQ100·151·1
MMCQ100·181·1
MMCQ100·221·1

33pF
39pF
47pF
56pF
68pF
82pF
100pF
120pF
150pF
180pF
220pF

100 Vdc
100 Vdc
95 Vdc
90 Vdc
85 Vdc
80 Vdc
75 Vdc
65 Vdc
50 Vdc
40Vdc
20 Vdc

6-63

MICROCIRCUIT COMPONENTS
THIN-FILM CAPACITORS

UNENCAPSULATED THIN-FILM CAPACITORS

UNENCAPSULATED

This data sheet lists a series of capacitors on one chip designed for
the manufacturer of hybrid circuits. These chips are particularly
useful for trimming production circuits and for building prototype
circuits. The chip has a gold·alloy backing that is suitable. for eutectic
bonding directly to a metalized substrate; or may be bonded to a
kovar or ceramic tab and then attached to the substrate using epoxy
adhesive or other suitable methods. Electrical connection from the
aluminum bonding pads ofthe capacitor chip to othercircu it elements
is accomplished using conventional wire bonding techniques.

THIN· FILM CAPACITORS

MMCQ101
MMCQ101-1

HANDLING PRECAUTIONS
Although the care and handling of unen·
capsulated semiconductors often require
precautions outside the experience of
many equipment manufacturers, Motorol.

CAPACITOR CHARACTERISTICS
Characteristic

Value

Unit

Dissipation Factor @ 1.0 kHz

0.02

%Ma.

+25±10

ppm/OC

warrants that such devices meet or exceed
the published specifications. provided
three basic requirements are met in the
customer's establishment.

500
190
75
50

-

1. Such devices arB stored in an environment of no more than 30% relative
humidity.

Temperature Coefficient of Capacitance
Q

•

ITypical) @ 80 MHz
@ 150 MHz
@ 200 MHz
@ 250 MHz

Drift lafter 3000 Hour. @ 1250 CI
Operating Temperature Range

-

-

<2.0

%

-55 to +125

°c

106

•

Maximum DC Working Voltage

100

Vdc

Tolerance

±IO

%

Dielectric Time Constant

IMegohm-Microfarad @ +250 CI

TYPE DESIGNATION

2. Devicesaredie-and-wire bonded in
an inert atmosphere not exceeding400o C.
3. Processing equipment conforms to
the minimum standards of equipment
normallv employed in semiconductor
establishments.
Moreover, Motorola's engineering staff is
available for consultation in the evaht of
correlation or processing problems encountered in the use of Motorola semi·
conductor chips. For assistance of this nature.please contact your nearest Motorola
sales representative.

AVAILABLE PACKAGES
a "-1" designates a package of 1a units
no .. -1" designates a package of 400 units
MMCQlOl

Motorola Microcircuit Component

---------11\,,-------Capacitor

6-54

Binary Capacitor

MMC0101. MMC0101-1 (continued)

CONSTRUCTION DETAILS
CONTACT METALIZATION - ALUMINUM
BACKING - GOLD ALLOY

1-,-0-------All dimensions are in mils

40-------.-11

~--------------------------------------~I-

•

CHIP GEOMETRY
A = ISpF, B =8.0 pF,C =4.0 pF,D = 2.0 pF. E = 1.0 pF

BINARY CAPACITOR CONNECTION CODE
Capacity
Required

Code

1 pF
2 pF
3pF
4 pF
5 pF
6 pF
7 pF
BpF
9 pF
10pF
11 pF
12pF
13 pF
14pF
15pF
16 pF

E
D
E+ D
C
C+E
C+D
C+D+E
B
B+E
B+ D
B+D+E
B+C
B+C+E
B+C+D
B+C+D+E
A

Capacity
Required
17 pF
18 pF
19 pF
20pF
21 pF
22 pF
23pF
24pF
25 pF
26 pF
27 pF
2BpF
29 pF
30pF
31 pF

6-55

Code
A+E
A+D
A+D+E
A+C
A+C+E
A+C+D
A+C+D+E
A+B
A+B+E
A+B+D
A+B+D+E
A+B+C
A+B+C+E
A+B+C+D
A+B+C+D+E

l

MCBC5400/MCB5400F SE R I ES

BEAM LEAD

INTEGRATED CIRCUITS

INDEX
Page
Change Notice
General Information
Introduction
Beam Lead Technology
Beam Lead Reliability Assurance Steps
Packaging
Typical Characteristics
Breadboarding Suggestions
Maximum Ratings

•

6-57
6-58

DEVICE SPECIFICATIONS
MCBC5400,
MCBC5401,
MCBC5402,
MCBC5404,
MCBC5405,
MCBC5410,
MCBC5420,
MCBC5430,
MCBC5440,
MCBC5450,
MCBC5451,
MCBC5453,
MCBC5454,
MCBC5460,
MCBC5472,
MCBC5473,
MCBC5479,

MCB5400F
MCB5401F
MCB5402F
MCB5404F
MCB5405F
MCB5410F
MCB5420F
MCB5430F
MCB5440F
MCB5450F
MCB5451F
MCB5453F
MCB5454F
MCB5460F
MCB5472F
MCB5473F
MCB5479F
MCB54140F

Quad 2-lnput NAND Gate
Quad 2-lnput NAND Gate (Open Collector)
Quad 2-lnput NOR Gate
Hex Inverter
Hex Inverter (Open Collector)
Triple 3-lnput NAND Gate
Dual 4-lnput NAND Gate
a-Input NAND Gate
Dual 4-lnput NAND Buffer
Expandable Dual 2-Wide 2-lnput AND-OR-INVERT Gate
Dual2-Wide 2-lnput AND-OR-INVERT Gate
Expandable 4-Wide 2-lnput AND-OR-INVERT Gate
4-Wide 2-lnput AND-OR-INVERT Gate
Dual 4-lnput Expander for AND-OR-INVERT Gate
J-K Flip-Flop
Dual J-K Flip-Flop
Dual Type D Flip-Flop
4-lnput AND Driver with NOR Strobe
6-56

6-64
6-66
6-68
6-70
6-72
6-74
6-76
6-78
6-80
6-82
6-85
6-87
6-90
6-92
6-94
·6-98
6-102
6-105

CHANGE NOTICE
BEAM TO PIN CROSS REFERENCE
MCBC5400 (CHIP) versus MCB5400F (FLAT PACK)
In complying with recent EIA agreements, Motorola beam·lead
devices now in production conform to the new standard beam
numbering system; i.e., the notched beam (beam 1) will be located
in the lower left·hand corner when viewing the chip with the
geometry face down.
The new beam number arrangement for Motorola devices is
shown below.

MCBC5400
MCB5400F

BEAM NO.
PIN NO.

14

MCBC5401
MCB5401F

BEAM NO.
PIN NO.

14

MCBC5402
MCB5402F

BEAM NO.
PIN NO.

MCBC5404
MCB5404F

2

10

10
11

11
12

12
13

13
14

9

9
10

10
11

11
12

12
13

13
14

8
8

9
9

10
10

11
11

12
12

13
13

14
14

9

10
10

11
11

12
12

13
13

14
14

2
3

3
4

4
5

5
6

6
7

7

8

8
9

2

3
4

4
5

5

6

6

7

7
8

8

9

2

3

16
1

1
2

2

3
4

4
5

5

6

3

6

7

BEAM NO.
PIN NO.

16

1
2

2
3

3
4

4
5

5
6

7

8
8

MCBC5405
MCB5405F

BEAM NO.
PIN NO.

16

8

8

8

9

10
10

11
11

12
12

13
13

14
14

MCBC5410
MCB5410F

BEAM NO.
PIN NO.

14

8
9

9
10

10
11

11
12

12
13

13
14

MCBC5420
MCB5420F

BEAM NO.
PIN NO.

MCBC5430
MCB5430F

BEAM NO.
PIN NO.

12

MCBC5440
MCB5440F

BEAM NO.
PIN NO.

14

MCBC5450
MCB5450F

BEAM NO.
PIN NO.

14

MCBC5451
MCB5451F

BEAM NO.
PIN NO.

14

MCBC5453
MCB5453F

BEAM NO.
PIN NO.

MCBC5454
MCB5454F

BEAM NO.

14

MCBC5460
MCB5460F

BEAM NO.
PIN NO.

14

MCBC5472
MCB5472F

BEAM NO.
PIN NO.

16

6

9

1

2
3

3
4

4
5

5

2

6

6
7

2
3

3
4

4
5

5
6

6

7

2

7

8

4

5

6

7

8

9

10

11

12

2

3

4

5

6

7

8

9

10

11

12

13

14

2
3

3

4

5

6

9

4

5

6

7

7
8

8

2

9

10

10
11

11
12

13

14

2
3

3
4

4

5

6

9

5

6

7

7
8

8

2

9

10

10
11

11
12

12
13

13
14

2
3

3
4

4

2

5

5
6

6
7

7
8

8
9

10

10
11

11
12

12
13

13
14

2

3
4

4
5

5

6

7

8

9

6

7

8

9

10

10
11

11
12

12
13

13
14

6
7

7

8

8
9

10

10
11

11
12

12
13

13
14

10
11

11
12

12
13

13
14

2

3

9

2

3

14

1

2

2

3

3
4

4
5

5

1

2
3

3
4

4
5

5

6

7

8

9

2

6

7

8

9

10

2
3

3
4

4
5

5
6

6

9

10

7

7
8

8

2

9

10

11

11
12

12
13

13
14

1
2

2

3
4

4

5

5
6

7

8
8

9

.3

10
10

11
11

12
12

13
13

14
14

PIN NO.

6-57

6

6

9

9

•

GENERAL INFORMATION
MCBC5400/ MCB5400F Series

BEAM LEAD INTEGRATED CIRCUITS

·.-'".~

FIGURE 1 - TYPICAL MTTL CIRCUIT
MCBC5400/MCB5400F Series

INTRODUCTION

•

The MTTL MCBC5400/MCB5400F series of transistortransistor 'logic is a medium-speed, high noise immunity
family of saturating integrated logic circuits designed for
digital logic applications requiring clock frequencies to 30
MHz and switching speeds in the 12-15 ns range under
moderate capacitive loading.
The beam lead sealed-junction technology used in this
MTTL family makes the devices useful in military, aerospace, lind commercial applications that require a high
degree of reliability under environmental conditions of
severe temperature extremes, ·mechanical shock, and high
humidity. The beam lead products employ a silicon nitride
dielectric that hermetically seals the chip, eliminating the
need for a hermetic package. The beam leads are gold
cantilevered structures extending from the chip. These
beams bond readily to a gold metalized substrate providing
one of the most reliable interconnection systems known for
semiconductor devices.
The circuits in the MCBC5400/MCB5400F series are
identified by a multiple emitter input transistor and an
active pullup in the upper output network as shown in
Figure 1.
The multiple emitter input configuration offers the
maximum amount of logic capability in the minimum
physical area and provides improved switching character·
istics during turnoff. Clamp diodes are provided at each
of the inputs to limit undershoot that occurs in typical
applications such as driving long interconnect wiring. The
active pullup output configuration provides low impedance
in the high output state. The resulting low impedances in
both states provide excellent ac noise immunity and allow
high-speed operation while driving large capacitive loads.
These beam lead MTTL units have the same electrical
characteristics as the conventional flat-pack units and may
be used interchangeably with them. This eliminates the
need for electrically redesigning equipment for improved
reliability after the successful performance of prototype or
pre-production units with conventional devices.

bile ions. Ions impinging on the surface of the finished circuit can
cause high leakage current and reduction of current gain. Silicon

nitride passivation applied over the oxide prevents contaminants
that can result in such degradation from reaching the oxide,

Metalization
The metalization on the Motorola beam lead integrated circuits
of platinum silicide ohmic contacts topped by layers of titanium
and platinum. These in turn are followed by two layers of gold.
The first gold layer provides the chip intraconnection and the
second, thicker laver forms the cantilevered beams that connect the
chip to the outside world (see Figure 2). This metalization method
has the ability to withstand conditions of high humidity over extended periods of time without degradation or the formation of
undesirable inter-metallics. It is also capable of being bonded to a
gold-metalized substrate and provides a highly relaible gold-ta-gold
bond, which is easily made and readily inspectable. Bonds have also been made to other substrate metal materials without difficulty.
During the bonding process, beam lead devices lift off the
substrate surface, which, with the ductility of the gold metal beams
and the high quality bond, allows the device to withstand wide
variations in temperature without faiture due to fatigue.

Separation Etch
Conventional integrated circuits are separated with a "scribe and

BEAM LEAD TECHNOLOGY

break" technique which not only results in a yield loss due to cracking of the die, but can also result in minute cracks, which do not
immediately reveal themselves and can cause device failure at a

Junction Sealing

later date. The beam lead sealed-junction devices are separated by
chemically etching through the silicon from the back side, thereby
avoiding mechanical stresses and/or other latent defects.

In conventional integrated circuits, the P·N junctions are pro-

tected by a layer of silicon dioxide. This oxide, while acting as an
insulator and providing a degree of protection, is permeable to mo-

6-58

GENERAL INFORMATION

MTTL

MCBCS400/MCBS400F Series
BEAM LEAD INTEGRATED CIRCUITS

TABLE 1
Beam Lead Reliability Assurance Steps
I. Chips
A. Tests performed after wafer separation etch
1. Post separation etch visual inspection (backside)
2. Waferelectrical probe (1 OO%dc test per data sheet at 25°C.
B. Tests performed on a bonded sample after die transfer and
pick
1. Beam integrity
aJ Bond qualification samples to test header
bl Push die off header from metallization side
cl Each beam must withstand 2.00 gm. min.
2. Junction seal integrity
al Electrical test
bl Apply NaCI over die
e) Reverse bias input junctions at T A = 300°C for eight
hours in forming gas atmosphere
dl Electrical test
3. Electrical qualification
al Package sample
bl DC parameters at all temperatures per data sheet
cl AC test per data sheet
C. Inspection after die pick and sort
1. 100% high power visual i~spection
2. Rand QA sample high power inspection

II. Packaged Devices
A. Inspection after die bond
1. Sample visual inspection
B. Testing after encapsulation

1. Lot stress screening
al Temperature cycling: _65°C to 150°C min; 10 cycles
bl Water immersion: bOiling water (""100°C; 1 houri
c) Electrical measurements: de leakage parameters
dl Stabilization bake: T A = 17SoC min; 24 hours min
e) High temperature reverse bias (cost option)
C. Testing after package cleaning and marking
1. Electrical tests
al Final dc test per data sheet at 2SoC (100%1
2. A and QA final outgoing inspection
a) Burn in screen (cost option)
b) Group A - visual/mechanical inspection per MILSTD·883. method 2009. Group A tests are performed
on every lot on a sample basis.
DC electrical measurements per data sheet (sample)
AC electrical measurements (sample)
cl Group B environmental testing per MIL·STD·8B3
Class A as applicable. These tests are performed
periodically during the manufacturing period on a
production lot of a representative circuit type. The
circuit type selected each period is changed routinely
and is representative of all structurally similar devices
produced on the same line by the same processes
during that period.
dl Group C - life testing per MIL·STD·883 Class A as
applicable. These tests are performed periodically on
at least one lot of every circuit family produced during that period.

FIGURE 2 - BEAM LEAD SEALED JUNCTION TRANSISTOR

Platinum
Titanium
Silicon Nitride

Silicon Dioxide

Reliability Processing
Conventional integrated circuits have established an outstanding
reputation for reliability. Beam lead integrated circuits provide
even higher reliability by eliminating the major failure modes of
conventional circuits. Most failures in conventional integrated cirwits are due to contaminants reaching the active chip or to failure
in the bonds between the package and the chip. Beam lead tech·
nology solves both of these problems. The silicon nitride hermetically seals the chip so that even a leaking package causes no failure.

The all-gold beam lead interconnection system eliminates the
sources of conventional bond failure. These processes are completely documented by in-process specifications and are carefully
monitored for adherance to process requirements and inspection
standards by the Motorola Reliability and Quality Assurance Department. In addition, the tests itemized in Table 1 are conducted
on all lots from which die are taken for sale either as dice or packaged circuits.

6-59

•

GENERAL INFORMATION

MTTL

MCBC5400(MCB5400F Series
BEAM LEAD INTEGRATED CIRCUITS

Mechanical Properties
The beam leads, which are cantilevered from each die, are
tested for beam-strength, hardness, ductility and adhesion to the
chip by suitable tests to demonstrate that the die are readily bondable and will be reliable under extreme temperature and mechanical
stress conditions.

F SUFFIX

F SUFFIX

CERAMIC PACKAGE
CASE 607

CERAMIC PACKAGE
CASE 651

TO..a6

I

0005

0.035

",,:.f'' ;lDO~O:~iOBol ~ I

Packaging and Handling

PLANE

The MCBC5400!MCB5400F series of beam lead sealed·iunction
digital integrated circuits is available in the chip form and in a
%0" x %" ceramic flat package. The shipping carrier for chips is a
2" square glass plate on which the chips are placed. A thin layer
of polymer film covers the plate and retains the chips in place. The
chips do not adhere to the film when it is lifted to remove them

. .

0050

ffi91i

1-.1

0010

T.P

from the carrier. Care must be exercised when removing the chips
from the carrier to ensure that the beams are not bent. This is most
easily done by using a vacuum pick-up for this purpose.
0240
0275
Lead 1 Identilled by color dot or by elbow on lead
AIIJEDEC dimenSIOns and notes apply

TYPICAL CHARACTERISTICS

Weight '" 0 197 grams
Ludlidentilledbycolordotorbyelbowoniead
Top Cover made olSllastlc material.

Devicesformerly using Case 651 are now manufactureci in Case 607.
Either package may be shipped during the transition.

The following summary presents the typical operating

BREADBOARDING SUGGESTIONS

characteristics of the MTTl MCBC5400/MCB5400F series.

Unless otherwise indicated, the parameters are defined for
VCC = +5.0 volts and TA

= +250

When breadboarding with any form of high·speed, high·per·

C.

formance TTL, the deSigner must continually be aware of the fact
that he is working with the fastest form of saturating logic available in the industry today. The switching speeds, especially the
frequencies associated with the very fast rise and fall times of the
circuits, are in the R F range and good high-frequency layout tech-

Supply Voltage Operating Range = 4.5 to 5.5 volts

Operating Temperature Range = -55 to +125 0 C
Output Drive Capability

•

niques should be used. The following breadboarding suggestions

Other Gates (Output Loading Factorl = 10
Capacitance = 600 pF

have been included to help the deSigner in his initial circuit layout.
In many cases the breadboarding suggestions will have to be modified to meet the requirements of the designer's specific application.

Output Impedance
High State = 70 ohms (unsaturated) nominal
Low State = 10 ohms nominal

Power and Ground Distribution

Output Voltage Swing = 0.2 to 3.5 volts typical

Special care should be taken to insure adequate distribution of
power and ground systems. The typical rate of change of currents

Input Voltage Limits
+5.5 volts maximum
-0.5 volt minimum
Switching Threshold

=

and voltages for a single MTTl gate is in the range of 107 Als and
108 V Is respectively. These figures reflect the necessity for a low·

1.5 volts nominal

impedance power supply and ground distribution system, if transients are to be minimized and noise margins maintained. The use
of AWG No. 20 wire or larger is often required. For printed circuitry, line widths of 100 mils or more are often necessary. A
ground plane is desirable when using a large number of units.

Input Impedance
High State = 400 k ohms nominal
Low State = 4.0 k ohms nominal
Worst· Case DC Noise Margin
High State - 0.400 volt minimum

Bypassing

Low State - 0.400 volt minimum
Power Dissipation (11

To reduce supply transients, the breadboard should be bypassed

at the point where power is supplied to the board and at intervals

Basic Gate = 10 mW typ!gate
Basic Flip·Flop = 40 mW typ!pkg

throughout the board. The use of a single bypass capacitor at the

output terminal of the power supply is not adequate in a breadboard utilizing the fast rise and fall time MTTL circuits. A com-

Switching Speeds (2)
Average Propagation Delay = 13 ns per gate typical
30 ns per flip·flop typical

paratively large, low·inductance type capacitor (in the 1.0 /-IF rangel

is suggested at the point where power and ground enter the board.

Rise Time

=

2.5 ns typical

In many cases it has been found that distributing O.OI/-1F capaci·

Fall Time

= 1.5 ns typical

tors for every eight packages throughout a breadboard is adequate
to suppress normal switChing tranSients. It is also suggested that a
bypass capacitor be placed in close proximity to any circuit driving
a large capacitive load.

Maximum Flip·Flop Clock Frequency = 20 MHz typical

6-60

GENERAL INFORMATION

MTTL

MCBC5400/MCB5400F Series
BEAM LEAD INTEGRATED CIRCUITS

Power Dissipation

from external noise. If the inputs are returned to the supply volt~
age, care should be taken to insure that the supply voltage does
not exceed the maximum rated input voltage of 5.5 volts. If the
supply can exceed 5.5 volts, the unused inputs must be returned to
a lower voltage. The total number of inputs that can be tied to the

The standard supply voltage of the MTTL logic circuits is +5.0
Vdc. The typical average de power dissipation is given for each
MTTL circuit. III It should be noted that the totem pole output
common to all high level MTTL circuits has an associated ae power

output of any driving gate is 50. (This is defined as high state out·
put loading factor.) It should be noted that the low state output
loading rules must still be maintained. The minimum logical "'"
level, VOH = 2.4 V minimum for the high~state output loading,
with Vtho = 0.8 V, IOH = -0.4 mA, and VCCL.
The unused inputs of the various fllp·flops may be tied back to
their associated outputs. To determine which outputs are related
to each set of inputs by internal feedback, refer to the circuit
schematics.
The inputs of any unused gate in a package should be grounded_
This places the gate in its lowest power condition and Will help to
eliminate unnecessary power drain.

dissipation factor. This factor results from the timing overlap of
the upper and lower output transistors during the normal switching

operation and is typically 0.30 mW/MHz/output for a 15 pF load.
This ae power dissipation should be added when calculating the
total power requirements of the MTTL circuits.

Unused Inputs and Unused Gates
The unused inputs of any MTTL logic circuit should not be left

open, and can either be tied to the used inputs or returned to the
supply voltage. This will reduce any potential problems resulting

(1)
Po

=

IpOL

+ IpDH
2

(Vee)

where IpOL and IpDH are the typical dc current drains at Vee =
±5.0 V.

Expanders and Expander Nodes

12)The switching characteristics of the MTTL family are defined
with respect to the associated transistions of the voltage waveforms.
The average propagation delay is defined as the average of the turnon delay and the turn·off delay measured from the 1.5 V point of

The

=

nodes of all the MTTL ANO-OR-INVERT gates are

IMC5450F) or 8 IMC5453F). Since these are comparatively high.
impedance nodes, care should be taken to minimize capacitive load~
ing on the expander terminals If switching speed is to be maintained.
When an expander is to be used with an expandable AND-OR·

the input to the 1.5 V point of the associated output transition or:
tpd

~Ring

made available for expanding the number of AN D gates to 6

ton + toff
- - 2 - - ns.

INVERT gate, it should be placed as close as possible to the gate
being expanded. The increase in average propagation delay as a
function of capacitance added to the expander nodes IS typically
1.0 ns/pF.

Rise time is defined as the positive going transition of the output
from the 10% to the 90% V level. Fall time is defined as the nega·
tive transition of the output from the 90% to the 10% V level.

SIGNAL LINE NOISE IMMUNITY
LOGIC "0" LEVEL

LOGIC "1" LEVEL

GROUND LINE NOISE IMMUNITY

POWER SUPPLY NOISE IMMUNITY

6-61

•

GENERAL INFORMATION

MTTL

MCBC5400/MCB5400F Series
BEAM LEAD INTEGRATED CIRCUITS

SIGNAL LINE NOISE IMMUNITY
LOGIC "0" LEVEL

LOGIC "'" LEVEL

LOGIC "0" REFERENCE
LEVEL = 0.2 VOLTS

LOGIC "1" REFERENCE
LEVE L = 3.5 VOL TS

1\

\
w

en

0

0
0

w
0

3

z

"

w

50

150
100
200
PW. NOISE PULSE WIDTH Ins)

!!!

250

GROUND LINE NOISE IMMUNITY

w
0

100
150
200
PW. NOISE PULSE WIDTH Ins)

21>0

300

POWER SUPPLY NOISE IMMUNITY
8

...:;~- 6
:::;

~iij2

<~

wO

en> 1
.J-

...

:;)

w
Z

50

f-

f-

:::;

en

0

:;)

:;)

0

0

0
Z

0
0

"'"

50

<.J

wO

en>
.J-

4

...

2

en

0

:;)

w

150
100
200
PW. NOISE PULSE WIDTH Ins)

0

250

Z

Output OR (AND) Function

-

0

100
300
200
PW. NOISE PULSE WIDTH Ins)

400

The state of the master flip-flop is transferred to the slave flipflop section on the negative transition of the clock, and the outputs respond accordingly. The flip-flop can be set or reset by applying a low state 10 the direct SET or RESET inpuls. A special
clamp circuit has been included on the clock line to guarantee that
negative transients, such as ringing on the clock line, do not falsetrigger the flip-flop. I n addition, clamp diodes have been provided
on all data inputs to limit any undershoot or negative ringing on the
data lines.

Unlike the MDTL family of logiC circuits. the outputs of the
MTTL logic circuits cannot be tied together to perform the output OR. or more correctly. the output AND function. If the outputsof the MTTL family devices are tied together. it would be possible for the lower output transistor of one circuit and the upper
output transistor of another circuit to be "on" simultaneousl\t.

This condition pro\lides a low-impedance path from

\.

Vee to ground

and the current that flows (approximately lOS) exceeds the guaranteed sink current. As a result, the saturated state cannot be
maintained and the desired logic function is not satisfied.

Dual J-K Flip-Flop - MCBC5473/MCB5473F
ThiS dual master-slave J-K flip-flop also triggers on the negative
edge of the clock. Each of the independent flip-flops has a Single
J and a smgle K input. A direct RESET has been provided for preclearing the flip·flop regardless of the state of the clock. The op'

Operating Characteristics of Flip-Flops
J-K Flip-Flop - MCBC5472/MCB5472F

eration of this device is the same as the MCBC5472/MCB5472F.
Each of the flip-flops has the special clamp circuit on the clock line
as well as clamp diodes on all the data inputs.

This master-slave J-K flip-flop triggers on the negative edge of
the clock. An AND-input configuration is used, consisting of three
J inputs ANDed together and three K inputs ANDed together. A
direct SET and RESET are provided to permit presetting data into
the flip-flop. The direct SET and RESET control the operation of
the flip-flop regardless of the state of the clock.
Information is nbrmally applied to, or changed at the clocked
inputs while the clock is in the low state since the master memory
IS inhibited in this condition. Information may be stored in the
master flip-flop section when the clock goes high. Once the input
data has been stored in the master flip-flop section it cannot be
removed (or changed) by means of the clocked inputs. The direct
SET or RESET provide the only means of removing previously
stored information. They override the clock input and can be
applied any time during lhe clock cycle.

Noise Immunity
In a typical system noise begins to pose a problem when it is of .
such a magnitude that it can change the state of a flip-flop in the
system or prevent a flip-flop from changing state at the proper
time. Noise can be present on the ground line, the power supply
line or the signal line.
Indesigninga system using MTTL, particular care must betaken
due to the extremely high rate of change of voltage and current
on the signal lines and current on the power supply and ground
lines (see sections on Power and Ground Distribution and By-

6-62

MTTL

GENERAL INFORMATION
MCBC5400/MCB5400F Series
BEAM LEAD INTEGRATED CIRCUITS

passing). These factors increase the possibility of noise generation
within the system itself in addition to externally generated noise.

DTL or RTL systems. With proper power and ground distribution
and bypassing, noise on power supply and ground lines can be maintained below levels which would be detrimental to system operation.

Noise immunity in a digital system is a function of the propaga·
tion delays of the gates and flip-flops in the system and the de
threshold levels of these devices. The following block diagrams

MAXIMUM RATINGS
Rating

show tYPical test set-ups for measuring signal line. ground line and
power supply line immunity of a gate in a digital system.
The system is considered disturbed when the flip-flop begins
toggling. The curves show the typical noise amplitude a system can
accept as a function of noise pulse width. As the pulse widths become narrower the amplitude can increase without disturbing the
system. This can begin occurring when the pulse width is less than
20 ns on the signal line or 50 ns on the power supply or ground
line. This pulse wldth·amplitude product is an indication of the
minimum noise energy that IS required to disturb a system. The

Supply Voltage - Continuous
Supply Operating Voltage Range
Input Voltage
Output Voltage
Operating Temperature Range
Storage Temperature Range Ceramic Package
Maximum Junction Temperature
Thermal Resistance Junction-ta-Case, (J JC
Ceramic Package

low input and output impedances of MTTL gates and flip-flops requires more energy on the signal lines to distrub the system than in

Value

Unit

+7.0
4.5 to 5.5
+5.5
+5.5
-55 to +125

Vdc
Vdc
Vdc
Vdc

+175

°c
°c
°c

0.09

°C/mW

-65 to +150

•

6-63

MCBC5400/MCB5400F series

QUAD 2-INPUT "NAND" GATE

MCBC5400*
MCB5400F*

.-..... ~
This device consists of four

CIRCUIT SCHEMATIC
1/4 OF CIRCUIT SHOWN

2~input

NAND gates that is

produced using beam lead sealed junction technology. These
devices are parti,cularly useful in highly reliable systems using
hybrid beam lead assembly techniques or standard flat pack·

age assembly techniques.
Vee

1.4 k

4 k

ream]
No.

100

Flat
Pkg.

Pin

l±O-=-

[14]

3

[2]

6
7
0 ± [ yy -5

[4]

2

[1]

B

[5]
[6]

[9] 9=±LY[8]

10

A

Z

[11]

B

[12]

F

[7]

12~
H
14 [13]

13

Positive Logic: Z
1 k

B

Negative Logic: Z

I nput Loading Factor

= Ae'B
=:

A + B

=1
= 10

Output Loading Factor
Gnd

•

Total Power Dissipation = 40 mW tvp/pkg

Vee = Pin 4 [3]
Gnd = Pin 11 [10]

Propagation Delay Time

= 10

ns typ

SWITCHING TIME TEST CIRCUIT AND WAVEFORMS

Vee
+5.0 Vdc

High
Impedance

lr---~-l-9-::0C"%--3.0 V

Probe
400

'1<+----1.5 V
10%

---~~---_+~----OV

tPHL~PLH 2.4 V

MMD6150
or Equiv.

t+=12n5
t- = 6.0 ns

MMD7000

PAF = 1.0 MHz, 50% duty cycle
Generator Zout~50 ohms

CT

or Equiv.
+2.4 Vdc

= 15 pF = total

TP out

______.....:====-:::-_-_-:::-_-_-=~~dV mo.

parasitic capacitance, which includes probe, wiring, and load capacitances.

·F suffix = 1/4" x 1/4" ceramic package (Case 607). MCBC-praflxed devices are unencapsulated.
See General I nformatlon section for package dimensions.

6-64

min

1.5 V

MCBC5400, MCB5400F (continued)

14-Beam Chip

Beams Irue posltlllnwlthill 000210lai

ELECTRICAL CHARACTERISTICS
Test procedures are shown for only one

1~
B

gate. The other gates are tested in the same
manner. Further, test procedures are shown

2

for only one input of the gate under test.
To complete testing, sequence through remaining inputs.

6~
0

7

9~
F

10

12~
H

Flat Pkg. Pin No.

13

V ~ Vee = Pin 4 [3J
Gnd = Pin 11 [10)

mA
I", I 10 •
16

-0.4

Test Umits
Characteristic
Input
Forward Current
Leakage Current

Pin MCBC5400/MCB540Of
S5 t. +125'C
Under
Symbol Test Min Max Unit

'F

A

-1.6

mAd'

'Rl

A

••

.Ad,

'>u

A

I .•

m"""

...

Output
Output Voltage

VOL

2.'
-2.

VOH
Short-Circult Current

'ost

10

TEST CURRENT/VOLTAGE VALUES (All Temper.tures)
Volts
V,hl I VthO
Vee
V" I V,. IV'HH I VI' I V12

.-.

2.'

5.5

'.5

5.•

10L

I..

V"

V,.

VIHH

22

mAd'

5.•

VCCL

VeeH

'.5

5.5

VI'

VI'

Vlh!

V. hO

"

Pin 11 [Beam 10] 18

grounded for all

Vee

Vea

A

VeeH

tests in addition
to the pins listed
below:

Gnd

V
A
A

A,B

Vd,

mAd,

...

TEST CURRENT/VOLTAGE APPLIED TO PINS LISTED BflOW,

Vd,

-55

2.•

11

V

B'

V

B'

V

A,B,Z·

V
A

V

POW., Requirements
(Tot.1 D,vice)
Power Supply Drain

'PDH

V

IpDL

V

...

Turn-Off Delay

mAd,

V

Pul .. Pul..
Out
In

Switching Parameters
Turn·On Delay

V

All
Input8

tpHL

tpLH

A,Z

15··

A

B

V

A,Z

22··

A

B

V

Ground inputs to gates not under te8t.
"Tested only at 25°C.
tOnly one output abould be shorted at a time.

6-65

A,B·

•

MCBC5400/MCB5400F series

QUAD 2-INPUT "NAND" GATE
WITH OPEN COLLECTOR

MCBC5401*
MCB5401F*
This device consists of four 2·input NAND gates with no

output pullup network that is produced using beam lead sealed
junction technology. These devices are particularly useful in
highly reliable systems using hybrid beam lead assembly techniques, or standard flat package assembly techniques.

1/4 OF CIRCUIT SHOWN

4

Vee

l----r--\..

2~3
4k

1.4 k

6----r--\..

7~5

1~==C}-B

12==C}13

14

3

Positive Logic: 3'"

r;a

Negative Logic: 3 ==

1+2

I k
Input Loading Factor == 1
Output Loading Factor = 10

Total Power Dissipation == 40 mW typ/pkg

GND
II

Propagation Delay Time == 35 ns typ

VOLTAGE WAVEFORMS AND DEFINITIONS

•

SWITCHING TIME TEST CIRCUIT

Vee
TP out

+5.0 Vdc

ir---""\l--t--:-::::--3.0 V
TP ln

'tri----1.5V

----~------~~~--OV

tPd-~r
t+=12n5
t- = 6.0 ns

TP out

~2.4vmin

_______--=====--_-_-;:..-_-_-_-~~~ max

PAF == 1.0 MHz, 50% duty cycle
Generator Zou t
50 oh ms

RL

-i

~1.5V

=400 ohms for tpd_ test.
4.0 k ohms for tpd+ test.

CT == 15 pF = total parasitic capacitance, which includes probe, wiring, and load
capacitances.
High impedance probes (>1.0 megohm) must be used for tests.

= 1/4" x 1/4" ceramic package (Case 651), MCBC-prefixed devices are unencapsulated. Beam numbers are the same as the pin numbers for flat-packaged
devices. See General Information section for package and chip details.

-F suffix

6-66

S

ELECTRICAL CHARACTERISTICS

n

Test procedures are shown for only one

m

gate. The other gates are tested in the same
manner. Further, test procedures are shown

n

~:=[)--3
~:=[)--5

for only one input of the gate under test.

To complete testing. sequence through re·
maining inputs.

c.n
~

o
.....

9=LY- a
rnA
IOL

V,L

TEST CURRENT/VOLTAGE VALUES
Volts
V,H V,HH
VR1
VR2
Vth1

16

0.4

2.4

10

12:=[)--14
13

5.5

4.5

5.0

2.0

S

(All Temperatures)

n

OJ

V'hO VCEX

VCC

VCCL

VCCH

0.8

5.0

4.5

5.5

5.5

c.n
I

Characteristic
Input

TEST CURRENT /VOLTAGE APPLIED TO PINS LISTED BELOW:

~CBCS401/~CBS401

-ss to +12SoC

~in

~ax

C"l

:::l

IOL

Unit

V,L

V,H

V,HH

VR1

VR2

V'hl

V'hO

VCEX

Vcc

VCCL

VCCH

Gnd

IF

1

-

-1. 6

mAde

-

1

-

-

2

-

-

-

-

-

-

4

11'

Leakage Current

IRI

1

-

40

/lAde

-

-

1

-

-

-

-

-

-

-

-

4

2,11*

IR2

1

-

1.0

mAde

-

-

-

1

-

-

-

-

-

-

-

4

2,11*

VOL

3

-

0.4

Vde

3

-

-

-

-

-

1,2

-

-

4

-

11*

I CEX

3

-

0.25

mAde

-

-

-

-

1

-

-

2

3

-

4

-

11'

IpDH

4

-

22

mAde

-

-

-

-

-

1,2,6,7,9,
10,12,13

-

-

-

-

-

4

11

IpDL

4

-

B.O

mAde

-

-

-

-

-

-

-

-

-

-

-

4

1,2,11*

Pulse
In

Pulse
Out

Ol
Ol
-...J

Output
Output Voltage

Output Leakage Current

Power Requirements
(Total Device)
Power Supply Drain

!:!.
:::l

t:

m

Forward Current

I

o
.....

"o

Test Limits
Pin
Under
Symbol Test

~

Switching Parameters
Turn-On Delay

tpd _

1,3

-

15**

ns

1

3

2

-

-

-

-

-

-

4

-

-

11

Turn-Off Delay

tpd+

1,3

-

45**

ns

1

3

2

-

-

-

-

-

-

4

-

-

11

.Ground inputs to gates not under test.
**Tested only at 25° C.

II

a.

MCBC5400/MCB5400F series

QUAD 2-INPUT "NOR" GATE

MCBC5402*
MCB5402F*

.-.".. ~
This device consists of four 2-input NOR gates that is produced using beam lead sealed junction technology. These devices are particularly useful in highly reliable systems using
hybrid beam lead assembly techniques or standard flat package
assembly tech niques.

1/4 OF CI RCUIT SHOWN

4

Vee

4k

4 k

1.4 k

100

3
2

Positive Logic: 3

0::

Negative Logic: 3

1'""'+'2

=~

Input Loading Factor = 1

Output Loading Factor = 10
Total Power Dissipation

= 48 mW typ/pkg

Propagation Delay Time"" 10 ns typ

GND
11

PackageNe.11 23456718191101111121131141
Beam Ne.
16
2
4
6 8 9 10111 1121131141
Pin numbers on drawings are for devices in the flat package.

•

SWITCHING TIME TEST CIRCUIT

VOLTAGE WAVEFORMS AND DEFINITIONS

Vee
+5.0 Vdc

,..--,-----3.0 V
400
90yO.5/.1,

"------1.5 V
'----0 V

10%

MM06150

50%
50

t- '" ti

PRF

~

or Equiv.

t+

t-

15 ns

= 1.0

MHz

MM07000
VIH

or Equiv.

+2.4 Vdc

Generator ZOUT ~ 50 ohms

CT = 15 pF = total parasitic capacitance, which includes probe, wiring, and load
capacitances.
-The coax delays from input to scope and output to scope must be matched.
The scope must be terminated in 50-ohm impedance. The 950-ohm resistor and
the scope termination Impedance constitute a 20: 1 attenuator probe. Coax shall
be CT-070-50 or equivalent.

*F suffix = 114" x 1/4" ceramic package (Case 651) MCBC-prefixed devices are unencapsulated. See General I nformation section for package and chip details.

6-68

2.4 V min

1.5 V

s:
("')

ELECTRICAL CHARACTERISTICS
Test procedures are shown for only one

~=L>-3
~=L>-5
,~=L>-8

gate. The other gates are tested in the same
manner. Further, test procedures are shown
for only one input of the gate under test.

To complete testing. sequence through remaining inputs. Pin numbers used are for
devices in the flat package.

\XI

("')

0'1
~

o

~I\)

s:
("')

:~=L>-'4

\XI

0'1

10H

VOl

TEST CURRENT /VOLTAGE VALUES (All Temperatures)
Volts
VR1
VR2
V1H V1HH
V'hl
V'hO Vcc

0.4

0.4

2.4

mA
10l

I

16

Characteristic
Input
C)

m

5.5

4.5

5.0 1

Test Limits
Pin MCBCS402/MCBS402F
-SS to +12SoC
Under
Symbol Test Min Max Unit

10l

10H

VOl

V1H

V1HH

VR1

VR2

2.0

0.815.0

V'hO

Vcc

1

-

-1.6

mAde

-

-

1

-

-

2

-

Leakage Current

IR1

1

-

40

pAde

-

-

-

1

-

-

-

-

-

1

-

1.0

mAde

-

-

-

-

1

-

-

-

-

VOL

3

-

0.4

Vde

3

-

-

-

-

-

-

1

-

VOH

3

2.4

-

Vde

-

3

-

-

-

-

-

-

2

-

ISC

3

-20

-55

mAde

-

-

-

-

-

-

-

-

-

-

IPDH

4

-

27

mAde

-

-

-

-

-

-

1,2,6,7,9,
10,12,13

-

-

-

IpDL

4

-

16

mAde

-

-

-

-

-

-

-

-

-

Pulse
In

Pulse
Out

Output Voltage

Short-Circuit Current

Power Requirements
(Total Device)
Power ~pply Drain

Switching Parameters

r

VCCl

VCCH

4.5

5.5

-n

8

:::J

~.

:::J

c:

CD

V'hl

IF

Output

o

N

TEST CURRENT /VOLTAGE APPLIED TO PINS LISTED BELOW:

Forward Current

'a2

~

VCCl

-

-

VCCH

Gnd

4

11*

4

2,11*

4

2,11*

4

2,11*

-

I,ll '*

4

1,2,3,11*

-

4

11

-

-

4

1,2,11*

4

Turn-On Delay

tpd_

1,3

-

15**

ns

1

3

-

-

-

-

-

-

-

4

-

-

2,11*

Turn-Off Delay

tpd+

1,3

-

22**

ns

1

3

-

-

-

-

-

-

-

4

-

-

2,11*

.

Ground inputs to gates not under test.
**Tested only at 25° C.

II

a.

MCBC5400/MCB5400F series

HEX INVERTER

MCBC5404*
MCB5404F*

.1".

Ii
~
,

LEAD

0

~

'

This device offers six independent inverting gates
in a single package. Each gate consists of a single input
driving an output inverter.

1/6 OF CIRCUIT SHOWN

1-[>0--14
3-[>0--2
5-[>0--6
7-[>0--8

4

Vee

100

9-[>0--10

13-[>0--12

14

Positive Logic: 14 =

T

1 k
Input Loading Factor

=1

Output Loading Factor = 10

GNO

Total Power Dissipation = 60 mW typ/pkg
Propagation Delay Time = 13 ns tvp

11

SWITCHING TIME TEST CIRCUIT AND WAVEFORMS

•

Vee
+5.0 Vdc

400

PULSE

MM06150
or Equiv

GENERATOR
t+ ::: 12 ns }

t- = 6.0

ns

~--.t-+----3.0
90%
'1<+----1.5 V
10%
------~~-----_r~------GNO

tPd_~Pd+ 2.4 V min
TPout

MMD7000
or Equiv

PRF = 1.0 MHz, 50% duty cvcle 50
Generator Zo ut~50 oh ms

-=
CT

= 15 pF

1.5 V

______-===='--~~~:...-_-_-.c=~~~ rna.

.
10% to 90% POints

= total parasitic capacitance, which includes probe, wiring, and load capacitances.

The coax delays from input to scope and output to scope must be matched. The scope must
be terminated in 50-ohm impedance. The 950-ohm resistor and the scope termination im-

pedance constitute-. 20: 1 attenuatar probe. Coax shall be CT -070-50 or equivalent.
·F suffix"" 1/4" x 114" ceramic package (Case 651), MCBC·prefixed devices are un·
encapsulated. Beam numbers are the same as the pin numbers for flat-packaged
devices. See General I nformation section for package and chip details.

6-70

ELECTRICAL CHARACTERISTICS
Test procedures are shown for only one
inverter. The other inverters are tested in
the same manner.

S

n
c::J
n

1----{:>c>--14
3----{:>c>--2
5----{:>c>--6
7----{:>c>--a
9----{:>c>--10
13 ----{:>c>-- 12

Characteristic
Input
Forward Current
Leakage Current

UI

~

~~

S

n

c::J

UI
~

rnA

Test Limits
Pin MCBC5404/MCB5404F
55 to +125°C
Under
Symbol Test Min Max Unit

101

10H

VI<

16

-0.4

0.4

101

VI<

5.5

4.5

I

5.0

2.0

I

0.8

5.0

"8

VCCH

I 5.5

:l

.....

:j"
c::

V'H

-

1

C1l

V'HH

V.,

V.2

V'h'

V'hO

Vcc

-

-

-

-

-

-

-

-

1

-

-

-

-

-

-

1

-

-

-

-

-

1

-

4

-

1

4

-

-

-

-

-

-

1

-

40

p.Ade

-

IR2

1

-

1.0

mAde

-

-

-

VOL

14

-

0.4

Vdc

14

-

-

VOH

14

2.4

-

Vdc

lsc

14

-20

-55

mAde

IpDH

4

-

33

mAde

IpDL

4

-

IRI

4.5

10H

mAde

IF

Veel

2.4

~

TEST CURRENT /VOLTAGE APPLIED TO PINS LISTED BELOW:

-1. 6

1

I

o

TEST CURRENT/VOLTAGE VALUES (All Temperatures)
Volts
V.,
V.2
V'H V'HH
V'hO VCC
V'h'

Vce<

VCCH

Gnd

4

ll*

4

ll*

4

ll*

-

ll*

0)

.!.,

Output
Output Voltage

Short-Circuit Current

-

14

-

Power Requirements
(Total Device)
Power Supply Drain

12

mAde

Switching Parameters

-

-

-

-

Pulse
In

Pulse
Out

-

-

-

-

-

-

-

-

1,3,5,7,
9,13

-

-

-

-

-

-

4

Turn-On Delay

'pd-

14

-

15**

ns

1

14

-

-

-

-

-

-

Turn-Off Delay

tpd+

14

-

22··

ns

1

14

-

-

-

-

-

-

*Ground inputs to inverters not under test.
"Tested only at 25° C.

•

4

-

ll*

4

1,11,14*

-

4

II

-

4

I,3,5,7,9,ll,I3

-

ll*

-

ll*

-

.e:

MCBC5400/MCB5400F series

HEX INVERTER
(Open Collector)

MCBCS40S*
MCBS40SF*

BEAM LEAD _ _'--_ __

This device consiS1s of six independent inverting
gates with no output pullup circuits. It can be used
where the Wired-OR function is required, or for driving
discrete components.

1/4 OF CIRCUIT SHOWN

Vee

1-{:>o----14
-{:>o----2
-{:>o----s
-{:>o----a
-{:>o----{:>o----

4

4k

1.4

3
k

5

7

14

9

10

13

12

Positive Logic: 14 = '1

Input Loading Factor'" 1
Output Loading Factor"" 10

GND

Total Power Dissipation = 60 mW typ/pkg
Propagation Delay Time = 35 ns typ

SWITCHING TIME TEST CIRCUIT AND WAVEFORMS

•

TP out

Vee
+5.0 Vdc

ir---"\l---t--==--3.0
\t----l.5V
---~~-------~~~--GND

tPd-~r
TP out
t+=12nS}
= 6.0 ns 10% to 90% Points

~

50

0.5 Il"

Z out R::50

n
AL

~2.4vmin

--------====:.-=-=-:::-:::~=-~~ci max

t-

PAF ~ 1.0 MHz
Duty Cycle = 50%

PW

-i

'-1=..1.5 V

= 400 ohms for

tpd_ telt.
4.0 k ohms for tpd+ test.

CT::: 15 pF "" total parasitic capacitance. which includes probe, wiring, and load capacitances.

-F suffix:: 1/4")C 1/4" ceramic package (Case 651). MCBC-prefixed devices are unencapsulated. Beam numbers are the same as the pin numbers for flat-packaged
devices. See General Information section for package and chip details.

6-72

ELECTRICAL CHARACTERISTICS

~

("')

Test procedures are shown for only one
inverter. The other inverters are tested in

OJ

("')

the same manner.

U'1
~

o

1---{:>o--14
3---{:>o--2
5---{:>o--6
7---{:>o--8
---{:>o--

U'1

~

("')

OJ
U'1

~

o

(J'i

"T1

10

12

0)

.!.J

w

Characteristic
Input
Forward Current

Leakage Current

Symbol

V"

TEST CURRENT /VOLTAGE VALUES (All Temperatures)
Volts
V,H V,HH
V. ,
V. 2
Vth 1
V,hO Vee

0.4

2.4

rnA

Test limits
Pin MCBC5405/MCB5405F
-55 to +125'C
Under
Test Min Max Unit

'1'

1

-1. 6

mAde

[Rl

1

40

}.lAde

[R2

1

1.0

mAde

0.4

Vdt:

10L

VCEX

16

5.5

I

8:::l

!:!.
:::l

c:

Ven

VeCH

4.50

5.50

VeCL

VeeH

Gnd

4

11'

-

4

II'

1

4

11'

5.5

I

4.5

5.0

I

2.0

0.8 15.0

l

Cll

0..

TEST CURRENT/VOLTAGE APPLIED TO PINS LISTED BElOW:
1m

VCEX

V"

V,H

-

1

1

V,HH

V. ,

V. 2

V'h 1

V'hO

Vee

-

Output
Output Voltage

Output Leakage Current

,4

-

I CEX

14

0.25

IpDH

4

33**

mAde

IpDL

4

12**

mAde

VOL

14

1

14

mAde

-

1

4

11'

4

11'

Power Requirements
(Total Device)
Power Supply Drain

Switching Parameters
Turn-On Delay

t pd _

1,14

Turn-Off Delay

t

1,14

pd.

*Ground inputs to inverters not under test.
**Testcd only at 2SoC.

-

1,3,5,7,
9,13

-

Pulse
In

Pulse
Out

15**

ns

1

14

-

55**

ns

1

14

-

•

-

-

4

11

4

1,3,5,7,9,11,13

4

II'

4

11'

MCBC5400/MCB5400F series

TRIPLE 3-INPUT "NAND" GATE

MCBC5410*
MCB5410F*

The device consists of three 3-inpul NAND gatej. Each gate
may be used as an inverter, or two gates may be cros!rcoupled
to form bistable circu its. Beam lead sealed junction technology
is used to manufacture these devices. They are particularly useful in highly reliable systems using hybrid beam lead assembly
techniques or standard flat package assembly techniques.

1/3 OF CIRCUIT SHOWN

4

Vee

100

1

3

2o--H

140--++"
1 k

Positive Logic:

3 =~

Negative Logic:

3

= 1+

2 + 14

Input Loading Factor := 1
Output Loading Factor'" 10
Total Power Dissipation = 30 mW typ/pkg
Propagation Delay Time;; 10 ns typ

GND
11

SWITCHING TIME TEST CIRCUIT AND WAVEFORMS

•

Vee
+5.0 Vdc

1,----__1-+--::-::,.,---3.0 min

400

'1<+----1.5 V
----~r_---_+3------GND

PULSE

GENERATOR

MMD6150

tPd-~Pd+ 2.4Vmin

or Equiv

t+"'12nl}
.
6.0 ns
10% to 90% POints

t-

TPout

:0

PRF - 1.0 MHz

Zout""'5o

MMD7000

50

Duty Cycle = 50%
PW = 0.5 III

or Equiv

n
CT ::: 15 pF

= total

parasitic capacitance. which includes probe. wiring, and load capacitances.

The coax delays from input to scope and output to scope must be matched. The scope must
be terminated in 50-ohm impedance. The 9SO'ohm resistor and the scope termination im·

pedance constitute a 20: 1 attenuatar probe. Coax shall be CT -070-50 or equivalent.
-F sufflx:o 114" x 1/4" ceramic package (Case 651). MCBC-prefixad devices are un-

encapsulated. Beam numbers are the same as the pin numbers for flat-packaged
devices.

Se.

General Information section for package and chip details.

6-74

1.5 V
_ _ _ _ 0.4 V max

------------------------GND

ELECTRICAL CHARACTERISTICS

S

n

Test procedures are shown for only one

ttl

gate. The other gates are tested in the same

n

manner. Further, test procedures are shown
for only one input of the gate under test.
To complete testing, sequence through remaining inputs.

U'I

.j:Io

""'"

.0

S

n

ll=C}-3

ttl

!=L)-s
rnA

1~=C}-13
12

10L
16

Characteristic
Input

~

TEST CURRENT/VOLTAGE VALUES (All Temperatures)
Volts
VR1
VR2
V,hl
V'H V'HH
V'hO Vcc

Test limits
Pin MCBCS41 0/ MCBS41 OF
-SS to +12SoC
Under
10L
Symbol Test Min Max Unit

I

10H

V"

-0.4

0.4

I

2.4

I 5.5

10H

V"

V'H

V'HH

VR1
2,14

1

-

-1. 6

mAde

-

-

1

-

-

Leakage Current

IRI

I

-

40

I1 Adc

-

-

-

1

-

IR2

1

-

1.0

mAde

-

-

VOL

3

-

0,4

Vdc

3

-

VOH

3

2.4

-

Vdc

ISC

3

-20

-55

mAde

-

-

IpDH

4

-

16.5

mAde

-

-

'PDL

4

-

6

mAde

-

Output Voltage

Short-Circuit Current

5.0

I

2.0

I

0.8

I 5.0

V'hl

V'hO

Switching Parameters
Turn-On Delay

Turn-Off Delay

8::J

.....
5'

-

-

-

-

-

-

1,2,14

-

-

2,14

-

1

-

-

-

-

-

-

-

,2,6,7,8,9
10,12,14

-

-

2,14

-

-

2,14

-

-

Pulse
In

Pulse
Out

1,3

-

15**

ns

1

3

tpd+

1,3

-

22**

IlS

1

3

-

VCCH

Gnd

-

-

4

11'

4

2,11,14'

-

4

2,11,14*

4

-

11'

4

-

11'

-

4

1,2,3,8,11*,14

-

-

-

-

-

-

-

4

11

-

-

-

-

4

1,2,11,14*

-

-

-

4

-

11'

-

-

-

11'

-

•

CD

VCCL

-

-

3

'pd-

-Ground inputs to gates not under test.
··Tested only at 25°C.

'TI

I 5.5

Vcc

-

1

-

-

VR2

Power Requirements
(Total Device)
Power Supply Drain

4.5

o""'"

VCCH

I::

IF

Output

I

VCCL

TEST CURRENT /VOLTAGE APPLIED TO PINS LISTED BELOW:

Forward Current

CTI

4.5

C1I

.j:Io

--

4
-

-

----

~

L-_ _.....JDUAL4-INPUT .. NAND ..

GAT~

....._ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _--l

MCBC5420*
MCB5420F*

"~
~
F"

BEAM LEAD

0

,.. -

~
:-

This device consists of two 4-input NAND gates.
These gates may be cross-coupled to form a set reset
flip-flop.

112 OF CIRCUIT SHOWN

Vee
4

4k

1:~

100

1.4 k

13

2

14
2
12

130--+-+-.
14o--+++~

Positive Logic: 2::: 1 .12 .13 • 14
Negative Logic: 2 = 1 + 12 + 13 + 14
1 k

11

Input Loading Factor"" 1

Output Loading Factor

GND

Total Power Dissipation

= 10

= 20 mW typ/pkg

Propagation Delay Time = 10 ns typ

SWITCHING TIME TEST CIRCUIT AND WAVEFORMS

Vee
+5.0 Vdc

ir---"""'t-.j---- 3.0 min

400

90%
'\+----I.S V

____~~------+~~I=O%=-_GND

PULSE

GENERATOR

MMD61S0

or Equiv
t+ ::: 12 ns }
t- = 6.0 ns
10% to 90% points
PRF = 1.0 MHz

tpdTPout

so

MM07000
or Equiv

Duty Cycle = 50%

~r

---l

~1.SV

______--====~_--------~-~~ci max

PW = O.S/olS

Zout""'SO

n

CT

:=

15 pF "" total parasitic capacitance, which includes probe, wiring, and load capacitances.

The coax delays from input to scope and output to scope must be matched. The scope must

be terminated in 50-ohm impedance. The 9S0-ohm resistor and the scope termination impedance constitute a 20: 1 attenuator probe. Coax shall be CT -070-50 or equivalent .
• F suffix = 1/4" )( 1/4" ceramic package (Case 651). MCBC-prefixed devices are unencapsulated. Beam numbers are the same as the pin numbers for flat-packaged
devices. See General Information section for package details.

6-76

~2_4 V min

s:

ELECTRICAL CHARACTERISTICS

n
to
n
en
~

Test procedures are shown for only one
gate. The other gates are tested in the same
manner. Further. test procedures are shown
for only one input of the gate under test.
To complete testing, sequence through reo
maining inputs.

.0

1~30-13

s:

(')

to

2

en

14

~

N

6

:~10

mA

9

Characteristic
Input

~
-..J

10•

10H

16

-0.4

10•

10H

VIL

V'H

V,HH

VRI

VR2

Vthl

VthO

VCC

VCC'

I

-

-

12,13,14

-

-

-

-

I

-

-

0

-

I

-

0

-

-

I

-

-1. 6

mAde

-

-

Leakage Current

lRI

I

-

40

~Adc

-

-

IR2

I

-

1.0

mAde

VOL

2

-

0.4

Vdc

V OH

2

2.4

-

Vdc

ISCt

2

-20

-55

mAde

4

-

11

mAde

-

4

Output

Power Requirements
(Total Oevice)
Power Supply Drain

4.50

'PDH
'PDL

4

'pd-

Turn-Of{ Delay

tpd+

1.2

-

15**

1,2

-

22**

-

-

2

-

-

-

-

-

-

2

-

-

-

12,13,14

-

-

-

-

-

0

0

0

i,6,7,8,9,

0

-

-

Pulse
In

Pulse
Out

ns

I

2

-

ns

I

2

0

mAde

-

·Ground inputs to gate not under test.
"Tested only at 25°C.
tOnly one output should be shorted at a time.

I

...

:i"
c

5.50

CD

.e:
VCCH

Gnd

-

4

11"

0

-

4

11,12,13,14*

0

-

-

4

11,12,13,14*

-

-

4

-

11"

-

I

-

4

-

11"

0

-

-

-

4

1,2,11,12,13,14*

0

-

-

0

4

11

-

-

-

4

1,11,12,13,14*

4

0

0

4

-

-

1,12,13,
14

12,13,14

Switching Parameters
Turn-On Delay

8::J

VCCH

Vcc •

L

TEST CURRENT/VOLTAGE APPlIEO TO PINS lISTEO BELOW:

IF

Short-Circuit Current

"T1

Test Limits
Pin MCBC5420/MCB5420F
55 to +12S·C
Under
Symbol Test Min Max Unit

ForwOlrd Current

Output Voltage

TEST CURRENT IVOLTAGE VALUES (All Temperatures)
Volts
VRI
VR2
Vthl
VthO VCC
V,.
V'H V,HH
2.0
0.4 I 2.4
5.5 I 4.5
L 5.0
o. B J 5.0

o

0

0

12,13,
14
12,13,
14

-

-

0

-

-

-

-

-

-

0

-

0

,.

--

- -

-

11"
11"

I

MCBC5400/MCB5400F series
8-INPUT "NAND" GATE

MCBC5430*
MCB5430F*
This device is an a-input NAND gate. It is useful when
processing a large number of variables. such as in encoders
and decoders.
8eam lead sealed junction technology is used to manufacture these devices. They are particularly useful in highly
reliable systems using hybrid beam lead assembly techniques
or standard flat package assembly techniques.

Vee
4

4k

1.4 k

100

2 _ _ _--,

,

IIII III

2
3
5

g::~

3

12

~ 12

6

8
9

8
9
10

10-----'

Positive Logic:
12 = 2 . 3 . 5 . 6 . 7 . 8 . 9 . 1 0

~

~~

1 k

Negative Logic:
12 = 2 + 3 + 5 + 6 + 7 + 8 + 9 + 10

~~

Input Loading Factor = 1
Output Loading Factor = 10
Total Power Dissipation = 10 mW typ/pkg
Propagation Delay Time = 10 ns typ

11

GNO

•

SWITCHING TIME TEST CIRCUIT AND WAVEFORMS

Vee
+5,0 Vdc

ir---V-t--:-::::--3.0

400

'H----1.5V
----£4~------~~~--GNO

PULSE

GENERATOR

t+=12nS}

t- = 6.0 ns

TP out
10% to 90% points

50

MM07000

PRF :: 1.0 MHz, 50% duty cycle

PW

t::l

tPd-~r

MM06150
or Equiv

or Equiv

-I

______

ohms

"':::'"

CT = 15 fjF =- total parasitic capacitance, which Includes probe. wIring, and load capacitances,

ihe coax delays from input to scope and output to scope must be matched, The scope must
be terminated in 50·ohm impedance. The 950·ohm resistor and the scope termination im·
pedance constitute a 20: 1 attenuator probe. Coax shall be CT -070-50 or equivalent,

"'F suffix = 1/4" x 1/4" ceramic package (Case 651). MCBC-prefixed devices are unencapsulated. 8eam numbers ere the same as the pin numbers for flat-packaged
devices. See General Information section for package and chip details.

6-78

___

-====~_-_-_- -_-~~~ max

a.slls

Zout~50

~2.4vmln

~1.5V

s:

ELECTRICAL CHARACTERISTI(;S

("')

Test procedures are shown for only one in-

to

put. To complete testing, sequence through

("')

remaining inputs in the same manner.

U1

~

~O

s:

3

("')

~

12

~

(.oJ

:~
lot

10H

V'l

TEST CURRENT/VOLTAGE VALUES (All Temperatures)
Volts
VR,
VR2
V,hO Vee
V,H V,HH
V,h'

16

-0.4

0.4

2.4

rnA

10

Characteristic
Input
Forward Current

Leakage Current

0>

.!.J

<.0

Symbol

Test Limits
Pin MCBC5430/ MCB5430F
55 to +125°C
Under
Test Min Max Unit

IF

2

-1. 6

mAde

IRI

2

40

J.iAde

IR2

2

1.0

mAde

VOL

12

-

0.4

Vdc

VOH

12

2.4

IsC

12

-20

IpDH

4

IpDL

4

5.5

I

4.5

5.0

2.0

O. B

5.0

o

"T1

Ven

VeeH

4.50

5.50

n-O
....

~

::i'

t::
CO

TEST CURRENT/VOLTAGE APPLIED TO PINS LISTED BELOW,
lot

10H

V'l

V,H

V,HH

2

VR,

VR2

V'h'

V'hO

Vee

Cl.

VCCl

3,5,6,7,8,
9,10
2
2

VeeH

Gnd

4

11

4

3,5,6,7,8,9,10

4

3,5,6,7,B,9,1O

Output
Output Voltage

Short-Circuit Current

12

2,3,5,6,7,
8,9,10

Vdc

12

-55

mAde

-

6.0

mAde

2.0

mAde

3,5,6,7,
8,9,10

-

-

4

11

2

4

11

-

-

4

2,3,5,6,7,8,9,10,11 J 12

-

4

11

4

2,3,5,6,7,8,9,10,11

Power Requirements
Power Supply Drain

-

Switching Parameters
Turn-On Delay

Turn-Off Delay

HTested only at 25° C.

'pdtpd+

2,12

2,12

-

-

Pulse
In

Pulse
Out

15 H

ns

2

12

22**

ns

2

12

•

-

3,5,6,7,
8,9,10
3,5,6,7,
B,9,1O

I

2,3,5,6,7,
B,9,10

-

-

-

-

4

-

4

-

11
11

MCBC5400/MCB5400F series

DUAL 4-INPUT "NAND"

BUFFER

MCBC5440*
MCB5440F*

This device consists of two 4-inpu. NAND power gates that
are produced using beam lead sealed junction technology_ These
devices are particularly useful in highly reliable systems using
hybrid beam lead assembly techniques or standard flat package
assembly techniques_

CIRCUIT SCHEMATIC
1/2 OF CI RCUIT SHOWN

Vee

4k

500

[Beam]
No_

100

(14)

[111

Flat
Pkg.
Pin

::~2(1)

[13114~

[12[
A
B

[S)

6

E

:~::~'0(9)
(8)9~--

z

eo--+-+-..
D o--+-t--iH

Positive Logic: Z = A • B • C • 0
=A + B + C + 0

Negati\le Logic: Z

Gnd
Input Loading Factor = 1
Output Loading Factor = 30
Total Power Dissipation = 50 mW typ/pkg
Propagation Delay Time = 13 ns typ

Vee = Pin

4 (3)
Gnd ~ Pin 11 (10)

•

SWITCHING TIME TEST CIRCUIT AND WAVEFORMS

Vee
+5.0 Vdc

1,..---~--j----3.0

90%

133
TP rn

V

'\+----1.5 V

______-L~---------+~~10~%~---oV
PULSE

GENERATOR

tPHL~PLH 2.4V min

MMD6150
or Equiv

TP out

t+-12ns
t-

= 6.0 ns

MMD7000
or EqUiv

PRF - 1.0 MHz, 60% duty cycle

1.5 V

------====--::.-::.==::::::::=-~~dV ma.

Generator Zout=:$50 ohm.

Cr = 15 pF -

total parasitic CIIpacltence, which includes probe. wiring. and load capacitances.

·F lufflx" 1/4" x 1/4" ceramic pecka. (Ca. 607). MCBC.prefbc8d devleelare unencapsulatacl.
See General Information section for package dlrnenllonL

6-80

MCBC5440, MCB5440F (continued)

14-Beam Chip

Beams true position wlthrn 0002 lolal

ELECTRICAL CHARACTERISTICS
Test procedures are shown for only one

gate. The other gate is tested in the same
manner. Further, test procedures are shown
for only one input of the gate under test.
To complete testing, sequence through remaining inputs.

z

FUNCTION

A

a.am No.

14

Vee

G

H

Test limits
Pin MCBCS440/MCB5440f
55
to +125·C
Under
Symbol Test Min Max Unit
-1.6

Forward Current

IF

Leakage Current

IRI

A

4.

.Ad,

I R,

A

I ••

mAde

...

Output
Output Voltage

Short-Circuit Current

VOL
VOH

2.'

·ost

-2.

o

11

12

13

11

12

13

14

TEST CURRENT/VOLTAGE VALUES (All Temperatures)
mA

A

e

10

GNO

10

Flat Pkg. Pin No.

v = Vee = Pin 4 [3]
Gnd = Pin 11 [10]

Characteristic
Input

Y

4

Volts

V" I V,. IV,••

VII

I V...

4.5

5.0

.8

-1.2

0.4

101

10 •

V"

2.4

5.5

V,.

VIHH

A

0.8

5.0

4.5

5.5

V"

Va

V. h1

V. hO

Vee

VCCL

VCCH

Pin 11 (Beam 101
Is grounded for
all test" Inadchtlon to the pinS
hsted below.

Gnd

v

B,C;O
A

V

A

e,C,D-

V

Vd,

A,B,C,O

Vd,

mAd,

2.0

TEST CURRENT /VOLTAGE APPLIm TO PINS LISTED BElOW,

nlAde

-7.

V,h' I V,h' I Vee

B,C,D

V

A

V

A,B,C,D,Z'"

Power Requirements

(Tot.I_)
Power Supply Drain

IPDH

V

27

mAd,

IPDL

V

8.•

mAde

A,B,C,O'"

Pul..
In

Switching Parameters

Turn-Off Delay

Pul..
Out

A

B,C,D

V

A

B,C,D

V

"':~:~: ~:r;~t ~~l~~e not under teat.
tOnI,. one output should bs

shorted at a "me.

6-81

MCBC5400/MCB5400 F series

EXPANDABLE DUAL
2-WIDE 2-INPUT
"AND-OR-INVERT"' GATE

MCBC5450*
MCB5450F*

BEAM LEAD ----..J_ _ _

Thisdevice consists of two AND-OR-I NVE RT gates,
one of which isOR expandable. Each gate is made up
oftwo 2·input AND gates 0 Red together and inverted.
Up to four MC5460/7460 expander gates may be
ORed with the device at the expander points.

1/2 OF CIRCUIT SHOWNt

2

~

4

Vee

3 _ _. . - - ,
4k

4k

1.4 k

100

5--"1...._"
13

12

14
1--------~

Emitter

Collector
13

2-----------'

12

14
3o-~-I---'"
5o--+~---r-.

Positive Logic:
1k

12

= (3.5)

+ (13.14) + (Expanders)

Negative LogiC:
12

= (3+5).113+14).

(Expanders)

GND
Input Loading Factor:: 1

11

dutput Loading Factor = 10
Total Power Dissipation = 28 mW typ/pkg
Propagation Delav Time:: 13 ns typ

t Other half of circuit omits expander inputs.

SWITCHING TIME TEST CIRCUIT ANO WAVEFORMS

Vee
+5.0 Vdc

1r---~-+--3.0
90%
1.5 V
10%

---~~----+~~~GND

400

tPd-~r

TP out

PULSE

GENERATOR
MMD6150
or Equiv

MMD7000
or Equiy

CT "" 1 5 pF = total parasitic capacitance, which includes probe. wiring, and load capacitances.
The coax delaYI from input to scope and output to scope must be matched. The scope must
be terminated in 50-ohm impedance. The 950·ohm resistor and the scope termination impedance constitute a 20: 1 attenuator probe. Coax shall be CT -010-50 or equivalent.

·F suffix"" 1/4" x 1/4" ceramic package (Case 651). MCBC-prefixed devices are unencapsulated. Beam numbers are the same as the pin numbers for fist-packaged
devices. See General I nformation section for package and chip details.

6-82

V

___-'-__'---=--=--=--=--:::.~~~ max

t+=12n5 }10%to
50
t- =- 6.0 ns
90% points
PRF = 1.0 MHz
Duty Cvcle = 50%
PW = 0.5 /-"
Z out R<50 !l

~ ~~~4 V min

"------L..1.5

ELECTRICAL CHARACTERISTICS
Test procedures are shown for only one

S

gate. The other gate is tested in the same

n

manner. Further, test procedures are shown
for only one input of the gate under test.
To complete testing, sequence through re-

OJ

(")

maining inputs.

U1

3~

5
13
14
Emitter
Collector

~

~O

12

s:

1
2

n

OJ

~~10
Characteristic

U1

Te" Limits
Pin MCBCS4S0/MCBS4SOF
Under (All Temper.tures)
ymhol Test Min Ma. Unit

Leakage Current

[F

[Rl

m

cIo

w

VeeH

16

5.5

1o•

lOR

-

14

-1.6

mAde

-

14

40

"Ade

-

-

[R2

14

Expander Input Current

'Ex

2CD

Base-Emitter Voltage

VBE

1

I
-

®I

I..

1X2

I..

V,x(!)

R,x®

Ix.
-

-

-

-

I

I

I - I

I - I

I

, -2.9' mAde'

12

I

I

I - I

I - I

I

12

I

1 1,2 I - I

I - I

I

- I

1.0

I

I

mAde

Vde

I

VIMH

V'R

VR,

VR•

"T1

8::J

I

I - I
1,2

I - I

V,hl

V,hO

Vee VCCL VeeH

Gnd

-

4

lI-

4

3,5,11,13·

13
14

-

1.0

V"
14

I

I

~
o

TEST CURRENT/VOLTAGE APPLIED TO PINS LISTED BELOW:

Input
Forward Current

1o•

-

I - I

I

-

-

I - I

I - I

I

I - I

I

I - I

I - I

4

I - I

3,5,11,13,14*

I - I

I

I - I

I - I

4

I - I

3,5,11,13,14*

4

I

3,5,11,13·

Output
Output Voltage

VOL

I

0.4

Vde

12

12®1

0.4

Vde

12

112

VOH

Short-Circuit Current

[SC t

12

2.4

Vde

12

2.4

Vde

12

-20

-55

1

I I

I

I'

113,14

3,5,11*

113,14

3,5,11,13,14*

I*-

13

12

3,5,11*
3,5,11,13,14*
3,5,11,13,14'"

mAde

I Power Requirements
(Total Deyice)

Power Supply Drain

14

IpDH

I mAde

1,3,4,5,6,
7,8,9,13

mAde

IpDL

Switching Parameters
Turn~On

Delay

'pd_

114,12

IS'"

Turn~Off

Delay

tpd+

114,12

22"''''

"'Ground Inputs to gate not under test.
"'·Tested only at 25°C.

I

t Only one output should

11
3,5,11,12,13,14"

Pulse
In

Pulse
Out

14

12

13

3,5,11'"

14

12

13

3,5,11'"

be shorted at a time.

II

CD See Figure

I.

® See FIgure 2.

® See Figure 3.

::J

c:

I

14

...
(I)

c.

MCBC5450, MCB5450F (continued)

FIGURE 2 - VBE TEST CIRCUIT

FIGURE 1 - lEX TEST CIRCUIT

-=- vEX

FIGURE 3 - VOL TEST CIRCUIT

•

6-'84

MCBC5400/MCB5400F series

DUAL 2-WIDE 2-INPUT
"AND-DR-INVERT" GATE

MCBC5451*
MCB5451F*

.~
~
•

BEAM LEAD

0

~

'

This device consists of two AND-O R-I NVERT gates.
Each gate is made up of two 2-input AND gates ORed
together and inverted.

1/2 OF CIRCUIT SHOWN

Vee
4

:~12

13

14

12

13
14

30--++---.
50--++--+-.

Positive Logic:

12 "'" (3.5) + (13 .14)

Negative Logic: 12

= (3 + 5)

• (13 + 14)

Input Loading Factor = ,
Output Loading Factor = 10

11

Total Power Dissipation = 28 mW typ/pkg
Propagation Delay Time:::: 13 ns typ

GNO

SWITCHING TIME TEST CIRCUIT AND WAVEFORMS

Vee
+5.0 Vdc

ir---.......,.t-t--:-:::---3.0 min
TP ln

'1.+----1.5 V

-----~~------~~~----GNO

tPd_~Pd+ 2.4 V

400
TP out

PULSE

GENERATOR

_ _ _ _ 0.4 V max
MMD6150
or Equiv

t+ = 12 ns }
t- = 6.0 ns
10% to
90% points

MMD7000
or Equiv

PRF = 1.0 MHz
Duty Cycle = 50%

min

1.5 V

-=

~

Ground inputs to gate not under test.

PW = 0.51's
Zout""'50 II
CT

= 15 pF

= total parasitic capacitance, which includes probe, wiring, and load capacitances.

The coax delays from input to scope and output to scope must be matched. The scope must
be terminated in 50·ohm impedance. The 9S0·ohm resistor and the scope termination im·
pedance constitute a 20: 1 attenustor probe. Coax shall be CT -070-50 or equivalent.
·F suffix = 1/4" x 114" ceramic package (Case 651). MCBC-prefixed devices arB unencapsulated. Beam numbers are the same as the pin numbers for flat-packaged
davices. See General I nformation section for package and chip details.

6-85

GNO

•

•

ELECTRICAL CHARACTERISTICS
Test procedures are shown for only one
gate. The other gates are tested in the same
manner. Further. test procedures are shown

s:
(")
tD

(")

C7I

for only one input of the gate under test.
To complete testing. sequence through re~
maining inputs.

...~

1:~12

s:
(")

14~

tD

C7I

~§j»-"
Characteristic
Input

10.

10H

VIL

TEST CURRENT/VOLTAGE VALUES (All Temperatures)
Volts
V.I
V••
V,hl
VIH VIHH
V'hO VCC

16

-0.4

0.4

2.4

mA

Test Limits
Pin MCBC545 1/MCB5451 F
55 to +125·C
Under
IOL
Symbol Test Min Max Unit

cIo

4.5

I

5.0

2.0

0.8

5.0

"T1

VCCL

VCCH

4.5

5.5

8:J

...:i'
c:
(I)

TEST CURRENT !VOLTAGE APPLIED TO PINS LISTED BELOW:
10H

VIL

VIH

VIHH

14

-

-

13

14

-

-

V.,

V••

V'h'

-

-

-

-

-

-

-

-

V'hO

VCC

.e:

VCCL

VCCH

Gnd

-

4

11'

4

3,5,11,13*

-

4

3,5,11,13*

Forward Current

IF

14

-

-1. 6

mAde

-

-

Leakage Current

IR1

14

-

40

/lAde

-

-

IR2

14

-

1.0

mAde

-

-

-

-

14

-

-

VOL

12

-

0.4

Vde

12

-

-

-

-

-

-

13,14

-

-

4

-

3,5,11*

VOH

12

2.4

-

Vde

-

12

-

-

-

13

-

-

14

-

-

4

3,5,11*

ISC t

12

-20

-55

mAde

-

-

-

-

-

-

-

-

-

-

-

4

3,5,11,12,13,14'

IpDH

4

-

14

mAde

-

-

-

-

-

3,5,6,7.,8,
9,13,14

-

-

-

-

4

11

4

-

8

mAde

-

-

-

-

-

-

-

-

-

-

-

4

3,5,11,13,14-

IpDL

Pulse
In

Pulse
Out

en
en

I 5.5 I

~
.....

Output
Output Voltage

Short-Circuit Current

Power Requirements
(Total Device)
Power Supply Drain

Switching Parameters
Turn-On Delay

tpd_

14,12

-

IS"'·

liS

14

12

-

13

-

-

-

-

-

4

-

-

3,5,11-

Turn-Off Delay

tpd+

14,12

-

22"'·

ns

14

12

-

13

-

-

-

-

-

4

-

-

3,5,11*

"'Ground Inputs to gates not under test.
"Tested only at 2SOC.
t Only on. output should be shorted at a time.

I

MCBC5400/MCB5400F series

EXPANDABLE 4-WIDE 2-INPUT
"ANO-OR-INVERT" GATE

MCBC5453*
MCB5453F*

This device consists of four 2-input AND gates ORed together and inverted. Up to four MCB5460 expander gates may
be ORed with the device at the expander pOints. Beam lead

CIRCUIT SCHEMATIC

sealed junction technology is used to manufacture thesp de-

vices. They are particularly useful in highly reliable systems
using hybrid beam lead assembly techniques or standard flat
package assembly techniques.

VCC

K

Flat

4k

4k

4k

7)""\ 7) ""\7/
A

0--

B

0--

4k

~~-k

T,

I

C

o

[Beam] Pkg.
No.
Pin

10 o

1.4 k

z

"

[2J

3

[4J

5

[5J

6

[6J

7

17J

8

[8J

9

[12J 13
E
F
G
H

[13J 14

Emitter [14J

1 k

Collector [1 J

2

Positive Logic:

Z = (A • Bl + (C .01 + (E • Fl + (G • HI + (Expandersl
Gnd

Negative Logic:

Z = (A + Bl • (C + 01 • (E + Fl • (G + HI • (Expandersl

Input Loading Factor;::::; 1

VCC

Output Loading Factor::::; 10
Total Power Dissipation = 22 mW typ/pkg
Propagation Delay Time = 13 os typ

~

Pin 4 [3J
Gnd = Pin 11 [10J

SWITCHING TIME TEST CI RCUIT AND WAVEFORMS

VCC
+5.0 Vdc

1.---...+ - + - - - 3 . 0 V

400

\-1----1.5 V
----~+_---_+~~~--OV

tPHL~PLH 2.4 V
TP out

MM06150

t+""12ns
6.0 ns

---========~~dV

or Equiv.

t- =

PRF = 1.0 MHz. 50% duty cycle
Generator Zout~50 ohms

MMD70DO
or Equlv.

Ex:pander pins should be Jeft open when measuring switching tlmas.
CT = 15 pF = total parasitic capacitance, which includes probe, wiring, and load
capacitances.

·F sufflx:= 1/4" x 1/4" ceramic package (Case 601).

MCBC~prefixed devices are unencapsulated.

See General I nformation section for package dimensions.

6-87

min

1.5 V
max

MCBC5453, MCB5453F (continued)

14-Beam Chip

Beams true position within 0.002 total

ELECTRICAL CHARACTERISTICS
Test procedures are shown for one input
of the device. To complete testing. sequence through remaining inputs in a similar manner.

Beam No.
Flat Pkg. Pin No.

14

K

H

12

13

10

11

12

13

14

Vb

Iv..,.

V",o

'.0

2.0

0.'

TEST CURRENT/VOLTAGE VALUES (All Temperatures)

V = Vee = Pin 4 [31
Gnd = Pin'1 [101

•

G
11

K

FUNCTION

10<

10M

-0.4

Characteristic
Input
Forw.lrd Current
Le.tkage Cl,lrrPnl

Test Limits
Pin MCBC5453/MCB5453F
ss to +I25°(
Under
IVmbol Test Min Max Unit

I,

mAdr

'.,

,jAdt;"

I

Ohms

rnA
'Xl

0.41

I"

I"

'X4

I Ru':I I VEx·f. I V1L
138

015 -0.15

0.'

0.'

VIM

IV'HH

2.'

5.5

Vol's

... I

V"

I Vee IVea. v,'"
50

10<

10M

IXI

I"

I"

I"

REX,v

V1X-"1"Ir-'" 3

Jl 7
J2 S
J3 9

= 10

Total Power Dissipation = 40 mW typ/pkg

•

=

20 MHz typ

012O-----+---------~

I-~----_+~+-_+~4_----+-~-------+_+~----OGND

Jl 7

14 Kl

J2 B

K2

J3 9

13 K3

~

____~::::~::::::::::::=+::::::::::::::::::~::::::j_---ol'
2

SET

14 Kl
K2
13 K3

Propagation Delay Time = 30 ns typ

Max Operating Frequency

a

0
1

1nput Loading Factor:
J, K ~ 1

Ci:Oc'i<, SeT, 'RESEi' ~

012

CLOCK

*F suffix = 1/4" x 1/4" ceramic package (Case 651) MCBC-prefixed devices are unencapsulated. See General I nformatlon section for package and chip details.

6-94

GND

MCBC5472, MCB5472F (continued)

OPERATING CHARACTERISTICS

Data must be applied to the J-K inputs while the clock is low.
When the clock input goes to the pOSitive logic "I" state, the data
at the J and K inputs is transferred to the master section, where it
is stored until the clock changes to the pOSitive logic "0" state.
Data at the J and K inputs must not be changed while the clock is
high. When the clock returns to the positive logic "0" state, information in the master section is transferred to the slave section.
Application of a logic IiO" to the SET input will force the Q
output to the logic "I" state, and application of a logic "0" to the

RESET input will force the Q output to the logic "I" state. The
SET and RESET inputs override the clock.
Since no charge storage is involved in this flip-flop, rise and fall
times are not important to its operation. Clock fall times as long
as 1.0 ,..s will not adversely affect the operation of the flip-flop.
The clock pulse need only be wide enough to allow the data to
settle in the master section. This time, which is the setup time for
a logic "1", is 20 ns minimum.

SWITCHING TIME TEST CIRCUIT

Vee
+5.0 Vdc

r----

--,

I

S

Q

I
I
I
I

I
I
I

MM06150
or Equiv.

50

MM07000
or Equiv.

t+=12n5
t- = 6 ns
f

}

10% to 90% points

= 10 MHz for waveform A

1.0 MHz for waveforms B. E, and F

Two pulse generators are required and must be slaved together for testing
RESET. Only one pulse generator is required for J, K, and CLOCK tests.

S'ET and

·The coax delays from input to scope and output to scope must be matched. The
scope must be terminated In 50·ohm impedance. The 95Q·ohm resistor and the
scope termination impedance constitute a 20: 1 attenuator probe. Coax shall be
CT -070-50 or equivalent .
• • A load is connected to each output during the test.
CT = 15 pF = total parasitic capacitance, which includes probe, wiring, and load
capacitances.

6-95

•

MCBC5472, MCB5472F (continued)

TEST PROCEDURES
(Letters shown in test columns refer to waveforms.)
TEST

SYMBOL

INPUT

a

Q

2.4 V

t

e

J. K

A

S

A

2.4 V

Toggle Frequency

fTog

A

LIMITS

Min

Max

Unit

t

15

-

MHz

Turn-On Delay

tpd_

B

B

2.4 V

2.4 V

C

C

10

40

ns

Turn-Off Delay

tpd+

B

B

2.4 V

2.4 V

0

0

10

25

ns

Turn-On Delay

'sd-

2.4 V

2.4 V

E

F

G

H

40

ns

Turn-Off Delay

'sd+

2.4 V

2.4 V

E

F

G

H

-

25

ns

Enable Voltage

VEN

B

2.0 V

2.4 V

2.4 V

t

t

t

-

-

Inhibit Voltage

V,NH

B

0.8 V

2.4 V

2.4 V

:1=

:1=

:1=

-

-

tOutput shall toggle with each input pulse.
:tOutput shall NOT toggle.

VOLTAGE WAVEFORMS AND DEFINITIONS

r---"\.---------

3.0 V

'-------0 V
- - - - - - - - - + - - - " \ . - - - - - - 2 . 4 V min
C

TP out

1.5 V
' -_ _ _ 0.4 V max
GND----------------~--------------...-_ _ _ 2.4 V min

GND :===========~=====::.O.4 V max

•

r-------------3.0V
1.5 V

'------,-!--------------------OV
3.0 V

'-_____

J_~---OV

r--------2.4
G

V min

TP out

-----l-------~========:j:::!:.========== 0.4 V max
_---------------.-t--------------- 2.4 V min
GND J s d
+
H

TP out

1.5 V
0.4 V max

GND

6-96

ELECTRICAL CHARACTERISTICS

S

n

Test procedures are shown for only one J
and one K input, plus the SET, RESET,
and CLOCK inputs. To complete testing,
sequence through remaining J and Kin·
puts in the same manner.

SET3
Jl

7

J2
J:lI

8
9

CLOCK

2

OJ

@

:e

W
a

a

I\)

~

s

(")

,2

OJ

C1I

10

mA

Characteristic
C»

Forward Current

J
K

IF

Set
Reset

Clock
Leakage Current

J
K

Ial

7
14
3
5
2
2

7

set

14
3

Reset

5

Clock

2"

J
K

Ia2

Set
Reset

Clock

7
14
3
5
2

OUtput
Output Voltage

Short-Circuit Current

-0.4

-

---

--

-1. 6
-1. 6
-3.2

~
40
40
80

mAde

J
IlAde

+

1

1.0

mAde

!!

-

-

-

0.4

VR

V,hl

-

--

-

-

2,5' ,8,9
1,2,3*,13
1,2,7,8,9,13,14
1,2,7,8,9,13,14
1,5*,7,8,9,13,14
1,3',7,8,9,13,14

--

-

-

7
14
3
5
2
2

-

-

-

-

7
14
3
5
2

-

-

-

--

-

-

10
12

2.4
2.4

-

-

Vde
Vde

10
12

ISC

10

-20
-20

-57
-57

mAde
mAde

-

-

-

-

IPD

4
4

20
20

mAde
mAde

-

-

-

-

0.8

V1HH

-

-

2.0

V1H

10
12

12

4.5

V1L

Vde
Vde

V OH

5.5

IOH

0.4
0.4

10
12

2.4

4.5

'TI

8:J
....

5.5

5'

t:

-

-

-

-

-

-

--

--

-

-

7
14
3
5
2

-

-

-

-

-

-

'"a.

V'hO VCCL VCCH

-

-

-

-

-

-

-

-

-

-

4

Gnd
11

J

J

4

2,5,8,9,11
1,2,3,11,13
2,7,8,9,11
1,2,11,13,14
1,2,5,7,8,9,11,13,14

1
4

2,5,8,9,11
1,2,3,11,13
2,7,8,9,11
1,2,11,13,14
1,3,5,7,8,9,11,13,14

-

--

--

1,7,8,9,13,14
1,7,8,9,13,14

-

-

--

--

4
4

2,5,10,11
2,3,11,12

-

-

-

-

4
4

5,11
3,11

-

-

-

-

-

-

5
3

3
5

4
4

3
5

5
3

4

-

4

-

*Momentarily ground pin prior to taking measurement.
·*Under normal operating conditions this current is negative. This test guarantees that positive leakage current will not exceed the limit shown.

_B

N

TEST CURRENT/VOlTAGE APPLIED TO PINS LISTED BElOW:

-

VOL

Power Requirements
Power Supply Drain

10H

16

Test Limits
Pin MCBC5472/MCBS472F
Under
55 to +125°C
Symbol Test Min Max Unit 10L

Input

ch
......

10L

:e

TEST CURRENT/VOLTAGE VALUES (All Temperatures)
Volts
VIL VIH V1HH
VR
V,hl V,hO VCCL VCCH

1
-

11
11
11
11

MCBC5400/MCB5400F series

DUAL J-K FLIP-FLOP

MCBC5473*
MCB5473F*

o~

aEAM UAD

Flat

!B~::~ :~~yO
[IJ

1

C

[3J 3

K

0

,:::

13 [18J

R
[2]

,:~:. :~:::::

12117J

Vce - P;n 4 [4J
Gnd = P;n 11 [16J

j

J. K = 1

LOGIC DIAGRAM
(1/2 OF DEVICE SHOWN]

6

In

Input Loading Factor:

-

This negative-edge-clocked dual J-K flip-flop operates
on the master-slave principle. The device is quite useful
for simple registers and counters where multiple J and K
inputs are not requ ired.

R'

[6J

2

.~
~

In+l

J

K

a

0
0

0

an

1

0

1

1

1

o

a

t-~~'--r~~

0

1
an

K

Reset, CloCk = 2
Output Loading Factor == 10
Total Power Dissipation:::: 80 mW typ/pkg
Propagation Delay Time = 30 ns typ
Operating Frequency == 15 MHz typ

CIRCUIT SCHEMATIC
(112 OF DEVICE SHOWN]

.------.--------~~---------.--------~----~~----------~OVCC

•

t---+----oo

00---+---+

L---------~----------_+------~~--------~~--------~----_+_oGnd

L--.~----------------------~~~~--_oRe~t

K

eF suffix = 1/4" x 1/4" ceramic package (Case 607). MCBC prefixed devices are unencapsulated.
Se. General Information section for package dlmensionl.

6-98

MCBC5473, MCB5473F (continued)

OPERATING CHARACTERISTICS

a

the output to the logic "1" state. The Reset input over·
rides the clock.

Data must be applied to the J-K inputs while the clock
is low. When the clock input goes to the positive logic
"1" state, the data at the J and K inputs is transferred to
the master section, where it is stored until the clock changes
to the positive logic "0" state. Data at the J and K inputs
must not be changed while the clock is high. When the
clock returns to the positive logic "0" state, information
in the master section is transferred to the slave section.

Since no charge storage is involved in this flip-flop, rise
and fall times are not important to its operation. Clock
fall times as long as 1.0 j.ls will not adversely affect the
operation of the flip-flop. The clock pulse need only be
wide enough to allow the data to settle in the master
section. This time, which is the setup time for a logic "1"
is 20 ns minimum.

Application of a logic "0" to the Reset input will force

SWITCHING TIME TEST CIRCUIT

Vee
+5.0 Vdc

High

High

Impedance
Probe

Impedance
Probe

400

a

C
K

R

MMD6150
or Equiv .

Cl

lCT

50

MMD7000
or Equiv.

-=
t+=12nl}

t- .... 6.0 ns

f

.

• A load is connected to .ach output during the test.

10% to 90" POlOts

= 15 MHz for waveform A
1.0 MHz for waveforms Band C

Two pulse generators are required and mull be slaved together for tid tests.
CT

= 15 pF = total parasitic capacitance. which Include' probe, wiring, and load capacitancH.

6-99

•

MCBC5473, MCB5473F (continued)

TEST PROCEDURES
(Letters shown in test columns refer to waveforms.)

INPUT
TEST

SYMBOL

Toggle Frequency

a

Q

2.4 V

t

B

2.4 V

B

2.4 V

B

c

J. K

A

fTog

A

A

Turn-On Delay

tpHL

B

Turn-Off Delav

tPLH

B

Turn-On Delay

tsd-

B

LIMITS
Min

Max

Unit

t

15

-

MHz

0

0

10

40

n'

E

E

10

25

n.

C

G

-

40

n'

Turn-Off Delav

lsd+

B

B

C

-

F

-

25

n'

Enable Voltage

VEN

B

2.0 V

2.4 V

t

t

t

-

-

Inhibit Voltage

VINH

B

0.8 V

2.4 V

*

~

~

-

-

tOutput shall toggle with each input pulse.
:j:Output shall NOT toggle.

VOLTAGE WAVEFORMS AND DEFINITIONS

3.0 V
A. B

\

TPin

1.5 V

Jt---20nsmin~!\

OV

500 ns

•

C

25 ns min
3.0V

TPin

1.5

Reset

0

)1\

1.5 V

OV
2.4 V min

L~1.5V

TP out

i--tPH L

0.4 V max

~tPL)!,1.5 V

2.4 V min

Gnd

E

TPOUl

0.4 V

Gnd

max

2.4 V min
F

_~.5V

TP out

r--t.dGnd

.-1/

TP out

Gnd

2.4 V min

'\_~~========7=='1=.5=V=====,0.4
i-t'd+

G

0 .4 V max

_ _____________

6-100

V max

MCBC5473, MCB5473F (continued)

'"cL~========~~~~00004
------r
00025
MIN

Nctode
l,p

-ir--jIII-

=

0.0006

0010

I

0.074
0077

Beams true position wIthin 000210lai

a
y,a,
V

ELECTRICAL CHARACTERISTICS
Test procedures are shown for only one

flip-flop. The other flip-flop is tested in
the same manner,

C

C'

a

K

K'

A

Q'

A'

TEST CUARENTIVDLTAGE VALUes tAIl T ......r8tu . . .
Vol1l

,.

'OL

'OH

-0.4

V'L

O.

V'H
24

...

VIHH

V.
•.S

V .. ,

2.

v...

Vee

VCCL

VCCH

.B

'.0

4.50

'.50

VCCL

VCCH

Pml1lBnm 16J
grounded for ,II
tllStS In edd.tlon
10 the Plitt hned

TfttLlmlb

Po.

MCBC5473/MCB5473F

Ch'factlln511C

Inpat

Symbol

T...

Mm

ForwilrdCurrel'lt

J

'F

K

Ai5ii

aOCk
LlllkageCurrent

J
K

'.,

RUit

J
K

Mo.

Umt

J
K

-15
-15

mAd<

c

-32
-32

•
•

'.2

40
40

,.Adc

00

•~

•

Output

vOL

Q

VOH

0

'os,

Q

••

Q

Short-C'l'CultCurrellt

Q
Q

2.'
2.
-20
-20

'PO

.d,

.do
.d,
.do

-57
-57

rnA"

40

m_
rnA"

' _ r RequirmMnu
ITobl Dnal
POWIIrSvpplyDr'ln

'OH

V'L

V'H

.
J

••

mAde

VIHH

V.

c.•
c .•
c;
J,K.R

V .. ,

V ...

Vcc

below.

V

~

V

K

C

mAdo

ReSft
ClOck
OutpulVoltaga

'OL

.. •
,.

J
K

c,

CiDCk

TEST CURRENT/VOLTAGE APPLIED TO PINS LISTED BELOW:

-55 10 1'125OC

u .....

+

V

~

Q
Q

Ond
Q

Q

.
Q

•

Q

C,R*

C·

CJ·
J-,K,R

ii
C).K
C,J.K

•

~

C,J-,K
C,J-,K

V

BottIRlnpuu

BomO

"Ground mputs to flip-flop not under test
.oMomtntenly ground pin prIOr to tlkll'lg meaurement to set flip-flop In thl dlslred SIll" IIf pin II "10 In 8T\Oth ... eolumn. the pin muSI be returned to thlt vol"" or eUrTlnt for mlMUremlnt.)
,Only onl OUtput should be shorted at I tim'
fUnd., nQrmal operlllr1il COndltrtlns thlseurrent IS I'IIptlve Thlll"t gu.lntMS thll pO.IIM I.. kegt eurr.nt 'lNIII nol exc:ftd th.lrmlillhown.

6-101

•

MCBC5400/MCB5400F series

DUAL TYPE D FLIP-FLOP

MCBC5479*
MCB5479F*

This dual type D flip·flop triggers on the positive edge of the clock input. During the clock
transition the state of the D input is transferred
to the Q output. The device is useful in shift
registers and simple counters.

CIRCUIT SCHEMATIC
1/2 OF DEVICE SHOWN

VCC

[seam]
No.

Set

Flat
Pkg
Pin

[13]

8

[6]

6

9

[7]

7

10 [15J

[5J

5

[14J

[19J 14
51
01 Ql

It]
[2J

2

[3J

3

13 [18J

12 [17J

Cl 01
Rl

In
D
0
1

In+l

01

0

o

1
0

1

I

Input Loading Factor:
0=1

set. Clock = 2
o

Reset

Gnd

=

3

Output Loading Factor"'" 10

Total Power Dissipation

VCC ~ Pin 4 [4J
Gnd=Pinll [16J

= 84 mW typ/pkg

Propagation Delay Time = 16 ns typ
Operating Frequency = 30 MHz typ

AiHrto-~--------~~---------,

0_---;._./
Clock

o-----------+-H

LOGIC DIAGRAM
1/2 OF DEVICE SHOWN

s.Ho-~----------------------"
·F suffix =- 1/4" x 1/4" ceramic pack.ge (Case 607). MeBe prefix_Cit devices are unencapsulated.

See General Information Hetlon for package dlm_nslonL

6-102

MCBC5479, MCB5479F (continued)

ELECTRICAL CHARACTERISTICS
Test procedures are shown for only one

flip-flop_ The other flip-flop is tested in
the same manner.

10

11

12

13

14

TEST CURRENT/VOLTAGE VALUES tAli Tamperaturesl

Voltl

mA

'OL

'6

I

10H
-04

I

V.L
04

I

V.H
24

I V,HH I
5,5

VR.
4,5

V1h 1

Vth 0

Vee

VCCL

VCCH

20

08

5.0

450

550

VCCL

VCCH

MCBCS479/MCB5479F

Pm

Under
CharaClefldle;

Input
Forward Current

0

set
R"iSei

Symbol

T••

'F

0

Clock

Leakage Current

0

~

M,.

-'6

5
R

-32
-48

C

-3.2

40
80
.20
80
.0

'R,

!.W£!

Clock

...Q
~

'R2

f!!W

+

ClOck

Output
Output Voltage

Short,CIfClJltCurrent

TeslLtmlts
-6510.,25 o C
M..
Unll

VOL

a

VOH

a

24
2,4

a

-20
-20

lost

04
04

0
0

Q

'OL

V.L

V.H

Vd,
Vd,
-57
-57

mAde
mAde

30
30

mAde

V,hO

Vee

5

C·.D,R

R
C

•

+

•

+

mAde

Vd,
Vd,

V t hl

0

~
+
~

VR.

R,.
R
0,.
R
C,.

mAde:

"Adc

VIHH

C-.s
C.s
C·,D,R
C·.S

grounded for all
tests In addition
to the PIllS hsted

below

TEST CURRENTNOLTAGE APPLIED TO PINS LISTED BELOW:
10H

Pin 1tlSeam 16]

V

~
V

V

a

G""
CO,Cl
CO.C1.00

CO,C1
Cl,DO,sO

C1,RO

C.

ct,OO
Cl,OO,RO

Ct.RO

c.

Cl,DO
Cl,OO,RO

CO,Cl,DO

a

CO.C1,DD

a

CO,Cl,DO
CD,Cl,DO

0

cO,so,ao
Cl,Ra,aO

Power RequN'ements

(TotaIO'lI'u:el

Power SupplV Dram

'pD

V
V

v

v

mAd,

"Momenta'llv ground pm pnor to taking measurement, then set to state indicated.
tOnly one output 5hould be shorted 8t 8 time.

6-103

CO,Ct.DO.ol,sO,sl

CO,DO,RO,Cl,Dl.R 1

•

MCBC5479, MCB5479F(continued)

SWITCHING TIME TEST CIRCUIT

Vee

OPERATING CHARACTERISTICS

+5.0 Vdc

High
Impedance

Data may be appl ied to the D input any
time following 5.0 ns after the leading edge
of a clock pulse and 20 ns before the leading
edge of the following clock pulse. The state
of the D input when the clock changes from
the positive logic "0" state to the positivE!
logic "1" state is transferred to the Q output
of the flip·flop. The data input cannot be
changed between the setup time (20 ns) and
the hold time (5.0 ns) without adversely af·
fecting the operation of the flip·flop.
The direct Set and Reset inputs override
the clock, and may be applied any time duro
ing the operating cycle.

High
Impedance
Probe

Probe

400

MMDS1S0
or Equiv

t+

t-

= 12 ns }
= 6.0 ns

PRF

MMD7000
or Equiv

10% to

90% points

= 20 MHz

Two pulse generators are required and

for waveform A

must be slaved together to provide the

= 1.0 MHz' for waveforms

waveforms shown. Only one pulse generator (dutV cycle 0:: 50%) is required to

B thru E
Zout~50

.n

test toggle frequencv.

CT = 15 pF 0:: total parasitic capacitance, which includes probe, wiring, and
load capacitances.

VOLTAGE WAVEFORMS ANO DEFINITIONS

A,B

1.S V
I

Clock (Must be used on all tests)

•

~ 3.0 V

ons

e

,

1.S V

,

TEST PROCEDURES CHART

--OV

(Len.,. .hawn In tnt column' refe, to waveform.)

1--20 ns
I

I

TEST

I

Towle Frequency

I

Turn-On Dalay
Clock to Q

C

I

:-20

ns

:

1.S V

I

o

Turn-On Delay
Clockta

,

§tii:toQ

I
I
I
I
I

I
,
I

tPLH

F

1"4,

nS=30 nSl~___ 3.0 V
_~1.5V
I

0 V

,

t:::± tsd_

2.4 V min

'_f
! ~.SV
Gnd==:::::j:f=~-------+-:__,=====0.4 V max

___g'_.....
~

G

I

Gnd

tPHL:

'Too

A

0

I

r~-:---2.4 V min

I~UV
===-==

0.4 V max

tsd+

6-104

Q

R
S
2.4 V 2.4 V

t

LIMITS

Q

Min
t

Max

20

"'HL

B

D

2.4 V 2.4 V

G

F

30

"'LH

B

C

2.4 V 2.4 V

F

G

30

....

B

C

2.4 V G

F

2.

B

D

G

2.

...-

2.4 V

·Connect to Q.
tOutput shall togg'a with ar..:h Input pul ...

I

:---SOO

Q

Turn..()n Delay
'fi'ii8ttoQ
Turn-Off Oalay

OV

30ns

E

INPUT

SYMBOL

I

•

F

Unit
MH,

MCBC5400/MCB5400F series

4-INPUT "AND" DRIVER
WITH "NOR" STROBE

MCB54140F*

~

."ML"D~
This device is a dual buffer element in a hybrid
configuration with a high performance NPN silicon
transistor similar to the 2N3253. to allow the output
stage to operate to 40 volts with sink current capability
of 250 mAo The device may also be used in conjunction
with other saturated logic forms.

High
Level

Vee

8

10
4k

High

AND

Level

l~

3
4o--H-+~

9

7

Strobe

400

400

Positive Logic:
Negative Logic:
5

GNO

9
Strobe

8' = 1 • 2. 3 • 4 + '9
8 = {1 + 2 + 3 + 4)9

6
AND

Total Power Dissipation = 20 mW typ/pkg
Propagation Delay Time = 70 n5 typ

•

SWITCHING TIME TEST CIRCUIT AND WAVEFORMS

40 Vdc

200
lOW

TP out

t

I

\

3., VA-I------\~:-----1.5 V

I

<10pF
Wiring

-= Capacitor

-F suffix = 1/4" x 1/4" ceramic package (Case 651).
See General I nformation section for package details.

6-105

TPout

4~1~ I~r.LI_ _ _ _-\_\,-_-_-_-::::_-_-~)f-- 4.0 V

•

s

(')

to

ELECTRICAL CHARACTERISTICS

U'I
.j:Io

.....
~

Test procedures are shown for only one
input. The other inputs are tested in the
same manner.

-n

8'
~.

::J

c::
(1)

High

AND
6

E:

Level

8

1
2
3
4
7

9

Strobe
TEST CURRENTNOLTAGE VALUES (All Temperatures)

~

mA

o

IOL

en

250

IOLB 1

IOHB

V,L

V,H

VCEX

VR

Vth 1

Vth 0

16

48

-0.4

0.4

2.4

40

4.5

2.0

0.80

MCB54140F

Pin
Under
Test

I

Max

Unit

IOL

-

0.50
0.50

Vdc
Vdc

8
a

-

VOLa

6

-

0.4

Vdc

-

-

VOHB

6
6

2.1
2.1

-

Vdc
Vdc

-

-

IRl

1

-

40

,.Adc

-

-

IR2

1

1.0

mAde

'CEX
IF

8

Forward Current

200
-1.6

mAde

Power Drain Current

IPDH

10

40

mAde

Imax

10

20

mAde

Reverse Current
Output Leokage Current

VOL

1

pAdc

-Tested only at 25°C

IOLB

-

VCCL

I

4.5

V mox

VCCH

I

5.5

I

8.0

tpd_

1.8

-

160"

tpd+

1.8

-

220"

ns
ns

IOLBl

IOHB

V,L

V,H

VCEX

VR

Vth 1

Vth 0

VCC

VCCL

VCCH

V max

Gnd

-

-

-

-

5.7
5.7

-

-

10
10

-

-

-

6

-

1.2.3.4.9

-

6
6

-

-

6

-

-

6
6

-

-

-

-

-

1

-

-

-

-

-

a

1

-

-

1
1

Pulse Out
a
8

-

1.2.3.4.9
1

-

1.2.3.4.9

-

-

-

-

10

-

1.10

-

1

-

2.3.4

-

-

-

10

-

10
10

1.2.3.4.9

-

-

-

10

-

-

10

-

-

Pulse In

Switching Times

Pins not listed are left open.

5.0

-55 to +l25OC

a
8

Output Voltage

Symbol

VCC

I

TEST CURRENTNOLTAGE APPLIED TO PINS LISTED BELOW:

Min

Ch.acteristic

Volts

IOLB

-

10

-

-

I
I

5.7
5.7
2.3.4;5.7

I

2.3.4.5.7

I

5.7

,

5.7

-

I

10

1.5.9

I

-

5.7

10

10

5.7

5.7

I

LINEAR
INTEGRATED CIRCUIT CHIPS

INDEX
6-108

General Information
Standard Features for Linear I ntegrated Circuit Chips
Features for Beam Lead Chips
Standard Chip Processing
Non-Standard Chip Processing
Handling Precautions
Standard Carrier Packages
Ordering Information
Recommended Incoming Inspection

Device Specifications
MCC1536/MCC1436
MCC 1539/M CC 1439
MCC 1558/MCC 1458
MCC 1563/MCC 1463
MCC 1569/M CC 1469
MCC 1595/MCC 1495
MCC 1709/MCC 1709C
MCC1710/MCC1710C
MCC1711/MCC1711C
MCCl723/MCCl723C
MCC1741/MCC1741C
MCC1748/MCC1748C
MCBC1709
MCBC1741
MCBC1748

High-Voltage Compensated Operational Amplifier
Operational Amplifier
Dual Compensated Operational Amplifier
Negative-Power-Supply Voltage Regulator
Positive-Power-Supply Voltage Regulator
Four-Quadrant Multiplier
Operational Amplifier
Differential Comparator
Dual Differential Comparator
Positive or Negative Voltage Regulator
Compensated Operational Amplifier
Operational Amplifier
Beam-Lead Operational Amplifier
Beam-Lead Operational Amplifier
Beam-Lead Operational Amplifier

6-107

6-110
6-112
6-114
6-116
6-118
6-120
6-122
6-124
6-126
6-128
6-130
6-132
6-134
6-138
6-142

•

.------------------------GENERALINFORMATION----------------------~

STANDARD FEATURES for LINEAR INTEGRATED CIRCUIT CHIPS
(See MCC prefix data sheets for device specifications)
All linear integrated circuit chips ....
• are 100% electrically tested to sufficient parameter limits (minImax) to permit distinct identification
as either premium or industrial versions
• employ phosphorsilicate passivation wh ich protects the entire active surface area including metaliza·
tion interconnects during shipping and handling
• are 100% visually inspected to the criteria of MIL·STD·883, Method 2010.1, Condition B
• incorporate a minimum of 4000

A gold backing to insure positive adherence bonding.

FEATURES for BEAM LEAD CHIPS
(See MCBC prefix data sheets for device specifications)
Beam lead linear integrated circuit chips ....
• are processed to the same criteria as the digital beam lead integrated circuits to insure the same
reliability and performance features.

STANDARD CHIP PROCESSING
The industry·standard linear integrated circuits offered in Motorola's Microcircuit Components line
are subjected to the same in·process controls as Motorola's standard encapsulated devices. The chip
processing and quality control requirements are designed to insure reliability and performance of
the finished product.
The processing and quality control flow chart shows that all wafer processing is completed prior to
wafer assignment for subsequent encapsulation or special testing required for unencapsulated devices .

•

Wafer
Processing

100%
Visual 1nspection

Wafer
Classification
100% Probe

Scribe
and
Break

Visual
Inspection
Q.C. (Sample)

·Chips are visually inspected to MIL-STD-883,
Method 2010.1, Condition 8, and rejects removed.

100% Visual

Inspection·
Carrier Loading

Chip
Shipment

NON-STANDARD CHIP PROCESSING
The industry standard unencapsulated integrated circuits are selected to meet a wide variety of
application requirements. Nevertheless, there may be occasions when a designer can benefit from a
non-standard device for a specific circuit requirement. To satisfy these requirements, almost any
device from Motorola's extensive line of linear integrated circuits may be obtained on a specially nego·
tiated basis. Although the electrical specifications of these chips are limited by certain test limitations,
the customer may negotiate additional tests. Moreover, various chip technologies such as solder-bump
and chrome-silver backing are available on a specially negotiated basis.

6-108

r-----------------------GENERALINFORMATION-----------------------,
HANDLING PRECAUTIONS
Metalization interconnect passivation on all chips provides protection in shipping and handling.
However, care should be exercised to prevent damaging the bonding pads. A vacuum pickup is useful
for this purpose, tweezers are not recommended.
There are four basic requirements for handling devices in the customer's establishment:
1. Store devices in a covered or sealed container.
2. Store devices in an environment of no more than 30% relative humidity.
3. Process devices in a non-inert atomosphere not exceeding 1000 , or in an
inert atmosphere not exceeding 4000 C.
4. Processing equipment should conform to the minimum standards of equipment
normally employed by semiconductor manufacturers.
Motorola's engineering staff is available for consultation in the event of correlation or processing
problems encountered in the use of Motorola semiconductor Chips. For assistance of this nature,
please contact your nearest Motorola sales representative.

STANDARD CARRIER PACKAGES
The non-spill type shipping carrier consists of a compartmentalized tray and fitted transparent
cover. Each chip is placed in its compartment, geometry side up, so that incoming visual inspection
may be performed prior to breaking the carrier seal. The shipping carrier is designed to:
• provide maximum device protection
• permit the customer to remove only a portion of the devices - the carrier can be resealed
• provide a storage container for the unused devices.
Additional package techniques are under development to facilitate handling, visual inspection and
chip storage.
Various packaging and shipping options are available on a negotiated basis. For more information
on these options, please contact your Motorola sales representative.

ORDERING INFORMATION
Standard linear chip components are stocked in packages of 10 and 100. When ordering, add -1
to the part number for quantities of 10 per package and a -2 to the part number for quantities of 100
per package.
Example: When ordering 250 MCC1709 chips, the most economical approach is to order two
MCC1709-2 packages (two packages of 100 chips each) and five MCC1709-1 packages (five packages
of 10 chips each). If it is desirable to have all the chips packaged in multiples of 10, then all 250
parts can be ordered as MCC1709-1.

RECOMMENDED INCOMING INSPECTION
Motorola certifies that the devices have been subjected to the visual criteria of MI L-STD-883,
Method 2010.1, Condition B.
Should the lot fail the customer's incoming visual inspection, the entire lot, with the package seals
intact, shall be returned to Motorola. Incoming visual inspection should be performed prior to breaking
the package seals. In no case will Motorola accept a partial return of devices.

6-109

•

~_________O_P_E_R_A_T_IO_N_A_L__A_M_P_L_IF_I_E_RS__~

MCC1536
MCC1436

Advance InforIllation

OPERATIONAL AMPLIFIER CHIP
MONOLITHIC SILICON
INTEGRATED CIRCUIT

HIGH VOLTAGE, INTERNALLY COMPENSATED
MONOLITHIC OPERATIONAL AMPLIFIER CHIP

EPITAXIAL PASSIVATED

· .. designed for use as a summing amplifier, integrator, or amplifier
with operating characteristics as a function of the external feedback
components.
The MCC1536 and MCC1436 employ phosphorsilicate passivation
that protects the entire die surface area, including metalization inter·
connects. All dice have a minimum gold·backed thickness of 4000
Angstroms. The interconnecting metalization and bond ing pads are
of evaporated aluminum.
•

Maximum Supply Voltage - ±40 Vdc

•

Output Voltage Swing ±30 Vpk(min)(V+ = +36 V, V- = -36 V)
±22 Vpk(min)(V+ = +28 V, V- = -28 V)

•
•

Input Bias Current - 20 nA max
Input Offset Current - 3.0 nA max •

Offset Voltage Null Capability

3

•

Fast Slew Rate - 2.0 V/jJ.s typ

Input Over·Voltage Protection

2

•
•

Internally Compensated
• AVOL - 500,000 typ
Characteristics I ndependent of Power Supply Voltages (±5.0 Vdc to ±36 Vdc)

•

4
(Substrate)

MCC1536/MCC1436

MAXIMUM RATINGS (TA = +250 C unless otherwise noted)
Symbol

MCC1536

MCC1436

Unit

Power Supply VOltage

V+
V-

+40

+34

Vdc

-40

-34

Differential Input Signal (1)

Vm

±(V+ + iV-i-31

Volts

Common-Mode Input Swing

CMV m

+v+. -liv-i-31

Volts

Rating

•

Output Short Circuit Duration (V+ '"

lv-I =

28 Vdc. Vo

= 0)

s

TSC

5.0

Operating Temperature Range

TA

-55 to +125

JUnction Temperature Range

T stg

-65 to +150

°c
°c

11lThe absolute voltage applied to either input term mal must not exceed +V+. -( IV-I-3)

CIRCUIT SCHEMATIC

EQUIVALENT CIRCUIT

INVERTING

v,
N••

INVERTING

,

5
10k

..J

L-~FSET

4

___ -.1

v-

(SUBSTRATE)
This Is advance information

and

V'

specifications are subject to change without notice.

6-110

ADJUST

MCC1536, MCC1436 (continued)

ELECTRICAL CHARACTERISTICS IV+

=+28 Vdc, V-·-28Vdc, TA = +25 0 C unless otherwise noted)
MCCI436

MCC1536
Characteristics

Min

SVmbol

Input B.as Current

Typ

Ma.

Min

Typ

Mal<

Input Offset Current

'00

Input Offset Voltage

8.0

20

15

40

1.0

3.0

5.0

10

2.0

5.0

5.0

10

nAdc

mVdc

Vic

D,fferentiallnput Impedance (Open-Loop, f 5:5.0 Hz)
Parallel Input ReSistance
Parallel Input Capacitance
Cammon·Mode Input Impedance (f S'5.0 Hz)

Rp
Cp

10
2.0

10
2.0

Megohms

Zlml

25t)

250

Meg ohms

Vpk

pF

Common-Mode Input Voltage SWing

CMV m

.;t2S

±25

Common-Mode Relectlon RatiO (de)

CMrej

110

110

large Signal de Open Loop Voltage Gam

AVOl

IVa == ± 10 V, RL '" 100 k ohmsl

100,000 500,000

10.000 $00,000

200,000

200.000

23

23

Unity Gain Cror-saver Frequencv lopen·loop)

1.0

.;,.0

Phase Margm (open-loop. unity gam)

50

5Q

(AV;' 1. Rl;; 5.0 k ohms,

THD~

dB

VIV

IVo ==±10 V. RL == 10 k ohms)
Power Bandv.,fldth IVoltage Follower)

PBW

kHz

5%, Va == 40 Vp·PJ

Gain Margin
Slew Rate (Unity Gaml

Unit
nAdc

'b

dVout/dt

-

MHz

degrees

18

'''18 "

dB

2.0

2.0

V/~s

Output Impedance (f S 5.0 Hz)

Zout

1.0

'1,0

k ohms

Short-CircUit Output Current

ISC

±17

%11

mAde

Output Voltage Swing (RL '" 5.0 k ohms)
V+ = +28 Vdc, V- ;; -28 Vdc

Va

Vt= = +36 Vdc, V- = -36 Vdc

Power Supply Sensitivity (del
V- = constant, As S 10 k ohms

Vpk

±22

,±.23

±3O

±32

I~~:;

%22
.:.. ~

.,

",.

V+= constant, As s10k ohms
Power Supply Current

DC Quiescent Power DISSipation

s+
s-

15
15

100
100

'0+
'0-

2.2

4.0

2.2

4.0

124

224

-:";.

'35

:.~'.

'-

i.e
.

IJ,VIV

"

.. 35

":'V!

.200

:ioo
5.0

mAde

5,0 ..

Po

mW

14&

200

See current MC1S36/1436 data sheet for additIonal Information.

MCC1536/MCC1436 BONDING DIAGRAM

PACKAGING AND HANDLING
The MCC1536/MCC1436 operational amplifier is now available
in die (chip) form. The phosphorsilicate passivation protects the
metalization and active area of the die but care must be exercized
when removing the dice from the shipping carrier to avoid scratching the bonding pads. A vacuum pickup is useful for the handling
of dice. Tweezers are not recommended for this purpose.

6

The non-spill type shipping carrier consists of a compartmentalized tray and fitted cover. Die are placed in the carrier
with geometry side up.
88

4
(Substrate)
3

All dimensions are nominal and
in mils (10-3 inches).

I-"~---

Die Dimensions
68 - - -...-11

Thickness = 8.0
Bonding Pads = 4.0 x 4.0

6-111

•

"

MCC1539
MCC1439

OPERATIONAL AMPLIFIERS

'-------~

Advance InforIllation
OPERATIONAL AMPLIFIER CHIP
INTEGRATED CIRCUIT

MONOLITHIC OPERATIONAL AMPLIFIER CHIP
... designed for use as a summing amplifier, integrator, or amplifier
with operating characteristics as a function of the external feedback
components. For detailed information see Motorola Application
Note AN-439.

MONOLITHIC SILICON

The MCC 1539 and MCC 1439 employ phosphorsilicate passivation
that protects the entire die surface area, including metalization interconnects. All dice have a minimum gold-backed thickness of 4000
Angstroms. The interconnecting metalization and bonding pads are
of evaporated aluminum.
•
•
•

Low Input Offset Voltage - 3.0 mV max
Low Input Offset Current - 60 nA max
Large Power-Bandwidth - 20 Vo-p Output Swing at 20 kHz min

• Output Short-Circuit Protection
• Input Over-Voltage Protection
• Class AB Output for Excellent Linearity
• Slew Rate - 34 VIllS typ
MAXIMUM RATINGS ITA = +250 C unless otherwise noted)
Rating
Power Supply Voltage

•

Differential Input Signal
Common Mode Input Swing
Load Current

Svmbol

Value

Unit

V+
V-

+18
-18

Vdc
Vdc

Vin

±[V++ lv-II

Vdc

CMVin

+v+,-Iv-I

Vdc

IL

15

mA

All dimensions are nominal and
in mils (10-3 inches).
Die Dimensions

Continuous

Output Short Circuit Duration

ts

Operating Temperature Range

TA

-55 to +125

°c

Junction Temperature Range

TJ

-65 to +150

°c

Thickness = 8.0
Bonding Pads = 4.0 x 4.0

FIGURE 1 -CIRCUIT SCHEMATIC

FIGURE 2 -EQUIVALENT CIRCUIT

7o---------~--~------~_1------------~----__,

v'

r-~-'
I
I

,

INPUT LAG

8~------~--+----{

JNV~RnNG

INPUT

21>-'''''''-.--.--1

40

1k

,

t-----I*-+--o OUTPUT
1k
3~~~~---r--~

40

NON·INVERTING INPUT

v-

SUBSTRATE 4o------------l>---_l~----------------......----_l_
*Patent P~nding

_'

This is advance information on a new introduction and specifications are subject to change without notice.

6-112

MCC1539, MCC1439 (continued)

ELECTRICAL CHARACTERISTICS (v+ = +15 Vdc. V- = -15 Vdc. TA = +250 C unless otherwise noted I
MCC1439

M001539
Symbol

Characteristic
Input Bias Current

Iliol

-

IViol

-

Ib

Input Offset Current

Input Offset Voltage
Average Temperature Coefficient of Input
Offset Voltage

MID

Min

Typ

Max

0.20

0.50

-

0.20

1.0

~A

20

60

20

100

nA

1.0

3.0

2.0

7.5

Input Common-Mode Voltage Swing

CMVin

Common Mode Rejection Ratio

CMrej

-

AVOL

SO.OOO

Z·

(f = 1.0 kHzl

3.0
300
±12

PBW

Step Response
Gain = 1000, no overshoot,

tf
tpd

= 1000,

15% overshoot.

= 100, no overshoot.

Gain = 1, 15% overshoot,

Output Impedance
Output Voltage Swing
(AL = 2.0 kn, f = 1.0 kHz)

-

-

-

130

-

ns

-

190

-

ns

(Vo=O)

130

tOO

60

-

100

-

14

34

tf

-

120

tpd

-

80

dVout/dt

-

6.25

tf

- .

160

tpd

-

80

10+
10-

DC Quiescent Power Dissipation
(V o = 0)

--

tf

Power Supply Current

Po

-

50

tpd

Negative Supply Sensitivity
(V+ constant!

110

-

-

S-

-

kn

-

-

100

S+

-

50

-

-

(RL = 1.0 kn. f = 1.0 kHz)

300
±12

-

-

tpd

Positive Supply Sensitivity
(V- constantl

-

100.000

-

Vout

-

15,000

-

Zout

3.0

-

SO

(f = 20 Hzl

-

120,ooc

6.0

dVout/dt

mV

110

-

dVout/dt
Gain = 10, 15% overshoot,

-

-

dVout/dt

Gain

-

tf

dVout/dt
Gain

-

-

(V o =±10 V. RL = 10kn)
Power Bandwidth (A v -l. THO!>5%.
(V o =20 Vp·P. AL = 1.0 kn)

-

Unit

/lV/oC

-

Open Loop Voltage Gain

Max

ITcviol

(AS = 50 nl
I "put Impedance

Typ

-

±IO
-

-

-

-

-

dB

kHz

V/~s

-

100

-

ns

-

14

-

V//lS

80

ns

-

60

-

ns

-

-

100

-

ns

-

34

-

V/~s

120

-

-

SO

-

6.25

.-

V/~s

-

-

-

ns
ns

-

ns

SO

-

ns

-

4.2

-

V//lS

4.0

-

kn

-

-

160

4.0

--

-

-

±.10

±13

.;;13

-

50

150

-

50

200

~VIV

SO

1SO

-

50

200

~VIV

3.0

5.0

-

3.0

6.7

mAde

3.0

5.0

-

3.0

6.7

90

1SO

-

90

200

4.2

See current MC1539/1439 data sheet for additional information.

PACKAGING AND HANDLING
The MCC1539/MCC1439 operational amplifier is now available
as a single monol ithic die or encapsulated in the TO-99 and TO-116
hermetic and plastic packages. The phosphorsilicate paSSivation
protects the metalization and active area of the die but care must
be exercised when removing the dice from the shipping carrier to
avoid scratching the bonding pads. A vacuum pickup is useful for
handling of dice. Tweezers are not recommended for this purpose.
The non-spill type shipping carrier consists of a compartmentalized tray and fitted cover. Die are placed in the carrier
with geometry side up.

6-113

6.0

Vpk

-

Vpk

-

mW

•

MCC1558
MCC1458

~__________O_PE_R_A_T_I_O_N_A_L__A_M_P_L_IF_I_E_R_S~

Advance InforIllation

(DUAL MC1741)

DUAL
OPERATIONAL AMPLIFIER CHIP
INTEGRATED CIRCUIT

DUAL MC1741
INTERNALLY COMPENSATED, HIGH PERFORMANCE
MONOLITHIC OPERATIONAL AMPLIFIER CHIP

MONOLITHIC SILICON

· .. designed for use as a summing amplifier, integrator, or amplifier
with operating characteristics as a function of the external feedback
components.
The MCC1558 and MCC1458 employ phosphorsilicate passivation
that protects the entire die surface area, including metalization inter·
connects. All dice have a minimum gold·backed thickness of 4000
Angstroms. The interconnecting metalization and bonding pads are
of evaporated aluminum.
•

2

14 12

No Frequency Compensation Required

• Short·Circuit Protection
• Wide Common·Mode and Differential Voltage Rar,ges
•

Low·Power Consumption

•

No Latch Up

MAXIMUM RATINGS (TA = +25 0 C unless otherwise noted)
Rating

Symbol

MCC1558

V+

+22

+18

V-

-22

-18

Power Supply Voltage

Differential I"put Signal

MCC1458

Unit

Vdc

Vin

1:30

Volts

CMV m

±15

Volts

IS

Continuous

Operating Temperature Range

TA

-55 to +125

Junction Temperature Range

TJ

-6510 +150

Common~Mode

Input Swing

Output Short Circuit Duration

FIGURE 1 - CIRCUIT SCHEMATIC

°c
°c

FIGURE 2 - OFFSET ADJUST

r->r--------~--~--------~----------~v·
14

25
OUTPUT
2(121

50

V·

The IBlterswitl'toul parenthellsrepresenl Iha ptn numbers lor 1/2 I)f the dual circuit,
tllter5ln parenlhlSls represent Ihe pm rlUmbers for the olherhatf.

This is advance information on a new introduction and specifications are subject to change without notice.

6-114

MCC1558, MCC1458 (continued)

ELECTRICAL CHARACTERISTICS (v+" +15 Vdc, V-:= -15 Vdc, TA "+250 C unless otherwise noted)
MCC1558
Characteristic

Symbol

Input Bias Current

'b
hlol
IVIOI

Input Offset Current
Input Offset Voltage
(Rs~

Min

I

Tvp
02
003

Mal<

1.0

MCC1458

Tvp

Mox

Umt

0-&

02

0.6

/JAde

0.2

0.03

02

/JAde

50

20

6.0

mVdc

Mon

10 k ohms)

Differential Input Impedance
(Open-loop, f '" 20 Hz)

Parallel Input Resistance

Rp

1.0

10

Parallel Input Capacitance

Cp

6.0

60

pF

Zlm)

200
tl3

200

Megohms

±13

Vpk

Common-Mode Input I mpedance If

20Hz)

Common-Mode Input Voltage Swmg

CMV,"

Common-Mode RejectIOn Ratio (f " 100 Hz)

CM reJ

Open-LOop Voltage Gam

AVDL

(V o "'±lDV, RL" 2

a k ohms)

Power BandWidth
IAV" 1, RL '" 2.0 k ohms, THD:5: 5%,
Vo" 20 Vp_p)

'50.000

PBW

Unity Gain Crossover Frequency (open-loop)
Phase Margin (open-loop, unity gam)
Gain Margin
Slew Rate (Umty Gain)

dVout/dt

-

Megohm

90

dB

100.000

VIV

14

14

kH,

l.1
65

1.1

MH,

65

degrees

90
200.000

20.000

11

11

dB

·0.6

08

VIps

Zout

15

75

ohms

Short-Circuit Output Current

'SC

20

20

mAde

Output Voltage SWing
(RL'" 10 k ohms)

Vo

±14

Vpk

Output Impedance If

20 Hz}

Power Supply SensltLvLty
V- '" constant, Rs :s;10 k ohms

V+ '" constant, Rs ~ 10 k ohms
Power Supply Current

DC QUiescent Power DLSSlpatlon

'1-.12

±.'f4"

±.12

IlV/V

S+
So.

;jO

!50

.. ISO

'0+

30
23

'0

2.3

Po

70

ISO

30
30

160

5.0

2.3

5.6

5.0

2.3

5.6

150

70

110

mAde

mW

(V o '" O)
See I;urrent MC1558/MC1458 data sheet for additional information

PACKAGING AND HANDLING

MCC1558/MCC1458 BONDING DIAGRAM

The MCC1558/MCC1458 dual operational amplifiers are now
available as a single monolithic die or encapsulated in a variety of
hermetic and plastic packages. The phosphorsilicate passivation
protects the metalization and active area of the die but care must
be exercised when removing the dice from the shipping carrier to
aVOId scratching the bonding pads. A vacuum pickup is useful for
the handling of dice. Tweezers are not recommended for this
purpose.
The non·spill type shipping carrier consists of a compartmentalized tray and fitted cover. Die are placed in the carrier
with geometry side up.

All dimensions are nominal and
in mils (10-3 inchesl.

Die Dimensions
Thickness = 8.0
Bonding Pads = 4.0 x 4.0

6-115

•

\

MCC1563
MCC1463

____N_E_G_A_T_IV_E_V_O_L_TA_G_E_R_E_G_U_L_A_T_O_R_S-----'

Advance Inforll1ation
MONOLITHIC NEGATIVE VOLTAGE REOULATOR CHIP

NEGATIVE·POWER·SUPPL Y
VOLTAGE REGULATOR CHIP

The MCC1563/MCC1463 is a "three terminal" negative regulator
designed to deliver continuous load current up to 500 mAdc and
provide a maximum negative input voltage of -40 Vdc. Output cur·
rent capability can be increased to greater than 10 Adc through use
of one or more external transistors.
The MCC1563 and MCC1463 employ phosphorsilicate passivation
that protects the entire die surface area, including metalization inter·
connects. All dice have a minimum gold·backed thickness of 4000
Angstroms. The interconnecting metalization and bonding pads are
of evaporated aluminum.

MONOLITHIC SILICON
INTEGRATED CIRCUIT

• Electronic "Shutdown" and Short·Circuit Protection
• Low Output Impedance - 20 Milliohms typ
Excellent Temperature Stability - TCV o ;±0.002%fOC typ

•

• High Ripple Rejection - 0.002% typ
• 500 mA Current Capability

FIGURE 2 - TYPICAL NPN CURRENT BOOST CONNECTION
(Va = -5.2 Vdc, IL = 10 Adc [maxi I

FIGURE 1 - TYPICAL CI RCUIT CONNECTION
1-3.5ISVo SI-37IVdc,1SILS500mA

~~---~-1r-1r~~---t-~-~~GND

GNO

6"
C~

Val +

•

RA

10

Il

R,

I
'L'

~

C,
R.

RL

100
,f

10Amax

RL

,f

Vm

v,

RSC

Select RA to GI'It Oe5Jrrd YOU!

v,

RA'" (2IV outl-1) kn

v"

Vo=-S2Vdc

FIGURE 3 - CIRCUIT SCHEMATIC

I

Shut· Down Cantrall

DC Shift Output

10 o-r-_+-,2..-1r-lI1r-1..-1r_ _ _-r-.-ID_C-1S~h"1'ift...,S~e-n-se-1++9,_-3+N-0"1'i-se_F_i...,ltr-er-lI_ _ _ _
U_n,.itY:.,G.in Regulator
Ground

510

Z1

1.5k

920

Output
~t----.......,8

Sense

(Substrate)

60 k

~in~~----~~~~---~~-~--~----<~-+--~--4-r---~+-~~~
This is advance Information on a new introduction and specifications are subject to change without notice.

6-116

MCC1563, MCC1463 (continued)

MAXIMUM RATINGS ITA = +250C unless olherwise noled)
Rating

Symbol

MCCJ563

Vin

-40

Input Voltage

I

MCC1463

Unit

-35

Vde

I

Peak Load Current

ILPk

600

mA

Current, Pin 2

Ipin 2

10

mA

Operating Temperature Range

TA

-5510+125

Junction Temperature Range

TJ

-6510 +175

°c
°c

ELECTRICAL CHARACTERISTICS 0L = 100 mAde, TA = +25 0C unless olherwise nOled)
MCCl563
Characteristic

Symbol

Min

-

MCCl463

TVI'

Malt

Min

Typ

Mal<

Unit

-

"40

-

-

-35

Vde

Input Voltage

Vin

Output Voltage Range

Vo

-3.6

-

-37

-3.8

-

-32

Vde

Vrel

-3.4

-3.5

-3.6

-3.2

-3.5

-3.8

Vde

IVin-vol

-

1.5

2.7

-

1.5

3.0

Vde

Bias Current
ilL = 1.0 mAde, Ib = lin -IL)

Ib

-

7.{)

11

-

7.0

14

mAde

Output NOise
IC n =0.1 "F, 1= 10 Hz to 5.0 MHz)

vn

120

-

Reference Voltage (Pin 1 to Ground)
Minimum Input-Output Voltage Differential
IRSC = 0)

Temperature Coefficient of Output Voltage

TCVo

-

I "put Regulation

Ragin

'-

Load Regulation
ITJ = Constant [1.0 mA::; I L":: 20 mA])

RegL

-

Output Impedance 11= 1.0 kHz)

Zo

Shutdown Current
IVin = -35 Vde)

-

Isd

:1:.0.002
0.D02
0.4.:

-

-

-

120

"Vlrms)

-

±0.002

-

%/oC

0.003

-

%1V 0

-

0,7

-

mV

'20'

~

--

35

milliohms

.15

-

-

7.0

14

50

"Ade

See current MC1563/1463 data sheet for additional information.

MCC1563/MCC1463 BONDING DIAGRAM

PACKAGING AND HANDLING

(SUbstrate).
5

4

3

2

1

1
75

The MCC1563/MCC1463 voltage regulator is now available as
a single monolithic die or encapsulated in the Case 602A and Case
614 hermetic packages. The phosphorsilicate passivation protects
the metalization and active area of the die but care must be exercised when removing the dice from the shipping carrier to avoid
scratching the bonding pads. A vacuum pickup is useful for the
handling of dice. Tweezers are not recommended for this purpose.
The non·spill type shipping carrier consists of a compartmentalized tray and fitted cover. Die are placed in the carrier
with geometry side up.

All dimensions are nominal and
in mils (10-3 inches).
Die Dimensions
Thickness = 8.0
Bonding Pads = 4.0 x 4.0

6-117

•

MCC1569
MCC1469

~_____P_O_S_IT_I_V_E_V_O_L_T_A_G_E_R__EG__U_LA__TO__R_S~

Advance Inforxnation
POSITIVE VOLTAGE
REGULATOR CHIP
INTEGRATED CIRCUIT

MONOLITHIC VOLTAGE REGULATOR CHIP
The MCC1569 and MCC1469 are positive voltage regulators designed to deliver continuous load current up to 500 mAdc_ Output
voltage is adjustable from 2_5 Vdc to 37 Vdc_ Systems requiring
both a positive and negative regulated voltage can use the MCC1569
and MCC1563 as complementary regulators with a common input
ground_
The MCC1569 and MCC1469 employ phosphorsilicate passivation
that protects the entire die surfac& area, including metalization interconnects_ All dice have a minimum gold-backed thickness of 4000
Angstroms_ The interconnecting metalization and bonding pads are
of evaporated aluminum_
•
•
•
•
•

MONOLITHIC SILICON
EPITAXIAL PASSIVATED

Electronic "Shut-Down" Control
Excellent Load Regulation (Low Output Impedance - 20 milliohms typ)
High Power Capability: Up to 17_5 Watts
Excellent Temperature Stability: ±0_002%/oC typ
High Ripple Rejection: 0_002%/V typ

2
1 (Die Center)

9

FIGURE 1 - CIRCUIT SCHEMATIC

•

V"

3

CONTROL

...___--.-_-!-_____...

~,"""""_..:..:_-+

~

____+ ___-.-_.....,

1 OUTPUT

60k

COMPENSATION AND
CURRENT LIMIT

..t""'P---<'> 5 OUTPUT SENSE

'---1-----+--+------09

DC SHIFT OUTPUT

+ - 4 - - t - - ' \ I \ / I r - - + - - t - - - - - o 7 NOISE FILTER
OUTPUT REFERENCE
DC SHIFT SENSE

SHUTDOWN 2
CONTROL V"t"'-'P-I-..

5.0k

10
GNO

~~~-~~~----~~~----~------~--------~---------"

SUBSTRATE

Th" IS advance ,nformat,on on a nBw ,nt.oductlon and

,pec'flc~t'ons

are .... bject to chllnge wIthout nollce

6-118

MCC1569, MCC1469 (continued)

MAXIMUM RATINGS (T A:: +2SoC unless otherwise noted)
Symbol

MCC1569

I

MCC1469

Unit

Input Voltage

Von

110

I

35

Vdc

Peak Load Current

Ipk

600

mA

Current, Pm 2

Ipin 2

10

mA

Current. Pm 9

1pln 9

5.0

Operating Temperature Range

TA

-55 to +125

Junction Temperature Range

TJ

-65 to +15Q

Rating

ELECTRICAL CHARACTERISTICS

°c
°c

ITA = +25 0 C unless otherwISe noted)

Characteristic

Symbol

~Mitx
..

MCC1469

Ma.

Untt

35

Vdc

32

Vdc

3.5

3.8

Vdc

2.7

2.1

3.0

Vdc

9.0

5.0

12

mAde

Min

TVp

I nput Voltage

V ln

Output Voltage Range

Va

2.5

_.

37

2.5

Vref

3.4

3.5

3,(1

3.2

Vm-Vo

2.1

81as Current
ilL = 1.0mAdc. R2= 6.8 kohms,lb= 1m -ILl

Ib



Ma.

Unit

Via

-

1.0

3.5

-

1.0

5,0

mVdc

Ib

.-

25

75

...

25

100

!lAdc

= 1.4 Vdc)

Input Bias Current
IVo = 1.4 Vdc)
Output Resistance

Rout

-

200

..

-

200

-

Ohms

Positive Output Voltage

VOH

2.5

3.2

5.0

2.5

3.2

5.0

Vdc

VOL

-1.0

-0.5

0

-1.0

-0.5

0

Vdc

VOLlst)

-1.0

-

a

-1.0

-

0

Vdc

IS

0.5

0.8

-

0.5

0.8

-

mAde

1st

-

1.2

2.5

-

1.2

2.5

mAde

tR

-

40

-

-

40

-

ns

-

-

12

-

ns

8.6

-

mAde

3.9

-

-

130

200

IVin ~IO mVdc, O~ 10 ;1;5.0 mAl
Negative Output Voltage

IVin ~ -10 mVdc)
Strobed Output Level
(Vstrobe~ 0.3 Vdcl

Output Sink Current

IVin ~-10 mV. Vo~O)
Strobe Current

IVstrobe

= 100 mVdc)

Response Time

IVb

= 5.0 mV

+ Viol

Strobe Release Time

'SR

Power Supply Current

ID+

IVo~

0 Vdc)

ID -

Power Consumption

-

-

12
8.6

-

3.9

-

130

200

mW

See current Me1711/1711 C data sheet for additional information.

PACKAGING AND HANDLING
The MCC1711/MCC1711 C dual differential comparator is now
available as a single monolithic die or encapsulated in the TO-91,
TO-l00, and TO-116 hermetic packages. The phosphorsilicate
passivation protects the metalization and active area of the die but
care must be exercised when removing the dice from the shipping
carrier to avoid scratching the bonding pads. A vacuum pickup is
useful for handling of dice. Tweezers are not recommended for
this purpose.
The non-spill type shipping carrier consists of a compartmentalized tray and fitted cover. Die are placed in the carrier
with geometry side up.

6-127

•

MCC1723
MCC1723C

~___________________R_E_G_U_L_A_T_O_R_S__~

Advance InforIllation
VOLTAGE REGULATOR CHIP

MONOLITHIC VOLTAGE REGULATOR CHIP

MONOLITHIC SILICON
EPITAXIAL PASSIVATED
INTEGRATED CIRCUIT

The MCC 1723/MCC 1723C is a positive or negative voltage regulator
designed to deliver load current to 150 mAdc. Output current capability can be increased to several amperes through use of one or more
external pass transistors.
The MCCl723 and MCCl723C employ phosphorsilicate passivation that protects the entire die surface area, including metalization
interconnects. All dice have a minimum gold-backed thickness of
4000 Angstroms. The interconnecting metalization and bonding pads
are of evaporated aluminum_

11

10

• Output Voltage Adjustable from 2 Vdc to 37 Vdc
• Output Current to 150 mAdc With8ut External Pass Transistors
• 0.01% line Regulation
• Adjustable Short-Circuit Protection

-1
J
7

FIGURE 1 - TYPICAL CIRCUIT CONNECTION
(7 < V o < 37)
RSC

~42--J

R1

R3

100 pF

•

All dimensions are nominal and

R1

in mils (10-3 inches).
V
"

Die Dimensions
Thickness = 8.0
Bonding Pads = 4.0 x 4.0

';:i7(~-~~)
R1

For uest results 10 k< R2< lOa k
For minimum drift R3" Rl ilR2

FIGURE 2 - CIRCUIT SCHEMATIC

r--r----1-~-~--T--T--._~---'-'_iV+ 11 Vee

+ - - - - - - ' . : . . 0 COMPENSATION

30020k
5k

6

Vre!

7
NON INVERTING
INPUT

vINVERTING
INPUT

This is advance information on a new introduction and specifications are subject to change without notice.

6-128

67

MCC1723, MCC1723C (continued)

MAXIMUM RATINGS (TA = +250 C unless otherwise notedl
Rating
Pulse Voltage from V

to V- (50 msl

Continuous Voltage from V

MCC1723

Svmbol

Value

Unit

Vin(pl

50

Vpeak
Vde

toV

Input-Output Voltage Differential

Vin

40

Vin-Vo

40

Vde

IL

150

mAde

Maximum Output Current
Current from V ref

Iref

15

mAde

Operating Temperature Range

TA

-55 to +125

°c

Junction Temperature Range

TJ

-65 to +150

°c

ELECTRICAL CHARACTERISTICS (Unless otherwise noted: T A = +250 C. Vin = 12 Vde. Vo = 5 Vde. I L = 1 mAde. RSC = O.
Cl = 100 pF, Cref = 0 and divider impedance as seen by the error amplifier ~ 10 kn connected as shown in Figure 1)
MCCl723

MCCl723C

Symbol

Min

Typ

Max

Min

Typ

Max

Unit

Input Voltage Range

Vin

9.5

-

40

9.5

-

40

Vde

Output Voltage Range

Vo

2.0

-

37

2.0

-

37

Vdc

Vin-Vo

3.0

-

38

3.0

-

38

Vdc

Characteristic

Input-Output Voltage Differential

Reference Voltage

Vref

6.95

7.15

7.35

6.80

7.15

7.50

Vde

Standby Current Drain
(I L = O. Vin = 30 VI

Isb

-

2.3

3.5

-

2.3

4.0

mAde

Output Noise Voltage (f= 100 Hz to 10kHz)

Vn

-

20

-

20

-

-

2.5

-

-

2.5

-

-

0.01

0.1

0.01

0.1

0.02

0.2

-

0.1

0.5

0.03

0.15

-

0.03

0.2

74

-

74

-

86

-

-

65

-

~V(rmsl

Cre! = 0
Crel = 5.0 ~F
Line Regu lation

%Vo

Regin

(12V0.4

"-'3

.

.,

Vpeak

±13

Input Common-Mode Voltage SWing

CMV ln

i13

<13

Vpeak

Common-Mode Rejection RatIO

CM reJ

go

90

dB

'b

0.2

Input Offset Current

1'10 I

Input Offset Voltage (AS =;!i 10 knl

Iv,ol

If

~

20 Hz)

Input Bias Current

Step Response

mV

3.0

1.0

1.0

10

1.0

cD

29

If

o.a

0.6

Ipd

o.:J8

Q.3B

0.8

0.8

C employ phosphorsilicate passiva·
tion that protects the entire die surface area, including metalization
interconnects. All dice have a minimum gold·backed thickness of
4000 Angstroms. The interconnecting metalization and bonding pads
are of evaporated aluminum.

4
(Substrate)

3

•

Noncompensated MC1741G
2

• Single 30 pF Capacitor Compensation Required For Unity Gain
• Short·Circuit Protection
• Offset Voltage Null Capability
• Wide Common·Mode and Differential Voltage Ranges

•

•

Low·Power Consumption

•

No Latch Up

All dimensions are nominal and
in mils (10- 3 inches).
Die Dimensions

Thickness

=

8.0

Bonding Pads = 4.0 x 4.0

FIGURE 2 -OFFSET ADJUST AND
FREOUENCY COMPENSATION

FIGURE 1 -CIRCUIT SCHEMATIC

This is advance information on a new Introduction and specifications are subject to change without notice.

6-132

MCC1748, MCC1748C (continued)

MAXIMUM RATINGS (TA = +25 0 C unless otherwise noted)
Rating
Power Supply Voltage

Symbol

MCC1748

MCC1748C

Unit

V+

+22

+18

Vdc

-22

-18

VDifferential Input Signal

V in

1.30

Volts

CMVin

1.15

Volts

Output Short Circuit Duration

ts

Continuous

Operating Temperature Range

TA

-55 to +125

Junction Temperature Range

TJ

-65 to +150

Common-Mode I nput Swing

CD

°c
°c

ELECTRICAL CHARACTERISTICS (V+ = +15 Vdc V- = -15 Vdc TA = +25 0 C unless otherwise noted)
MCC1748C

MCC1748
Characteristics

Input Bias Current

Typ

Max

Min

Typ

Max

Unit

~

0.08

0.6

-

0.08

0.5

#JAde

Symbol

Min

Ib

Input Offset Current

II'nl

-

0.02

0.2

-

0.02

0.2

~Adc

Input Offset Voltage (RS"; 10 k HI

IViol

-

1.0

6.0

-

1.0

6.0

mVdc

-

2.0

-

2.0

-

Megohm

lA

-

-

~

200

-

Differential Input Impedance IOpen-Loop, f = 20 Hz)
Parallel I nput ReSistance

Rp
Cp

Parallel Input Capacitance
Common-Mode Input Impedance

(f 20 Hzl

Common-Mode Input Voltage Swing
Common-Mode Rejection Ratio (f

0;;::

CMV m

-

CMrej

-

Z(onl

100 Hz)

Open·Loop Voltage Gain. (V o =± 10 V. RL = 2,0 k ohmsl

AVOL

Step Response (Vin = 20mV,Cc =30pF. RL =2 kH. CL = 100pFI
Rise Time

t,

Overshoot Percentage
Slew Rate

dVoutldt

-

1.4

-

200

-

-

-

±13

-

g{)

-

.-

90

-

dB

-

V/V

0.3
5.0
I'· 0.8

-

20,000 200.000

-

-

-

-

0.3
5.0
0.8

75

-

-

75

-

-

V/~s

Short-Circuit Output Current

ISC

-

25

-

-

25

Vo

1.12

±14

-

±12

±14

±to

±13'

-

-

1.10

±13

-

30

150

-

30

150

30

150

1.67

2.83

1.67

2.83

50

85

Zout

RL = 2 k ohms (T A = Tlow to thighl
S+

V+ = constant, Rs ~ 10 k ohms

S-

Power Supply Current

10

+

10-

Po

DC Quiescent Power Dissipation

(V o =0)

-

-

30

150

1.67

2.83

1.67

2.83

-

SO,

85

-

Vpk

mAdc

mW

:, IMAX
~
l~

00025

NC

NC

16·lEAD

0045

t~5

!

MIN

MIN

~
MIN

~;:

0054
0057

Inverting Input

leads Itue pOSillon wllh,n 0002 lotal

Input Frequency Compensation

PACKAGING AND HANDLING

The MCBC1709 beam-lead sealed·junction linear inte·
grated circuit is available in chip form (non·encapsulated)
as shown in the outline dimensional drawing. The shipping
carrier for chips is a 2" square glass plate on which the
chips are placed. A thin layer of polymer film covers the
plate and retains the chips in place. The chips do not adhere
to the film when it is lifted to remove them from the
carrier. Care must be exercised when removing the chips
from the carrier to ensure that the beams are not bent.
A vacuum pickup is useful for this purpose.

Silicon Thickness =2.0 mils nominal

6-137

•

~f MCBC1741

l . . ____

O_P_E_R_A_T_IO_N_A_L_A_M_P_L_1F_I_ER_S----'

MCB1741F
Advance Inforxnation
OPERATIONAL AMPLIFIER
INTEGRATED CIRCUIT
MONOLITHIC OPERATIONAL AMPLIFIER

MONOLITHIC SILICON

Beam-lead sealed-junction technology and fabrication make the
MCBC1741 and MeB 1741 F devices excellent choices for military,
aerospace, and commercial applications; usages requiring a high degree
of reliability under environmental conditions of severe temperature
extremes, mechanical shock, and high humidity. Beam-lead products
employ a silicon-nitride dielectric that hermetically seals the chip,
eliminating the need for a hermetic package. The beam leads are gold
cantilevered structures extending from the chip. These beams bond
readily to a gold metalized substrate providing one of the most reliable
interconnection systems known for semiconductor devices.

. . . . LE• •

~
BEAM·LEAD CHIP

•
•

No Frequency Compensation Required
Short-Circuit Protection

•

Offset Voltage Null Capabil ity

•
•

Wide Common-Mode and Differential Voltage Ranges
Low-Power Consumption

•

No Latch Up

MCBC1741

MAXIMUM RATINGS (TA = +250 C unless otherwise notedl
Rating
Power Supply Voltage

Svmbol
V+
V-

Value

Unit

+22
-22

Vdc

Vin

±30

Volts

CMVin

±15

Volts

Differential I nput Signal
Common Mode Input Swing (Note 1)

Output Short Circuit Duration (Note 2)

ts

Power Dissipation
Derate above T A = +25 0 C (Flat Packagel

Po

Operating Temperature Range

TA

Storage Temperature Range

MCB1741F

Continuous
500

T stg

3.3

mW
mW/oC

-55 to +125

°c

CASE 665
CERAMIC PACKAGE

SCHEMATIC PIN CONNECTIONS
Chip

ABCDEFG

"F" Package

234567B

°c

-65 to +150

Note 1. For supply voltages less than ± 15 V. the absolute maximum input voltage is equal
to the supply voltage,

Note 2. Supply voltage equal to or less than 15 V.

FIGURE 1 - CIRCUIT SCHEMATIC

FIGURE 2 - OFFSET ADJUST CI RCUIT

.-~----------~----.-----------~------------~--ov'
G

v'

25

F,

OUTPUT

50
OFFSET
NUll

v-

o--+-t---t
50k

50

vThis is advance information on a new introduction and specifications are subject to change without notice.
See Packaging I nformation Section for outline dimensions.

6-138

MCBC1741, MCB1741F (continued)

ELECTRICAL CHARACTERISTICS (v+ = +15 Vdc, v- = -15 Vdc, TA = +25 0 C unless otherwISe noted)

Characteristic

Symbol

Open Loop Voltage Gain (RL = 2.0 kn)
(V o =±10V, TA = +25 0 C)
(Vo =± 10V, TA =-55 to +125 0C)

AVOL

Output Impedance

Max

50,000

200,000

-

25,000

-

-

-

75

-

Unit

0.3

1.0

-

±12
±10

±14
±13

-

-

±10

-

-

n

Zo

Megn

lin

(f = 20 Hz)
Output Voltage Swing
(RL = 10 kn)
(RL = 2.0 knJ

MCBC1741, MCB1741F
Typ

-

(f = 20 Hz)
Input Impedance

Min

Vpeak

Vo

(RL = 2.0 kn, TA = -55 to +125 0 C)
Input Common-Mode Voltage Swing

CMVin

±12

±13

-

Common-Mode Rejection Ratio

CMrej

70

90

-

-

0.2
0.5

0.5
1.5

-

0.03

0.2

-

-

0.5

-

1.0

-

-

5.0
6.0

-

29
8.5
1.0

Voeak
dB

(f = 20 Hz)
Input Bias Current
(T A = +25 0 C)

/lA

Ib

(TA = -55°C)
Input Offset Current
(TA =+250 C)

/lA

11,01

(T A = -55 to +1250 C)
Input Offset Voltage
(TA = +25 0 C)
(TA = -55 0 Cto+1250C)

mV

[viol

Step Response

Gain = 100, Rl = 1.0 kll,
R2 = 100 k!l. R3 = 1.0 kn

tf
tpd
dVout/dt

Gain = 10, Rl = 1.0 kll,
R2 = 10 kn, R3 = 1.0 kD

tf
tpd
dVout/dt

Gain = 1, Rl = 10 kll,
R2 = 10 kn, R3 = 5.0 kll

tf
tpd
dVout/dt

(j)

-

-

,

-

-

(j)

-

3.0
1.0
1.0
0.6
0.38
0.8

-

(j)

-

-

3.0
6.0

-

-

50

-

-

50

85

-

30

150

-

30

150

-

10

-

-

-

/lS
/lS
V//ls
/lS
/lS
V//ls
/lS
/lS
V//ls

Average Temperature Coefficient of

Input Offset Voltage
(RS = 50 D, T A = -55°C to +125 0 C)
(RS = 10 kn, TA = -55 0 Cto+1250C)

/lV/oC

[TCVio[

-

Average Temperature Coefficient of

Input Offset Current

DC Power Dissipation
(Power Supply = ± 15 V, V o = D)

PD

Positive Supply Sensitivity

S+

(V- constant)
Negative Supply Sensitivity

mW

/lVN

S-

(V+ constant)
Power Bandwidth
(Av = 1, RL = 2.0 kn,
THD = 5%, V o = 20 Vp-p)

pA/oC

[TCViol

IT A = -55 to +1250 C)

/lVN

PBW

kHz

(j) dVout/dt = Slew Rate

6-139

•

MCBC1741, MCB1741F (continued)

(V+

=

TYPICAL CHARACTERISTICS (continued)
+15 Vdc, V- = -15 Vdc, TA = +25 0 C unless otherwise noted.)

FIGURE 3 - POWER BANDWIDTH
(LARGE SIGNAL SWING versus FREQUENCY)

FIGURE 4 - OPEN LOOP FREQUENCY RESPONSE

+120

1:

+10 0

24

~ 20~-+-r++Ht~~-t+++H~~~-rHt~\--+-rt+H+H

;o

16~-+-r++Ht~~-t+++H~~-1-rHtHr~\+-rt+H+H

~~ 12~-+-r++Ht~~-t+++H#-~-1-rH+Hr-\+-rt+H+H
I

_

>

\



~ +2 0

~

~

II I

100

10

~

-20
1.0

100 k

10

1.0 k

100

10 k

~

100 k

~

1.0 M

10 M

f, FREQUENCY 1Hz)

f, FREQUENCY (Hz)

FIGURE 5 - OUTPUT VOLTAGE SWING
versus LOAO RESISTANCE

FIGURE 6 - COMMON·MODE REJECTION
RATIO versus FREQUENCY
in 100
:=!

o
~ 20~----+-~--+-~~+++-----~--+-~~~~~

to

'"~

>

~

16j-----t-~~~~~±±±=====j[==±==t=tjjtt~

~

o

~

o
o

12~----t-_iL-+-+1

BO

~
UJ

"I'
60

"

~

z

o

~ B.O f-------,.t"---l--+-+1

'I'

~ 40

>

•

......... r-.,

~

1

8
100

500

1.0 k

2.0 k

5.0 k

10 k

1

20

100

10

1.0 k

10 k

100 k

1.0 M

f, FREUUENCY 1Hz)

RL, LOAD RESISTANCE IOHMS)

FIGURE B - INPUT BIAS CURRENT
versus TEMPERATURE

FIGURE 7 - INPUT OFFSET CURRENT
versus TEMPERATURE
90

80

0

........-- .--

j
o

UJ

N

::i -5.0

'"oz'"'"

.;'

/

-----

1

-

-=

z

70

"\.

UJ

~

60

13

~

"

~

50

~
~

..........

~

~ ......

I-

SLOPE CAN BE EITHER POLARITY

-10
-55

I-

40

.....

30

---

I---

0

-25

+25

+50

+75

+100

+125

TA, AMBIENT TEMPERATURE (OC)

-55

-25

+25

+50

+75

TA, AMBIENT TEMPERATURE 10C)

6-140

+100

+125

MCBC1741, MCB 1741 F

(V+

(continued)

TYPICAL CHARACTERISTICS (continued)
Vdc, V- = -15 Vdc, TA = +25 0 C unless otherwise noted.!

= +15

FIGURE 9 - POWER DISSIPATION versus
POWER SUPPLY VOLTAGE

FIGURE 10 - OUTPUT NOISE versus SOURCE RESISTANCE

100

1.4 r---.-,.--;;-rTrrrr----,-,ro-,-"'A-V-_--c1"'00"'0,-,--",I-'I',.IITl
I

70
~

50
40

0

30

.sz
;::
;;<.

iii
c
'"~

20

~

7.0

~

1.2 f--+-+-H-t+ttt--+-+H-t't-rt1-t-L-

l..--"'"

/'

II

Vout=O-

-

~
>

.sw

,/

/

10

'"Cz

V

~

I-

"

1.0

O.S

0

5.0
4.0
3.0
2.0

ds

=

R3 ~
'

I

Rl R2
HI + R2

j

L IL

AV - 1001
~

0.8

R2

1---+--+-t-t-++t+I---=P-f-I-H+t
Av = iii
I--

-

;} 0.4

rY
+

Rl

Vn

R3

I 1111
~-+-++t+I++F=9=1~ff++J+-_I--+AV
= 10
I II IIII
I
0.2 1--+--H-+++t+t--t-+-f-tt+++t--t~'i"'FLWv= 1
l~
O. 1

/
S.O

10

14

18

22

100

V+ and V-, POWER SUPPLY VOLTAGE (VOLTS)

1.0 k

10 k

100 k

RS, SOU RCE RESISTANCE (OHMS)

FIGURE 11-BONDING DIAGRAM

•

Silicon Thickness = 2.0 mils nominal

PACKAGING AND HANDLING
The MCBC1741 beam-lead sealed-junction linear integrated circuit is available in chip form (non-encapsulated)
as shown in the outline dimensional drawing. The shipping

carrier for chips is a 2" square glass plate on which the
chips are placed. A thin layer of polymer film covers the
plate and retains the chips in place. The chips do not adhere
to the film when it is lifted to remove them from the
carrier. Care must be exercised when removing the ch ips
from the carrier to ensure that the beams are not bent.
A vacuum pickup is useful for this purpose.

6-141

'\

MCBC1748
MCB1748F

OPERATIONAL AMPLIFIERS

'--------~

Advance InforIllation

OPERATIONAL AMPLIFIER
INTEGRATED CIRCUIT

HIGH PERFORMANCE MONOLITHIC
OPERATIONAL AMPLIFIER

..... ~

Beam-lead sealed-junction technology and fabrication make the MCBC1748
and MCB1748F devices excellent choices for use as a summing amplifier,
integrator, or amplifier with operating characteristics as a function of the
external feedback components_ Beam-lead products employ a silicon-nitride
dielectric that hermetically seals the chip, eliminating the need for a hermetic
package_ The beam leads are gold cantilevered structures extending from the
chip_ These beams bond readily to a gold metalized substrate providing one of
the most reliable interconnection systems known for semiconductor devices_
• Noncompensated MCBC1741
• Single 30 pF Capacitor Compensation Required For Unity Gain
• Short-Circuit Protection
• Offset Voltage Null Capability
• Wide Common-Mode and Differential Voltage Ranges
• Low-Power Consumption
• No Latch Up
MAXIMUM RATINGS ITA - +250 C unless otherwISe notedl
Rating
Power Supply Voltage
Differential I nput Signal

CD

Value

Unit

V+
V-

+18
-18

Vdc

CMV in

Volts

Volts

Load Current

IL

10

mA

ts

5.0

s

Power Dissipation

PD

500

3.3

mW
mW/oC

-55 to +125

uc

Operating Temperature Range

•

±5.0
±V

Output Short Circuit DuratIon

Derate above T A = +2SoC (F lat Package)

Storage Temperature Range

TA
T stg

BEAM-LEAD CHIP

MCBCI748

Symbol

Vin

Common Mode I nput SWing

'"

FSUFFIX
CERAMIC PACKAGE
CASE 606

!TO-9Il

MCB1748F

SCHEMATIC PIN CONNECTIONS

°c

-65 to +150

Chip
"F" Package

ABC 0 E F G H
2 3 4 5 6 7 8 9

(j)For supply \loltages I~$sthan ±15 V. the Maximum Input Voltage
is equal to the Supply Voltage.

FIGURE 2 - OFFSET ADJUST AND
FREQUENCY COMPENSATION

FIGURE 1 - CIRCUIT SCHEMATIC

"
OUTPUT

OFFSET E
NULL

50

OFFSET NULL

AND
1.0k
vCOMPENSATION
L-~--~~--~----~-+--~----~----------~-oO

This is advance information on

8

new introduction and ,pacificationl are subject to change without notIce.

6-142

MCBC1748 r MCB1748F (continued)

ELECTRICAL CHARACTERISTICS

(v+ = +15 Vdc, V- = -15 Vdc, T A = +250 C unless otherwise noted)

Characteristics

Unit

Symbol

Min

Typ

Max

200,000

-

-

75

-

ohms
Megohms

AVOL

50,000

Output Impedance ( f = 20 Hz)

Zo

-

Common Mode Input Impedance (f = 20 Hz)

Zin

-

200

Output Voltage Swing (R L - 10 k ohms)
RL = 2 k ohms ITA = -55 to +125 0 C)

Vo

±12
±10

±14
±13

CMVin

-

±13

-

CMrej

-

90

-

dB

O.OB

0.5

"Ade

Open-Loop Voltage Gain, (Vo = +10 V, RL = 2.0 k ohms)

Common-Mode Input Voltage Swing
Common-Mode Rejection Ratio If -100 Hzl
Input Bias Current

Ib

I nput Offset Current

lio

Input Offset Voltage (RS ";10 kfl)

Vio

Vpk
Vpk

0.02

0.2

"Ade

1.0

5.0

mVde

-

ItS

-

V/"s

Step Response (Vin = 20 mV, Ce = 30 pF,
RL=2kfl,CL=100pF)

-

dVout/dt

-

0.3
5.0
0.8

ISC

-

25

-

mAde

Parallel Input Resistance
Parallel Input Capacitance

Rp
Cp

-

2.0
1.4

-

Megohms
pF

Power Supply Sensitivity
V-= constant, RS";lO k ohms
V+ = constant, RS";lO k ohms

S+
S-

-

30
30

150
150

-

1.67
1.67

2.83
2.83

mAde

50

85

mW

Rise Time

tr

Overshoot Percentage
Slew Rate
Short-Circuit Output Current

%

Oifferential I nput I mpedance (Open-Loop, f - 20 Hz)

-

"VN

Power Supply Current

10+
10-

DC Qu iescent Power Dissipation

Po

-

(Vo = 0)

BONDING DIAGRAM

OUTLINE DIMENSIONS
F SUFFIX
CERAMIC PACKAGE
CASE 606
(TO·91)

~~~1

r

l- - - - _1-..1

1

0.010

Ne
Ne
Ne
Ne

OlfsetNull

Ne
Ne
Ne

I

[:::~;

"-If-;

O••
TY.

0045

~:"t:rM::~15: B':

No,
LIp........

t-~

IH
rIllLj:pJ±-::=--r--'
l
0.0!i2

i

-----r

MAX

OffselNul1 and Compensation
StliconThickness " 2Dmdsnominal

~~p

16-BEAM CHIP

10
0.240
I
0290
All JEDEC dimenSIOns and notes apply

PACKAGING AND HANDLING
The MCBC1748 beam-lead sealed-junction linear integrated
circuit is available in chip form (non-encapsulated) as shown in the
outline dimensional drawing_ The shipping carrier for chips is a 2"
square glass plate on which the chips are placed. A thin layer of

Silicon Ttllckness= 2 omils normal

polymer film covers the plate and retains the chips in place. The
chips do not adhere to the film when it is lifted to remove them
from the carrier. Care must be exercised when removing the chips
from the carrier to ensure that the beams are not bent. A vacuum
pick up is useful for this purpose.

6-143

•

•

6-144

PACKAGING and HARDWARE
PACKAGING
Lead Tape Packaging Standards for
Axial-Lead Components

Page 7-2

HARDWARE
MH745
MH746

Rectifier - zener diode mounting hardware

Page 7-4

MK10
MK15
MK20
MK25
MK30
MK35

Power transistor mounting hardware

Page 7-5

MS10
MS15

Power transistor heat-sinks

Page 7-10

XC63
XC72
XC73

Integrated circuit interconnecting patchboards

Page 7-14

7-1

LEAD TAPE PACKAGING STANDARDS FOR AXIAL-LEAD COMPONENTS

1.0

SCOPE

This document covers packaging requirements for the
following axial·lead components for use in automatic
testing and assembly equipment: Motorola Case 51
(00·7), Case 52 (00·13). Case 59 (00-41), and Case
17. Packaging, as covered in this document, shall con·
sist of axial· lead components mounted by their leads
on pressure·sensitive tape, either wound onto a reel or
folded in an oriented manner in a container (ammuni·
tion pack).
2.0

is 0.062 inch to 0.750 inch less than
dimension of reel. See Figure 3.

3.3.5 A row of components must be centered
between the tapes ±.. 0.047 inch. In addi·
tion, individual components may deviate
from center of component row ± 0.031
inch. See Figure 2.
3.3.6 Staples shall not be used for splicing. No
more than 4 layers of tape shall be used
in any splice area and no tape shall be
offset from another by more than 0.031
inch noncumulative. Tape splices shall
overlap at least 6 inches for butt joints
and at least 3 inches for lap joints, and
shall not be weaker than unspliced tape.

PURPOSE

This document establishes Motorola standard practices
for lead·tape packaging of axial· lead components and
meets the requirements of EIA Standard RS·296·B,
"Reel Packaging of Components with Axial Leads."
3.0

REQUIREMENTS

3.1

3.3.7 Quantity per reel shall be as indicated in
Table 1. When reeling quantity is less than
the established minimum of a suitable
sized reel, an ammunition pack will be
used. Quantities less than the ammunition
pack minimum will not be lead·taped.

Component Leads
3.1.1 Component leads shall not be bent beyond
0.047 inch from their nominal position.
See Figure 2.
3.1.2 The "c" dimension shall be governed by
the overall length of the reel packaged
component. The distance between flanges
shall be 0.125 inch to 0.250 inch greater
than the overall component length. See
Figures 2 and 3.

3.2

3.3.8 A maximum of 10 components may be
missing from any 10 foot section. A
maximum of 2 consecutive components
may be missing, provided this gap is fol·
lowed by 6 consecutive components.
3.3.9 The single face roll pad shall be placed
around the finished reel and taped securely.
Each reel shall then be placed in an ap·
propriate container.

Orientation
All polarized components must be oriented in
one direction. The cathode lead tape shall be
blue, and the anode tape shall be white. See
Figure 1.

3.3

3.4

3.3.1 Components on any reel shall not repre·
sent more than two date codes wh~n date
code identification is required.

Customer Part Number
Purchase Order Number
Quantity
Date of Reeling (when applicable)
Manufacturer's Name
Electrical Value (when applicable)
Date Codes (when applicable; see Note 3.3.1)
Tape (when applicable)

3.3.2 Component leads shall be positioned per·
pendicularly between pairs of 0.250 inch
tape. See Figure 2.

3.3.4 50 lb. Kraft paper must be wound between
layers of components as far as necessary
for component protection. Width of paper

Marking
Minimum reel and carton marking shall consist
of the following: See Figure 3.

Reeling

3.3.3 A minimum 12 inch leader of tape shall be
provided before the first and last compon·
ent on the reel.

"c"

4.0

EXCEPTIONS

Requirements differing from this Motorola standard
shall be negotiated with the factory.

7-2

LEAD TAPE PACKAGING STANDARDS FOR
AXIAL·LEAD COMPONENTS (continued)

TABLE 1 - PACKAGING
Ammunition
Component Type

Quantity
Per Reel

Pack
Qty.

(Case)

MiniMax

Min

Spacing
A

Tape Spacing
B

Case 51 (00-7)
Case 59 (00-41)

1000/3000

500

0.2001:. 0.Q15

2.001:.0.010

Case 17

1000/2000

500

0.200 + 0.Q15

Case 52 (00-13)

500/1500

250

0.375 + 0.015

Component

Reel
Dimensions
C
D
3.00

10.50

2.00 + 0.010

3.00

10.50

2.375 + 0.020

3.81

14.00

FIGURE 2 - COMPONENT SPACING

FIGURE 1 - REEL PACKING

u=r - B -iJ
j -L
OVERALL LG.
ITEM 3.1.2

TAPE, BLUE
ITEM 3.2

~

A

ITEM 3.1.1
0.047 MAX OFF - ,
ALIGNMENT
~

CONTAINER

ITEM 3.3.5
BOTH SIDES

FIGURE 3 - REEL DIMENSIONS

t

f

----

·1. .1-

~

\..- 0.250
ITEM 3.3.2
-0.031
ITEM 3.3.5

FIGURE 4 - AMMUNITION PACK

,--

3.50 DIA

l
ITEM 3.4

7-3

MH745
MH746
MOUNTING HARDWARE for MOTOROLA STUD PACKAGES
~/ RECTIFIER
1~6"

and 10 WATT ZENER DIODE

RECTIFIER and 50 WATT ZENER DIODE

MICA WASHERS

MICA WASHERS
.560
.195
.002

.570 x .200 x .003

.997
.255
-- x

<;

1.003

x .190 x .041

.286

o

.195

NYLON BUSHING

.362 x .264 x .060

FLAT WASHER

~ Steel, Zinc plated

.225

.050

. 727 x .276 x .055
.749

10 .'95~ SOLDER TERMINAL
.200
/
Copper, Electro-deposited tin

6
~
,

plate

.095

.B85 x .425 x .020
. 915

.455

.023

LOCK WASHER

~
~

.296

SOLOER

.071

TERMINAL

Copper. electro-tinned
.

(AMP #34124)

LOCK WASHER

~ pteel, spring, Zinc plated,

~ Steel, Zinc plated.

'-SJ

.070

o

.490 x ~ )( .030

10 .065~

.274

.051

Steel, Zinc plated
.510

~

.372

FLAT WASHER

~
e

.004
.006

~~o
o

NYLON BUSHING

~ .276

.265

~

I nternal tooth

.365 x .195 x .020

Internal tooth
.460
.250·

.381

.480 x .270 x .027

.204

.025

.017

NUT

NUT

feY 1018 Steel, Zinc plated

1018 Steel, Zinc plated.

\t::O

10·32NF·2B

.362 Nom. across flats
.375

1/4·2BNF·2B

.~ across flats x . ~ Thick
.437

x .172 Thick .413 across points

7/16 STUD (MH 745)
•

.192

.433

ll/16 STUD (MH 746)

::~:

.193

across points

Finish meets all environmental requirements of MI L·STD·19500.

Mounting hardware Is supplied with the units. For
additional hardware, order
by kit number:
MH745 -1{,'
STUD MOUNTING

HOLE OIA .
. 265 ±.005

HARDWARE
MH746 - 'J{,"
STUD MOUNTING

.028

-1.034

HARDWARE

~==::=f

7-4

POWER TRANSISTOR MOUNTING KITS

MK-10 - teflon
MK-15-mica
MK-20 - anodized aluminum
MK-2S-mica
NO.6
METAL
POWER
TRANSISTOR

INSULATOR

CHASSIS OR ,
HEAT SINK
INSULATING
BUSHING

ll~~~'i~~-'
PI!

CLEARANCE
HOLES

SOCKET

SCREWS OR RIVETS
(not in mounting kit)

Typical thermal characteristics for teflon, mica, and
anodized aluminum insulators used in mounting kits
are given in the table below. (Figures may vary with mounting torque applied. Do not over stress. )
KIT TYPE INSULATING WASHER TYPICAL THERMAL RESISTANCE COC/Watt)
Dry
With DC4*

MK·l0
MK·15
MK·20
MK·25

No Insulator
Teflon
Mica
Anodized Aluminum
Mica

.20
1.45
.SO
.40
.80

.10
.SO
.40
.35
.40

*DC4 is Dow Corning No.4 Silicone lubricant.

Mountingkits, types MK-10, MK-15, MK-20, and MK-25 provide the necessary hardware for correctly mounting all TO-3 and TO-66 industry standard
power transistor types to a chassis. With these kits, power transistors can
be electrically insulated from the heat sink chassis, while maintaining complete heat transfer characteristics.
Included in these highly useful kits are a transistor mounting socket, front
and back mounting templates for hole drilling guides, two #6 mounting screws,
two insulating bushings, an insulating washer and complete mounting instructions.
7-5

•

I

MK.l0, MK·15, MK.20, MK·25

(continued)

The teflon-coated glass cloth insulating washer, included in kit MK-IO,
will find use in installations requiring an insulator of excellent durability.
The mica insulator, in kit MK-15 and MK-25, is characterized by very high
thermal conductivity. In applications where both good thermal conduction and
durability are necessary, the anodized aluminum insulator supplied in kit
MK-20, is recommended.
The transistor socket included in all mounting kits, is made of rugged laminated phenolic, with contacts of phosphor bronze.
Three cadmium plated solder lugs, having a 15-amp maximum current capacity, are provided for base, emitter and collector connections.
T0-3

.045 ±.003 DIA

Type MK-IO
mounting kit

Type MK·20
mounting kit

1+--'----.=::"-----01-1.600

XP PHENOLIC,
VACUUM WAX
IMPREGNATED

.002 MICA
INSULATOR
Type MK-15
mounting kit

tl

~~~~iJM PLATED

'"''''......J-""-____

--*_---.::.==~!4~==t~~~\\21~2~~003

~

OIA

.031

.0002 THK

.06o±.005l

.031

L.177

.375
±.016

rD\~±·003

±.003

alA

1.350

TRANSISTOR SOCKET

l

-D·----@

.029

1.090

U

±.01O

TEFLON INSULATING BUSHING

Included with all TO·3 mounting kits

Included with all mounting kits

T0-66
XP PHENOLIC
VACUUM WAX
IMPREGNATED

.100
±.015
H-~-\t- .200

.390R
BRASS
CADMIUM PLATEO
.030 THK

5!3ZIN·~tl

MAX

13/32 IN.

.100

:~:~ DlA
12 HOLES)

.188 R TYP

,002 MICA INSULATOR
Type MK·25 mounting kit

TEFLON INSULATING BUSHING
Included with all mounting kits
!Same as for TO·3)

TRANSISTOR SOCKET
Included with all T0-66 mounting kits

7-6

.156±.002D1A
12 HOLES)

MK-30
MK-35

POWER TRANSISTOR MOUNTING KITS
Mounting kits, types MK-30 and MK-35,
provide the necessary hardware to properly mount the
TO-36 case (standard industry-type power transistors)
to the chassis. With these kits, power transistors can
be electrically insulated from the heat sink chassis,
while maintaining complete heat transfer characteristics
MK-30 Designed for use in applications requiring
30 Amps or less with solder connection to the transistor leads.
MK-35 Designed for use in applications requiring
greater than 30 Amps with solderless connection to the
transistor leads.
To obtain maximum contact area between case and
heat sink for better heat transfer, it is recommended
that the transistor first be mounted on the heat sink or
chassis. Then, for maximum wire-to transistor lead
strength and high-current capacity, the solderless connectors provided are crimped to the transistor leads
and heavy wire. The wires may be soldered directly
to the leads if strength and high currents (approximately
50 Amps) are not the primary considerations. (Solderless connector tools are available from the Thomas and
Betts Co., Elizabeth, N. J.
Possible wire types:
A WG #12 regular strand (65 x 30) or #10 solid-tinned
copper wire.)
These new mounting kits are individually packaged
in a convenient polyethylene container.

7-7

•

MK·30, MK·35

(continued)

MK-30

MK-35

15 AND 30
AMP UNITS

60 AMP UNITS

"LOW SILHOUETTE"
,., POWER TRANSISTOR'

® ____

MICA WASHER

~® ~

_METAL WASHER __

~@

@-

~
~

SOLDER LESS
CONNECTOR---LJ

I
I

WIRE-__
(Not Supplied)
TERMINAL
HEX NUT
rt

t::e::J~DER

•

I

0

I

~

-8 - ~ ~
t::I:::J

NOTE: The surface to which the transistor is mounted must
be smooth, flat and free of burrs or irregularities which may
damage insulation or prevent intimate contact with the
transistor mounting base .
Typical thermal characteristics for mica insulators are
given in the table below. (Figures may vary with mounting torque applied. Do not over stress.)

KIT TYPE

-

INSULATING WASHER

MAXIMUM THERMAL RESISTANCE ('e/Watt)

Dry

With DC4'
.10

No Insulator

.20

MK·30

Mica

.80

.40

MK·35

Mica

.80

.40

• DC4 is Dow Corning No.4 Silicone Lubricant.

7-8

OUTLINE

DIMENSIONS

MK-30 / MK-35

MK-30 ONLY

MICA INSULATOR
14852600F06

SOLDER TERMINAL
(Tin Dipped)

29852595F13
0.241 OIA.

or -l I-t=@),
-1 ~

0.198

0.191 OIA.

MK-35 ONLY
0.115

0.565

f

MICA WASHER
14852600FOI

::r d

NYLON INSULATING
BUSHING
43851547FOI

SOLDERLESS
CONNECTOR
10M52014A

NO. 10·32 N F 2·8

~
~
~
0.368

L

0.050

~

0.198

-,--l':: _

-1

0.565

~

HEX NUT

METAL WASHER

(Cadmium Plated)

(Cadmium Plated)

02851568F13

04851567F17
SOLDER TERMINAL
(Cadmium Plated)

29852595F09

NOTE: All Dimensions Nominal

7-9

•

MS-10 POWER TRANSISTOR HEAT SINK
Designed specifically for use with the industry standard type TO- 3 (diamond)
power transistor, this heat sink will reduce transistor junction temperatures
and permit safe operation at higher power levels or under high ambient
temperatures.
Cooling; is accomplished with the MS-IO by conduction, convection and
radiation. Although measuring only 4-1/2" by 3-1/16", the MS-IO makes possible thermal dissipation effectively equal to that of a flat sheet of aluminum
10" by 8" by 1/8". This greatly reduces the chassis area necessary for heat
dissipation at higher power levels.
The transistor with use of silicon grease should be mounted directly to the
MS-IO heat sink with the insulating washers placed at each of the (4) mounting
points located on the heat sink flange. This is accomplished by placing (1)
shoulder washer on the bottom and (1) flat washer on top of each mounting
point of the heat sink. A mica washer is supplied to isolate the transistor from
the heat sink if desired.
The MS-I0 has a hole pattern arrangement which will accommodate the
mounting of one TO-3 power transistor and/or two 10/32" stud mounting
diodes .. Provided with each MS-I0 package is an MK-15 power transistor
mounting kit which contains a power transistor socket, mounting screws,
complete mounting instructions and a mica insulating washer for use in mounting transistor!:? to the heat sink.
This heat sink is easy to install and does not interfere with the operation of
the transistor. For optimum efficiency, the MS-IO should be mounted with the
fins vertical.

PERFORMANCE UNDER FORCED AIR FLOW
OF MS·10 NATURAL CONVECTION
TRANSISTOR HEAT ~INK

SPECIFICATIONS
Material
Finish
Total Surface Area
Thermal Resistance

1.7 t--t--+-+-+
1.It--t-+-+-+
1.5 t---t\--+-+-+
1.4 t--++-+-+-+

Aluminum Alloy
Black
6S Sq. In. (approx.)
3°C/waH

THERMAL CHARACTERISTICS MS·10

1.1t--+-++-+-+

~

1.1 I-t-~+-+

I-t-t--''t--+
.• 1--+-+-+--,,<+--1--+--1---+-+---1-1--1
.• t-t-+-+--t-'''t---t--t--+-+-I-i--I
.7 t-t-+-+-+--+-"""'d--+-+-I-i--I
.• t-t-+-+-+--+---t--t---f""""';;;::-\-i--I
.5 t-t-+-+-+--+---t--t--+--+-I-f---I

1.0

.4

.5

.

..

.7

.•

.•

1.0

1.2

~

V
/~

"If'

13

4 6

V

".

"'"
FIN VERTICAL

8 10 12 14 16 18 20 22 24 26

WATTS DISSIPATION IN FREE A I R

AIR FLOWILI/IlINI

7-10

~

MS.l0

(continued)

Providing cooling by conduction, conveGtion and radiation, the MS-10 Heat Sink, measuring only 4-1/2" by
3-1/16,r, has thermal dissipation equal to that of a
flat sheet of aluminum 10" x 8" x 1/8".

'~l

4.25
~

1.31
---<
MIN FLAT

---.l.

+l

.406

-M

,~!,

I.~

3 .06
REF

£Th' ,

2.00

1

,t-j_':

/

-tB-A

2.2 51

,

,

1(,. .

,,

iRADIUS
14 PLACES)

14)

(4)

.6951.348

1',

2.25-

,,

4.50
REF

•

3.62
REF
t--

1.03T REF

Iocr

1.44
REF

AI

1#== JfJLJ i
THIS SURFACE SHAll
BE FLAT WITHIN .005

---<

-r -

1

l.Ie7 l

'--

,

J __ ~

.156 THICK. REF

a

HOLE liST
SIZE
.218 DIA
.188 DIA

C

.140DIA

HOLE
A

NATURAL CONVECTION COOLER
IS ALUMINUM. FINISH IS BLACK.

7-11

.

MS-1S POWER TRANSISTOR· HEAT SINK
Designed specifically for use with the industry standard type TO-36 ("doorknob ") power transistor, this heat sink will reduce transistor j unction temperatures and permit safe operation at higher power levels or under high ambient
temperatures.
Cooling is accomplished with the MS-15 by conduction, convection and radiation. Although measuring only 4-1/2" by 3-1/16", the MS-15 makes possible
thermal dissipation effectively equal to that of a flat sheet of aluminum 10" by
8" by 1/8". This greatly reduces the chassis area necessary for heat dissipation
at higher power levels.
The MS-15 has a hole pattern arrangement which will accommodate the
mounting of one TO-36 power transistor. Provided with each MS-15 package
is assorted mounting hardware such as: insulating bushing, mica washer,
nut, flat washer, solder terminal and insulating washers used in mounting the
heat sink to the chassis.
The transistor with use of silicon grease should be mounted directly to the
MS-15 heat sink with the insulating washers placed at each of the (4) mounting
points located on the heat sink flange. This is accomplished by placing (1)
shoulder washer on the bottom and (1) flat washer on top of each mounting point
of the heat sink. A mica washer is supplied to isolate the transistor from the
heat sink if desired.
NOTE: When mounting the transistor to the heat sink a torque of
20 in. lbs. max should be applied to the stud.
This heat sink is easy to install and does not interfere with the operation of
the transistor. For optimum efficiency, the MS-15 should be mounted with the
fins vertical.

PERFORMANCE UNDER FORCED AIR FLOW
OF MS·15 NATURAL CONVECTION
TRANSISTOR HEAT SINK

SPECIFICATIONS
Aluminum Alloy
Black
6S Sq. In. (approx.)
3°C/watt

Materill
Finish
Totll SUrfice Area
Thermal Resistance

1.71--t--t--+-+
I.II---l--+--+--+I.SI---fl-+--+--+-

1.41-tt-t--t--tloS I-H-t--+-+

THERMAL CHARACTERISTICS MS·15

~u60
0:"
'" 0:50

!SCi

1.0 I--t--+--'~+

1/

!ii~40

ffi~
t-+-+--+--+-''''-t---+--+-t-t----if-t-----i
.7 t-+-+--+--+--+-1'-d-t-t----if-t-----i
.• t-+-+--+--+--+--+--+-f""'""4=-if-t-----i
.S t-+-+--+--+--+--+--+-t-t----if-t-----i
.1

00

J

.2

.I

.4
.5
.•
.7
.1
AIR FLO.IU/IIIIII

.1

1.0

1.2

/

~;30

~

"'~
;£"'

/~

.".

FIN VERTICAL

/~

00 2

OJ

4 6

8 10 12 14 16 18 20 22 24 26

WATTS DISSIPATION IN FREE AIR

7-12

~

V

"'"' 20
!iii 10

V. .

~

MS.15

(continued)

Providing cooling by conduction, convection and radiation, the MS-15 Heat Sink, measuring only 4-1/2" by
3-1/16", has thermal dissipation equal to that of a
flat sheet of aluminum 10" x 8" X 1/8" .

. 125R. TYP

f 4 - - - - 2.25

---.j

Natural Convection Cooler

is Aluminum. Finish is Black

F'"

.,

I-

3.68
REF.

+.
(0

J
7-13

.J""

X(63
X(72
X(73

INTEGRATED CIRCUIT INTERCONNECTION BREADBOARDS

Integrated Circuit Interconnection Breadboards, designed to allow rapid interconnection and evaluation of
individual integrated circuits or complete logic designs.
Little or no soldering is required as a result of the extensive use of sockets and connectors.

XC63

XC72

BREADBOARD SPECIFICATIONS

Specification

XC72,XC73

XC63
16

16

10-pin

14-pin

2

2

Input/Output

22 -pin PC edge connector or
11 BNC coaxial connectors

22 -pin PC edge connector or
11 BNC coaxial connectors

Power Connection

banana plugs or edge connector pins

banana plugs or edge connector pins

Power Distribution

3 voltage distribution points
next to each socket

3 voltage distribution points
next to each socket

Temperature Range

-65°C to +150 0 C

-65°C to +150 0 C

Printed Circuit

1/16" glass epoxy with
2 07: gold plated runs

1/16" glass epoxy with
2 07: gold plated runs

Frequency Range

DC to 50 MHz

DC to 50 MHz

Number of Sockets
Type of Socket
Number of Pin Jacks
per Socket Pin

Edge Conncdor*

22 pins

22 pins

*Fits into Amphenol 143-022-01 connector, which is not included with breadboard

7-14

XC63, XC72 , XC73

(continued)

HOW TO USE INTERCONNECTION PATCHBOARDS

The Integrated Circuit Interconnection Patchboards are, in

commodate an edge-mounted, printed circuit strip connector (not

effect. "universal chassis" designed to simplify testing of individual devices and breadboarding. of complete integrated circuit sub-

supplied).
Three voltage-distribution planes are provided on .ach board.

sy,tems. Patchboard XC63 is designed for TO-S integrated circuit
paclages with up to 10 leads. and Patchboard XC72 is designed
for flat packs with up to 14 leads.
The boards contain 16 sockets for direct plug-in of integrated

jacks mounted on the board. Two pin jacks near each socketloca-

circuit

pac~ages.

Associated with each socket are a number of

spring-loaded pin jacks which are connected, through printed
wiring. to the device pins. There are two pin jacks connected to
each socket pin for greater interconnection flexibility. Interconnections between circuits are made simply by inserting 22 gauge
\O.O~S

inch) solid hook-up wire into the appropriate pin jacks,
which are designed for high retention capability even after numerous insertions.
A series of eleven BNC coaxial connectors, located around
the periphery of the board provide convenient access points for
applying input signals and sampling the outputs. Additional communication to and from the board can be made through 22 pin
jacks, located on one edge of the board, which are wired to ac-

XC&3

Integrated circuit with up to 10 pins

Two of these, in the form of a copper lamination on each side of
Ihe board. are accessible to power supply inputs through banana
tion are in contact with the copper (one with each plane) and

provide a ready means for applying the power supply voltages to
the various jacks. A third voltage may be distributed from the
edge-mounted strip connector pin jacks which-have printed wiring runs to a number of additional pin jacks mounted at conven-

ient locations throughout the board.
Each of the 16 integrated circuit sockets is lettered to aid in
correlating logic block diagrams with the patchboard. The pins
of each socket are numbered as a further aid. The use of these
boards permits the same integrated circuits to be used repeatedly

for the evaluation of many commonly used logic configurations
with a minimum of effort. More co"mplex designs may be studied

by interconnecting several breadboards. Thus, all designs may
be evaluated and finalized before the integrated circuits are permanently interconnected in the system.

Banana plug power
distribution connections

Discrete components jf required
Hook-up wire
Power supply voltage distribution points
(3 points located by eath sotketl

11 BNC coaxial connetfions for
input-output or
system test point monitoring

Socket location referenced for ease of use

Pin jacks for #22 gauge solid wire

Socket pins numbered for
easyidentifitation
Alternate power distribution inputs
16 10-pin integrated circuit sockets
Printed circuit edge connector
for convenience in making input-output
connections (not included)

2 pin jacks for each socket pin
to ease interconnection

f

xe72

Banana plug power
distribution connections

Discrete components if required
Power supply voltage distribution points
(3 points located by each socket)

Hook-up wire
Integrated circuit with up to 14 pins

Alternate power distribution inputs

11 BNC coaxial connections for
inpuf-outputor
system fest point monitoring

Socket pins numbtled for easy identification
16 14-pin integrated
circuit sockets

Pin jacks for #22 gauge solid wire

2 pin jacks for e.Kh socket
pin to ease interconnection

Printed circuit edge connector
for convenience in making input-output
connections (nof included)

Socket location referenced

for eaSfof use

7-15

7-16

OUTLINE DIMENSIONS
INDEX

Motorola Case Number Cross Reference
Registered Case Number Cross Reference
Outline Dimensions
Leadforms

Page
Page
Page
Page

8·3
8·5
8·6
8-41

•

I

8-1

8-2

MOTOROLA CASE NUMBER CROSS REFERENCE
TO-3
Case 1
TO-3*
Case 3
TO-3*
Case3A
Case 4
TO-41
Case 4-04
TO-41
TO-36
Case 5
Case 6
Case 7
TO-68
Case 8
TO-61
Case 9
TO-3
Case 11
TO-3*
Case llA
TO-3*
Case 12
Case 17
Case 19
Case 20
TO-72
TO-17
Case 21
TO-18
Case 22
TO-18*
Case 22A
TO-l07
Case 23
Case 24
TO-l02
Case 25
Case 26
TO-46
TO-52
Case 27
Case 28
Case 29-01,-02 TO-92
Case 29A
Case 31
TO-5
Case 34
Case 34A
TO-12
Case 36
TO-60
TO-37
Case 39
Case 41
Case 42
00-5
00-21
Case 43
Case 44
00-4
Case 45
Case 46
Case 47
Case 47A
Case 48
Case 51
00-7
00-13
Case 52
Case 53
TO-3*
Case 54
Case 55
-

Case 56
Case 56A
Case 568
Case 57
Case 58
Case 59
Case 60
Case 61
Case 62
Case 63
Case 64
Case 70
Case 77-02
Case 77-03
Case 79
Case 80-02
Case 81
Case 81A-Ol
Case '81 A-02
Case 82
Case 85
Case 85l
Case 86
Case 86l
Case 87
Case 87l
Case 88
Case 88l
Case 90-05
Case 91
Case 92
Case 100
Case 105
Case 106
Case 107
Case 108
Case 109
Case 110
Case 111
Case 112
Case 113
Case 114
Case 115
Case 116
Case 117
Case 118

·Modified

8-3

00-4
00-4
-

-

00-41
TO-41
TO-48

-

TO-39
TO-66
00-31

-

-

-

-

-

-

-

-

-

-

-

-

Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case
Case

119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
142
143
1448-03
144C-02
1440-04
145A-02
145C-Ql
146
149-02
152
154
154A
155
155A
156
156A
157
157A
158
159
160
160A
161
166
167

-

-

-

-

-

-

-

TO-9
-

00-14
TO-l

-

-

-

-

-

-

TO-59
TO-59
TO-41

-

MOTOROLA CASE NUMBER CROSS REFERENCE {continued}
Case 168
Case 169
Case 171
Case 172
Case 173
Case 174
Case 175
Case 176
Case 177
Case 178
Case 179
Case 180
Case 181
Case 182-01
Case 183
Case 184
Case 188
Case 189
Case 190
Case 194
Case 197
Case 198
Case 199-04
Case 206
Case 206A
Case 208
Case 209
Case 210
Case 211-01
Case 211-02
Case 212
Case 215
Case 216
Case 219
Case 222
Case 226
Case 229
Case 230
Case 231
Case 232
Case 234-01
Case 234-02
Case 235
Case 244
Case 246
Case 247

-

-

TO-114
-

-

-

-

-

TO-63
-

-

-

-

-

-

-

TO-94
-

TO-83

-

Case 249
Case 250
Case 253
Case 257
Case 262
Case 263
Case 264
Case 267
Case 601
Case 602A
Case 6028
Case 603-02
Case 603-03
Case 604
Case 606
Case 607
Case 608
Case 609
Case 61 OA-03
Case 614
Case 617
Case 618
Case 619-01
Case 619-02
Case 620
Case 621
Case 623
Case 625
Case 626
Case 627
Case 628
Case 631
Case 632
Case 635
Case 637
Case 638
Case 639
Case 641
Case 642
Case 643A
Case 644A
Case 645
Case 646
Case 647
Case 648
Case 649

8-4

-

00-5
-

-

TO-99

-

TO-100
TO-100
TO-91
TO-86
TO-90
TO-85

-

-

-

-

-

TO-91

-

TO-116

-

TO-76
-

-

TO-116
-

-

Case 650
Case 651
Case 654-04
Case 654-07
Case 655
Case 656
Case 661
Case 663
Case 664-01
Case 665
Case 669
Case 670
Case 671
Case 673
Case 675
Case 676
Case 677
Case 680
Case 683
Case 684
Case 685
Case 686
Case 687
Case 688
Case 690
Case 694
Case 695

TO-78
TO-78
TO-71
-

-

-

-

-

-

-

TO-71

REGISTERED CASE NUMBER CROSS REFERENCE
00-4
00-5
00-7
00-13
00-14
00-21
00-41
TO-1
TO-3
TO-3*

TO-5
TO-9
TO-12
TO-17
TO-18
TO-18*
TO-36
TO-37
TO-39
TO-41

Case 56
Case 56A
Case 568
Case 42
Case 257
Case 42A
Case 51
Case 52
Case 146
Case 43
Case 59
Case 61
Case 149-02
Case 1
Case 11
Case 3
Case 3A
Case 11A
Case 12
Case 54
Case 31
Case 143
Case 34A
Case 21
Case 22
Case 22A
Case 5
Case 39
Case 79
Case 4
Case 4-04

TO-41
TO-46
TO-48
TO-52
TO-59
TO-60
TO-61
TO-63
TO-66
TO-68
TO-71
TO-72
TO-76
TO-83
TO-85
TO-89
TO-90
TO-91
TO-92
TO-94
TO-99
TO-100
TO-102
TO-107
TO-114
TO-116

'Modified

8-5

Case 61
Case 161
Case 26
Case 64
Case 27
Case 16A
Case 160
Case 36
Case 9
Case 188
Case 80-02
Case 7
Case 655
Case 20
Case 642
Case 246
Case 609
Case 610-02
Case 608
Ca~e 606
Case 628
Case 29-01
Case 29-02
Case 29-03
Case 219
Case 601
Case 603-03
Case 24
Case 23
Case 177
Case 632
Case 646

CASE OUTLINE DIMENSIONS
DIMENSIONS ARE IN INCHES UNLESS OTHERWISE NOTED.

CASE 1 (TO-3)

CASE 3 (TO-3)

CASE 3A (TO-3)

0.525
MAX

AIiJEDECdlmenslOnsandnotesapplv
STYLE 1

STYLE 2.
PIN 1. BASE

PIN 1. BASE
2. EMITTER
Collector CClnnetied to casa

CASE 4 (T0-41)

0,1

ColJactor connected tOtaSll

h

_I

CASE 4-04 (T0-41)

CASE 5 (TO-3S)

Qd

h
I 55D MAX

083

MAX--j 0135
lS5DMAX
orA

Collectortonnected to case

2. COllECTOR
EmrtterconnecledtoCBse

MAX

083
MAX--j 0135
DlA_1 MAX
#l(l.32UNF2A

$

0.140 MAX

•

0.072 MIN

'T

0345
NOM RAD

·3

0.140

r:

2

-=r /'

,

PIN 1.Blse
2. Emiuer

3. Locator Pin
(IRSUlated)
AUJEDEC dnnenslOnsand notes apply

AIIJEDEC duneOSIOnllRd notes apply

All JEDEC dimensions and note. apply

ColilCtorCDnnectedtocase

Collector conlllCted tOC8St

Colleclorconnectedtocasa

8-6

CASE 7 (TO-68)

CASE6

CASE 8

~ MJ:~~~
[IIMAXl005~
DIA
f

0.180

0.2ID

t:=:t
+-----

0.360
MAX

03 5
SEATING
0:500 0 610 PLANE

0.312

0.110

~

MAX

STRAIGHT lEADS
PIN1 EMlnER
2 BASE
3.COLLECTOR

#10-32 UNF 2A

TP

,.
E . .

1\

0.140

2

MAX

STYLE I:
PIN 1. BASE

2. EMITTER

•

CDLL£CfOll

D~

Bse

EMlnER

3. COLLECTOR jCONNECTED TO CASEI

0141

TP.

Collectllr connected to case
0.141

TP.

CASE 9 (TO-61)

CASE 11A (TO-3)

CASE 11 (TO-3)

rOJ J,

hih
55DMA
0.83

~~

h ~~~~

0250

1.
t~ffj

0:300

II~DlA u_~='j----+-

0.440

if4iO

1.111

f.197

&at

a~
O.830

~:':

0.135
MAX

j

0300
MAX

~P~~~1-.-

11°'048

~-U_-==t-±
1.177

f.ID

0.151

IU6l

DlA

OIA

EMITTER

0420

0420

IlMll

o:>lD

.ASE
EMlnER
All JEDECdlmensionsand notes apply

AIiJEDECdlmenslGnsandn018sapply

Collettorconnectedtocase

CollectorCDnnectedto caSB

CASE 12 (TO-3)

rOJ·k

.~F~~~
11004'

0052 DIA

I

1177

1.19'-

BASE

Collacttlr connacud ttl case

CASE 19

CASE 17

1I

1

rO.13001A
0.145

o

r- ~DIA
];~

PDlAR1TYMAR~
(CATHODE)

ft

1:

.0.050
MAX

J

Sty~n\AlIOde

1.0 MIN

2. Cathade

'"laad diameter and fmish
are not tontrolledwithlo
this dImension

__

Collettorcoonectedtocuse

8-7

r-

r4°

~:::DlAll

OIAh

O. l7o

1.0 MIN

t

0209

0230

0500

o016 DlA
0019

MI'

I

~

•

CASE 20 (TO-72)

1

~~::OlAl

CASE 20 STYLES
STYLE I)

0209

OIA

II

PIN 1

i'

4
SlYLE2
PINT.

SUBSTRATE
3 GATE
4. SOURCE AND
SUBSTRATE

4

CASE LEAD

STYLE 7
PIN 1

SOURCE

DRAIN
2 SOURCE

2. GATE
3
4

0500
MIN

DRAIN
SUBSTRATE AND
CASE LEAD

STYLE 3

~

2

DRAIN
SOURCE

3
4

GATE
CASE LEAD

PIN 1

STYlE4
PIN!.

3 GATE
4. CASE AND

2

{~)

SUBSTRATE
STYLE 8

PIN!
2.
3
4

4

PIN 1

2
3

CRAIN
GATE2SUBSTRATE AND
CASE

4

COllECTOR

DRAIN
GATE2
GATE 1
SOURCE,
SUBSTRATE
AND CASE

STYLE 10

SUUACE

PIN 1.

2. GATE 1
3 DRAIN
4.

BASE 1
EMITTER 1

STYLES

SOURCE

STYLES

PIN 1

EMITTER 2

BASE 2

2. GATE
3
4

0.100

DRAIN

2 SOUACEAND

SOURCE

2 DRAIN
3 GATE

0

0.019
0016 0IA

PINT

STYLE T

0230j

CASE

EMITTER

2

BASE

3
4

CASE

COLLECTOR

All JEDEC dlmenSlonsand notes apply

CASE 22 (TO-18)

CASE 21 (TO-171

1 =1-IT-I

0185
0215
OIA

o

ISO
0168
OIA

0.209

I I~
L
~~~:m

om

0165
0.210

J.....

STYLE 1.
PIN I. EMITTER
2 BASE

0500

0.500
MIN

~~l;OIAJ'

, 1
imlI
0"0

1

SEATINJ
PLANE

DlA

O.l1a DlA1

--.

DOlO
MAX

CASE 22 STYLES

Il..!l1B

~

3. COLLECTOR

MIN

~

OO"OIA

STYLEZ
PIN 1 SOURCE, SUBSTRATE,
AND CASE
2 GATE

3 DRAIN

-bLoo"

0036
TP

STYLE 3.
PIN 1 SOURCE

0021

2.0RAIN
3. GATE
STYLE 4.
PIN 1. SOURCE

0.100
TP

1-,:==~~r;:~;;C~OLlECTOR
SHIELD

0028

2 DRAIN
3. GATE AND CASE
STYLES:
PIN 1. EMITTER
2. BASE I
3. BASE 2

STYLE 6
PIN I CATHODE
2. GATE
3 ANODE
STYLE 1.
PIN I.ANDDE
2.BASE
3 CATHODE
STYLE 8:
PIN 1. GATE
2. ANODE 1
3.ANOOE2
STYLE 9:
PIN I. ANODE 2
2.ANODE 1
l. GATE (CONNECTED
TO CASE)

AIiJEDECdlmenslnnsandnnlesapply
AUJEDECdlmen$lonsandnoleslPply

CASE 22A (TO-18)

1

h

0209
0.230j

~::~olA11

OIA

0l70

~i

l.:G
PLANE

STYLE 1
PIN 1. EMITTER

i ::~~ ~

MI'

.-l
1

320

['ffi

l[ffi

~

0030

~MAX

!

0.500

MIN

-..

I
.L-

"",,-SEATING

PLANE

0016

REFERENCE
PLANE

[Ojll
OIA

--II-.

DIDO

"",,-0016

TP

a050rITm

--j

PIN 1. EMITTER
2. BASE
3 COLLECTOR

EMITTER

TYP l~-

-I

_O+~~

0050
TVP ~

STYLE 2
~
PIN 1 EMITTER 0048

2.BASE2

ffi

0200D.350~105

TP

0050

-Lf,

3. BASE I

O

0500

0016 0JA
0019

CASE 24 (TO-102)

CASE 23 (TO-107)

0.050
TP

\...-.
Da

o

,
450TYP

COLLECTOR

~~
V 0036
0046
;,,-,

A" JEDECdlmenslonsand nOlesapply

Base 2 connected 10 case
AIiJEDECdlmenslonsandnotnapply
Collector connel:tad to case.
studlsnlaledfromclsa

8-8

CASE 27 (TO-52)

CASE 26 (TO-46)

CASE 25
B·32UNC-2A

gj~~

orA

~ ~~~

QIA

~
1...

0040M"

~

!.lli_BOl78D1A
0230
0195

0178
0195
OIA

OIA

~
Of A

Q.ill
0150

~HEX

-I

"""1
0065
0085

~ ~:~

0500

MIN

~ ~:~

L

'500
OIA

J

OIA

-0050

STYLE I
PIN 1. EMITTER
2 BASE
J COLLECTOR
AIiJEDECdlmen~lon5and

CASE 28

notes apply

STYLE 2
PIN. 1 DRAIN
2.SDURCE
3 CATE & CASE

All JEDEC dImenSIOns ~nd rOles apply

CASE 28 STYLES

STYlES

STYLE 1
PIN I BASE
2 EMITTER
3 COLLECTOR
STYLE 2
PIN' ANODE 2
2 ANODE 1
3 CATHODE
STYLE 3
PIN' CATHODE 2
2 CATHODE 1
3 ANODE

0018

If.ll92

0020

0001

ITInli TOP

0JJii3

-

3'

70

. -l

DRAIN
SOURCE

3 GATE
STYLE 6
PIN 1. EMITTER

2

COLLECTOR

3 BASE
STYLE 7
PIN! BASE 1
2 EMITTER
3 BASE 2
STYLES
PIN! CATHODE
2 GATE
3 ANODE
STYLES'
PIN! SOURCE
2 GATE
3 DRAIN

STYlE 4
PIN 1. CATHODE
2 ANODE
3 COMMON
CATHOOE

00D4

Ll --.l
r-

~T

PINI
2

ANODE

\..':Bo~~,~-JT
MIN

CASE 29-02

CASE 29-01

(TO-92)

L
S"""'Pl'"'~--;;r"

I
I

OlB'

1

l ..,,,,,;,,,,,

0016

0500

0019

I
I
I

MIN

~

OIA HOLE {TVPJ-

°Tol°-11L
-JLO,050
T.P

-};f
"INOMI

g,m

R.

123 ~

-

10

~R

-

~

AUJEDECdlmenSionsandnOlesapply

I
I
I
I

'~i~

SEATING PLANE

l"""'h~
-.l
jrE
I
0.016

0.500

lmf

0Df9

OIA HOLE ITVPJ-

Dimensions are in
inches unless
otherwise noted.

o095

O.D4S--lI

i'i""W5

I[ii5S

DD4S

""-l,e
il'll2"1
r- 1--°'55

l ~~~sr

~-1
t

0080

0.12S

iff65

li:1(g

AIiJEOECdlmenslonsandnoteSlpply

8-9

•

I

CASE 29 STYLES

CASE 29A

STYLE I.

STYLE 9:
PIN 1. BASE 1
2. EMITTER
3. BASE 2

PIN I. EMITTER
2. BASE
3. COLLECTOR.
STYLE 2:
PIN 1. BASE
2. EMITTER
3. COLLECTOR
STYLE 3:
PIN 1. ANOOE
2. ANODE
3. CATHODE

1

PIN 1. SOURCE

CASE 31

DRAIN
GATE
DRAIN
GATE
SOURCE &
SUBSTRATE

0305 DIA

0016 01A
0019

-1:

:

0175

0010

:~;P

:1~1 1\
V

RAD

SEATlNGT

ANODE

I

PLANE

3. CATHODE
STYLE 12:
PIN 1. ANODE 1
2. GATE
3. ANODE 2

30'

TY ?

0500

±L
I MIN

STYLE 13:
PIN 1. ANODE 1
2. GATE
3. CATHODE 2
STYLE 14'
PIN ,. EMITTER
2. COllECTOR
3. BASE
STYLE 15:
PIN 1. ANODE 1
2. CATHODE
3.· ANODE 2

DOlO
0.015-

OOO~

0.011-- - 0158

r-II--

0016

00T9

0168

L;}}===="---1

L

~
oISS

0.165

om

J""JIO
[iffii

om

D.048

11.020

---10]0l

[am

0500

MIN

0.001

If.UllI

Itr

O.ZI3~0M6
REF

NOTE:
1. RAISED TAB IS CIRCULAR ON 166·01

STYLE 1:

10·32UNF2·A
NOTES'

PIN I.ANODE
2. CATHODE

Collector connltted to CIS1I

CRIMPED LUG
ANGULAR ORIENTATION
OF LUG UNDEFINED.

CASE 168

1I

~1450-j

r-0.l470JA

0I12~~X?&J1
,; ~

'T
Ir1

iJTIO

r-

1.235
1265

~

1.100
1100

0.512
0612

L

0.343
0401
500

rn

~OIA

0153
0.180

<:)

MAX

0.060

CASE 171

CASE 169

POLARITYOARK
lCATHOOEI

OD40~015TYP. am
~Os_o___ +
~

!

~Tt-JOt -'IT~
Q.Olll

~ ~~~ DIA

0.080

CASE 172

~.~

\

°M~~O

0.Q11

rt·OM21~--j
0140

0160

::r.

STYLE 2.

STYLE 1

~1

PIN 1
2

EMITTER
COLLECTOR

PIN 1
2

ANODE
CATHODE

r-D320MIN-+--032DMIN---1 0013

~J:9
~,

1.100
1200

~0640MIN----J

~

lO-3ZUNF-ZA

10

lead 2 mdlcated by squafebondmg
pad on bonom of device

CASE 174

CASE 173

,

~

0078~+2-.-1
if1i92
~t
f
MIN
0180

IlL

Collec:tor indicated by arrow
on bottom of dlVic ••

~

~

111-01

.....
_ _

I'--'o:m;·:·i'

AIiJEOECdlmensions.mlnotaslpply

8-24

CASE 174 STYLES

CASE 175 STYLES

CASE 175

I~
L~~'OI01A~
0505

STYLE I:
PIN 1. GATE

STYLE I:
PIN I. GATE
2. CATHODE

2.
STUD.
STYLE 2:
PIN I.
2.
STUD.
STYLE 3.

CASE ANODE
STYLE 2
PIN 1. GATE
2.ANODE
CASE. CATHODE
STYLE 3:

CATHODE
ANODE

GATE
ANODE
CATHODE

2. MAIN TERMINAL 1

PIN 1. GATE
2. MAIN TERMINAL I

CASE. MAIN TERMINAlZ

STUD. MAIN TERMINAL 2

PIN I. GATE

CASE 177 (TO-114)

CASE 176

CASE 178

0234

r-~~~~~
,

0050

PIN 1. BASE
2. EMITTER
3. COLLECTOR

iID8D

~

-LGJ--=l-.

I

11:
=

I!]!5lI
STYLE 1:
AIJJEDECdJmenslons~ndnDlesapplV

l 45H0029
DIA'
M
o.'
I
O·'40

0085

ir4nO

I_I 1m!

"ii'
0.140

I

(lliS-l

~

--j

0

i_

I-

J

0.365

rrns

"HoleandcDunllrsinkfor
#S socke1 head strew.

lm oeEP

~n

ALUMINUM
DISC
O.87501A.

\~~ONLYI

2 CATHODE
CASE. ANODE

STYLE 2:
PIN 1. GATE
2 MAIN TERMINAL!
CASE

MAIN TERMINAL 2

CASE 180

CASE 179
.
o'lliiI

PIN 1. GATE

mOlA~
=1i---i
D.310 0IA

0009

0240

1

.m~ll;l

~11tJ

U
1.235

ass

\

.. - - _ / /

imiI

t=~----l

8-25

Dimensions are in
inches unless
otherwise noted.

CASE 182·01

CASE 181

CASE 183

r~~:~l

0015

0019
OIA

~

l"

~

(SEATING

MAX

~

L -____

PLANE
0.D16

°D~~9

. SEATING PLANE
1.50

--.

0.175

1°·185

j

0.594

~~tl
,

PIN 1.

EMITTER
SASE

OC4~0,~lECTORKn~X

3

STYLE 1.
PIN l. ANODE
2. CATHODE

iu~oi
-102101-

0190

'"0.210

0.490::%~

if.5TIi

CASE 188

D090A

o.no

CASE 189

0083
0.103

0485

ifsTs

1200

......--7I-~'~- IT
..".Y-'
'iT
. I

MAX

J

r

1.985
MIN

lImUNF·" tlN

0105

0135

2.068

II

0.500
flAT

0.022
ff.Q2S--j--

PIN 1

~('

2. ANODE
STYLE 3:
PIN 1. MAIN TERMINAL 1
Z. MAIN TERMINAL 2

CASE 184

PLANE

~ A.

I!Ml

STYLEZPIN T. CATHODE

EMITTER

MIN

~Po~H¥O

QQl9~

'BASE

,!,,' .'~::::

~r/~m

MLAX

T,~Q

G

SE!TlN~~
PLANE

PIN 1. EMITTER

i

3/8·24

2. BASE
3 COLLECTOR

==

I

0115

ifTI5

1750_

LWl
1350

~

----I

UNF'2A~

NOTES
CRIMPED lUG
ANGULAR ORIENTATION

0.593

DID

OF LUG UNDEFINED.

0740

rna

CASE 190

CASE 194

1,:

&Hf,l [

CASE 197

----I
I
OI~'2~~X~rIf
1.450
MAX

~
I

0.060

ifTIfi

1.235

1.265

~

0.234
0246

•

0025

G:U55

__ L ~...

0025
0035

"-,---.L

1c=J=r

0343

o:w

~:~:: OIA

II

0.115
[1J5 - -

&~l

11

OIA~L_-"~_-1-

QA!

1171

1.197

KmD!A

--

0.205
0225

0990

0.057
0063

I

f.iffii

3fB·24 UNF-2A

L

Collector connected to case

8-26

0.48

CASE 198

CASE 199·04

0470~

0.050 0.500

om

I

I

I

CASE 199 STYLES

0.250

o:34D

.l~~~=!-I­
f

0.275

o:m
0142

1I.1S2

@
1

,,

STYLE 1:
PIN I. BASE
COLLECTOR

,,.

EMITTER

STYLE 1.

,.,.

PIN I. CATHODE
ANODE
GATE
STYLE 3:
PIN I. ANODE 1
ANOOE2
GATE

,,.

0017

0.125

o:mif.rn

Coliettor CQnnacted 10 case

STYLE 1:
PIN 1. EMlnER
2. BASE 1
3. BASE2

STYLE 2:
PIN 1. BASE 1
2. EMITTER
3. BASE2
CASE· COLLECTOR

CASE 206

·DimemlCm is 10 centlrUna oi leads

(See page 843 for lead form availabilitv)

CASE 206A

CASE 208
002S

om

STYLET:
PIN 1. EMITTER

2. BASE
3. EMITTER
4. COLLECTOR

~--------~(--------..,

0299

!i307

Waight ... O.25 gram

~..
CASE 209

CASE 210

SEATING
PLANE

Dimensions are in
inches unless
otherwise noted .
rno
O·03'~t0209j
~

ii1I4iI

145' .

~

..L-,=~Ol

STYLE I
PlNI.ANOOE

2.

CATHODE

~~
Y-.J ST:~ErEMITTER
~
~3
2. COLLECTOR
NOTES:

O.IDOT.P.
T· LEADS ARE GOLD PLATED KOVAR
2· CATHODE CONNECTED TO CAse
3· PKG. wr ... 0.45 GRAMS.

NOTES.

~O.10DTP.

1· LEADS ARE GOLD PLATED KOVAR
2· CATHODE CONNECTED TO CASE

3· PKG. WT.,. 0.45 GRAMS.

8-27

•

CASE 211-01

CASE 211-02

CASE 212
I -O.380 ------l
I

0.410

I

T

,. t
D.JSO

0375

°""_11-::

0.1'0,--_ _ _---,

0123

a810

~.9

0.410

lS0040

"""
:: ~ -{I::: •('::~
PIN~: ~~~gER

0.117

0790¥

~

1

PIN 1

EMITTEA

2.
3.

BASE
EMITTER

4.

COLLECTOR

0700
0.7511

PIN 1.

2
3
4.

EMITTER
BASE
EMITTER
COLLECTOR

3. BASE
STYlE4;:COLLECTOR

0.300
0.320

L-+_ _ _...J~

PIN 1. ANODE
2. EMITTER
3. BASE

I

4. COLLECTOR----J

Flaogelsolated

CASE 215

CASE 216

CASE 219 (TO-94)

0112

NOM

l~,4j15j
MAX

I

I

0050

0.265
t-"MAX

MAX

I 0.260 I
--l--o 280--f
~

0030
MAX

l~

T

I

olas
MAX

STYLE 1:
PIN t BASE
2. COLLECTOR
3. EMITTER

STYLE 2:
PIN 1. GROUNDED EMITTER
2. OPPOSED BASE
3. COllECTOR

CASE 222
STYLE ,.
TERMINAL 1.
2.
3.
4.

I

GATE
CATHODE
CATHODE
ANODE

0.675
i16B5

j

•

Dimensions are in
inches unless
otherwise noted.

INCHES

MIN

B
~

OlA

MINi

0.400
MAX

,-------t
0.535
MAX

- ----t

=
-

1/4·28·UNF2A

DIM
A

0.060

0.430

D.45O

_~

8-28

C

D

E
F
G

1.031
6.850
0.437
0.110
0.215
0.140

H

J
K

M
N

0.191
5.175

MAX
1221
1.063
7.500
0,650
0.500
0.300
0.150
0.125
0.827
6.265
1.031
2.500
0.499
0.310

MILLI
MIN

26.190
174.000
11.100

4.4110
5.490
3.560
20.250
146.100

0.425
P
10.800
Q
0.260
6.610
R
0.250
6.350
0.4619 0.4675 11.133
S
All JEDEC dimenSions and notes apply

CASE 229

CASE 226

O

J_. ,
j [:m
0055~
0152
rO.,62

0045

Till'
L~
0044
0054

0026
0036

0006

iiOTII RAD

t
~'5

om

2

;029
0039

CASE 230
'RlSTOL
SPLINE
009EiTYP)

'-"1.
0.035

0062
0012

0004

I ~'£7===~~~-_~_'l6

0051
0061

l

-~ l~~

~- f

a
PIN

~ ~NJ~pTUT iDol ~~B~
3 ISOLATED
4 OUTPUT 19001

CASE 231

CASE 232

CASE 234-01

,0.135

1

O.01B

0.1452h

~
4

-.

5

1

~L==-0500~
0035

L~
OJ'5
0.019

t

[5mI

015D

[-OlN

c:::J

PIN 1. COLLECTOR 1
~. ~~~~~CTOR 2
4.

EMITTER

5

BASE 1

TOT~~.D
-----.
0003

I

~

I

STYLE'
PIN I. EMITTER

2. COLLECTOR
STYLE 2
PIN 1. ANODE
2. CATHOOE

Cathode Indicated by larger bondlllQ pad
onbouomofdevlte

CASE 234-02

CASE 235

Dimensions are in
inches unless
otherwise noted .
0405
[4jQ

0019
0021

STYLEt

STYLE 2

PIN I.ANODE
2 CATHODE

PIN I. GATE
2 CATIfODE
3 ANODE

STUD ISOLATED

STYLE 2:
PIN 1. GATE
2. MAIN TERMINAL 1
3. MAIN TERMINAL 2
STUD ISOLATED

8-29

•

CASE 246 (T0-83)

CASE 245

CASE 244
0.115

ms

0525
0535

.--------->-";"'
TP

PIN 1. EMITTER 2
2. BASE 2
3. BASE 1
4. EMITTER 1

8-30

·Olll18l111On IS a dIameter.
AIlJEDECdlmanSlOnsaoonDtesapp\1

CASE 262

CASE 263

CASE 264

0205

~:<.21=5l====~~~===>

l

r-

D.2SO

025D

0.012 _0.300

ClOD

0.008

1

0325
11.345

1Il-l2UNF·2A

l

0.310

03iif

D02S
0.032

STYlE I:

o13Stl'=====9"'i+=I=====.d

ifill

'I

Lr::

PIN 1. RF IN
2.8+
3. RF OUT
4. GROUND
5. GROUND
STUD GROUND

0045
0.055

[0010

0.080 0.020
D.D90

·AppliesBetweenLeads5and 6

CASE 601 (TO-99)

CASE 267

CASE 602A

0180
MAX

-[
0.500
MIN

~

LF-'-'.....----+
\2'

MIN

0140

"L"""~--1- ii160

0230TP

~
, ::0:-::'
~
, , :

0028

L:

60TP10':0034

0028
0034

0019
0045

Weight '" D.920gram

Weight "'O.918gram

All JEOEC dimensions and notes apply
Case connected 10 pm 4 through subdrate

CASE 603-02 (T()-100)

CASE 6028

~~h
03C~~O
~

mUlA

OIA

0165

ifI85

0010
0040

0016 orA
0019

o500 MIN

I
-.-l

CASE 603-03 (TO-100)

!¥,l~
Fn
O~q[J5i
0305

MAX

*=!=

0.040
0016 MAX

trOi9

"~
~,. J :m

0230TP.

~
.
':':'O:L'
f

0140
""''''''11'.--+0160

0500

MIN

---.l

O.230TYP
0.140

I1VI~-j-

01

1

0240

om

,

0029
0045

0029

ii045

'Nerght",0918gram

Weight" D918gram

AHJEDECdlmenSlonsandnolesapply

8-31

0029
0045

D.TIIQ

•

CASE 604

CASE 607 (TO-86)

CASE 606 (TO-91)

(Formerly CASE 83)

I ~::l~

~ °lOOOOl:B~

O~3

0006
SEATING

0045

PLANE

Ir-II~055

QMl.

TP

°T'~O

~

0010

TP

l~

0.01'11

OOlS-C'ot

0030
0070

0050

MIN

i
0.015 -:

itt
0240
j

MAX~'
~:ii26ii
f

0070
MIN

0015

MAX

1

--r
I

0240

ol9fi

0240
0275
lead 1 Identified by color dot or by elbow un lead

AIiJEDECdlm~nSlonsand

notes apply

AIiJEDECdlmenslonsandnolesapply

CASE 608 (TO-90)

CASE 609 (TO-85)

CASE 610A·OJ (TO-89)

00115

t=loooool~

[(j$

I

0.003

~

0010

0.055

D05DTP.

0006~l ~.oo;

~~_----J":"-'--""""":--I

o.m1rrll:J

0015:~:i
::~::mrm: ;
MAX

tO~~D
1._0150lol0o~I-_ol!10---1
[4Ofi
t'mli
iJ4m!

Oao8
if01ii

II
..L

.~E3

0240 l O t

lolJ

D.29O

if.ilJ5

_.0"'
I

JI

-~~Hm ____~n

Lead 1 Idenllfled by colur dot or byshuulder on lead

Leadl.dentlfiedbycolordolorbyelbllWonlead

AIiJEDECdlmenslDnsandnotesapply

All JEDEC dimensions and notes apply

CASE 614

0003

rna

L

O.r,-

i---0.151l--1~0.03S

I

MIN

I

~

If.08ii

CASE 618

CASE 617
1252 MAX

---:~::'-::15~l--++--;;:;;;--~.l.

0011

iiiiTIL

f~~:g~.~BJ
r
-1

0045

•

~~~

0250 MIN-----1

001lh~

~!~

SEATING

TT-I --

\: : : :. .-

----

L~~~~~=5~~

PLANE

0015

0040

0003

ooM

-~--

__~

SEATING PLANE

0109 MAX

~

OQ~B -I\

0063

lead"drnlllledbVillabonthiltlead
'Sludd"'mete,(ont'olled;,"dl(lldef8bllltvdef'ned~lrhlnrhlsnlmenslon

At maXimum malerl,,1 cundltlon, leads tobB~lIhlnODlO"totalol trul pOSltlon
(TP) With resplctlo each other. and within 0025" total oltwe pOSItion With
respe.t10 swd

Wel!lllt .. S315graml

8-32

0035TYP
Lead 1 Identtfled by elbow on lead or by color dot.

MAX

,

0003
[008

CASE 619-02

CASE 619-01

0"'i[o1.'
~9f,l~!j
.-~DIA

OIA

0009

am

0.165
il'l'B5

0'TI4lI

0 ~~o

0500

~

oimensio ns are in

MIN
I
--.l

(1016 DlA

~

O1IT9 D1A

O'A

0015

O~91J

00:10
0016

03:!~
-R
.-i[

0305 CIA

0240

0.028

D02!!

ijJi34

11045

inches unless
otherwise noted.

CASE 621

CASE 620

0025
0060

U-£3
!0T..

~:O;~~~f:::::::J~
0035

1

0.345
D355

IfOii6

~J L~

[~ 0325~
I-~rs:
MAX

0065

0300

TP

(j)

L

I"

0013
D017

01i1i 00 to

SEATING
PLANE

CDThl5 dimension IS measured from Ihe lead eenle"
al theseallrl§' plane witlt JHdsverlJcaJ.
(!)Lead I identlliedbycolordot,nolchmlead,
or nOlch in ceramic
Pm '.s Common to aU Elements and ,slnd.cated by Tab on Lead.

CASE 623

CASE 625

r--l-I--1

I::::::,::::::IJ
rna

I

1
14-t
~

0600

0500

0 60

~'

SEATING

WNwmmC
-11-0016
0 20 J l ~--l
O.OSO

-1 I-

0100'
TP

ti1i2D

[03ii

J

0115

J.,

"""'I

~
!-.~

om

II
~_-n.

"DimenSion IS to lead unlerhrnr when formed parallel

8-33

~.~~:

--t r-

--'!

-n--~

•

CASE 626

CASE 627

~~~

~~::l

'~
D'---.l

m
1

--i 1..-.

D.03DR

030

[i!4iI.

if.ii!lI

I

~~":

~

LI

3JD

~

CASE 628 (TO·91)

O dssl
J.D

(i)

0250

0.290

rD.3::-\

0.135

~I

tfm
a.DaD R

TVP_I

o.m

rt ~"'®"'. 1~

0.020

I D.lD:DM~

"1---'-~-+~-,.--.-:----';'1'1
,

W°-j

:

I

~

t U

:

~ rl---i,lI:J
0.150
TP

(1014
(1021

~
i

QJ.!5,,-/
0.135

L7"

-MAX

T2l +~

~\

~mii!==11

~ ~
OJ!lJ~\..-

0017

!!..QJJ

0.023

--J 1__

[)T100
j
P. -

I'

--

SEATING
PLANE

0125
0.150

0°

i '\ ~

70--1 "...--

"DimenSIOn ISla leadcenterlmcwhDnforrmd parallel

CASE 680

CASE 683

1-0470
I
I
REF-

[[]]l
1- - - -

~

I.----~:~~~

I

~W°--l

"'

IL-

f--

I I

0.120

0050

QJlliI
0060

tr.13l1

0086
0144

j~ l~ f-~ ~aarj

)-03.0a---l

I_TP'_1
\

"

ill,

O.017~~

0.023

.

SeatmgPhme

0.185
0.200

"

~ i ~\I

100--1

1
9~ j
6410

IT]6O

aaos'
WMWl17\[
f~ ~
_'_1-

,-

0.035

O.D2()

0.040

CASE 684

0.100
TP

CASE 685

a.135
MAX

1

rF

MAX
1.550~

I - - aBJa ~

1 _ MAX

I!

I------Ll

ar,t~1!1;!J
t
-

*

0.300

0.320

~

_11--::::

SEATING
PLANE

•
8-39

CASE 686

CASE 687

CASE 688
0.050
T.P.

_---.-i

--;,

I

-.~

0240

rns

L

DOlO

0500

~~ ,

0010

Irn9

r~:

~L-

61:=!-il

:~-'~r~

______J ________J

MAX

r

Li

D.240TD.D1Dl
in15
MIN

r

0.o70
MIN

0003

1-1
t I
0.005

t
0.030

0260

~

ms

IIJIll!

-rql~ -1_
I
I

L~

1m!

°1

007
MIN

1=..J:i~

"oJ!
iiOii6

0035

lead I Identified by elbow on lead.
"Seven pllces;(betweenall leadsexcepI5&'6,9& 10, 10& 1)

CASE 690

CASE 694

0

0.470

REF

16

0.278
[288

8~

m·
:

C~
~

0086

~iI.144

IJ]23

I

D~r-l I-

I

D.D45
O.OBO

m~
1D.

0011-11--

C~::~::::::l]:
I

9,

185

~

m

0300

TP

"45
1.100

II I

0050-1
MIN

~13 SEATING PLANE

~wmmm

0008
~

°T'~°-4 ~

01~

~.~}i-H- iWs

·Olmensionislol.adcenterlinewhtnformedparallei.

SEATING PLANE

Lead number one identified by notth on top.

CASE 695 (TO-71)

, . , ,......., 1'"""'1 r--I

28

1

r-"I ,......., r---I r--I 1'""'"'"'1 . - -

D

15n
0.5

14

-T
Dimensions are in
inches unless
otherwise noted.

USO
MAX

-Dim'nsiDnislOltldcentlrlilllwhinforlllldpnllll

LudnumbtronecutforldentifiCltlonor ..... rkedontop

8-40

0030
0070

LEADFORMS
FOR PLASTIC POWER SOCKETS

Plastic power transistors can be lead formed to a variety of configurations
for insertion into sockets designed for metal-can devices. Leadform flexibility permits direct insertion into TO-66 and TO-5 sockets, or circuitboard mounting, either flat mountor flag mount.
A desired special leadform can be ordered as follows:
1. Select the desired transistor and case style, i.e., 2N5190 or MJE340.
2. Locate the selected case-style section in the leadform diagrams
shown below.
3. Determine the leadform suffix letter (A, 8, C, etc.) of the lead
form required.
4. Add the lead form suffix letter to the transistor type number when
placing your order. Example: 2N5190 lead form 8; or MJE340
lead form A.

CASE 77

STYLE 1

0.645
0.595

STYLE 3

MOUNTING
SURFACE

MOUNTING
SURFACE

t

t

0.026-=11~ 0.020

o026-:i1~0.02o
rit~!002o.
r:.~

0.093 - -

NOTE:
1. TOl: 3 PlC DEC ± 0.005
UNLESS NOTEO.

0.0;0 ,

E;3- 0.100
5' TYP

8-41

-J~'.

NOTE:

1. TOl: 3PlC DEC±0.005
UNLESS NOTED.

CASE 77
LEAD FORM "A"

CASE 77
LEAD FORM "8"

CASE 77
LEAD FORM "C"

.Lt
0'366

~=f-.

0.531
_ 0.316 [481

MOUNTING
SURFACE

CASE 77
LEAD FORM "E"

CASE 77
LEAD FO RM "D"

,r--

0.601
0.581

0.556

-I i-- 0.093 TYP

l
~8!!!8
n~.

0.545

9; T
~:~~:

0 025

0.106
0677

-.1+

"1

LO.093TYP

1!-038°1

0531
0.481

CASE 77
LEAD FO RM "F"

II
.=t.

Lffl

:YP

1

IMOUNTIN: SU:FACE

0. 190 0.310
MIN MAX

" ' 0.025

MOUNTING
SURFACE

CASE 90

0.597
0.557

SURFACE

CASE 90
LEAD FORM "A"
~

t

-:-, gOTYP

0.640

•

+-

0.645
0.595

"--11-0.130

i.1

~=I-

R~O TYP

0.497~
~ko.457

MOUNTING
SURFACE

~

0.034
0.032

NOTE,
1. TOL, 3 PLC DEC ± 0.005
UNLESS NOTED

tMOUNTING

0.080.

8-42

~~::~~~
I r~::~~i

L,

0.205
MIN

SU~

/0.025RAOTYP

I
'

CASE 90
LEAD FORM "C"

CASE 90
LEAD FORM "B"

o -1--.---.
0.607

0.857

0.587

0.837

0.597 0.697

------It

--1l--

~ ~-0.166

m
I..UR'~"~' 1- '~0.:~002~5

L
I
0.205~

0.166

Jffl~: !..1f'.J.~.

RAO TVP

J- 0.570

MOUNTING
SURFACE

t

_-.1

MOUNTIJ
SURFACE

0.840
0.820

+ ~ 0.580~
0.680

CASE 199

CASE 199
LEAD FORM "A"

,

0.696

--I

MOUNTING
SURFACE

i--0.l00

---11-- 0.022
NOTE,
1. TOL, J PLC DEC ±
UNLESS NOTED.

~ L.t

!.

~005

0.284 0.J89

-t-~

MOUNTING
SURFACE

CASE 199
LEAD FORM "B"

CASE 199
LEAD FORM

1:1+

~

!

~921

MIN

i--0.200

_,

~

CENTER LEAD TRIMMED

0:389

MOUNTING !URFACE

CASE 199
LEAD FORM "0"

"c"

~

~ ~ 0.025 R~O TVP

L

0.580--1

L, - t
~ ~O.100 6J~.t'

~ING

I-I~

-.j

f5JO"""srO.560

O.2~_ I
0.!66

SURFACE

8-43

L

c'_,

0.690

~

0.200

~ CENTER LEAD TRIMMED

I

f--l.0JD~

CASE 199
LEAD FORM "F"

CASE 199
LEAD FORM "E"

@I-I-r---r
0.601
0.581 0.851
0831

I

J

~~

--.

--.t!
0.166

0.205

MIN

L!1IT~

~~
MOUNTING
SURFACE

MOUNTING SURFACE

rt<'

L

0.025

0.590

~0.510

0.840
0.820

Uniwatt Package (Case 152)
This package is designed with the collector mounted on a metal tab
that extends out of the plastic. The tab can be attached to a heat sink
to conduct heat away from the junction.

CASE 152

~
0.131

Tab formed for flush mounting
available on request.

8-44

iR~D ~~p

j

APPLICATION NOTES

Page 9-3
Page 9-7

Application Note Selector Guide
Application Note Abstracts

9-1

•

I

•

9-2

~,--_ _A_P_PL_IC_A_T_IO_N_N_O_T_E_S_EL_EC_T_I_O_N_G_U_ID_E_~))
The application Notes described in this section have been prepared to acquaint the circuits and systems engineer
with the broad line of Motorola Semiconductor Products and their applications. The Cross Reference Table lists the
application categories in alphabetical order. The section entitled Application Note Abstracts gives a brief summary
of the application note content.
To obtain copies of these notes, simply list the AN-number or numbers and send your request on your company

letterhead to Technical Information Center, Motorola Semiconductor Products Inc., P.O. Box 20919, Phoenix.
Arizona 85036.

APPLICATION
CATEGORY

APPLICATION NOTE
NUMBER

APPLICATION
CATEGORY

Rmg

AN-236, AN-286

ADDERS

Power. 1 W. Ie
1-2 W. Plastic Transistor
3-35 W. Plastic T fans.stor
40-100 W. Metal Package
20.30 W. Darlington

AN·221. AN·257
AN·194A, AN·251,

Ripple

AMPLIFIERS
Audio
AN·401
AN·426A
AN·484
AN·485
AN·483

CUSTOM INTEGRATED CIRCUITS

AN·446

DATA SHEET, USING
FET

AN·455

Operational Amplifier.

Ie

UHF Amplifiers

Thermal Considerations

Differential

Zeners, TC

AN-182
AN·231, AN·407

Operational (See INTEGRATED
CIRCUITS)

Radio Frequency
Small Signal

AN·245, AN·474
AN·225

Video

AN·171, AN·247, AN·287.
AN·299, AN·404, AN·475.
AN·491

Gated

AN·471

ANTENNA SWITCHING

AN·412

ARC SUPPRESSION

AN-444

ARITHMETIC OPERATIONS

AN·286, AN·487, AN·488

BATTERY CHARGING

AN·294, AN·447

BREADBOARDING

AN·270, AN·504

CHOPPERS

AN·411

ERROR DETECTION
ANO CORRECTION

AN·446, AN·496

DISPLAY SYSTEMS

AN·516

Amplifiers

AN·231, AN·423, AN·455,
AN-511

Digital CirCUits

AN·462, AN·511
AN-219. AN-220, AN-511

General

AN-211A, AN-455. AN-511

FILTERS
TwmTee

ANALOG TO DIGITAL
CONVERSION

AN·419
AN-437

ENVELOPE DETECTOR

Current Regulators

Power (See TRANSMITTERS)
Sense (for core memories)

AN·273

FIELD EFFECT TRANSISTORS

AN·166, AN·215,
AN-247. AN-259. AN-267,
AN·406, AN·419, AN·421,
AN·423, AN·513

Servo

APPLICATION NOTE
NUMBER

AN-248

FLASHER

AN·466

FLlp·HOPS

FOUR·LAYER DIODES

AN·226,
AN·280,
AN·414, AN·493
AN·221, AN·462

FREQUENCY METER

AN-297

FREQUENCY SHIFT KEYER

AN-475. AN-491

FREQUENCY SYNTHESIZER

AN·553

AN·220, AN·470
GENERATORS
Function

CODE CONVERSION

AN·446, AN-465, AN·506

COMPARATORS

AN·204, AN·405,
AN·439, AN·547

Ramp

C.DNTROL CIRCUITS

AN·552

Sawtooth

AN·221, AN·462
AN-221. AN-462

AN·163, AN·169,
AN·442

Staircase

AN·221, AN·462

CONVERTERS (Power)
COUNTERS
Clocked

HAMMING CODE

General

AN·251
AN·251, AN·257, AN·262A,
AN·451, AN·516
AN·262A, AN·456, AN·5OS
AN·451, AN·516
AN·257
AN·251, AN·257

Programmable

AN·456

Decade
DIVide-by
Frequencv

Gray Code

Pulse

AN·510
AN·510

AN·446, AN·496

HARMONIC GENERATION
(S.. VARACTORSI
HEATER CONTROLS
feedback
Non-Feedback
HEAT SINKS

9-3

AN-413. AN-466
AN·242,
AN·453
AN·290A. AN·472

•

APPLICATION NOTE SELECTION GUIDE (continued)

APPLICATION
CATEGORY

APPLICATION
CATEGORY

APPLICATION NOTE
NUMBER

INTEGRATED CIRCUITS
Digital
MDTL

MODULATORS
AM
Balanced

AN-263,
AN-408, AN-409,
AN-487, AN-496

MECL

FM
Pulse Width
MONOSTABLE MUl TlVIBRATORS

AN·194A. AN-202,

AN-239,
AN-257, AN-274,
AN-278, AN-280,
AN-417, AN-41B, AN-456,
AN-488, AN-496, AN-504
AN-298, AN-414, AN-461
AN-251,
AN-264,
AN-286, AN-296,
AN-408,
AN-451

MHTL
MRTL

MTTL

Speed
Appliance Motors

Induction Motors
Pulse-Width Modulation

seR Type,AC
DC
Series Motors
Shunt Motors
Transistor Control
Triac Control
Suppressing RFt

Linear

Operational Amplifiers

Linear Multipliers

AN-204_ AN-248,
AN-261, AN-213,
AN-400, AN-4OJ, AN-40S,
AIII-401, ,\N-4",
AN-439, AIII-4S9,
AIII-460
AN-401
AN-4B9, AN-490

Sense Amplifiers

AN-245A, AN-414

Power Amplifiers

Voltage Regulators

AIII-45B, AIII-473,
AN-497, AIII-498, AN-499,
AN-500

Video Amplifiers

INTEGRATORS

AN-299. AN-404. AN-47S.
AN-491
AIII-291, AIII-421,
AIII-411
AIII-204

INTERCOIliNECTIONS

AN-210

General

> 5 kHz)

Low Frequency ( < 5 kHz)
LAMP DIMMERS

AN-415, AN-476, AN-516

Analog

MUSIC CIRCUITS
In Digital les

I n Linear I Cs
In Transistors

AN-202,
AN-298
AIII-223, AN-247
AN-421

OPERATIONAL AMPLIFIER
Differential Output

Dual
High Voltage
Single Supply Operation
Slew Rate

(See also INTEGRATED
CIRCUITS, Linead

AIII-I63,AN-199,
AN-442,AN-469, AN-SI2
AIII-169

AN-267

AN-435

NOISE

Tester

LOAD LINES

AN-489, AN-490

Frequencv (See VARACTORSI

AIII-222, AIII-415

MATCHING NETWORKS

LIGHT SENSING

OPTOELECTRONICS

AN-407
AIII-411
AIII-248
AIII-403
AIII-439
AIII-4S0
AIII-400

AIII-440, AIII-445, AIII-499,
AN-50S

OSCILLATORS
Crystal Controlled

AIII-163, AIII-442
AIII-404, AN-411

Gated

AIII-491

Relaxation

AN-294
AIII-241
AIII-24B

Blocking

RF
"Twin-Tee"

MEASUREMENTS

Voltage Controlled

AN-210,

Noise

AIII-421

Pulse

AIII-210
AN-226, AN-292

PHASE CONTROL

AN-240, AIII-466

PHOTO TRAIliSISTORS

AIII-440, AIII-445, AIII-449

AIII-238

POWER CONTROL

AIII-242, AIII-413,
AN-445, AIII-453

Thermal

MIXERS
Bipolar

•

AN-290, AN-472

MULTIPLEXING

AIII-450

AIII-240, AIII-295,
AN-413, AIII-436, AN-466
AN-440, AN-445,
Alii-50s
AN-415

AN-189, AN-227, AN-240,
AN-443, AN-508
AN-1B9, AN-443
AN-443, AN-445
AN-1B9, AN-445
AN-466
AN-295

MULTIPLIERS

Transistor
General Oesign

AN-482
AN-450
AN-189, AN-445
AN-240, AN-242, AN-443,
AN-450

MOUNTING PLASTIC
POWER DEVICES

Stability

IIliVERTERS
SCR

High Frequency (

AN-443

Direction

AN-270, AN-298

General

AN-247, AN-475
AN-415, AN-491
AN-210
AN-491
AN-233, AN-258, AN-409,
AN-418

MOTOR CONTROL

AN-466, AN-464, AN-465,
AN-476, AN-488, AN-492,
AN-493, AN-494, AN-496,
AN-50S, AN-506, AN-516,
AN-245A, AN-446, AN-464,
AN-474, AN-5OG, AN-550

Memory Circuits

APPLICATION NOTE
NUMBER

9-4

APPLICATION NOTE SELECTION GUIDE (continued)

APPLICATION
CATEGORY

APPLICATION NOTE
NUMBER

APPLICATION
CATEGORY

POWER SUPPLIES IS..
CONVERTERS. INVERTERS.
REGULATORS!

Inverters
Motor Control

AN-182. AN-222. AN-415A
AN-169.
AN-199. AN-222. AN-425
AN-189

Silicon
Amplifier, Low Frequency

AN-225, AN-426A

AN-4Jl. AN-4JJ. AN-484.
AN-485
Amplifier. RF (SeeTRANSMlnERS)

AN-415A

SCHMITT TRIGGERS

AN-2J9. AN-408

SECOND BREAKDOWN

AN-415A

SERVO AMPLIFIERS

AN-225.

SHIFT REGISTERS

AN-264. AN-274. AN-278.
AN-50S

SLAVE CIRCUITS

AN-441. AN-466

SLEW RATE

AN-4J9

STATIC SWITCHING

AN-466

STEREO FM DECODER

AN-4J2

AN-182. AN-290A. AN-292.
AN-415A
AN-16J
AN-16J. AN-222. AN-445.
AN-447. AN-454

SUMMING CIRCUITS

AN-204

SWITCHING LOSSES.
TRANSISTOR

AN-222

PROTECTION
Overcurrent
Overvoltage

AN-16J. AN-454
AN-454. AN-461

PULSE AMPLIFIER

AN-401

TELEVISION CIRCUITS
Color I F and AGe
Video IF
Tuner

PULSE FORMING NETWORKS

AN-140

General

Regulator

Switching

Vertical Deflection
TEMPERATURE CONTROL

PULSE MODULATORS. RADAR

AN-140

AM/FM IF

Operational Amplifiers

AN-4J2
AN-54J

READ·ONLY MEMORIES

AN-446. AN-506

READ·WRITE MEMORIES

AN-464

seR. Pulse
Thyristors

THERMAL CONSIDERATIONS
Compensation, FETs
Heat Sinks

REGULATORS
Current

Measurement

AN-221. AN-462. AN-511

Plastic Transistors

Voltage

RMS

AN-509

Series Pass

AN-16J. AN-248.
AN-458. AN-47J. AN-497.
AN-498. AN-499. AN-500

Switching

AN-16J.

Ie

AN-248. AN-47J.
AN-480. AN-49B. AN-499.
AN-500

RELAY DRIVERS

AN-449

RESISTANCE WELDERS

AN-19J

RF DESIGN
FET Rf Amplifiers

Aesistance
Response
Stabilitv
Negative Bias
Phase Control

Battery Charging

Lamp Dimmers, General Controls
Motor Controls
Power Supplies
Slaving Circuit

Linvill Techniques

AN-267

Mixers. Bipolar

AN-2JB

Testers

Noise F igute
Power Amplifiers
Response Parameters
Smith Chart Techniques

AN-421
AN-2B2
AN-1J9
AN-217
AN-215
AN-406
AN-419

Triacs

RFI. THYRISTORS

AN-4QO
AN-140
AN-422

AN-2Jl
AN-290A. AN-472
AN-226. AN-292
AN-290A. AN-472
AN-226. AN-290A. AN-472
AN-226. AN-292
AN-182
AN-19J
AN-240

SCR

Matching Networks

UHF Broadband Amplifiers
UHF Narrowband Amplifiers

AN-41J. AN-466

THYRISTORS

AN-42J
AN-166

Two-Port Parameters

AN-2B7
AN-545
AN-544
AN-549

TEST CIRCUITS

RADIO CIRCUITS
Stereo F M Decoder

J

RF POWER AMPLIFIERS IS••
TRANSMITTERS!
SAFE AREA

POWER TRANSISTORS
Germanium
General

APPLICATION NOTE
NUMBER

Zero-Point Switching
(heater controlsl
R F I Suppression

Trigger Circuits

AN-447
AN-41 J. AN-4J6
AN-44J. AN-450. AN-482
AN-454. AN-509
AN-441
AN-242. AN-453
AN-295
AN-422
AN-444. AN-466.
AN-509
AN-41J

TIMING CIRCUITS

AN-294

TRANSIENT SUPPRESSION

AN-295. AN-461

TRANSISTOR CIRCUIT DESIGN

AN-295

RF-IF AMPLIFIERS IS..
AMPLIFIERS. RF ·Sm.USignall

9-5

Response Parameters

AN-1J9

RF IS•• RF DESIGN!
Safe Area
Switching
Thermal Stability

AN-224
AN-167
AN-182

•

APPLICATION NOTE SELECTION GUIDE(continued)

APPLICATION
CATEGORY

APPLICATION NOTE
NUMBER

TRANSFE R FUNCTION
SIMULATION

AN-204

TRANSFORMER COUPLING

AN-225.

APPLICATION
CATEGORY

TUNING. ELECTRONIC IS..
VARACTORS. Tuning)
UNIJUNCTION TRANSISTORS
VARACTORS
Harmonic Generation

TRANSMITTERS

General
Single-Sideband
50 MHz. 40W
118-136 MHz. 8W
118-136 MHz. 25W
175 MHz. 25 W

450 MHz. 2W
450 MHz. 25W

AN-267. AN-2B2. AN-546
AN-150
AN-502
AN-507
AN-503

Switching
Tuning

AN-495
AN-217
AN-548

(See also VARACTORS.
Harmonic Generation)

•

TR SWITCHING

AN-412

TRIACS

AN-444. AN-466

APPLICATION NOTE
NUMBER

9-6

AN-293. AN-294. AN-413
AN-147.
AN-176.
AN-213.
AN-243.

AN-151.
AN-l77.
AN-228.
AN-260.

AN-412
AN-178. AN-210.
AN-249

VOLT METER

AN-248

ZENERS
TC
Transient Suppressor

AN-437
AN-461

ZERO-POINT SWITCHING

AN-242. AN-453

AN-159.
AN-191.
AN-232.
AN-416

APPLICATION NOTE ABSTRACTS
AN-139A Understanding Transistor Response
Parameters

AN·163

This note explains high-frequency transistor response parameters and discusses their interdependence. Useful nomograms are given for determining
hfe, fT, fae, fmax , and many other parameters.

Three useful circuits - a short circuit proof voltage regulator. an inexpensive switching regulator and
a 100 kHL dc-to-dc converter are described.

AN·166
AN-140

AN·147

High·Power Varactor Diodes: Theory and
Application

AN-169

AN·176

AN·I17

AN-151

AN-159

AN·178

Epicap Tuning Diode Theory and Applications

General electronic-tuning considerations are discussed. including important parameters such as Q.
tuning range. and temperature stability.

AN·182

A Method of Predicting Thermal Stability

Variations in DC bias current with temperature
is an important consideration in the design of reliable
transistor audio amplifiers. This note gives a useful
method of predicting the thermal stability of biasing
circuits.

Design Tips for Coaxial-Cavity Varactor
Multipliers

Most microwave engineers picture a coaxial cavity as a bulky construction, difficult to design easily.
This report demonstrates that varactor multipliers can
easily be designed as small as any other. Design principles and operational data for 500 MHz - 1000 MHz
doublers are given.

Two Stage Varactor Multiplier Provides High
Power at 400 MHz

This "times-eight'" frequency multiplier can
provide a nominal 40 watts of CW power at an output
frequency of 400 MHz with a conversion efficiency
of 30 percen t.

Charge Storage Varactors for Extra UHF
Power

This report describes a varactor multiplier
which may be used to achieve power outputs of more
than 50 Watts at 150 MHz, and 20 Walls at 450 MHz.
With such high-frequency capabilities, transistor-varactor combinations can replace triodes and klystrons
in many UHF and microwave applications.

Power Varactor Gives 5 Watts Output at 3 GHz

A discussion of the design and performance of
the high power MV 1808 varactor, including design
details of a 1 GHL frequency doubler and a I GHz to
-' GHz tripler.

Getting Transistors Into Single-Sideband
Amplifiers

Silicon power transistors coupled with unique
circuit design approaches make possible a 30 watt
peak-power single-sideband transmitter operating at
30 MHz.

A Low Voltage High Current Converter

The output of low-voltage sources. i.e. solar
cells. etc .. often must be converted to a higher voltage
to be useful. Utilizing a high-performance power
transistor to efficiently perform this task. this converter can switch currents as high as 50 amperes.

This article treats varactors in non-rigorous
terms, discussing what they are, how they work, and
how to use them in practical high-power, high-frequency, output circuits.

AN-I 50

Using Linvill Techniques for RF Amplifiers

A design procedure. derived from theory developed by J. G. Linvill. simplifies the design of single
stage small-signal RF amplifiers. A 200 MHz amplifier serves as an example of the technique.

Characterization of SCR's as Switches for Line
Type Modulators

Although Silicon Controlled Rectifiers are highly
desirable as switches in DC pulse circuits, they are
usually specified and characterized for AC applications only. This article discusses the SCR characteristics desirable for DC pulse applications, and proposes simple test circuits for evaluating such devices
as pulse circuit switches. A device already characterized for such applications is described.

Silicon Power Transistors Provide New Solu·
tions to Voltage Control Problems

AN·189

Solid·State Pulse Width Modulation DC Motor
Control

Pulse-width modulation. an effective method of
dc voltage control, provides motor speed regulation
under varying torque conditions - ideal for traction
drive vehicles.

9-7

•

I

APPLICATION NOTE ABSTRACTS (continued)

AN-191

Varactor Diodes and Circuits for High Power
Output and Linear Response

Three new varactors are described, and varactor
multiplier circuits - a 50 MHz to 100 MHz push-push
doubler, a 500 MHz to 1000 MHz harmonic doubler,
and a 200 MHz to 600 MHz harmonic tripler - - are
presented in detail.

AN-194

A physical and electrical characterization of the
IN5l54, lN5l55 varactors, sufficient for design purposes, precedes the actual design discussion.

AN-215

The author shows that the power gain and stability of high frequency transistors may be completely described by two-port parameters.
This paper presents a summary of the overall
design solution for the small signal RF amplifier using
admittance parameters. Design considerations and relationships for both stable and the potentially unstable transistor are presented together with a discussion of the neutralized, unneutralized, matched, and
mis-matched amplifiers.

Designing Integrated Serial Counters

MECL monolithic integrated J-K flip-flops serve
as building blocks for ultra:high-speed ripple counters. General design techniques for designing counters
of any arbitrary count.

AN-199

A Solid-State 15 kHz Power Inverter

Fast-switching power transistors allows the design of a high-frequency power converter featuring
minimum size and weight of reactive components.

AN-219
AN-204A High Performance Integrated Operational
Amplifiers

FM Modulation Capabilities of Epicap VVC's

The author shows by empirical methods that
the frequency vs. voltage curve for Epicap voltage variable capacitors is linear for small (sufficient for most
FM modulator applications) voltage variations.
A rigorous mathematical explanation of this
linear interdependence follows the empirical demonstration.

AN-211

AN-220

Field Effect Transistors in Theory and Practice

AN-221

•

FET's in Chopper and Analog Switching
Circuits

The author's discussion begins with elementary
chopper and analog switch characteristics - - explores
fully the considerations required for conventional and
FET chopper and analog switch design - - and finishes
with specific FET circuit examples.

The basic theory, construction, and application
information for field effect transistors Gunction and
MOS types) are given. Also included are some typical
test circuits for checking FET parameters.

AN-213

The Field Effect Transistor in Digital
Applications

Field effect transistors have definite advantages
over junction transistors in many digital applications;
high fan-out, direct coupled circuitry (lower component count), extremely low power dissipation, and
low temperature coefficient circuits are among the
most important.
This paper provides the designer with an up-todate discussion of JFET and lGFET switching characteristics and how they are used in the design of
basic digital circuits. The final portion of this paper
discusses a family of JFET logic circuits, a family of
IGFET, and future prospects.

Two new high performance monolithic operational amplifiers feature exceptionally high input impedance and high open loop gain. This note describes
the function of each stage in the circuit, methods of
frequency compensating and dc biasing. Four applications are discussed: a summing circuit, an integrator, a dc comparator, and transfer function simulation.

AN-210

RF Small Signal Design Using Admittance
Parameters

Varactor Multipliers Provide High 0 utput
Power Above 6 GHz

4-Layer and Current-Limiter Diodes Reduce
Circuit Cost and Complexity

The authors present four simple circuits in
which 4-layer diodes and current-limiter diodes are
used to provide increased circuit performance: A
Saw-tooth generator (two variations), a staircase generator and a ring counter.
A brief discussion of the electrical character. istics of 4-layer and field effect diodes precedes the
circuit examples.

The author employs a high performance varactor diode in the design of several multiplier circuits
which feature exceptionally high output power versus
frequency capabilities. Among the circuits discussed
are a 2 to 5 GHz doubler, a 2 to 6 GHz tripier, a 2.83
to 8.5 GHz tripier, and a 500 MHz to 4 GHz one-step
multiplier .

9-8

APPL ICAT ION NOT E ABSTRACTS (continued)

AN·222

The ABCs of Solid·State DC to AC Inverters

AN·231

AN·232
AN·223

AN·225

High Performance All Solid·State Servo
Amplifiers

AN·238

AN·239

MECL Integrated Circuit Schmitt Triggers

The Schmitt Trigger, a regenerative circuit
which changes state abruptly when the input signal
crosses specified dc trigger levels, can be fabricated
from MEeL integrated logic gates. This note describes the modifications necessary to convert standard MEeL logic gates to Schmitt Triggers, and also
the performance to be expected from such units.
Examples of the MEeL Schmitt Trigger us~d for wave
shaping and pulse generator applications are also included.

Thermal Measurements on Semiconductors

This note describes the techniques used by
Motorola to obtain the thermal resistance of transistors, rectifiers, and thyristors.

AN·228

Transistor Mixer Design Using Admittance
Parameters

Mixer circuit design may be simplified by the
use of small-signal admittance parameters. This note
describes in detail the effective application of this
design technique and the corresponding results. Several design examples are discussed.

The design of 7.5 Watt transformer-coupled
solid-state servo amplifier and a 10 Watt complementary transistor servo amplifier are fully discussed. The
transformer coupled amplifier, requiring only three
transistors, provides a stable voltage gain of 100. The
complementary amplifier, though more complex, is
direct coupled throughout thus eliminating the transformer and its accompanying phase shift problems.

AN·226

1.5 GHz 10 Watt Two·Stage Cascade Multiplier

Two high-performance varactors - - the IN 5149
and INS 150 - - are employed in a cascade multiplier
which features over \0 watts power output at 1.5
GHz.

Cascade Noise Figure for Integrated Circuit
Transistors

In vaCL'um tube circuitry, the combination of
the grounded-cathode and the grounded-grid cascade
has superior noise properties to all other two-stage
amplifiers. In transistor circuitry the noise performance of a single-stage amplifier is well known, but
little information has been published about the best
performance obtainable from two-stage transistor
amplifiers. This paper evaluates the noise performance of all possible two-stage transistor amplifiers.
Also, since the noise contribution of stages beyond
the second is normally small, this analysis will be
valid for amplifiers with any number of stages.

FET Differential Amplifier

The field effect transistor is often a better
choice than the bipolar transistor in many differential
amplifier applications, particularly when high input
impedance is required. This report discusses drift
compensation of field effect transistors for differen·
tial amplifier applications.

The author provides a comprehensive examina·
tion of the entire field of dc to ac inverters. Among
the topics discussed are: the proper inverter for a spe·
cific application; operation principles of different types
of inverters; the problem of proper device selection in
the design of inverters; an inverter design example.

AN·240

SCR Power Control Fundamentals

Relationships of control angle to peak voltage,
average voltage, RMS voltage and power are presented
in chart form. Time constant for relaxation oscillators
are discussed for both De and AC supplies. These
basics form the heart of SCR controL

20 Watts at 1 GHz with Step Recovery
Varactors

Varactor harmonic multiplier circuit power
handling capabilities have now been extended to 20
Watts at I GHz and 10 Watts at 2 GHz by two new
varactors, the IN5149 and IN5150. This note provides a complete discussion of the design and performance of these two varactors. Several high performance multiplier circuits:· a 0.5 GHz to I GHz
doubler; a 0.4 GHz to 1.2 GHz tripler; and a 0.46
GHz to 1.84 GHz quadrupler are also discussed.

AN·243

Transistor·Varactor·Multiplier Versus
Transistor·Multiplier

Several watts of power in the upper portion of
the L band may be obtained with either the transistor
amplifier driving a varactor multiplier (T AVM), or the
transistor amplifier-multiplier (TAM). This report
presents a careful evaluation of both types of circuits.

9-9

•

I

APPLICATION NOTE ABSTRACTS (continued)

AN-245A An Integrated Core Memory Sense Amplifier
This application note discusses core memories
and related design considerations for a sense amplifier. Performance and environmental specifications
for the amplifier design are carefully established so
that the circuit will work with any computer using
core memories. The final circuit design is then analyzed and measured performance is discussed. The
amplifier features a small uncertainty region (6 mV
max), adjustable voltage gain, and fast cycle time
(0.5 Ils).

AN-251A Oecade Counters Using MRTL Integrated
Circuits
This application note discusses the design and
implementation of decade counters using the MRTL
family of integrated logic. Ripple counters, shift
counters, and parallel clocked counters are developed
using BCO, 2'421, and excess 3 digital codes. Up and
down counting techniques are discussed. Output decoding, problem areas and circuit limitations are
covered for all counter types.

AN-257
AN-247

An Integrated Circuit RF-IF Amplifier

A new, versatile integrated circuit for RF-IF applications is introduced which offers high gain, extremely low internal feedback and wide AGC range.
The circuit is a common-emitter, common-base pair
(the cascade connection) with an AGC transistor and
associated biaSing circuitry. The amplifier is built on
a very small die and is economically comparable to a
single transistor, yet it offers performance advantages
unobtainable with a single device. This application
note describes the AC and OC operation of the circuit, a discussion of V-parameters for calculating optimum power and voltage gain, and a variety of applications as an IF Single-tuned amplifier, IF stagger-tuned
amplifier, oscillator, video-audio amplifier and modulator. A discussion of noise figure is also included.

AN-248

A High Voltage Monolithic Operational
Amplifier

This note introduces a high voltage monolithic
operational amplifier featuring high open loop gain,
large common mode input signal, and low drift. The
function of each stage in the circuit is analyzed, and
methods for frequency compensating the amplifier
are discussed. DC biasing parameters are also examined. Four applications using the amplifiet; are discussed: a source follower, a twin tee filter and oscillator, a voltage regulator, and a high input impedance
voltmeter.

AN-249

•

Oesigning Around the Tuning Diode Inductance

The effect of varactor inductance is described,
and equations and graphs are presented in order to
predict the inductance value and to determine when
its effects on performance is significant.
In addition a design example of a varactortuned capacity-loaded half-wave cavity from 470 MHz
to 890 MHz, and derivations of design equations for
varactor tuned quarter wave and half-wave cavities as
well as for lumped series tuned circuits are shown.

9-10

Oecade Counters Using MECL J.K Flip-Flops

This note discusses the use of MECL integrated
circuits in four types of decade counters. The logic
and circuit design of an excess three up-down counter, a 2'421 up-down counter, a Gray code counter,
and a switch-tail ring counter with ten line output are
illustrated.

AN·260

Selecting Varactor Oiodes

High output power in the UHF region can be
achieved with varactors. A device selection procedure
based on experience, theory and common sense is offered.

AN-261A Transistor Logarithmic Conversion Using an
Operational Amplifier
The design of a log amplifier using a common
base transistor configuration as the feedback element
of an integrated circuit operational amplifier circuit
is discussed in this application note. Six decades of
logarithmic conversion are obtained with less than 1%
error of output voltage. The possible causes of error
are discussed followed by two applications: direct
multiplication of two numbers, and solution of the
equation Z = Xn.

AN-263A Choosing OTL Integrated Logic Circuits
This article discusses diode-transistor logic,
OTL, integrated circuits, and the considerations a
user should make in choosing this integrated circuit
family. Consideration is given to the advantages and
limitations one encounters with this logic form. Three
versions of OTL are considered in this report; Conventional OTL, modified OTL, and high noise immunity OTL.

AN-264

MRTL Integrated Circuit Shift Registers

This note discusses the design considerations
for the implementation of a 16-bit shift register using
J-K flip-flops. The shift register described has the
capability, upon command, to shift left or shift right
and to enter information serially or in parallel. All
problems encountered in the implementation and operation of the register are discussed.

APPLICATION NOTE ABSTRACTS (continued}

AN-267

Matching Network Designs with Computer
Solutions

AN-282

Computer solutions for four networks commonly used in solid-state high frequency amplifiers
have been tabulated_

AN-268

Pulse Triggering of Radar Modulator SCR's

Factors involved in dynamic gate triggering are
examined and relations of gate triggering characteristics to variations of total current amplifications with
gate current are shown.

AN-270

AN·286

Nanosecond Pulse Handling Techniques

AN·287

MECL Integrated Circuit Shift Registers

AN-290A Mounting Procedure, and Thermal Aspects
of, Thermopad Plastic Power Devices
Several Motorola power devices are now encapSUlated into the plastic Thermopad package. Two
package sizes are presently available: One about 0.3
by 0.4 inch (Case 77), and the larger 0.5 by 0.625 inch
(Case 90). The mounting methods are similar for both
packages. This note describes several methods and considers some thermal aspects.

Using Shift Registers as Pulse Delay Networks

This note discusses high speed clocked shift registers using J-K flip-flops and employed as a digital
incremental delay. The register may be clocked with
a frequency division counter to accomplish any desired delay with increments as small as 20 ns. The
circuit as developed may be used for timing basic
computer decisions or as an adjustable delay line for
pulse.

AN-280

Color IF Amplifier and AG C Circuit

A non-neutralized, three-stage IF video amplifier is described. Included is the associated keyed
AGC circuitry. The circuits were used in a transistorized color set built in the Applications Laboratory
at Motorola.

A generic shift-right, shift-left register with parallel entry, end-around-shift, and complementation
capabilities is discussed. Maximum practical operating speed, delay times and timing considerations of
the logic gating signals are determined. The basic
register as developed may be used for data handling,
for number scaling, or in the arithmetic portion of a
digital computer.

AN·278

Binary Addition Using MRTL IC's

This note discusses the principles of binary addition with positive numbers and considers the implementation of binary adders with MRTL. The full
adder function is illustrated using MRTL half adders,
NOR gates arranged to simulate half adders, and with
NOR gates in a two level logic scheme.
The full adder and associated logic is developed
for a four-bit parallel (asynchronous) adder and for
serial (synchronous) adder.

The rapid advancement in the field of high
speed digital integrated circuits has brought into
focus many problem areas in the methods of pulse
measurement techniques and new concepts dealing
with these problems. This paper is intended to discuss the more common, yet perhaps not well known,
pitfalls of measurement systems, a method of detecting them and possible solutions.

AN·274

Systemizing RF Power Amplifier Design

The design of high-power, Class C, RF transistor amplifiers can be greatly simplified through the
use of large-signal device characterization. This note
explains design procedures and furnishes large-signal
impedance data for thirteen Motorola RF power
transistors.

AN-292 Thermal Response of Semiconductors
This note explains a workable method - using
the concept of transient thermal resistance - of predicting junction temperature at any point in time
regardless of the power waveform.

MECL 85 MHz J-K Flip-Flop

A new high-speed J-K flip-flop is discussed.
Capabilities, performance, and applications are explained along with typical and worst case operating
data. This flip-flop with four J inputs and four K
inputs more than doubl~s the operating speed of registers and counters as employed in a system.

AN-293 Theory and Characteristics of the Unijunction
Transistor
The unijunction transistor is examined as to
theory of operation, design structures, static and
transient characteristics.

9-11

•

APPLICATION NOTE ABSTRACTS (continued)

AN-294 Unijunction Transistor Timers and Oscillators
Twelve different unijunction transistor circuits,
complete with parts lists are given. Temperature stabilization of the peak-point voltage is examined and
dynamic operation paths are discussed.

AN-401 The MC1554 One-Watt Monolithic Integrated
Circuit Power Amplifier
This application note discusses four different
applications for the Me 1554. along with a circuit description including dc characteristics. frequency response. and distortion. A section of the note is also
devoted to package power dissipation calculations including the use of the curves on the power amplifier
data sheet.

AN-295 Suppressing RFI in Thyristor Circuits
Measures taken to suppress RFI are shown. Design considerations and examples are explored as well
as some solutions to the RFI problem.

AN-402 Insulated Gate FET's Used in IC's
The note acquaints the circuit designer with the
integrated FET. A brief description of the operation
of the I nsulated-Gate Field Effect transistor is presented. This discussion is followed by a description
of the FET in integrated form and finally, the basic
advantages of FET Ie's are explored.

AN-296 Construction of A Master-Slave Flip- Flop from
MRTL Gates
Information is provided on the construction of
a master-slave flip-flop circuit from standard MRTL
gates .. Characteristics of the resulting circuit are given
and an application of the configuration illustrates the
ad~antage of this type of flip-flop.

AN-403 Single Power Supply Operation of IC Op Amps
A split zener biasing technique that permits use
of the Me 1530/1 531. Me I 533. and Me 1709 operational amplifiers and their restricted temperature
counterparts Me 1430/1431. Me 1433 and MC 1709C
from a single power supply voltage is discussed in detail. General circuit considerations as well as specific
ac and dc device considerations are outlined to minimize operating and design problems.

AN-297 Integrated Circuits for High Frequency to
Voltage' Conversion
.
This application note concerns the technique of
using integrated circuits in a linear frequency to voltage converter from I MHz to 30 MHz. A theoretical
analysis is given as well as a working design.

AN-404 A Wideband Monolithic Video Amplifier
This note describes the basic principles of a~
and dc operation of the MCI552G and MC1553G.
characteristics obtained as a function of the device
operating modes. and typical circuit applications.

AN-29B Noise Immunity With High Threshold Logic
A comparison of noise immunity characteristics
is made between MHTL devices and standard saturated logic devices.

AN-405 DC Comparator Operations Utilizing Monolithic
IC Amplifiers
The use of the MC 1533 operational amplifier
and the MC 1710 differential comparator are discussed. The capabilities and performance are given
along with typical operating curves for both devices.

AN-299 An IC Wideband Video Amplifier With AGC
This application describes the use of the MC 1550
as a wideband video amplifier with AGe. The analysis
of a single stage amplifier with 28 dB of gain and 22
MHz bandwidth is given with the results extended to
a 78 dB video amplifier with 10 MHz bandwidth.

AN·4D6A UHF Broadband Amplifier Design
A design technique is given for a wide band
amplifier operating at UHF frequencies. A shuntshunt feed-back network and Y-parameters at sampled frequencies are used.

AN-400 An Operational Amplifier Tester
A simple and inexpensive tester for Motorola's
line of operational amplifiers is described which will
measure the open loop voltage gain, the equivalent input offset voltage, the maximum positive and negative output voltage swing, and a view of the transfer
function which shows the linearity of the device.
Included is an elementary discussion of the
parameters measured and their relationship to closed
loop performance.

AN-407 A General Purpose IC Differential Output
Operational Amplifier
This application note discusses four different
applications for the MC 1520 and a complete description of the device itself. The final sections of the
note discuss such topics as operation from single and
split power supplies. frequency compensation. and
various feedback schemes.

9-12

APPLICATION NOTE ABSTRACTS (continued)

AN·40B Problems and Solutions With MDTL and MRTL

AN·416 Dne·Step High Order Frequency Multipliers

Problems which may be encountered in using
MRTL or MOTL integrated circuits in low or medium
speed systems are examined in this report. Methods
of shaping clock waveforms. restrictions on input and
output terminals when interfacing with discrete com·
ponents, and techniques for extending temperature
range are discussed.

The circuits described in this report include the
use of lumped constants, coaxial cavities, and wave·
guides. The design of lumped constant, low order
multipliers is discussed in Application Notes AN-147
and AN· 151 and coaxial cavity multiplier design is
treated in Note AN·159. Therefore, only a brief out·
line of the X2 and X3 multiplier circuits will be given.

AN·409 MDTL Multivibrator Circuits
This note describes methods of using MOTL
gates to form astable and monostable multivibrators.
The operation of the MC951/MC851 monostable
multivibrator is also covered as well as a simple pulseshaping circuit.

AN·417 IC Crystal Controlled Oscillators
Crystal controlled square wave oscillators can
be used as clock drivers, harmonic sources for frequency markers, in frequency synthesizers, frequency
comparators, etc. It is difficult to obtain high fre·
quency square waves due to the long propagation
delays of the most integrated circuits. The MECL II
clock driver with 2 ns propagation delay eliminates
this problem. This note describes square wave oscillator
circuits with crystal control that are capable of output
frequencies, inverted and non·inverted, up to ISO MHz.

AN·411 The MC1535 Monolithic Dual Dp Amp
This note discusses two dual operational amplifier applications and an input compensation scheme
for fast slew rate for the Me 1535. A complete ac
and dc circuit analysis is presented in addition to
many of the pertinent electrical characteristics and
how they might affect the system performance.

AN·418 High Speed Monostable Multivibrator Design
with ME CL Integrated Circuits

AN-412 Duplexing With Step Recovery Varactors
The switching function in a duplexer circuit can
be performed automatically by a step recovery varactor, eliminating the need for an external bias circuit.
In this note, two CW duplexers are described: a 133
MHz lumped constant component duplexer and a 450
MHz micros trip transmission line duplexer.

This note describes two configurations of monostable multivibrators using the MC 1023 clock driver
and a delay element. Operating frequencies in excess
of 70 MHz and pulse widths of 4 nanoseconds are
possible. Methods of obtaining the predetermined
delay are also discussed.

AN·413 Unijunction Trigger Circuits for Gated
Thyristors

AN·419 UHF Amplifier Design Using Data Sheet
Design Curves

This note describes the methods of supplying
controlled pulse widths in synchronization with the
ac power line to gated thyristors. The unijunction
transistor provides a simple and convenient means of
obtaining such pulses as well as including feedback
with very little additional circuitry.

This note describes the design of UHF narrow·
band amplifiers using the device loading admittances
taken directly from the device data sheet. A design
example is given in the form of a I GHz microstrip
amplifier. Predicted results are compared to actual
measured values. Also included is a short discussion
on practical microstrip construction techniques.

AN·414 Operation and Application of MHTL
IIC Flip·Flops
A master·slave R·S and a dual J·K are the initial
flip·flop elements available in the Motorola High
Threshold Logic (MHTL) family. This note describes
operation and characteristics of each unit and illustrates
several applications of these devices.

AN·421 Semiconductor Noise Figure Considerations
A summary of many of the important noise
figure considerations related with the design of low
noise amplifiers is presented. The basic fundamentals
involving noise, noise figure, and noise figure·frequency
characteristics are then discussed with the emphasis
on characteristics common to all semiconductors. A
brief introduction is made to various methods of data
sheet presentation of noise figure and a summary is
given for the various methods of measurement. A
discussion of low noise circuit deSign, utilizing many
of the previously discussed considerations, is included .

AN·415A Avoiding Second Breakdown
The use of safe-area data, the physical mechanism
of second breakdown and applications to various cir·
cuits are presented. Also included is a short discussion
of test procedures and a typical test circuit used to
establish safe area curves.

9-13

•

APPLICATION NOTE ABSTRACTS (continued)

AN-422 Testers for Thyristors and Trigger Diodes

AN-439 MC1539 Op Amp and its Applications

This paper describes inexpensive go-no-go testers
for thyristors and trigger diodes. Each is very simple
to use and is well adapted to incoming inspection and
other applications requiring fast testing of major
parameters.

This application note discusses the MCI539, a
second generation operational amplifier. The general
use and operation of the amplifier is discussed with
special mention made of improved operation over
that of its first generation predecessor-·the 709 type
amplifier.
In addition to the detailed discussion on the
dc and ac operation of the deVice, considerable emphasis is placed on operational performance. Many
applications are offered to demonstrate the device
capability, including a high frequency feed-forward
scheme, and a source follower application.

AN-423 Field-Effect Transistor RF Amplifier
Design Techniques
Amplifier design theory utilizing the two port
network model for an active device has been well
developed and used extensively in bipolar transistor
high frequency amplifier design.
This paper discusses some of the theoretical and
practical considerations for using this popular method
to design field effect transistor amplifiers.

AN-426A

AN-440 Theory and Characteristics of Photo Transistors
A brief history of the photo-electric effect is
discussed, followed by a comprehensive analysis of
the effect in bulk semiconductors, pn junctions and
photo transistors. A model is presented for the phototransistor. Static and transient data for the MRD300
provide typical photo transistor characteristics. Appendices provide a discussion of the relationship of irradiation and illumination and define terms specifically
related to phototransistors.

Low-Power Audio Amplifiers Using
Complementary Plastic Transistors

The use of complementary-symmetry output
transistors in low-power audio amplifiers enables the
circuit designer to achieve maximum circuit performance at minimum component cost. This note describes
several audio amplifier circuits suitable for power outputs of up to 2 watts with 8-, 16- and 40-ohm loads.
Also described is a line-operated single-ended audio amplifier suitable for table-radio or television applications.

AN-432B

AN-441 SCR Slaving

A Monolithic Integrated FM Stereo Decoder
System

This application note discusses the circuit approach that has been taken in the realiZation of the
first monolithic integrated stereo multiplex decoder
built for consumer usage, as well as some of the details
concerning its incorporation in an FM stereo receiver.

AN-442 Designing DC-DC Converters for Capacitor
Charging with Batteries
This paper outlines design considerations for
converters used for charging energy-storage capacitors
with low-voltage batteries. The ratio of capacitor voltage to battery voltage is chosen to be greater than 100.
A discussion of converter characteristics is presented here from the standpoint of efficiency, frequency of oscillation, rate of energy transfer from
battery to capacitor, and peak battery current drain.
A complete circuit is included that is tolerant
of semiconductor parameter variations and is thus
suitable for economical mass production.

AN-436 Conventional and Soft-Start Dimming of
Incandescent Lights
This note describes two dimmers that provide
wide-range control of incandescent light intensity by
adjusting the angle of conduction in a series triac.
One dimmer features simplicity for small size and low
cost, while the other offers soft-start operation to
limit inrush current and lengthen lamp life.

AN-437 Design Considerations and Performance of
Motorola Temperature-Compensated Zener
Reference Diodes

•

Circui~

This circuit makes use of a low-cost transistor
to overcome the limitations of a conventional R-C
discharge circuit in slave firing of an SCR. It is especially useful where zero-point switching techniques
are employed to control large electrical loads.

AN·443 Directional and Speed Control for Series,
Universal and Shunt Motors

This application note defines Motorola temperature-compensated zener (reference) diodes, explains
the device characteristics, describes electrical testing,
discusses the advanced concepts of device reliability
and quality assurance, and outlines device construction .

A simple circuit containing few components
allows control of both speed and direction of rotation
of dc motors. The use of thyristors providescontinuous
driver control through the speed range without compromising the torque characteristics of the motors.

9-14

APPLICATION NOTE ABSTRACTS (continued)

AN-453 Zero Point Switching Techniques

AN-444 Triac Prevents High Current Relay Arcing

This note discusses two unique pUlse-type thyristor triggering circuits which meet the exact timing
requirements of zero-point switching. They dissipate
very little power and can be used with either sensitive
or "shorted" gate devices.

A triac in shunt with the contacts of a relay
that switches large currents drastically reduces the
size of contacts required. Since the triac is subjected
to current surges for only a short time and at a low
duty cycle, it can conduct currents many times its
steady-state rated value.

AN-454 AC Overcurrent Protective Circuit with
Automatic Reset

AN-445 Pulse-Width Modulation for DC-Motor
Speed Control

A unique circuit that will protect ac resistive
loads from both overvoltage and overcurrent is shown.
One feature of this circuit is that the sensing element
is not in series with the load when the load is turned on.

Feedback derived from a motor's armature and
dependent on its speed can be used to counteract the
reduction in speed that accompanies loading. This
note describes two speed-control circuits which use
different methods to obtain the feedback signal. One
method uses voltage sensing, and the other an optical
pickup.

AN-455 Using the FET Designers Data Sheet for Worst
Case Amplifier Circuit Design
Basic information for the use of field effect transistors is provided, and is an aid to complete understanding of the DeSigners Data Sheet. This report
discusses the advantages, disadvantages, types and
modes of operation of FETs and presents a definitive
discussion of key parameters with their relationship
to circuit design, when applicable.

AN-446 128-Bit Read Only Memory
Read Only Memories can now be fabricated as
integrated circuit arrays and hence will have a great
impact upon digital system design. Applications of
the Motorola 16-word, 8-bit Read Only Memory
(ROM) are discussed. The applications are grouped
into two classifications according to the type of
memory addressing utilized - (I) Random Accessing
(2) Sequential Addressing.

AN-456 A 50 MHz Programmable Counter Designed with
MECL II Integrated Circuits
A high speed programmable counter using the
MEeL II family of logic is discussed. The counter is
designed to accept an input frequency up to 50 MHz
and divide it by any number from 2 to 999. This
number is programmed into three decades of synchronous down counters. These decades with additional decoding and control logic comprise a complete
high speed divide-by-N counter system.

AN-447 Fast Charging Systems for Ni-Cd Batteries
This note discusses the requirements and problems encountered in designing fast charging systems
for nickel-cadmium (Ni-Cd) cells, including some cell
characteristics affected by high-rate ·charging.

AN-450 Induction Motor Speed Control

AN-459 A Simple Technique for Extending Op Amp
Power Bandwidth

A method of providing speed control above and
below design speed for an induction motor is shown
in this note. Such speed control increases the versatility of an induction motor and permits it to be
used in fulfilling requirements formerly satisfied only
by dc motors.

The design of fast response amplifiers is presented without the use of "tricky" compensation
procedures or calculations using data sheet information. Circuit analysis for compensation procedure
is given.

AN-451 A Frequency Counter Using Motorola RTL
Integrated Circuits

AN-460 Using Transient Response to Determine
Operational Amplifier Stability

A frequency-period counter with a total hardware cost under $200.00, based on unit quantity
prices, is described. The instrument measures the
periods and frequencies of periodic waveforms, ranging
in frequency from 10 Hz to 20 MHz, and counts random occurances for selected gate times of one millisecond to 10 seconds. A four digit decimal readout is
provided. The low cost is achieved by utilizing plastic
MRTL devices in unique versions of a crystal controlled
oscillator, a period selector, a one shot multivibrator,
a pulse shaper, and a switch contact bounce eliminator
circuit.

This application note describes a technique for
evaluating the stability of any particular feedback
amplifier configuration by analyzing its response to a
step-function input. A theoretical analysis is given
along with an example.

AN-461 Transient Suppression with a Power Zener Diode
Voltage transients are a major cause of component failure in semiconductor circuits. A design
engineer must consider this problem carefully if he is

9-15

•

APPLICATION NOTE ABSTRACTS (continued)

to insure maximum circuit reliability. This note dis·
cusses the sources of transients and their detection,
and describes transient suppression using power zener
diodes designed for this purpose.

of operation, the components used can be small in
size, resulting in a very compact inverter.

AN-470 Bipolar Chopper Transistors and Circuits
Bipolar transistor chopper circuits are used in
many applications for low·drift amplification of dc
and low-frequency ac signals. This note discusses the
characteristics of transistors used as choppers and the
circuits in which they can be used.

AN·462 FET Current Regulators-Circuits and Diodes
Included are numerous FET current sourcing cir·
cuits, along with an extensive treatment of the current
regulating diode and its uses as a valuable component
in circuit design. .

AN·471 Analog·To·Digital Conversion Techniques

AN·464 MTTL Designer's Note - The MC4004/MC4005,
A 16'Bit Random Access Memory

The subject of analog·to·digital conversion and
many of the techniques that can be used to accomplish
it are discussed. The paper is written in general terms
from a system point of view and is intended to assist
the reader in determining which conversion technique
is best suited for a given application.

High speed, non-destructive readout (NORa)
memory systems can be constructed with the MTTL
16·bit memory chip. Information concerning the chip
that is pertinent to the design of a complete memory
system is herein presented. The topics discussed are:
(I) operation of the 16-bit memory including typical
read and write sequences, (2) typical dc and switching
characteristics as a function of temperature, power
supply, and output load, and (3) examples of memory
system organization utilizing the 16·bit memory as
the basic cell.

AN·472 Mounting and Heat Sinking Uniwatt Plastic
Transistors
The Uniwatt plastic package is now being used
for several medium·power transistor types. This note
describes several methods for mounting such devices,
with emphasiS on proper heat sinking for best thermal
characteristics.

AN·465 MTTL Designer's Note - The MC4006/MC4007
Decoders
Two MTTL complex functions, the MC4006
Binary to One-of-Eight Decoder and the MC4007 Dual
Binary to One·of·Four Decoder are discussed. Their
basic modes of operation and expansion capabilities
are described. Examples of the use of the decoders in
various systems are presented.

AN·473 A Monolithic High·Power Series Voltage
Regulator
This note discusses MCI 560/MCI 561 voltage
regulator in terms of internal operation, development
of these circuits, and how they are advantageously
used in supply fabrication.

AN·466 Circuit Applications for the Triac
This note discusses the basic theory of operation
of the triac with control methods and circuit applica·
tions. Among the applications included are basic
switches, lamp dimmers, motor controls, a heater
control, a flasher, a regulator, protective circuits and
zero·point switching.

AN·474 The MC1541 - A Gated Dual·Channel Sense
Amplifier for Core Memories
The MC1541 sense amplifier can provide many
magnetic core memory systems with lower system
cycle times and a lower package count than with pre·
vious sense amplifiers. Circuit operation, design con·
siderations, interface problems and typical applications
are discussed.

AN·467 Using Motorola High Threshold Logic
This application note explains operation of the
Motorola High Threshold Logic (MHTL) family of
integrated circuits. It briefly describes the members
of the family and provides many of the characteristics
of the units. Several examples are provided to aid the
reader in the application of this unique logic family.

AN·475 Using the MC1545 - A Monolithic, GatedVideo Amplifier
Because of the unique design of the MC1545,
this amplifier can be used as a gated video amplifier,
sense amplifier, amplitude modulator, frequency shift
keyer, balanced modulator, pulse amplifier, and many
other applications. This note describes the ac and dc
operation of the circuit and presents applications of
the device as a video switch, amplitude modulator,
balanced modulator, pulse amplifier, and others.

AN·469 Line Operated 15·kHz Inverter
The circuit shown in this note is a line·operated
inverter. It makes use of high·voltage, high-frequency
silicon power transistors to provide 120 volts and 200
watts at 15 kilohertz. Because of the high frequency

9-16

APPLICATION NOTE ABSTRACTS (continued)

AN-476 MTTL Designer's Note - The MC4000 Data
Selector and the MC4002 Data Distributor

universal motors, and permanent-magnet motors, and
includes circuit designs for each. By matching the
motor to its electronic control, the designer can obtain
a simple and efficient system.

Two MTTL complex functions, the MC4002
four and two-channel data distributor, and the MC4000
dual four-channel data selector are discussed. Their
basic modes of operation and expansion capabilities
are described. Examples of the use of the data distributor and the data selector in various systems are
presented.

AN-483A 20 and 30 Watt Power Amplifiers Using
Darlington Output Transistors
Use of monolithic power Darlington output
transistors can greatly simplify the design of highfidelity amplifiers. Described herein is a 20-W amplifier which uses only three transistors, and a 30-W
amplifier which uses four.

AN-477 A 30-Watt 175 MHz Power Amplifier Using
PNP Transistors
This note describes a three-stage power amplifier that delivers 30 watts output at 175 MHz. It
utilizes the first commercially available VHF PNP
high-power transistors to provide 29 dB gain, 50%
overall efficiency, and low spurious output.

AN-484 Medium-Power Audio Amplifiers Using
Complementary Plastic Transistors
This note describes complementary-symmetry
power amplifiers of 3- to 35- watt capability designed
for 8-ohm loads. The circuits use inexpensive plasticencapsulated silicon transistors in both low-level and
output stages. Information is provided for specifying
the transistors, power supplies and heat sinking.

AN478A Small Signal RF Design with Dual-Gate
MOSFETS
The dual-gate MOSFET offers low noise, high
gain, and excellent AGC, cross-modulation and overload characteristics in RF applications. Recent devices
also feature silicon nitride passivation for ease of
handling and reliability. This note discusses the
characteristics of dual-gate MOSFETs, with emphasis
on designing circuits, noise figure, AGC, bandwidth
and detuning, cross-modulation and mixer operation.

AN-485 High-Power Audio Amplifiers with ShortCircuit Protection
This application note describes a recommended
circuit approach for high-performance audio amplifiers
in the 35-W to 100-W RI\1S power range. Circuitry is
included which enables the amplifier to operate safely
continuously under any load condition including a
short.

AN-487 A High-Speed Ripple-Through Arithmetic
Processor

AN-480 Regulators Using Operational Amplifiers
The theory of op amp voltage regulator design
is discussed. The problem areas associated with such
designs are also detailed. The MC 1560 is used as a
OTC voltage reference in the op amp regulator designs
that are shown. It is shown that regulation from
0.0 I % to 0.00 I % is possible.

A simple, systematic building block approach
for designing a high-speed, ripple-through arithmetic
processor is described. Using only gates and full adders,
ultra-high speed multiplication, division, square root
extraction, addition, and subtraction may be performed. Several variations of an arithmetic processor
design are detailed and comparisons of speed and
package count using the MECL and MDTL logic in
14-pin, 16-pin, 24-pin, 32-pin, and 64-pin packages
are given.

AN-481 A Broadband 4-Watt Aircraft Transmitter
This report describes a 4-W wideband AM aircraft transmitter intended for light aircraft. The frequency range is 118 to 136 MHz and no tuning is
required when changing frequency. The RF circuitry
can be operated from 12.5 V, or can be used with a
series modulator described in the note from 26 V.

AN-488 High-Speed Addition Using Lookahead Carry
Techniques
The use of the lookahead carry principle to
increase the operating speed of adder systems is described. Several adders of different sizes using variations of lookahead carry are developed and the logical
implementation of these using the MTTL II1 and
MECL II and III logic families is given .

AN-482 Electronic Speed Control of Appliance Motors
This application note discusses the possibilities
of controlling several types of induction motors,

9-17

•

APPLICATION NOTE ABSTRACTS (continued)

bility and maintainability without the major cost penalty
that has existed in the past. Use of Exclusive·OR gates
and parity trees available in the MRTL, MTTL, MDTL,
and MECL families to design simple parity and single
error Hamming parity detection and correction circuits
is discussed.

AN·489 Analysis and Basic Operation of the MC1595
The MC1595 monolithic linear four·quadrant
multiplier is discussed. The equations for the analysis
are given along with performance that is characteristic
of the device. A few basic applications are given to
assist the designer in system design.

AN·490 Using the MC1595 Multiplier in Arithmetic
Operations

AN·497 A Floating Voltage and Current Regulator·
The MC1566

This application note discusses the use of the
MC 1595 linear four quadrant multiplier in arithmetic
operations. Included is a discussion of the MC 1595
used in the multiply, divide, square and square root
modes of operation. Actual circuits for these functions
are shown with measured data and a discussion of the
errors occurring in each mode.

This note discusses the Me 1566 theory, opera·
tion, and application in the context of high·voltage
regulation. Several circuits are given to demonstrate the
versatility of this regulator.

AN·498 Voltage and Current Boost Techniques Using The
MC1560·61
The stability requirements for the current boost·
ed Me 1560·61 are discussed. Both internal and external
compensation techniques arc shown, along with heat·
sink design information and typical circuits, including
a self·oscillating switching regulator, and a voltage boost
circuit.

AN·491 Gated Video Amplifier Applications
The MC1545
This application note reviews the basic operation
of the MC 1545 and discusses some of the more popular applications for the MC 1545. Included are several
modulator types, temperature compensation of the
active gate, AGC, gated oscillators, FSK systems, and
single supply operation.

AN·499 Shutdown Techniques for the MC1560·61/69
Monolithic Voltage Regulators

AN·492 Operating Characteristics of Motorola MC3000/
MC31 00 Series Transistor· Transistor Logic Gates

This note discusses the many ways one can use
the shutdown control for the MC 1560 Monolithic Volt·
age Regulator. These include logic control, short circuit
detection, over voltage detection,junction temperature
control, and thermal feedback. Also discussed, are cur·
rent foldback and methods of restarting automatically
from the shutdown state. The techniques discussed
apply equally to the MC 1560, MC 1561, and Me 1569
positive voltage regulators.

This application note explains the advan tages of
using the MC3000/MC3100 Series of conven tional
TTL. Design data is included which should allow deter·
mination of the operating characteristics under almost
any set of conditions.

AN·493 The MC3000/MC31 00 Series Transistor·
Transistor Logic Flip·Flops

AN·500 Development, Analysis, and Basic Operation of
the MC1560·61 Monolithic Voltage Regulators

This application note explains the basic operation
of the various flip·flopsavailable in the MC'3000/MC31 00
series of transistor· transistor logic from Motorola. Typ.
ical operating characteristics are included so that opera·
tion under different conditions can be determined.

In this note, the analysis and basic operation of
the Me 1560 and the Me 1561 voltage regulators are dis·
cussed. The tests and parameters used on the data sheet
are considered, and the problems of specifying a mono·
lithic voltage regulator are identified. The basic circuit
configurations are shown with some insight for the typo
ical performance one can expect.

AN·495 A 25·Watt, 175 MHz Transmitter for 12.5·Volt
Operation
This note describes the power amplifier stages of
a 175·MHz 25·watt transmitter. The transmitter requires
a 12.5 volt dc power source and is therefore suitable for
operation directly from the electrical system of a 12·volt
vehicle.

AN·502 A 40·W, 50·MHz, Transmitter for 12.5·Volt
Operation
This report describes a three stage. three tran·
sistor transmitter capable of prOViding 40 watts
continuous power output at 50 MHz in operation
from a 12.5 V supply
The synthesis of the matching networks in the
transmitter is greatly simplified by the application of
a design procedure utilizing large·signal transistor
impedance data.

AN·496 Error Detection and Correction Using Exclusive·
OR Gates and Parity Trees

•

The availability of Exclusive OR gates and parity
trees allows digital system designers to use error detect·
ion and correction codes to improve their system relia·

9-18

APPLICATION NOTE ABSTRACTS (continued)

A 25-W Broadband Aircraft Transmitter
This report describes a wideband aircraft transmitter with a typical carrier output of 25 watts. The
frequency range is I 18-136 MHz and no tuning is required. The supply voltage is 13.6 Y.

designs that arc suited to dc, low-frequency and highfrequcncyapplications.

AN-503

AN-504 The MC1600 Series MECL III Gates
This application note explains the basic operation
of the various gates available in the MECL III logic family. Typical operating characteristics are included as an
aid to the designer of high-speed logic along with recommended layout, breadboarding, and testing procedures.
This note will also provide the designer with some insight
into the overall capabilities of this logic line as they apply
to this application.
AN-505

The MC4012, AN MTTL 4-Bit Shift Register
The MC4012 is a 4-bit shift register consisting of
four Ootype flip-flops operated in the synchronous mode
and may be used for temporary storage of information.
The MC40 12 may be operated in either the parallel or
serial mode input depending upon the logic state of the
mode control. Circuit operation and various applications
of the device are the subject of this application note.

AN-509

True RMS Voltage Regulators
This note describes 
Source Exif Data:
File Type                       : PDF
File Type Extension             : pdf
MIME Type                       : application/pdf
PDF Version                     : 1.3
Linearized                      : No
XMP Toolkit                     : Adobe XMP Core 4.2.1-c041 52.342996, 2008/05/07-21:37:19
Create Date                     : 2017:06:21 11:43:23-08:00
Modify Date                     : 2017:06:21 12:22:58-07:00
Metadata Date                   : 2017:06:21 12:22:58-07:00
Producer                        : Adobe Acrobat 9.0 Paper Capture Plug-in
Format                          : application/pdf
Document ID                     : uuid:cfbc1111-b46b-2244-bbc6-f1201af26086
Instance ID                     : uuid:56f20bca-3067-4347-8cd0-58a5a983c0c5
Page Layout                     : SinglePage
Page Mode                       : UseNone
Page Count                      : 737
EXIF Metadata provided by EXIF.tools

Navigation menu