1972_Motorola_Semiconductor_Library_Second_Edition_Vol3 1972 Motorola Semiconductor Library Second Edition Vol3

User Manual: 1972_Motorola_Semiconductor_Library_Second_Edition_Vol3

Open the PDF directly: View PDF PDF.
Page Count: 657

Download1972_Motorola_Semiconductor_Library_Second_Edition_Vol3 1972 Motorola Semiconductor Library Second Edition Vol3
Open PDF In BrowserView PDF
English
French
German
Japanese

INTRODUCTION
TABLE OF CONTENTS

1N ... INDEX
Ordering policy for Zeners. Complete numerical index of all EIA-registered device
types, with major electrical specifications.

2N ... & 3N ... INDEX

•

Complete numerical index of all EIA-registered device types,
with major electrical specifications.

INDEXES
NON-REGISTERED DEVICE INDEX
Complete alpha-numeric index of all in-house non-registered
device types, with major electrical specifications.

DEVICES FOR MILITARY APPLICATIONS
Numerical index of 1N ... and 2N ... devices that
comply with military specifications.

SELECTOR GUIDES
Grouping of preferred semiconductors by major device categories (i.e., transistors,
diodes, thyristors, integrated circuits, microcircuit components, etc.) for quick preselection of devices best suited for specific applications. See selector-guide index on
page 5-13 (includes optoelectronic Glossary)

DIGITAL {
LINEAR

INTEGRATED CIRCUITS SELECTOR GUIDES
Tables giving the major specifications of a wide range of integrated circuits,
with digital circuits listed by logic family, and linear circuits listed by
function. Complete data on integrated circuits available on request.

•
•
•
•

MICROCIRCUIT COMPONENTS
Unencapsulated transistors, diodes, passive devices, and integrated circuits for use in
hybrid circuits. Includes processing, packaging, and inspection criteria.

HARDWARE AND PACKAGING
Hardware-Device Mounting Hardware and Heatsinks
Lead Tape Packaging Standards for Axial-Lead Components

DIMENSIONED DEVICE OUTLINES
(includes Leadform Information)

APPLICATION INFORMATION
Selection Guide
Abstracts

•
•
•

THE
SEMICONDUCTOR
DATA LIBRARY

---------SECOND

EDITION
--------REFERENCE
VOLUME
prepared by
Technical Information Center

The information in this book has been carefully checked and is believed to be reliable; however, no responsibility
is assumed for inaccuracies. Furthermore, this information does not convey to the purchaser of semiconductor
devices any license under the patent rights of any manufacturer identified in this library.

Nous n'acceptons aucune responsabilite' en ce qui concerne les erreurs qui auraient pu s'introdu ire dans cette
edition, en depit des soins minutieux apportes sa preparation et ~ sa revision; nous esperons toutefois que les
renseignements fournis sont fiables. De plus, il est bien entendu que ces renseignements ne permettent pas a'
I'acheteur de dispositifs semiconducteurs d'utiliser les brevets des fabricants mentionnes dans ce catalogue.

a

Die in diesem Buch enthaltenen Angaben wurden sorgfaltig Uberpriift und sind nach unserer Meinung vallig
zuverlassig. Wir konnen jedoch fUr die Genauigkeit dieser Angaben keine Verantwortung ubernehmen. Dariiber
hinaus wird dem Kaufer von Halbleiterelementen mit Angaben, die in dieser Bibliothek genannt werden, keine
unter die Patentrechte eines Herstell'ers fallende Lizenz erteilt.

*.I:~c;iIt~ :ht:tti*i'~¥aIH~II:~tH:ht:

t on:' if:, f), 1~~ L f.il. ... t on:·"tiJ', JJ-~ IJ (J)if:,.., t:iliif(;t., of(J).f:H·1t
l';; it lv, ;; t:*.(J)ttiilij11f¥aI:.t;l'-CIl~:h -C l' "'llH±.t;.J: U'ftt!.(J) .>I-jJ-(J)~ffml: ?l'-C of (J)11!m l:lEl~"t ... m~IH~~~
I: !Ill L -C t, ll3*±(;I. of (J)Jt1:H 1t l' ;; it lv,

Second Edition
@MQTOROLA INC., 1972
"All Rights Reserved"

Printed in U.S.A.

II

THE
SEMICONDUCTOR
DATA LIBRARY
One of the major problems facing workers in the
electronics field is the identification and selection of
semiconductor devices. Type numbers assigned to the
semiconductors are of little value since they indicate
neither device parameters nor applications. Because it
is difficult even to identify the many thousands of
device type numbers, let alone evaluate their merits for
a particular application, engineers often limit their
designs to a few well-known device types - despite
the fact that newer or more suitable devices may be
available. To help alleviate this problem, the Motorola
Semiconductor Data Library has been developed.
The Motorola Semiconductor Data Library identifies and characterizes all semiconductor devices with
1 N- - -, 2N- - -, and 3N- - - numbers registered with the
Electronics I ndustries Association at the time the
library was printed, as well as a broad line of devices
with special in-house type numbers.
(It provides
complete data sheet specifications for a wide range of
discrete semiconductors, and short-form specifications
for integrated circuits.) And in addition, to simplify
the selection of the most useful semiconductor type
numbers, it contains carefully prepared selector guides
with recommended devices for specific applications.
Properly used, it can be a valuable aid for the design
engineer, the component engineer, and the purchasing
agent in narrowing the broad categories of potentially
usable components to those best suited for a specific
project.

Dimensioned Device Outlines - Dimensioneddrawings
of package outlines with JEDEC and Motorola cross
reference. (I ncludes leadform drawings on specific
packagesJ
Application Note Catalog - Selection guide listing
application note by application category. Also a brief
summary of the available application note contents and
how to order application notes.
To meet the requirements of a practical up-to-date
reference, the Reference Volume oftheSemiconductor
Library will be completely updated and published twice
a year, with supplementary publications quarterly.

VOLUME I
This volume contains complete data sheets for
Motorola-manufactured devices with E lA-registered
type numbers up to 1 N4999 and 2N4999. Data sheets
are in numerical sequence according to device type
number except for those data sheets that cover several
devices with differing type numbers. A numerical
index in front of the book permits the user to quickly
locate the page number of the data sheet for any device
characterized in the book.
Since most of the device type numbers in the
"below 5000" category have already been utilized by
existing product, it is expected that this book will
require little updating in the next few years. Accordingly, this volume wi II be reprinted only as required by
the demand, and modifications wi II be made only when
reprinting is required.

COMPOSITION OF THE LIBRARY
The Semiconductor Data Library is divided into
three volumes, organized as follows:

VOLUME II
This volume contains data sheets for all Motorolamanufactured, EIA registered devices with type numbers 1N5000 and 2N5000 and up, as well as those
with 3N- - - type numbers. I n addition, all active data
sheets for devices with special Motorola type numbers
(not registered with E IA) are included.
Because this book contains the detailed data for
all the most recently developed semiconductors, it will
be updated through the publication of supplements.
Two supplements will be published during the life of
this edition.

REFERENCE VOLUME
The reference volume is a self-contained compendium of semiconductor devices and integrated
circuits information. This volume enables the user to
locate and select devices for most any application or
specific circuit. It also contains package and hardware
information as well as applications information. Once
a preliminary selection of a potentially suitable device
has been made, consult Volumes I or II for detailed
specifications for that particular device.
EIA. Registered Device Index - Complete numerical
index of all E IA registered device types, with major
electrical specifications.
Non-Registered Device Index - Complete numerical
index of all in-house non-registered Motorola device
types, with major electrical specifications.
Microcircuits Components - Unencapsulated transistors, diodes, passive devices, and integrated circuits
for use in hybrid circuits.
(includes processing,
packaging, and inspection criteria.)
Master Selection Guides - Grouping of preferred
devices by major device categories for quick preselection of devices best suited for specific applications.
I ncludes semiconductor devices and I Cs.
Military Device Listing - A complete list of Motorola
devices that comply with Military Specifications.
Hardware and Packaging Information - Device mounting hardware, heat sinks and special device packaging.

How to Use The Semiconductor Data Library
The library is designed to serve several specific
functions;
1. To permit quick identification (together with
major specifications) of EIA registered semiconductor devices with units with special Motorola
type numbers.
2. To permit quick selection of the most suitable
devices for a specific circuit application_
3. To permit quick selection of the. devices that
best meet a given set of electrical specifications.
4. To provide complete characterization of a broad
line of components, encompassing most semiconductor categories, for a detailed comparison
of device tv pes.
III

The following examples illustrate several ways of
using this library.
Problem: Device Identification
Known: Device Type Number
Information Needed: Device function, applications,
major specifications.
Procedure: Consu It the Master.l ndex of the R",ference
Volume and locate the type number of the device in
question in the alpha-numeric listing of the master
index. The informati.on given in this index lists not only
the type of device it is, but also provides the mlljor
electrical specifications for the device. I n addition, it
indicates whether or not the device. is manufactured by
Motorola and, if not, whether Motorola.can supply an
electrically suitable -equivalent. Complete data for
Motorola manufactured devices can then be obtained,
if required, from the other two volumes of your Semiconductor Data Library.
Problem: Device Preselection

-Known: a) Intended circuit application for a particular device
b) Approximate electrical specifications of
a desired device.
Information Needed: a) What devices are available
for a specific circuit function?
b) What device types will best
match 1I required set of electrical characteristics?
Procedure: Consult the Master Selection Guide section of the Reference Volume. This section contains
product categories, i.e., power transistors, zener diodes,
etc., and by specific market segments, including communications, consumer and military. An index to the
individual selector. guides is given at the beginning of
the section for quick access to the pertinent guides.
Complete data for Motorola manufactured devices can
then be obtained, if required, from the other two
volumes of. your Semiconductor Data Library.

CATALOGUE DE SEMICONDUCTEURS

Identifier et ensuite choisir les dispositifs semiconducteurs constituent I'un des grands problemes que
rencontrent ceux qui travaillent dans Ie domaine de
I'electronique. Les differents dispositifs sont des ignes
par des chiffres ne donnant aucune indication sur leurs
parametres et sur leurs applications. La difficl,llte pour
les techniciens et ingenieurs d'identifier plusieurs mill iers
de dispositifs les amenent utiliser, lors de I.a conception de circuits, des dispositifs bien connus al.ors que
d'autresdispositifs mieux adaptessontdispol1ibles. Afin
de pallier cet inconvenient, Motorola a donc institue
ce catalogue de semiconducteurs.

INDEX DU CATALOGUE
Le Catalogue de Semiconducteurs comprend trois
volumes:
VOLUME DE REFERENCE
Le volume de refefance resume les renseignements
sur les dispositifs semiconducteurs et circuits integras.
Ce volume permet donc II I'utilisateur de determiner
et de choisir les dispositifs pour"a majorite des applications; il contient eg.lementdas rensaignements sur
1115 boitiers etsur les systemes de montage. Une fois
la choix du dispositif effectue, il suffit de consulter
las Volumes I et II pour obtenir toutes lesdonnees
concernant ce dispositif.

a

Le Catalogue de Semiconducteurs de Motorola identifie et caracterise les dispositifs semiconducteurs enregistr6s aupres de l'Association des Industries Electroniques (EIA) par les symboles 1N--, 2N---, et 3N--ainsi que les dispositifs propres II Motorola avec des
numerosspeciaux. (Ce catalogue contientles sp&cificationscompletespour tous les semiconductaurs discrets,
etdesspecifications abrege'es pour les circuitsintegres.)
De plus, afin de simplifier Ie choix des dispositifsles
plusutiles, il coritient egalament un "gu·ide" mettant
an evidence ·Ies dispositifs destines des applications
bien specifiques. Son utilisation adequate paut donc
etre un outil de travail tres utile pour I'ingenieur de
circuit, I'ingenieur de composants, et I'acheteur en leur
permettant. de limiter la nombre de composants possible convenentla mieux pour un projat bien determine.

Index des Dispositifs Homologu~ par,EIA
Cet index fournit agalement les donnees electriques
principales.
Index des Dispositifs Non-Homologu.s

a

Cet index fournit une liste complete desdispositifs
Motorola non-homologues, avec leurs donnees electriques principales.
CompOsants Micro-circuits
Transistors et diodes non-encapsules, elements pa&sifs et circuits intesres pour utilisation en circuits hy-

IV

brides (y compris processus, mise en boitier et criteres
d'inspection. )

supplementaires, car il contient toutes les donnees de.
taiII ees des dispositifs semiconducteurs les plus recents.
Deux supplements seront publies pendant la duree de
vie de cette edition.

Guide
Les dispositifs les plus utilises y sont groupes par
categories principales pour un choix rap ide des com·
posants les mieux adaptes 'a certaines applications (y
compris dispositifs discrets et circuits integres.)

Methode d'Utilisation du Catalogue de
Semiconducteurs
Ce catalogue a pour but:
1. O'identifier rapidement, grace aux specifications
principales, si Ie dispositif est homologue par EIA
ou s'iI s'agit d'un type special Motorola.

Liste des Dispositifs Militaires
Cette liste fournit tous les dispositifs Motorola homo·
logues par les Specifications Militaires.
Boitiers et Modes de Montage

2. De selectionner rapidement Ie dispositif Ie mieux
adapte un circuit.

Fournit les modes de montage, les radiateurs et les
boitiers speciaux.

3. De ~Iectionner rapidement un dispositif en fonc·
tion des specifications electriques.

a

4. De fournir les donn'es completes de tout I'ensem·
ble des composants Motorola - donc la majorite
des dispositifs semiconducteurs - afin de pouvoir
comparer tous les·types de dispositifs.

Dimension des Boitiers
Dessin et dimension des boitiers homologues par
JEDEC et Motorola (y compris les dessins pour former
les tiges.)

Exemples de methodes d'utilisation;
Catalogue de Notes d'Applications

Question:
Identifier Ie dispositif
Oonnee:
Type de dispositif
Renseignements Requis:
Fonction du dispositif, ap·
plications et specifications
principal:es.

Fournit une liste complete des notes d'applications
groupees par categories, egalement un resume des notes
d'applications disponibles et la marche II suivre pour
les obtenir.

M~thode: Consulter l'lndex du Volume de Reference
et determine! Ie numero du dispositif en question parmi
la liste numerique de I'index. Cs renseignement ainsi
obtenu indique non seulement Ie type de dispositif
mais egalement fournit les specifications electriques
princip~les. de ce dispositif. De plus, Ie fabricant y
sera precise et Ie catalogue indiquera si Motorola peut
fournir les dispositifs equivalents. Les deux autres
volumes de ce cataloguevont maintenant fournir toutes
les donnees slir les dispositits faits par Motorola.

a

II est lwident qu'afin de garder ce catalogue jour,
Ie Volume de Reterence sera completement revise et
publie deux fois par an, avec des additions supplemen·
taires publiees tous les trimestres.
VOLUME I

Ce volume est constitue par les specifications pour
les composants fai,s par Motorola avec les numeros
homologues par EIA jusqu'a 1N4999 et 2N4999. Ces
specifications sont classees par ordre numerique sauf
les specifications qui se rapportent
plusieurs types
de dispositifs. Un index numerique en premiere page
permet'a I'utilisateur de determiner rapidemente Ie nume·
ro de la page pour chaque dispositif decrit dans ce
catalogue.

a

Question:

Donnees:
a) Application probable du circuit pour un dispositif
connu.
b) Specifications'electriques approximatives du disposi·
tif en question.

II est probable que les dispositifs portant un numero
en·dessous de 5000 OI(cessiteront peu de mise II jour
puisque tous ces numeros sont deja utilises. En con·
sequence, ce volume ne sera rjimprime que sur demande
et les modifications apparaitront uniquement lors de
cette nouvelle edition.

Renseignements Requis:
a) Quels sont les dispositifs disponibles pour la fonc·
tion j:>rIlcise de ce circuit?
b) Quel type de dispositif va rElpondre des carac.
ristiques electriques predeterminees?

a

VOLUME"

Methode:
Consulter Ie Guide dans Ie Volume de
Reference qui est categorise par produits, c'est·a-dire
transistors de puissance, diodes zener, etc., et par mar·
ches, y compris communications, grand public, et mili·
taire. Ces differentes categories apparaissent en premiere
page pour faciliter la selection du Guide. Nous pouvons
maintenant obtenir toutes les donnees sur les disposi·
tifs faits par Motorola en utiliSent les deux autres volu·
mes du Catalogue de Semiconducteurs.

Cevolume est constitue par toutes lesspecifications
pour les dispositifs faits par Motorola, homologue's par
EIA avec numeros 1N5000, 2N5000, etc. ainsi que
ceux avec les numeros 3N···. De plus, les specifications
de dispositifs avec numeros speciaux de Motorola (non
homologues par EIAl y sont incluses.
Ce catalogue sera mis

it

jour

a I'aide

Choix du Oispositif

d'editions

v

DIE HALBLEITER DATENBIBLIOTHEK
hybriden Kreisen. (Proze55-, Einkapselung- und Inspektions-Kriterien sind inbegriffen.l

Eines der Hauptprobleme fur Fachleute in der Elektronik-Industrie besteht in der Bestimmung und Selektion von Halbleitertypen. Die meisteil Typenbezeichnungen geben wenig oder keine AuskLinft uber Parameter oder Anwendungen von speziellen Hlilbleitern.
Viele tausend verschiedene Halbleitertypen sind heute
erhiiltlich. Es ist fast unmoglich, auch nur einen
geringen Prozentsatz aller Typen genau zu kennen.
Somit bringen die meisten Ingenieure und Techniker
nur die bekanntesten und gebriiuchlichsten Halbleitertypen zur Anwendung, auch wenn neuere und bessere
Elemente zur Verfugung stehen.

Hauptnachscl1lagewerk
Zusammenfassung in Gruppen der bevorzugten Hauptelementkategorien fur schnelle Vorselektion der Elemente die am besten fur gegebene Anwendungen in Frage
kommen. Dieses Dokument enhalt Halbleiterelemente
und integrierte Kreise.
Militiirelementen-Liste
Dies ist eine vOlistiindige Liste von Motorola Bausteinen
die Militarspezifikationen erfiillen.

Um diesem Problem Abhilfe zu schaffen hat Motorola
die meisten Halbleitertypen in eine Halbleitersammlung
zusammengefasst. Diese Halbleitersammluilg umfasst
aile 1N, 2N und 3N Typen, die durch die "Electronics
Industries Association" registriert sind. Weiterhin sind
eine grosse Anzahl von Motorola I n-Haus Typen.in dieser
Sammlung zusammengefasst. Volistandige Spezifikationen einer grossen Anzahl von diskreten Halbleitern
und Kurzspezifikationen von integrierten Schaltkreisen
sind vorhanden.

Montagezubehor und Einkapselung Information
Bauelement-Montagezubehor, Kllhlelemente und Spezial-Elementeneinkapselun.g.
Vermasste Elementen-Grundrisse
Vermasste Zeichnungen von Gehiiusegrundrissen. mit
JEDEC und Motorola Gegenuberstellung. (Zeichnungen
der Anschlussformen von gegebenen Gehiiusen sind
inbegriffen.l

Zusiitzlich sind, zur Vereinfachung der Aufsuche der
meist gebrauchten Halbleitertypennummern, Nachschlagetabellen mit Vorzugstypen fur bestimmte Anwendungen in der Sammlung enthalten.

Awendungsbericht-Katalog
Nachschlagliste der Anwendungsberichte welche in Anwendungskiltegorien zusammengefasst sind. Eine ku rze
Zusammenfassung des Inhalts der verfiigbaren Berichte
ist gegeben und ebenfalls wie sie bestellt werden konnen.

Die Halbleitersammlung kann dem Entwicklungs und
Komponent-Ingenieur sowie dem Einkiiufer von Halbleitern gute Dienste leisten im Aufsuchen der best
moglichen Elemente fUr eine bestimmte Anwendllng.

Um den Anforderungen eines praktischen, auf den letzten Stand gebrachten Nachschlagewerkes zu geniigen
wird der Referenz-Band der Halbleiterbibliothek zweimal im Jahr vollstiindig ubei"arbeitet und publiziert.
Zusiitzliche Veroffentlichungen werden vierteljiihrlich
herausgegeben.

ZUSAMMENSETZUNG DER
HALBLEITERSAMMLUNG
Die Halbleitersammlung besteht aus drei Teilen, die
folgendermassen zusammengefasst sind:

BAND I
REFERENZ-BAND

Dieser Band enthiilt vollstiindige Datenbliitter der von
Motorola fabrizierten Elemente mit EIA registrierten
Nummern bis zu 1N4999 und 2N4999. Die Datenbliitter sind in numedscher Ordnung gemiiss der Bauelemente-Typennummer eingereiht. Ausnahme sind solche
Datenbliitter welche spezielle Elemente mit wechselnden
Typennummern behandeln. Ein numerisches Verzeichnis am anfang des· Bandes erlaubt· dem Beniitzer ein
sch nell es Auffinden der Datenblatter fur aile Elemente,
die im Buch aufgefuhrt sind.

Der Referenz-Band besteht aus einer ubersichtlichen
Zusammenfassung von Halbleitern und integrierten
Schaltungen. Mit Hilfe dieses Referenzbandas lassen
sich Halbleiter und integrierte Schaltungen fur spezielle
Anwendungszwecke leicht auffinden. Gehiiu~, Anwendungs- und Montagezubehorinformationsind ebenso im Referenzband angegeben. Nach der Wahl eines
Halbleiters oder einer integrierten Schaltung aus dem
Referenzband kann Band I oder Band 1·1 fur die speziellen Daten zur Hilfe gezogen werden.

Weil die meisten Elemente-Typennummern in .der Kategorie bis 5000 schon von bestehenden Produkten aufgebraucht wurden, ist erwartet, dass dieser Band in
den niichsten Jahren wenig Ueberarbeitung verlangt.
Dementsprechend wird dieses Buch nur neu gedruckt
wenn die Nachfrage as verlangt und Modifikationen
werden nur bei einer Neuauflage vorgenommen.

EIA Registriertas Halbleiter-Verzeichnis
Volistandiges numerisches Verzeichnis aller EIA registrierter Halbleiter Typen, mit den hauptsachl ichen elektrischen Spezifikationen.
Nicht Registriertas Halbleiter-Verzeichnis

BAND II

Volistandiges numerisches Verzeichnis aller nicht registrierter In-Haus Motorola Halbleiter Typen, mit den
haupts8chlichen elektrischen Spezifikationen.

Dieser Band enthalt Datenblatter der von Motorola
hergestellten EIA registrierten Elemente mit der Typennummer 1N5000 und 2N5000 und aufwiirts und ebenfalls solche mit den 3N- - Typennummern. Aile aktiven
Datenbliitter fUr Elemente mit speziellen Motorola
Typennummern (nicht EIA registriertl sind zusatzlich

Mikroschaltkreis- Komponenten
Nicht eingekapselte Transistoren, Dioden, passive Elemente und integrierte Schaltkreise fUr den Gebrauch in

VI

hier einbezogen.
Weil dieser Band die detaillierten Daten fUr aile der
erst klirzlich entwickelten Halbleiter enthalt, wird er
durch Publikationen von Zusatzbiichern auf den letzten
Stand gebracht. Zwei Zusatzblicher werden wah rend
der"Lebensdauer'~dieser Ausgabe veroffentlicht werden.
Wie wird "Die Halbleiter Datenbibliothek" gebraucht
Die Bibl iothek ist zusammengestellt worden u m mehrere
spezielle Funktionen zu erfUllen:

1. Erlaubtschnelle Bestimmung (zusammen mit Hauptspezifikationen) von EIA registrierten Halbleitern
und Bausteinen mit speziellen Motor.ola Typennummern.
2. Erlaubt schnelle Selektion der best geeignetsten
Elemente fUr eine bestimmte Schaltungsanwendung.
3. Erlaubt schnelle Selektion von Elementen welche
am besten gegebene elektrische Spezifikationen erfullen.
4. Liefert vollstandige Charakterisation einer breiten
Komponentenlinie, welche die meisten HalbleiterKategorien einschliesst. Erlaubt einen detaillierten
Vergleich der Elementtypen.
Die nachfolgenden Beispiele veranschaulichen mehrere
Wege um diese Bibliothek zu gebrauchen.
Problem:
Elementen-Bestimmung
Bekannt:
Elemente-Typennummer
Bentitigte Information:
Elementefunktion,
Anwendung, Hauptspezifikationen
Vorgang:
1m Hauptverzeichnis des Referenzbandes
sind die Typennummern des zu untersuchenden Elementes in der alphanumerischen Liste aufgefuhrt. Die

VII

Information, die in diesem Verzeichnis gegeben ist,
besteht nicht nur aus dem Elemententyp sondern auch
die elektrischen Hauptspezifikationen sind gegeben.
Zusatzlich ist angegeben ob das Element von Motorola
hergestellt wird und, im Fall dass dies verneint wird, ob
Motorola ein elektrisch vergleichbares Bauelement liefern kann. Wenn benotigt, konnen die vollstiindigen
Daten der von Motorola hergestellten Halbleiter von
den zwei anderen Banden der Halbleiter Bibliothek
erhalten werden.
Problem:
Bekannt:

Elementen-Vorbestimmung

a) Vorgesehene Schaltkreisanwendung fur ein bestimmtes Element.
b) Ungefahre elektrische Spezifikationen eines gewiinschten Typs.
Benotigte Information:
a) Welche Elemente sind fUr eine bestimmte Kreisfunktion verfiigbar?
b) Welche Elementtypen erflillen am besten die erforderl ichen elektrischen Charakteristiken?

Vorgang:
Das Hauptnachschlagwerk des ReferenzDieses Kapitel enthalt
bandes wird aufgeschlagen.
Produktkategorien, z.B. Leistungstransistoren, Zenerdioden etc. eingereiht in bestimmte Marktsegmente, einschliesslich Fernmeldewesen, Verbraucherindustrie und
Militiirbereich. Ein "Index" zu den einzelnen "AuswahlFlihrern" ist am anfang dieses Kapitels gegeben, was
zum schnellen Auffinden der zutreffenden "Fuhrer"
hilft. Volistandige Daten der von Motorola hergestellten Elemente k8nnen, wenn benotigt, von den zwei
anderen Banden entnommen werden.

THE SEMICONDUCTOR DATA LIBRARY
(._1*7'-? 7;(77 1) - )
• .::rI. I:: t: i' ~

n? -r l' 9 :& (7) :IJ'iitiiiT 9 Jlltr",

(7)1?~ • • ~.~~(7)~.~.~~~9.

~~

(7).~(7)~.~(;I:.of (7)~~(7).I{7;;1-51
~jf- T

-\"'ffl:ias ~iB:
:& (7) -r0(;I: t,,: l ' (7) -r0:*: L -r tst t:: (;I:::!z: t: t,,: l' .

~~(7)~:ias~.L-r~~(7);;I~7~~~"T9::
~U~~~i~S~~i'.~~~0~9~.~~~

. T 9::

~ :&lIF~I::l!I.t,,::: ~ -r0~

-rlli'.~-\'" ~ I) iJl-t;JJt":~~:IJ(~

9.
L t::IJ'?
91:::& ~ t:> i'. tt~

*~~fi~~t:?-r~~T9(7)~P~(7)~h

-r l' 9 ~~ I::~.& t:> h -r L iI: i :: ~ :IJ< ~ ( ~ 9. :: (7)
71' 77 I) (;I:. :: (7) ~ i U r!"'I~1¥f$;R;T 9 t: ~ l::iI\l

JIlZ1tttSIJ.u.

t.l~·;1?--~;,,-,~-Jfil1tlttm~.

1:::-

" • y;"-~S~ U~,-!IJ~(7).I{·;I?--9;..-~.

?--AO>• •~iii~-JEDEC~~~Q-7.
51 ~?- - ~ (7)mtlll&lJLiii~ (~~ (7).I{
7?--9(7)~-1'7*-k(7)~~~t;. )
77'1)?--Y5I;"-' / - I- '1J?D~--m:ias,-!IJ7"

~ (7)lHl!1"i:~ -

7~?--Y3;"-·/-~(7)~~~Y3;"-·ff1'l'~

45- / - ~ (7)¥i!t-JS ~ U;;£x1.n;1;;.
:ft:lIi'1'I¥i I::. T 9 ¥~ I::/it ~ 9 t:

~.

:: (7) ~ (;I: ~

4[§](7)~M~~~:&~.~2[§]~fi.h~*U.lIi'

'i'Jj¥i ~ JI:iljil L -r l' 9 .

.~ht::&(7)-r°~9.

::(7)71'77~~EIA (t.::rI_*) ~R~~
h t: 1 N ...• 2 N ...• 3 N ......• ~ ~ :& ? T '" -r (7)
~~~~~-~
7.~ ~

rtlJA < ~. L •

iI: t: I C
I.' 9
~

(.~.~~)

.~

I::? l' -r

~R~~(7)~~Q-

L -r l' 9.
:IJ(ict!t ~ h-r

2N4999i1:~(7)~"Q-7~.(7)~*U~-51
~ JI:iljil

0

t:>

~1~~EIA~R~~ht:IN4999SJ:U

.of(7)~~~JI:iljil
:&.111&~-51

I (M 1 . )

VOLUME

I::~ :&~~

t":.6',, ~ M. I:: iBm -r0il 9

~

i I::

~:ias~~m • • ~~~~~51·ff1'f~L-r~~L

-r l' 9 (7)"(0.

iiittt~*. $~tt~*S ~ ulllnu~

~*~~:*:U.~• • ~(7).~t:>"~(7)7Q9z~

L "( l' 9 .
~~(7)~~.~ ~ .; ~ ~ -r iicllG
L"(I.'9T-51 • ~- ~ H~n'"«(;l:T"'-r.~m:I::
Jl!M. h"( l ' 9 .
~iiJi(7).~Jlili~ 51 trt:>. ~ 1 ~
.(7) ~(7)~~I:: ?l'-r :& ~- 51iicti"':'-9:IJ'M.I::
~"'t:>h9.

5 0 0 0 J,:,.,r"F(7)~.~ I::. L "( (;I: !!i I:: (;1 ~ Iv~.
il "( l' 9 (7)"(0. :: (7)~ (;I: 2. 3 ~f~ :& :jiIj:~(7)
!lZ.~(;I: t,,: l' ~ )!'.n h 9 .
L t: tJ'? "( !lZ.¥:IJ'~ 9:t1;
.g-1::(7)h~~ ~ n. _iE:IJ':!JQ ~ t:> h 9.

~~.:&~Lt::&(7)~~~L~6::~~~il9.

&:IJ<"(o

"7 -( "7""7 I)

Q)IIJ5It

:: (7) 71' 77
"( l '

I)

(;I: 3 ~1::~h~(7)

~

i 1::.Jll!: ~ h

9.

REFERENCE VOLUME
( I) 77 .... :-- A·,f. I).::L -A)

VOLUME

II (~2.)

::(7)~~.~.~~~IC.~(7)~*U.III&~-

~2~~IN5000~2N5000~~SJ:U

51 ~JI:iljil L "( I.' 9 (7)"(0 • .::L--!f- (;I: ~ Iv t,,: ~:ias-\"'[§]
HI::fJ! i • .::r"(o:& iAUI±I T:: ~ :IJ<"(o il 9 .
iI: t:.I{
';I ?--9. Jfil1tlt$~S ~ U/itfflWH iicllG ~ h"( l'
9.
::(7)~"(0~~ t,,:~.::r~ ~ t:> tJ· L: ~~UI±IT ~.

3N(7)~.~~EIA~R~~ht:~I-Q-7~~

~1~S~U~2~~.ofht:>(7)W~U~-51~~'"
~ :: ~ :IJ<"(o

E I

il 9 .

A~ • • ~0>9I!!H-

~. Rjil~(7)~ ~ Q-7~*j:.l!.*!~(7)*~-51

•Y
2 ~I::(;I::::: < .lliOO~
.ht:.~.~~(7)W~U~-51iI:~JI:il~.h. U
S~?:jiIj:~iI:~~~M~2~~~~~h9(7)~. ?
n 1::.lIi'(7)~-51 :IJ'~ t:> h 9.

-

~ ~JI:iljil

L -r l'9.

~

E I A (-..::rI_*) I::

Rjil~ ht:*~~(7)~*U.~~1i~51 ~::tt,,:-,~~
,~.

E I A • •9f. •

.u.(7)91!51-~ ~

Q

-7;j:±(7)~*~

*!~(7)~*t":.~~91!51 ~ ::tt,,:t1l't~'I"i:.

::(7)71'77~~~(7)J:i~fJ!~9.

"71' ~D' it-.·;I I-SlJ&-jJ7~)vl::A.?-rt,,:
l' • .1'1' 71) ';1 ~·[§]Hffl(7) ~ 7 ;"-9~51. ~1';t1'. ~1IJ~.::rs J: U Ie. (~~If~ • .I{·;I ?--9

I)

:",~. ~:I:~*~~t; 0)
"7~?' ~~~Y5l;"-'"ii1'

I' .~.~6',,~
Ie .6'" ~~~.~~t,,: • .::r:IJ.:.t

• ....:: 1"= l.'':' t

n

~1J'? -Cl.' i>.:. C :
a) !It:iE:O)~.i'" t:.3t

REPLACEMENT

REF.

::IE

~

c.:o
;:;:
;::
z:

...

53

IN1l83

R
R
R
R
R
R
R

1.7

35
100
100
100
100
35

10

1000
1000
1000
1000
500

IN1l84

IN1l83

R

100

1.7

35

10

500

IN1l85

IN1l83

R

150

1.7

35

10

500

INll77
IN1l78
1N1l79
IN1l80
IN1l81
IN1l82
IN1l83

S
S
S
S
S
S
S

S

IN1l83

R

IN1l87

S

IN1l83

R

300

1.7

35

10

500

INll88

S

IN1183

R

400

1.7

35

10

500

INll89
IN1l89A
IN1l90
INll90A
IN1l91
INll91A

S
S
S
S
S
S

IN1183

R

500
500
600
600
50
50

1.7
1.2
1.7
1.2
2.35
2.0

35
40
35
40
18

10
2.0
10
1.8
5.0
2.5

500
800
500
800
220
500

100
150
150
200
200
300
300
400
400
500
500

2.0
2.35
2.0
2.35
2.0
2.35
0.6
2.35
0.6
2.35
0.6

18
22
18
22
18
20
18
20
18
20

22

2.5
5.0
2.5
5.0
2.5
5.0
3.2
5.0
2.5
5.0
2.2

20
12
12
12
12
50
12
12
50
12
12

1.
10
3.0
0.9
10
2.5
0.9
10
2.25
0.9
10

500
220
500
220
500
220
350
220
350
220
350
220
350

12
12
50
12
12
50
12
12
50
12

250

12

0.9
10
1. 75
0.9
10
1.5
0.9
10
1. 25
0.9
10

12
1.6
1.6
1. 35
1.6
1.6
1. 35
1.6
1.6
1. 35
1.6

0.9
1.5
0.05
0.3
1.5
0.05
0.3
1.5
0.05
0.3
1.5

250
20

O.

25
20

IN1l83

R
R

R
R
R
R

INll92A
INll93
IN1l93A
IN1l94
IN1l94A
IN1l95
IN1l95A
IN1196
IN1l96A
IN1l97
INll97A
INll98A
IN1l99
IN1l99A
IN1l99B
IN1200
IN1200A
IN1200B
IN1201
IN1201A
IN1201B
IN1202
1N1202A
1N1202B
1N1203
1N1203A
1N1203B
1N1204
1N1204A
1N1204B
IN1205
1N1205A
IN1205B
1N1206
IN1206B
1N1217
IN1217A
1N1217B
1N1218
1N1218A
1N1218B
1N1219
1N1219A
1N1219B
1N1220
1N1220A
1N1220B
1N1221
1N1221A
1N1221B
1N1222
IN1222A
1N1222B
1N1223
1N1223A

S
S
S
S
S
S
S
S
S
S
S

R
R
R
R
R
R

S
S
S
S
S
S
S
S
S
S
S
S

R

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

R
R
R

R
R

IN1199
IN1200

R
R

*
*
**

R
R

R
R
R
R
R
R
R

"
1N1201 *
"
1N1202
1N1203
IN1204

*

R
R
R
R

**
*
*

R
R
R

R
R

"

R

IN1205 "

R
R

*
1N4001
1N4001

1N4001
1N4001

IN4002
1N4002

1N4001
IN4001

1N4003
1N4003

1N4001
IN4001

1N4003
1N4003

IN4001
IN4001

1N4004
1N4004

IN4001
IN4001

1N4004
IN4004

IN4001
IN4001

1N4005
1N4005

IN4001
IN4001

R
R
R

R
R

R
R
R
R
R
R
R
R
R
R

R
R

R
R
R
R

R

600
50
50
50
100
100
100
150
150
150
200

1.35
1.2

200
300
300
300
400
400

1. 35
1.2

400

22

1. 35
1.2

1. 35
1.2
1.2

1. 35
1.2

500
500
500
600

1. 35
1.2

600
50
50
50
100
100
100
150
150
150
200

1.2
1.0
1.5
1.7
1.0
1.5
1.7
1.0
1.5
1.7
1.0

200
300
300
300
400
400
400
500
500

1.5
0.05
0.3
1.5
0.05
0.3
1.5
0.05

Replacement * denotes exact device type replacement available on request.

1-19

240
250
240
250
240
250

240
250
240
250
240
250

25
20
25
20
25
20

25
20
25
20

lN223B-1N1284
z:

.....

...""

C>

j:

:!!5

TYPE

~

REPLACEMENT

REF.

::IE

1N1223B
1N1224
1N1224A
1Nl224B
IN1225
1N1225A
1N1225B
IN1226
lN1226A
lN1226B
1N1227
lN1227A
1N1228A
IN1229
1N1229A
1N1230
1N1230A
1N1231
1N1231A
1N1232
1N1232A
1N1233
1N1233A

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

1N1235
1N1236
1N1237
1N1238
1N1239
1N1240
1N1241
1N1242
1N1243
1N1244

S
S
S
S
S
S
S
S
S
S

1N1246
IN1247
IN1248
1N1249
IN1250
1N1251
1N1252
1N1253
1N1254
1N1255
1N1255A

S
S
S
S
S
S
S
S
S
S
S
S

1N1257
1N1258
1N1259
1N1260
1N1261
IN1262
1N1263
IN1263A
1N1264
IN1264A
IN1265

~

[;:
j:

...
z:
52

R
R
R
R
R
R
R
R
R
R
R

IN4005
1N4005

1N4001
IN4001

IN4006
1N4006

1N4001
1N4001

1N4006
1N4006

1N400l
1N400l

MRIl20

MRIl20

R

MR1l21

MR1l20

MR1l22

MR1l20

MRIl22

MRIl20

MRIl23

MRIl20

MRIl24

MR1l20

R
R
R
R
R
R
R
R
R
R
R

MRIl25

MRIl20

MR1l26

MRIl20

500
600
600
600
700
700
700
800
800
800
50
50
100
100
150
150
200
200
300
300
400
400
500
500

1.7
1.0
1.5
1.62
1.0
1.55
1. 62
1.0
1.50
1. 58
1.0
1.5
1.0
1.5
1.0
1.5
1.0
1.5
1.0
1.5
1.0
1.5
1.0
1.5

1. 35
1.6
1.6
1. 25
1.6
1.1
1.25
1.6
1.1
1.15
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6

R
R

S
S
S
S
S
S
S
S
S
S
S

R
R
R
R
R
R
R
R
R
R
R

600
700
800
900
1000
4500
50
50
100
100
200

0.1
0.08
0.065
0.05
0.25
150
200
150
200
150

1N1266
IN1266A
1N1267
1N1267A
IN1268
1N1268A
1N1269
1N1269A
1N1270
1N1270A
1N1271

S
S
S
S
S
S
S
S
S
S
S

R
R
R
R

1N1273
1N1274
1N1275
1N1276
1N1277
1N1281
1N1282
1N1283
1N1284

S
S
S
S
S
S
S
S
S
S

300
300
50
50
100
100
200
200
300
300
50
100
150
200
300
400
500
50
100
150
200

150
200
150
200
150
200
150
200
150
200
160
160
160
160
160
160
160
160
160
160
160

lN400l
lN400l
lN4001
lN400l
1N4001

25
20
25
20
25
25
20
25
25
20
20

20
20
20
20
20

20
20
8.0
8.0
5.0
5.0
5.0
5.0
5.0

600
700
800
900
1000
50
100
200
300
400
400

lN400l
1N4002
1N4003
1N4004
IN4004

0.3
1.5
0.05
0.3
1.5
0.5
0.3
1.5
0.5
0.3
1.5
0.05
1.5
0.05
1.5
0.05
1.5
0.05
1.5
0.05
1.5
0.05
1.5
0.05

R
R
R
R
R
R
R
R
R

R
R

R
R
R
R
R
R
R
R
R
R
R

R
R
R
R

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

15
0.1
0.08
0.065
0.05
0.25
0.25
0.25
0.2
0.15
0.2

1-20

0.3
0.2
0.1
0.1
0.1
0.5
0.5
0.5
0.5
0.5
0.5

5.0
5.0
5.0
5.0
5.0

2.5
1500
2000
1500
2000
1500
1500
2000
1500
2000
1500
2000
1500
2000
1500
2000
40
40
40
40
40
40
40
40
40
40
40

lN1285-1N1355A

....

s

TYPE

...
'"
!C

REPLACEMENT

REF.

:&

1N1286
IN1287
IN1291
IN1292
IN1293
IN1294
IN1295
1N1296
1N1297
1Nl301
1N1302
1N1306
1Nl313
1Nl313A
1Nl314
IN1314A
IN1315
IN1315A
1N1316
IN1316A
INl317
INl317A
IN1318
INl318A
1N1319
IN1319A
IN1320
1Nl320A
INl321
1N1321A
1N1322
INl322A
INl323
IN1323A
1N1324
IN1325
INl326
IN1327
INl329
1Nl330
1Nl331
1N1332
1Nl333
1Nl334
INl335
1N1336

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

MZ92-8.8A
MZ92-8.8B
MZ92-10.5A
MZ92-10.5B
-12.8A
12.8B
MZ92-15.8A
MZ92-15.8B
MZ92-19A
MZ92-19B
MZ92-23.5A
MZ92-23.5B
MZ92-28.5A
MZ92-28.5B
MZ9Z-34.5A
MZ92-34.5B
MZ92-41A
MZ92-41B
.5A
5B
MZ9Z-58A
MZ92-58B
MZ92-71A
MZ92-87.5A
MZ92-105A
MZ92-127.5A

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

MR1l20

*
MR1l22 *

MRU20

*
MR1l22 *

MR1120

*
MR1l24*

MR1120

*
MR1l25*

MR1120

*
MR1l26*

MR1120

*
*

*

*
*
*
*
*

*

0.63
0.63

160
160
160
160
160
160
160
160
160
17.5
17.5

40
40
40
40
40
40
40
40
40
15
5.0

300
300
8.8
8.8
10.5
10.5
12.8
12.8
15.8
15.8
19
19
23
23
28
28
34.5
34.5
41
41
48.5
48.5
58
58

O.Z
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2

89.5
105
127

*
MR1l21*

lN2974A
lN2974B
lN2975A
1N29T5ll"
1NZ976A
1N2976B
1N2977A
1N2977B
1N2979A
1N2979B

400
500
50
100
150
200
300
400
500
50
100

MZ9Z-2.
MZ92-2.4
MZ92-2.4
MZ92-2.4
MZ92-2.
MZ92-2
MZ92-2
,
MZ92-2
MZ92-2. 4,A:
MZ9Z-Z.4,A,
MZ92_2
MZ92-2

MR1l20

1N1341B
INl342
1Nl342A
IN1342B
IN1343
INl343A
1Nl343B
INl344
IN1344A
IN1344B
INl345B
1Nl346
IN1346A
1N1346B
1N1347
1Nl347A
1Nl347B
1Nl348
IN1348A
1N1348B
1N1351
1N1351A
1N1352
lNl352A
!Nl353
1Nl353A
lN1354
1Nl354A
1N1355
1N1355A

R
R
R
R
R
R
R
R
R
R
R

S
S
S
S
S
S
S
S
S
S
S

1N2970
1NZ970
1N2970
INZ970
1NZ970
1N2970
1N2970
1N2970

R
.R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R

1500
50
100
150
200
300
400
500

1.3

0.1
240
240
240
240
240
240
240

0.02
50
50
50
50
50
50
50

50
100
100
100
150
150
150
200
200
200

1.2
1.6
1.4
1.2
1.6
1.4
1.2
1.6
1.4
1.2

6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0

0.45
4.0
2.5
0.45
4.0
2.25
0.45
4.0
2.0
0.45

150
160
150
150
160
150
150
160
150
150
160

300
400
400
400
500
500
500
600
600
600

1.
1.2
1.6
1.4
1.2
1.6
1.4
1.2
1.6
1.4
1.2

6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0

1. 75
0.45
4.0
1.5
0.45
4.0
1. 25
0.45
4.0
1.0
0.45

150
160
150
150
160
150
150
160
150
150
160

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

12

13
13
15
15

Replacement * denotes exact device type replacement available on request.

1-21

10
10
10

2.0

10
11
11
12

10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0

500
500
500
500
500
500
500
500
500

5.0
10
5.0
10
5.0
10
5.0
10
5.0

150M
150M
150M
150M
150M
150M
150M
150M
150M
150M
150M
150M
150M
150M
150M
150M
150M
150M
150M
150M
150M
150M
150M
150M
150M

lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

lN1356-1N1432

....

...!C"'"

REPLACEMENT

S
S
S
S
S
S
S
S
S
S
S
S

1N2980A
1N2980B *
1N2982A *
1N2982B *
1N2984A *
1N2984B *
1N2985A *
1N2985B *
1N2986A *
lN2986B *
lN2988A *
lN2988B "

1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
lN2970
1N2970
lN2970

lN1362A
lN1363
lN1363A
lN1364
1N1364A
1N1365
lN1365A
lN1366
lN1366A
lN1367
lN1367A

lN2989B *
lN2990A *
lN2990B *
lN2991A *
lN299lB *
lN2992A *
lN2992B *
lN2993A *
lN2993B *
lN2995A *
lN2995B *

1N2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
1N2970

lN1368A
1N1369
lN1369A
lN1370
lN1370A
1N1371
lN1371A
lN1372
lN1372A
lN1373
1N1373A
1N1374
lN1374A
lN1375
lN1375A
1N1376
1N1377
lN1378
1N1379
lN1380
lN1381
lN1382
lN1396
97
lN1398
lN1399
1N1400
IN140l
lN1402
lN1403
lN1406
lN1407
lN1408
lN1409
lN1410
lN1411
lN14l2
lN1413
lN14l4
lN14l5
lN1416
lN14l7
1N14l8
lN1419
lN1420
1N142l
lN1422
1N1423
lN1424
lN1425
lN1426
lN1427
1N1428
lN1429
lN1430
1N1431

lN2997B *
lN2999A *
lN2999B *
lN3000A *
lN3000B *
lN3001A *
'lN3001B *
lN3002A *
lN3002B *
1N3003A *
lN3003B *
lN3004A *
lN3004B *
lN3005A *
lN3005B *

lN2970
lN2970
1N2970
1N2970
1N2970
lN2970
lN9270
1N9270
1N2970
1N2970
970
lN2970
lN2970
lN2970

:!!

TYPE

:IE

1N1356
1N1356A
1N1357
1N1357A
1N1358
1N1358A
1N1359
1N1359A
1N1360
lN1360A
1N1361
lN136lA

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

MR1810SB
SB
MR1812SB
MR1813SB
MR1815SB
MR1817SB
MR1818SB
MR1819SB
IN4005
1N4006
IN4007
MR991A
MR991A
MR992A
MR992A
MR993A

MR1210
MR12l0
MR1210
MR1210
MR1210
MR1210
IN4001
1N4001
lN4001
MR990A
MR990A

lN2972B
lN2976B
lN2979B
IN2982B
1N2985B
lN2988B
1N3001B
lN3005B
lN3011B
1N4738A
1N4742A
1N4744A
1N4746A
1N4748A
IN4750A
1N4760A
1N4764A

1N2970
lN2970
1N2970
1N2970
1N297 0
lN2970
1N2970
1N2970
lN2970
1N2970
1N2970
1N2970
1N2970
lN2970
1N2070
IN2070
1N2070

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

16
18
18
20
20
22
22
24
24
27
27

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

30
33
33
36
36
39
39
43
43
47
47
51
56
56
62
62
68
68
75
75
82
82

5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0

lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

150
150
150
150
150
150
150
150
150
150
150

5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0

lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

150
150
50
50
50
50
50
50
50
50

5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0

lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

5.0
10
5.0

lOW
lOW
lOW

91
100
100

R
R
R
R
R
R
R
R

50
100
150
200
300
400
500
50

R
R
R
R
R
R
R
R
R
R
R

150
200
300
400
500
600
600
800
1000
1200
1500

1. 55

240
240
240
240
240
240
240
70

50
50
50
50
50
50
50
15

1200

1.
1. 55
1.55
1. 55
1. 55
1.55
5.0
5.0
5.0
5.0
6.25

70
70
70
70
70
70
0.1
1.0
1.0
0.1
0.1

15
15
15
15
15
15
0.1
0.1
0.1
0.1
0.1

1200
1200
1200
1200
1200
1200
3.5
3.5
3.5
3.5
3.5

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

Replacement * denotes exact device type replacement available on request.

1-22

8.2
12
15
18
22
27
68

200
200
100
100
100
50
20

5.0
5.0
5.0
5.0
5.0
5.0
5.0

lOW
lOW
lOW
lOW
lOW
lOW
lOW

8.2
12
15
18
22
27
68
100

20
10
20
20
10
10
10
5.0
2.0
2.0

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

lOW
lOW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
LOW

lN1433-1N1517
z:

.....

TYPE

..."'"
ii2

~

c:>

>=

REPLACEMENT

REF.

~

"'c.>"

i:i:

>=
:z

...

52

3
1N1434
1N1435
1N1436
1N1437
1N1438
1N1440
1N1441
1N1442
1N1443
1N1443A
1N1443B

S
S
S
S
S
S
S
S
S
S
S
S

1M150ZS5
1N1183
1N1184
1N1186
1N1188
1N1190

1N1183
1N1183
1N1183
1N1183
1N1183

K

1N4007

1N4001

R
R
R
R

1N1445
1N1446
1N1447
1N1448
1N1449
1N1450
1N1451
1N1452
1N1453
1N1454
1N1455
1N1457
1N1458
1N1459
1N1460
1N1461
1N1462
1N1463
1N1464
1N1465
1N1466
1N1467

R
R

R
R
R

R
R
R
R
R
R

S
S
S
S
S
S
S
S
S
S
S

1N1469
1N1470
1N1471
1N1472
1N1473
1N1474
1N1475
1N1476
1N1477
1N1478
1N1479
1N1480
1N1481
1N1482
1N1483
1N1484
1N1485
1N1486
1N1487
1N1488
1N1489
1N1490
1N1491

R
R
R
R
R

R
R

MR1221FB
MR1223FB

MR1220
MR1220

R
R
R
R

R
R
R
R
R

R
R
R
R
R

1N1507
1N1507A
1N1508
1N1508A
1N1509
1N1509A
1N1510
1N1510A
1N1511
1N1511A
1N1512
1N1513
1N1513A
1N1514
1N1514A
1N1515
1N1515A
1N1516
1N1516A
1N1517

DZ
R
R
R
R
R
R
R

S
S
S
S
S
S
S
S
S
S

MR1241FB
MR1243FB
MR1245FB
MR1247FB
1N3995A
1N3998A
1N4732A
1N4735A
1N4005
1N4002
1N4003
1N4004
1N4004
1N4005
5
1N4730 *
1N4730A *
1N4732
IN4732A "*
1N4734
1N4734A *
*
IN4736 *
1N4736A "
1N4738 *
1N4738A *
1N4740

MR1240
MR1240
MR1240
MR1240
1N3993
1N3993
1N4728
1N4728
1N4001
1N4001
1N4001
1N4001
1N4001
1N4001

1N4742
1N4742A
1N4744
1N4744A
1N4746
1N4746A
1N4748
1N4748A
1N4750

1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728

*
*
**

"

*
*
*
**
*

R
R

R
R
R

DZ
DZ
DZ
DZ
R
R
R
R
R
R
R

1N4
1N4728
IN4]28
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

50
100
200
400
600
200
300
400
1000
1000
1000
1000
360
100
200
300
400
100
200
300
400
100
200

1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.0
1.45
1.55
1.0
2.0
2.0
2.0
l.4
2.0
1.4
1.4
1.4
1.4
1.5
1.5

30
30
30
30
30
0.75
0.75
0.75
1.6
1.1
1.1
1.6
0.2

25
25

5.0
5.0
5.0
5.0
5.0
0.5
0.5
0.5
1.5
0.5
0.3
1.5
4.0
2.0
2.0
2.0
2.0
5.0
5.0
5.0
5.0
25
25

400
100
200
300
400
100
200
300
400
100
200
300
400
100
200
300
400
100
200
300
400
100
200
300
400

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

25
35
35
35
35
50
50
50
50
75
75
75
75
100
100
100
100
150
150
150
150
200
200
200
200

25
25
25
25
25
50
50
50
50
50
50
50
50
100
100
100
100
100
100
100
100
100
100
100
100

500
100
200
300
400
500
600

0.55
0.55
0.55
0.55
0.55
0.55

0.5
0.25
0.25
0.25
0.25

Replacement * denotes exact device type replacement available on request.

1-23

3.5
0.4
0.3
0.3
0.3
0.3
0.3

30
30
30
20
25
25
20

4.7
6.2
4.7
6.2

200
200
50
20

5.0
5.0
5.0
5.0

lOW
lOW
LOW
LOW

3.9
3.9
4.7
4.7
5.6
5.6
6.8
6.8
8.2
8.2
10
10
12
12
15
15
18
18
22
22
27

35
35
30
30
25
25
22
22
18
18
15
15
12
12
10
10
8.0
8.0
6.0
6.0
5.0

10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10

750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M

15
15
15
15
15

lN1517A-1N1590A

REPLACEMENT

•

SOA
IN4730
IN4730A
IN4732
IN4732A
IN4734
IN4734A
IN4736
IN4736A
·IN4738
IN4738A
IN4740
IN4740A
IN4742
IN4742A
IN4744
IN4744A
IN4746
IN4746A
IN4748
IN4748A
lN4750
lN4750A
lN3l56
MR1120
MRl121
MR1122
MR1122
MR1123
MR1124
MR1l25
MR1l26
MR112l
MR1122
MR1123

*
*
*
*
*
*
**

1,

REF.

1,

**
*"
*
1,
..,~

*
*
*
*
*
*
*

"

1,

*

MR1120
MR1120
MR1120
MR1120
MR1120
MR1l20
MR1120
MR1120
MR1120
MR1120
MR1120

IN1555
IN1556
IN1557
IN1558
IN1559
IN1560
IN156l
IN1562
IN1563
IN1563A
IN1564

S
S
S
S
S
S
G
G
S
S
S

IN1565
IN1565A
IN1566
IN1566A
IN1567
IN1567A
IN1568
IN1568A
IN1569
IN1570
IN1571

S
S
S
S
S
S
S
S
S
S
S
S

IN1572
IN1573
IN1574
IN1575
IN1576
IN1577
IN1578
IN1579
IN1580
IN1581
IN1582
IN1583

S
S
S
S
S
S
S
S
S
S
S
S

MR1120
MR1l21
MR1122

MR1120
MR1l20
MR1120

IN1585
IN1586
IN1587
IN1588
IN1588A
IN1589
IN1589A
IN1590
IN1590A

S
S
S
S
S
S
S
S
S
S

MR1124
MR1125
MR1126
lN3993
IN3993A
lN3995
IN3995A
lN3997
IN3997A

MR1120
MR1l20
MR1120
IN3993
IN3993
IN3993
IN3993
IN3993
lN3993

**
**
**

5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0

IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728

10

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DR
DR
R
R
R
R
R
R
R
R
R
R
R

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
DZ
DZ
DZ
DZ
DZ
DZ

12
12
15
15
18
18
22
22
27

50
100
150
200
300
400
500
600
100
200
300

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
1.0
1.0
1.0

1.4
1.4

200
300
300
400
400
500
500
600
600
100
200
300

1.5
1.5
1.5
1.5
1.5
1.2
1.5
1.2
1.5
1.5
1.5
1.5

1.5
1.0
1.5
1.0
1.5
1.0
1.5
1.0
1.5
1.0
1.0
1.0

0.003
0.003
0.003
0.003
0.003
0.005
0.003
0.005
0.003
0.005
0.005
0.005

400
500
600
100
200
300
400
500
600
50
100
200
300
400
500
600

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

1.0
1.0
1.0
3.5
3.5
3.5
3.5
3.5
3.5
3.0
3.0
3.0
3.0
3.0
3.0
3.0

0.005
0.005
0.005
0.005
0.005
0.005
0.005
0.005
0.005
5.0
5.0
5.0
5.0
5.0
5.0
5.0

1.4

1-24

10
10
9.0
9.0
7.0
7.0

10
5.0
10
5.0
10
5.0
10
5.0
10
5.0

150
150
125
125
110
110

10
5.0
10
5.0
10
5.0

13
13

.OW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW

70
70
70
70
70
70
70
70
70
70
70
70
70
70
70
70
70
70
70
70
40
40
40
40
40
40
3.9
3.9
4.7
4.7
5.6
5.6

Replacement * denotes exact device type replacement available on request.

15
15

3.5W
3.5W
3.5W
3.5W
3.5W
3.5W

lN1591-1N1650

.....

~

TYPE

$:IE

1N1591A
1N1592
1NlS92A
INlS93
IN1593A
1N1594
1N1594A
IN1S95
IN1595A
1N1596
1N1596A
1N1597
1N1597A
1N1598
1N1598A
1N1S99
1N1599A
1N1600
1N1600A
1N1601
1N1601A
1N1602
1N1602A

S
S
S
S
S
S
S
S
S
S
S

1N1603A
1N1604
1N1604A
1N1605
1N1605A
1N1606
IN1606A
1N1607
1N1607A
1N1608
1N1608A

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

REPLACEMENT

REF.

*
*
*
*
*
*
*
*
*
*

1N2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
IN2970
1N2970
1N2970

1N2988RB
1N3993
1N3993A
1N3995
1N3995A
IN3997
1N3997A
1N2970RA
1N2970RB

*
*
*
*
*
*
*
*
*

1N2970
1N2970
1N2970
1N3993
1N3993
1N3993
1N3993
1N3993
1N3993
1N2970
1N2970

1N2972RB
1N2974RA
1N2974RB
1N2976RA
1N2976RB
IN2979RA
IN2979RB
1N2982RA
1N2982RB
1N2985RA
1N2985RB

*
*
*
*
*
*
*
*
*
*
*

1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
IN2970
1N2970
1N2970
1N2970

IN2972RA
IN2972RB
IN2974RA
IN2974RB
IN2976RA
IN2976RB
IN2979RA
1N2979RB
1N2982RA
1N2982RB

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
22
22
27
27
3.9
3.9
4.7
4.7
5.6
5.6
6.8
6.8
8.2
8.2
10
10
12
12
15
15
18
18
22
22
27
27

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

1N298

Microwave
and
Microwave C-X-band Detector
Microwave C-X-band Detector
Microwave C-X-band

S
S
S
S
S
S

1N1619
1N1620
1N1621
1N1622
1N1623
1N1624
1N1625
1N1625A
1N1626
1N1626A
1N1627

Se
Se
Se
Se
Se

1N1629
1N1630
1N1631
1N1632
1N1633
1N1634
1N1635
1N1636
1N1637
1N1638
1N1639

Se
Se
Se
Se
Se
Se
Se
Se
Se
Se
Se

1N1641
1N1642
1N1644
IN1645
1N1646
1N1647
1N1648
1N1649
1N1650

Se
Se
Se
S
S
S
S
S
S
S

MR1l20*
MRll21*
MR1l22 *
MR1124 *
MR1126 *
1N4002

MRl120
MR1120
MRU20
MRU20
MRU20
IN4001

1N4004
1N4004

IN4001
IN4001

50
100
200
400
600
100
200
300
400
100
200
300
400
48
48
96
96
48

$

R
R
R
R
R

R
R
R
R
R
R

R
R

R
R

R
R
R
R

R
R

192
240
288
336
384
48
96
144
192
240
96
144
50
100
150
200
250
300
350

1.5
1.5
1.5
1.5
1.5
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.0
1.0
2.0
2.0
1.0
3.
4.0
5.0
6.0
7.0
8.0
1.0
2.0
3.0
4.0
5.0
1.0
2.0
3.0
0.5
0.5
0.5
0.5
0.5
0.5
0.5

5.0
5.0
5.0
5.0
5.0
1.5
1.5
1.5
1.5
10

1.0
1.0
1.0
1.0
1.0

0.015
0.015
0.015
0.015
0.027

60
60
60
60
80
80
80
80
0.005
0.01
0.005
0.01
0.08

0.027
0.027
0.027
0.027
0.027
0.027
0.108
0.108
0.108
0.108
0.108

0.08
0.08
0.08.
0.08
0.08
0.25
0.25
0.25
0.25
0.25

0.240
0.240
0.4
0.4
0.3
0.3
0.3
0.3
0.3

0.55
0.55
15
15
15
15
15
15
15

10
10

10

0.028
0.028
0.028
0.25
0.25
0.25
0.25
0.25
0.25
0.25

Replacement * denotes exact device type replacement available on request.

1-25

100
100
80
80
70
70
50
50
40
40
35
35
30
30
25
25
500
500
400
400
350
350
300
300
250
250
200
200
170
170
140
140
110
110
90
90
70
70

5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10

5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0

3.SW
3.SW
3.SW
3.SW
3.SW
3.SW
3.5W
3.5W
3.SW
3.5W
3.5W
3.5W
3.5W
3.5W
3.SW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

•

lN1651-1N1749
:z
c:::>

REPLACEMEtH

•

1N1652
1N1653
1N1660
1N166l
lN1662
lN1663
lN1664
lN1665
lN1666
1N1670
1N1671
1N1672
1N1673
1N1674
1N1675
1N1676
lN1680
lN1681
lN1682
1N1683
1N1684
lN1685
lN1686

REF.

S

S
S
S

S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S

MR1221SB
MR1222SB
MRl223SB
MR1225SB
MR1227SB
MR1228SB

MR1220
MR1220
MR1220
MR1220
MR1220
MR1220

S
S

IN1688
lN1689
lN1690.
lN1691
1N1692
1N1693
1N1694
1N1695
lN1696
lN1697
IN1698
1N170l
1N1702
lN1703
lN1704
lN1705
lN1706
IN1707
IN1708
lN1709
1N171O
lN1711
1Nl712
1N1730
lNl730A
lN1731
lNl73lA
lN1732
1N1732A
1N1733
1N1733A
1N1734
IN1734A
lN1735
IN1736
INl736A
1Nl737
1N1737A
1Nl738
lN1738A
IN1739
INl739A
lN1740
IN1740A
lN1741
lN1742
1N1742A
1N1743
1N1744
lN1745
lN1746
IN1747
1N1748
1N1749

S
S
S
S
S
S
S
S
S
S

S
S
S

1N4002
1N4003
1N4004
1N4004
IN4005
1N4005

lN400l
1N4001
1N400l
lN400l
1N4001
lN4001

1N4001
IN4002
lN4003
IN4004
lN4004
1N4005
IN4001
1N4002
1N4003
1N4004
1N4004
1N4005
1N4007

lN400l
lN400l
lN400l
lN400l
lN400l
lN400l
1N400l
1N4001
lN400l
1N400l
lN400l
1N4001
lN4001

MR991A

MR990A

S

MR992A

S
S

MR990A

MR994A

MR990A

S

S
S
S

S
S
S
S
S
S

S
S

S
S
S

S

S
S

MR996A

MR990A

S
S
S
S

S
S
S
S

S
S

S
S
S
S

S

S

S
S
S
S
S
S
S

lN821
lN94lA
lN942A
IN4060
lN4060A
IN4062
IN4062A
lN4064
IN4064A
IN4066
IN4066A
1N4067
7A
lN4069
lN4069A
IN2974A
1N4740

*
*
*
**
"*
**
**
*
*
**

lN82l
lN94l
IN941
lN429
lN429
IN429
lN429
IN429
lN429
lN429
IN429
1N429
lN429
IN429
IN2970
IN4728

...~
...~e
;:;:

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R

R
R
R
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DZ
DZ
R
R
R
R
R

500
600
50
100
150
200
300
400
500
50
100
150
200
300
400
500
150
250
300
350
400
450
500
700
800
900
1000
100
200
300
400
500
600
6600
10K
12K
50
100
200
300
400
500
50
100
200
300
400
500
1000
1000
1500
1500
2000
2000
3000
3000
5000
5000

1500
1500
1800
1800
2400

1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
0.6
0.6
0.6
0.6
0.6
0.6
33
37
45
1.3
1.3
1.3
1.3
1.3
1.3
1.15
1.15
1.15
1.15
1.15
1.15
5.0

0.25
0.25
160
160
160
160
160
160
160
240
240
240
240
240
240
240
50
50
50
50
50
50
50
50
50
50
50
50
0.25
0.25
0.25
0.25
0.062
O.
0.05
0.3
0.3
0.3
0.3
0.3
0.3
0.5
0.5
0.5
0.5
0.5
0.5

5.0
9.0
12
18

15
7.5
18
9.0
24

Replacement * den6tes exact device type r~placement available on request.

1-26

0.35
0.35
0.35
0.35
0.35

0.32
0.5
0.31
0.38
0:37

.3
0.3
40
40
40
40
40
40
40
50
50
50
50
50
50
50
25
25
25
25
25
25
25
25
25
25
25
25
0.5
0.5
0.5
0.5
0.5
0.5

0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.1
0.1
0.1
0.1
0.1

0.2
0.2
0.2
0.2
0.2

15

700
700
700
700
700
700
700
700
700
700
700
700
20
20
20
20
20
20

8.0
8.0
8.0
8.0
8.0
8.0
10
10
10
10
10
10
2.5
6.0
2.5
6.0
2.5
6.0
2.5
6.0
2.5
6.0

10
3.5
3.5
3.5
3.5
3.5

25

10

LOW

lN17S0-1N1797

.....

...!;;;1
:$

TYPE

REPLACEMENT

REF.

:IE

1N1750
1N1751
1N1752
1N1753
1N1754
1N1755
1N1756
1N1757
1N1758
1N1759
1N1760
1N1762
1N1763
1N1763A
1N1764
1N1764A
1N1765
1N1765A
1N1766
1N1766A
1N1767
1N1767A
1N1768
1N1769
1N1769A
1Nl770
INl770A
1Nl771
1N1771A
INl772
1N1772A
1Nl773
1Nl773A
1Nl774
1Nl775A
1Nl776
1Nl776A
1Nl777
1N1777A
1N1778
1Nl778A
1Nl779
1Nl779A
1N1780
1N1780A
1N1781
1N1781A
1N1782
1N1782A
1N1783
1N1783A
1N1784
1N1784A
1N1785
1N1785A
IN1786
1N1786A
1N1787
1N1787A
1N1788
1N1788A
1N1789
1N1789A
1N1790
1N1790A
1N1791
IN1791A
IN1792

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S

R
R
R
R
R
R
R
R
R
R
R

1N4004

1N4001

1N4005

1N4001

1N4734 *
1N4734A *
1N4735 *
1N4735A *
1N4736 *
1N4736A *
1N4737 *

1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728

DZ
DZ
DZ
DZ
DZ
DZ
DZ

1N4738
1N4738A
1N4739
1N4739A
1N4740
1N4740A
1N4741
1N4741A
1N4742
IN4742A
IN4743

1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

1N4744A
IN4745
1N4745A
1N4746
1N4746A
1N4747
1N4747A
1N4748
1N4748A
1N4749
IN4749A
IN4750
1N4750A
1N4751
1N4751A
1N4752
IN4752A
1N4753
1N4753A
1N4754
1N4754A
1N4755
55A
1N4756
1N4756A
1N4757
1N4757A
1N4758
1N4758A
1N4759
1N4759A
1N4760
1N4760A
1N4761

**
**
*
*
*
*
*
*
*

**

*
*
*
*
*
*
*
*
*
*

**
*
*
*
*
**
*
*
*
*
*
*

**

*
*
*
*

**
*

*
*
1N4763A *
1N4764 *
1N4764A *
lMllOZS10*
lMllOZS5 *
lM120ZS10*

1N4728
1N4728

i.lli!12il

1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
IN4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728

R
R
R
R

400
400
500
500

3.0
1.2
3.0
1.2

0.32
0.42
0.41
0.38
0.37
0.33
0.41
0.33
0.38
0.29
0.29
0.34
0.29
0.5
1.0
0.5
1.0

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.1
0.5
0.1
0.5

35
25
35
25

100
100
100
100
100
100
100

10
5.0
10
5.0
10
5.0
10

100
100
50
50
50
50
50
50
50
50
50

10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10

1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW

24
24
27
27
30
30
33
33
36
36
39
39
43

50
50
50
50
50
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15

5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0

1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
l.OW
l.OW
1. OW
l.OW
1. OW
l.OW
l.OW

47
51
51
56
56
62
62
68
68
75

15
15
15
15
15
15
5.0
5.0
5.0
5.0
5.0

82
82
91
91
100
100
110
110
120

5.0
5.0
5.0
5.0.
5.0
5.0
5.0
5.0

5.6
5.6
6.2
6.2
6.8
6.8
7.5'
7.5
8.2
8.2
9.1
9.1
10
10
11
11
12
12
13
15
15
16
16
18
18
20
20
22
22

Replacement * denote.s exact device type replacement available on request.

1-27

10

5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10

5.0

10

5.0
10

5.0
10
5.0
10
5.0
10

LOW
1. OW

1. OW

1. OW
1. OW
1. OW
1. OW

l.OW
l.OW
l.OW
l.OW
1. OW
l.OW
l.OW
l.OW
l.OW
1. OW
1. OW
OW
l.OW
l.OW
l.OW
l.OW
1. OW
l.OW
1.0W
1. OW

lN1797A-1N1840

....

TYPE

•

lN179S
lN179SA
lN1799
lN1799A
INlSOO
INlSOOA
lNlSOl
INlSOlA
lNlS02
lNlS02A
lNlS03
INlS03A
lNlS04
lNlS04A
lNlS05
lNlS05A
lNlS06
1NlS06A
1NIS07
lN1807A
lNlSOS
lNlSOSA,
lNlS09
lNlS09A
lN1SlO
lNlS10A
lN1811
lN1811A
lN1812
lN18l2A
1N1813
lN1813A
lN1814
lNlS14A
lN1815
lNlS16
lN1816A
1NlS17
lNlS17A
INlSlS
IN1SlSA
IN1S19
lN1S19A
INlS20
lN1S20A
lN1S2l
lNlS2lA
lNlS22
lN1822A
lN1823
lN1823A
lN1S24
lN1824A
lNlS25
lN1825A
lN1826
lN1826A
lN1827
1N1827A
lNlS2S
lNlS2SA
lN1829
lN1829A
lNlS30
1N1830A
1N1831
1N183lA
1N1832
lN1832A
1NlS33
INlS34
lNlS34A
1NlS35
IN1835A
1NlS36
lN1836A
lN1838
lNlS39
lN1840

...~

i

REPLACEMENT

S
S
S
S
S
S
S

lM120ZS5 *
lM130ZSl0'1<
lM130ZS5
lM150ZSl0 *
*
lM150ZS5
*
lM160ZSl0 *
lM160ZS5
lMlSOZSlO *
*
lM180ZS5
lM200ZS10 *
*
lM200ZS5
lN3997R *
*
lN3997RA *
lN399SR *
IN399SRA *
IN2970A
lN2970B *
IN2971A *
1N2971B *
1N2972A *
1N2972B *
*
lN2973A *
lN2973B
*
lN3007A *

S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
G

lN300SA
IN300SB
1N3009A
lN3009B
1N3011A
lN3011B
lN30l2A
lN30l2B
lN30l4A
1N3014B
lN30l5A

*
*
*
*
*
*
*
*
*
*
*

*
*
*
*
*
*
*
*
*
*
*
lN2985B *
lN2986A *
lN29S6B *
lN2988A *
lN298SB *
lN2989A *
lN2989B *
lN2990A *
lN2990B ,~
lN299lA *
lN2991B *
1N2992A *
IN2992B *
1N2993A *
lN2993B *
lN2977A
1N2977B
IN2979A
lN2979B
IN2980A
lN29S0B
lN2982A
lN29S2B
lN29S4A

lN2995A
lN2995B
lN2997A
IN2997B
IN2999A
1N2999B
IN3000A
lN3000B
IN3001A
1N300lB
lN3002A
IN3002B
lN3003A
IN3003B
IN3004A
IN3004B

*
,~

,~

*
*
*
*
*
*
*

*
*

*
*
*
*

REF.

lN4728
IN472S
lN472S
IN4728
lN472S
lN472S
lN472S
lN472S
lN472S
lN472S
lN3993
lN3993
lN3993
lN3993
IN2970
lN2970
lN2970
1N2970
lN2970
lN2970
lN2970
lN2970
lN2970
1N2970
1N2970
1N2970
lN2970
lN2970
1N2970
lN2970
1N2970
1N2970
IN2970
1N2970
lN2970
1N2970
lN2970
lN2970
lN2970
1N2970
lN2970
lN2970
IN2970
IN2970
IN2970
lN2970
lN2970
IN2970
1N2970
IN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
1N2970
IN2970
lN2970
lN2970
lN2970
lN2970
1N2970
1N2970
1N2970
lN2970
1N2970
lN2970
lN2970

120
130
130
150
150
160
160
ISO
ISO
200
200
5.6
5.6
6.2
6.2
6.S
6.S
7.5
7.5
S.2
S.2
9.1
9.1
110
110
120
120
130
130
150
150
160
160
ISO
ISO
200
200
13

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

13

15
15
16
16
IS
IS
20
20
22
22
24
24
27
27
30
30
33
33
36
36
39
39
43
43
47
47
51
51
56
56
62
62
6S

500
500
500
500
500
500
500
500
250
250
250
2
250
250
250
250
250
150
150
150
150
150

0.OS5
0.077

Replacement * denotes exact device type replacement available on request.

1-28

0.26
0.23

10
5.0
10
5.0
10
5.0
10

5.0
10
5.0
10
5.0
10
5.0
10

5.0

10

5.0
10
5.0
10
5.0
10
5.0
10
5.0
10

5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10

5.0
10
5.0
10
5.0
10
10

5.0
10
5.0
10

5.0
10
5.0
10

5.0

10

5.0
150
150
150
150
150
150
150
50
50
50
50
50
50
50
50

Microwave

S
S

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
1000
1000
1000
1000
1000
1000
1000
1000
1000
1000
500
50
50
50
50
50
50
50
50
50
50
50
50
50

10

5.0
10
5.0
10
5.0
10
5.0
10
5.0
10

l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

lN1841-1N1922
z

TYPE

I

I;

REPLACEMENT

REf.

i...
z

::Ii

e

1N1841
1N1842
1N1843
1N1844
1N1845
1N1846
1N1847
1N1848
1N1849
1N1850
1N1851
1N1852
1N1853
1N1854
1N1855
1N1856
1N1857
1N1858
1N1859
1N1860
1N1861
1N1862
1N1863
lN1864
lN1866
1N1867
1N1868
1N1869
1N1870
1N1871
1N1872
1N1873
1N1874
1N1875
1N1876
1N1879
1N1880
1N1881
1N1882
1N1883
1N1884
1N1885
1N1886
1N1887
1N188.8
1N1891
1N1892
1N1893
1N1894
lN1895
1N1896
1N1897
1N1898
1N1899
1N1900
1N1902
1N1903
1N1904
1N1905
1N1906
1N1907
1N1908
1N1909
1N1910
1N19ll
lN1912
lN1913
1N1914
lN1915
1N1916
1Nl917
1N1918
1N19l9
1N1920
1N1921
1N1922

s

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

s

S
S
S
S

s

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S

1N4738
1N4740
1N4742
1N4744
1N4746
1N4748
1N4750
1N4752
1N4754
. 1N4756
1N4758
1N4760
1N4762
1N4764

1N4728
1N4728
1N4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
1N4728
lN4728
1N4728
1N4728
lN4728

1N2972A
lN2974A
lN2976A
1N2979A
lN2982A
lN2985A
lN2988A
1N2990A
1N2992A
lN2995A
1N300lA
1N3003A
1N3005A
1N30il8A
1N3011A
1N4001
1N4002
1N4003

IN2970
1N2970
IN2970
IN2970
1N2970
1N4001
1N400l
IN4001

1N4004
1N4005

1N4001
1N4001

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
DZ
DZ

0.063
0.05
0.04
0.03
0.023
0.016.
0.011
0.009

15
22
33
47
68
100
150
220
330
470
6.8
10

O.
0.05
0.04
0.03
0.023
0.016
0.01l
0.009

22
33
47
68
100
150
220
330
470
6.8
10
22
33
47
68
100
150
220
330
470

0.05
0.04
0.03
0.023
0.016
0.01l
0.009
0.006

0.001
0.001
0.003
0.005
0.005
0.005

0.001
0.001
0.003
0.005
0.005
0.005

0.001
0.001
0.003
0.005
0.005
0.005

0.19
0.15
0.12
0.095
0.072
0.050
0.035
0.028
0.024
0.020
0.26
0.23
0.150
0.120
0.095
0.072
0.050
0.035
0.028
0.024
0.020
0.260
0.230
0.120
0.095
0.072
0.050
0.035
0.028
0.024
0.020

DZ
DZ
.DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

8.2
10
12
15
18
22
27
33
39
47
56
68
82
100

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

8.2
10
10
15
18
22
27
33
39
47

DZ
DZ
DZ
DZ
DZ
DZ
R
R

R
R
R
R

50
100
200
300
400
500
600
700
800
900
50
100
200
300
400
500

l.0
l.0
l.0
l.0
l.0
l.0
1.0
1.0
l.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

1-29

l.5
l.5
l.5
l.5
1.5
1.5
1.5
1.5
l.5
1.5
4.0
4.0
4.0
4.0
4.0
4.0

0.01
0.01
0.01
0.01
0.01
0.01
0.01
0.01
0.01
0.01
0.01
0.01
0.01
0.01
0.01
0.01

30
30
30
30
30
30
30
30
30
30
30
30
30
30
30
30

25
25
25
25
25
8.0
8.0
8.0
8.0
8.0
8.0
3.0
3.0
3.0
3.0
3.0
25
25
25
25
25
8.0
8.0
8.0
8.0
8.0
3.0
3.0
3.0
3.0

10
10

l.OW
l.OW

10
10
10
10
10
10
10
10
10
10
10
10

l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW

10
10
10
10
10
10
10
10
10
10
10

.OW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

lN1923-1N2004
z:
-'

TYPE

...

~

t-

oe

REPLACEMENT

REF.

:IE

•

1N1924
1Nl925
1N1926
1N1927
1Nl928
1Nl929
1N1930
1N1931
IN1932
1N1933
1N1934
1N1935
1N1936
IN1937
1N1938
1N1939
1N1940
1N1941
1N1942
1N1943
1N1944
IN194S
1N1946
1N1948
1N1949
1N1950
1N1951
1N1952
1N1953
1N1954
1N1955
1N1956
1N1957
1N1958
1N1960
1N1961
IN1962
IN1963
1N1964
1N1965
1N1966
1N1967
1N1968
1N1969
1N1970
1N1971
IN1972
1N1973
1N1974
1N1975
1N1976
1N1977
1N1978
1N1979
1N1980
1N1981
1N1982
1N1983
IN1984
1N1985
1N1986
1N1987
1NI988
1N1989
1N1990
IN1991
IN1992
1N1993
1N1994
1N1996
1N1997
1N1998
1N1999
IN2000
1N2001
1N2002
1N2003
1N2004

S
S
S

S
S

S
S
S
S
S
S
S

S
S

S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S

S
S
S

S
S
S
S

S
S
S
S
S

S
S
S

S
S
S
S
S

S
S

1NS228A
1NS230A
1NS232A
1N523SA
1NS237A
1N5240A
IN5242A
1N5245A
1N5248A
1N5251A
1N5254A
1N52S7A
1N5259A
1N5261A
1N5263A
1NS266A
1N5268A
1N5271A
1N5273A
1N5276A
79A
5MllOZSB10
5M135ZSB10
5M16SZSB10
5M19SZSB10
5M155ZSClO
5M185ZSGlO
1NS228A
1N5230A
IN5232A
1N5235A
1N5237A
1N5242A
1N5245A
1N5248A
1N5251A
1N5254A
IN5257A
1N5259A
1N5261A
1N5263A
1N5266A
1N5268A
1N5271A
1N5273A
IN5276A
INS279A
5MllOZSB10
5M135ZSB10
5M165ZSB10
5M195ZSB10
5M155ZSC10
5M185ZSC10
IN5228A
1N5230A
IN5232A
1N5235A
1N5237A
1NS240A
IN5242A
1N5245A
1N5248A
1N5251A
1N5254A
IN5257A
1N5259A
1N5261A
1NS263A
1N5266A
1NS268A
1NS271A
IN5273A
IN5276A
1N5279A
.5MllOZ
5M13SZSB10
5M165ZSBlO

~

~

...
;::::
z:
52

R
R
R
R

1N5221
1NS221
1NS221
1N5221
1NS221
1N5221
1N5221
1N5221

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
INS221
1NS221

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

1N5221
1N5221
1N5221
1N5221
IN5221
INS221
IN5221
IN5221
INS221
INS221
IN5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
IN5221
IN5221
IN5221
1N5221

t
t
t
t
t
1N5221
1N5221
1N5221
1N5221
IN5221
1NS221
1N5221
IN5221
1N5221
1N5221
1N5221
1N5221
1N5221
1NS221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221

700
800
900
3.9
4.7
5.6
6.8
8.2
10
12
15
22
27
33
39
47
56
68
82
100
120
ISO
180
220
270
330
390
470
560
3.9
4.7
5.6
6.8
8.2
10
l2

IS
18
22
27
33
39
47
56
68
82
100
120
150
180
220
270
330
390
470
560
3.9
4.7
5.6
6.8
8.2
10
12
15
18
22
27
33
39
47
56
68
82
100
120
150
180
220
270
330

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

tSee page l-1a for ordering information.

1-30

5.0
S.O
5.0
S.O
5.0
5.0
1.0
1.0
1.
1.0
1.0
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
5.0
5.0
5.0
5.0
5.0
1.0
1.0
1.0
1.0
1.0
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
5.0
5.0
5.0
5.0
5.0
5.0
1.0
1.0
1.0
1.0
1.0
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.1
0.1
0.1
0.1
0.1

10

10
10
10

10
10
10
10
10

10
10
10

10
10
10
10
10
10
10
10
10
10
10
10

10
10
10
10
10
10
10

10
10
10
10
10

10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10

10
10
10
10
10

200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
150M
150M
150M
150M
150M
150M
150M
150M
150M
lS0M
lS0M
lS0M
lS0M
lS0M
150M
150M
150M
150M
150M
150M
lS0M
150M
150M
lS0M

lN2005-1N2088

TYPf

...55...

!C

REPLACEMENT

REF.

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

.5M195ZSB10
.5M155ZSC10
.5M185ZSClO
1N3005A *
1N3007A *
1N3008A *
1N3009A *
1N3011A *

1N2970
1N2970
1N2970
1N2970
1N2970

2

1N2005
1N2006
1N2007
1N2008
1N2009
1N2010
1N2011
1N2012
1N2013
1N2014
1N2015
1N2016
1N2018
1N2019
lN2020
lN2021
lN2022
lN2023
lN2024
1N2025
1N2026
1N2027
lN2028
lN2029
1N2030
1N203l
1N2032
lN2033
IN2034
IN2035
IN2036
IN2037
lN2038
1N2039
lN2040
IN2042
1N2043
lN2044
1N2045
1N2046
1N2047
1N2048
1N2049
1N2054
1N2055
1N2056
1N2058
1N2059
1N2060
1N2061
1N2062
1N2063
1N2064
1N2065
1N2066
1N2067
1N2068
lN2069
lN2069A
IN2070
lN2070A
lN2071
1N2071A
1N2072
lN2073
1N2074
lN2075
1N2076
1N20n
1N2078
lN2079
1N2080
IN2081
lN2082
1N2083
1N2084
IN2085
1N2086
1N2088

S

S
S
S
S
S

S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

MR1125
MR1126
1N4732*
lN4734*
IN4736*
IN4739*
1N4740*
IN4743*
IN4745*
lN4747*
IN4749*
lN3995*
lN3997*
lN2970RA
lN2973RA
1N2974RB
1N2977RA
1N2980RA
1N2983RA
1N2986RA
MR1230SB
MR1231SB
MR1232SB
SB
MR1234SB
MR1235SB
MR1236SB
MR1237SB
MR1238SB
MR1238SB
MR1239SB

lN4003
1N4003
lN4004
1N4004
1N4005
1N4005
1N400l
1N4002
1N4003
lN4003
1N4004
IN4004
lN4005
IN4001
lN4002
lN4003
1N4004
1N4004
IN4005

MR1120
MR1120
1N4728
lN4728
IN4728
lN4728
lN4728
lN4728
lN4728
lN4728
IN4728
*
*
*
*
*
*
*

R
R
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
lN3993
DZ
1N2970 DZ
1N2970
DZ
1N2970 .DZ
1N2970 DZ
1N2970 DZ
lN2970
DZ
1N2970
DZ
MR1230 R
MR1230 R
MR1230
MR1230
MR1230
MR1230
MR1230
MR1230
MR1230

lN4001
lN400l
lN4001
lN4001
1N4001
lN4001
1N4001
1N4001
1N4001
1N4001
lN4001
IN4001
IN4001
IN4001
lN4001
lN4001
IN4001
1N4001

R
R
R
R
R
R
R
R
R
R
R
R'

R
R
R
R
R
R:

R
R
R
R

50
100
150
200
250
300
350
400
150
250
300
350
400
50
200
300
400
500
600

0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
10
10
10
10
10
1.0
1.0
1.0
1.0
1.0
1.0

1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.5
1.5
1.5
1.5
1.5
2.0
2.0
2.0
2.0
2.0
2.0

0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
5.0
5.0
5.0
5.0
5.0
0.5
0.5
0.5
0.5
0.5
0.5

50
100
150
200
250
300
350
400
450
500
600
700
800
900
1000

1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6

250
250
250
250
250
250
250
250
250
250
250
250
250
250
250

55
55
55
55
55
55
55
55
55
55
55
55
55
55
55

200
400
400
600
600
50
100
150
200
250
300
400
500
50
100
200
300
400
500
600
500

0.5
0.6
0.5
0.6
0.5
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
0.75
0.75
0.75
0.75
0.75
0.75
0.75
1.2

0.75
0.75
0.75
0.75
0.75
0.625
0.625
0.625
0.625
0.625
0.625
0.625
0.625
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.75

0.05
0.2
0.05
0.2
0.05
0.25
0.25
0.25
0.25
0.25
0.25
.25
.25
0.35
0.35
0.35
0.35
0.35
0.35
0.35
0.5

Replacement * denotes exact device type replacement available on request.
tSee page 1·1a for ordering Information.

1-31

10
10
10
10
10
10
10
10
110
110
110
110
110
25
25
25
25
25
25

4500
4500
4500
4500
4500
4500
4500
4500
4500
4500
4500
4500
4500
4500
22
22
22
22
22
30
30
30
30
30
30
15
15
15
15
15
15
15
30

•

.1
50
50
50
50
50

4.4
5.6
6.6
8.8
10.5
12.8
15.8
19
23.5
4.9
5.8
6.6
8.8
10.5
12.8
15.8
19
23.5

10
10
10
10
5.0
5.0
5.0
5.0
5.0
1.0
1.0
1.0
500
500
500
500
500
150

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

750M
750M
750M
750M
750M
750M
750M
750M
750M
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

lN2089-1N2167 A

TYPE

1N2107
1N2108
1N2109
1N2110
1N2111
1N2112
IN2113
1N2114
1N2115
1N2116
1N2117
1N2127
1N2127A
1N2128
1N2128A
1N2129
1N2129A
IN2130
IN2130A
1N2131
1N2131A
1N2132
1N2I32A
1N2133A
1N2134
1N2134A
1N2135
1N2135A
1N2136
1N2136A
1N2137
1N2137 A
1N2138
1N2138A
1N2146
1N2147
1N2l47A
1N2148
lN2l48A
1N2l49
1N2149A
1N2150
1N2150A
1N2151
1N2l51A
1N2l52A
1N2153
lN2153A
1N2154
1N2155
1N2156
1N2l57
1N2l58
1N2l59
1N2l60
1N2163
1N2163A
1N2164
1N2164A
1N2165
1N2165A
1N2166
IN2166A
lN2167
lN2l67A

REPLAC.EMENT

S
S
S
S
S
S
S
S
S
S
S
S

!l

S
S
S
S
S
S
S
S
S
S
S

5
5
S
S
S
S
S

REF.

1N4004

1N4001

1N4006

1N4001

MR1200FL
MR1200FL
MR1201FL
MR1201FL
MR1202FL
MR1202FL
MR1203FL
MR1203FL
MR1204FL
MR1204FL
MR1205FL
MR1205FL
MR1206FL
MR1206FL
MR1207FL
MR1207FL

MR1200
MR1200
MRI200
MR1200
MR1200
MR1200
MRI200
MR1200
MR1200
MRI200
MRI200
MRI200
MR1200
MRI200

5
5
5
5
5
S

5
S
S
S
S

5
S
S
S

s
S
S
S

S
S
S
S
S

S
S
S

0.75
0.75
2.0
2.0
2.0
2.0
2.0
2.0
0.2
0.5
0.75

0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.25
0.4
0.010

10
10
10
10
10
10
10
10
10
10
15
15

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

60
60
60
60
60
60
60
60
60
60

10
10
10
10
10
10
10
10
10
10

700
900
700
900
700
900
700
900
700
900

R
R
R
R
R
R
R
R
R
R
R
R

50
50
100
100
150
150
200
200
250
250,
300
300
350
350
400
400
450
450
500
500
600
600

60
60
60
60
60
60
60
60
60
60

10
10
10
10
10
10
10
10
10
10
10

700
900
700
900
700
900
700
900
700
900

R
DS
R
R
R
R
R
R
R
R
R
R

50
100
100
200
200
300
300
400
400

1.0
1.2
1.0
1.2
1.0
1.2
1.0
1.2
1.0
1.2
1.0
1.2
1.0
0.6
0.6
0.6
0.6
0.6
0.6
0.6

6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
25
25
25
25
25
25
25

1N1l83
1N1184
1N1l86
1N1l87
1N1l88
1N1189
1N1190

R
R
R
R
R
R
R
R
R
R

IN1l83
lNll83
IN1l83
IN1l83
lN1l83
lN1l83
lN1l83
1N2163

R
R
R
R
R
R
R
R
R
R
R
DR

1N2l63
1N2l63
IN2163
1N2163
lN2163
lN2163
IN2163
IN2163
lN2163

DR
DR
DR
DR
DR
DR
DR
DR
DR

5

S
S
S
S

1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
0.8
1.4
1.3

Microwave
Microwave

S

S
S
S

400
500
50
100
200
300
400
500
365
400
720

1N4005

500
600
600
50
100
200
300
400
500
600

0.1
0.5
0.1
0.5
0.1
0.5
0.1
0.5
0.1

150
150
150
150
150
150
150
150
150
150
150
150
150
300
300
300
300
300
300
300

IN2168-1N2234A

TYPE

;

!;i1

REPLACEMENT

REF.

liE

IN2I68A
IN2I69
IN2I69A
IN2I70
IN2I70A
IN2I71
IN2I71A
IN2I72
IN2I73
IN2I74
IN2I75
IN2176
IN2177
IN2178
IN2179
IN2180
IN218I
IN2182
IN2183
IN2184
IN2185
IN2I86
IN2187

S
S
S
S
S
S
S
S
S
S
S
S

IN2189
IN2190
IN2I9I
IN2I92
IN2I93
IN2I94
IN2I95
IN2196
IN2197
IN2I98
IN2199

S
S
S
S
S
S
S
S
S
S
S

IN220I
IN2202
IN2203
IN2204
IN2205
IN2206
IN2207
IN2208
IN2209
IN2210
IN2211

S
S
S
S
S
S
S
S
S
S
S

IN2213
IN22I4
IN2217
1N2218
IN2219
IN2220
IN222I
IN2222
IN2222A
IN2223
IN2223A
IN2224
IN2224A
'IN2225
IN2225A
IN2226
IN2226A
IN2227
IN2227A
IN2228
IN2228A
IN2229
IN2229A
IN2230
IN2230A
IN2231
IN2231A
IN2232
IN2232A
IN2233
IN2233A
IN22

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

R
R
R
R
R
R
R
R
R
R
R

IM5.5ZS1
MR1l20
MR1l25

t

MR1120
MR1120

MR1126

MR1120

MR1128

MR1120

MR1130

MR1120
MR1120

MR1120
MR1l20

MR1122
MR1122

MR1120
MR1120

MR1123
MR1123

MR1120
MR1120

MR1l24
MR1l24

MR1120
MR1l20

R
DZ
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R

50
500
500
600
600
800
800
800
800
1000
1000
1000
1000
1200
1200
1200
1200
50
50
50
50
200
200
200
200
300
300
300
300
400
400

5.6
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2

1-33

1.0
1.6
5.0
5.0
1.0
1.6
5.0
5.0
1.0
1.6

35

LOW

lN2235-1N2285

TYPE

......iii.....
.......

z:

C>

REPLACE MENT

REF.

::Ii!

~
~

~

i5
S

1N2235
1N2235A
1N2236
1N2236A
1N2237
1N2237 A
1N2238
1N2238A
1N2239
1N2239A
1N2240
1N2240A
IN2241
1N2241A
1N2242
1N2242A
1N2243
1N2243A
1N2244
1N2244A
1N2245
1N2245A
1N2246
1N2246A
1N2247
1N2247A
1N2248
lN2248A
1N2249
1N2249A
1N2250
1N2250A
1N2251
1N2251A
lN2252
1N2252A
53
1N2253A
1N2254
1N2254A
1N2255
1N2255A
1N2256
1N2256A
1N2257
1N2257A
1N2258
1N2258A
lN2259
1N2259A
1N2260
1N2260A
1N2261
1N2261A
1N2262
1N2262A
1N2263
1N2263A
1N2264
1N2264A
1N2265A
lN2266
IN2267
1N2268
1N2269
IN2270
IN2271
1N2272
1N2273
1N2274
1N2275
1N2277
1N2278
1N2279
1N2280
1N228l
1N2282
1N2283
1N2284
1N2285

s
S
S
S
S
S
S
S
S
S
S
S

MR1l25
MR1l25

MR1l20
MRll20

MR1l26
MRll26

MR1120
MRll20

MRll28
MR1128

MR1l20
MRU20

MR1l30
MR1l30

MR1l20
MR1l20

R
R
R
R
R
R
R
R
R
R
R
R

S

R
R
R
R
R
R
R
R
R
R
R
R

S
S
S
S
S
S
S
S
S
S
S
S

R
R
R
R
R
R
R
R
R
R
R
R

S
S
S
S
S
S
S
S
S
S
S
S

R
R
R
R
R
R
R
R
R
R
R

800
1000
1000
1000
1000
1200
1200
1200
1200
50
50
50
50
100
100
100
100
200
200
200
200
300
300
300
300
400
400
400
400
500
500
500
500
600
600

R
R
R
R
R
R
R
R
R
R
R

600
800
800
800
800
1000
1000
1000
1000
1200
1200

R
R
R
R
R
R
R
R
R
R
R
R

1200
50
50
500
500
600
600
50
100
200
300

S
S
S
S
S

s

S
S
S

s

S
S
S
S
S
S

s

S
S
S

s
S

S
S
S
S
S
S
S
S
S

s

S
S
S
S
S

s

S
S
S
S

s
S

MR1l20

MRU20

MR1l25

MR1l20

MR1l26

MR1l20

600
800
1000
1200
300
400
500
600

5.0
1.5
1.6
5.0
5.0
1.5
1.6
5.0
5.0
3.0
3.0
10
10
3.0
3.0
10
10
3.0
3.0
5.0
10
3.0
3.0
10
10
3.0
3.0
10
10
3.0
3.0
10
10
3.0
3.0

0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.003
0.005
0.003
0.005
0.003
0.005
0.003
0.005
0.003
0.005
0.003
0.005
0.003
0.005
0.003
0.005
0.003
0.005
0.003
0.005
0.003
0.005
0.003
0.005
0.005
0.005
0.003

100
100
100
100
100
100
100
100
100
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200

10
3.0
3.0
10
10
3.0
3.0
10
3.0
3.0

0.003
0.003
0.005
0.01
0.005
0.010
0.005
0.01
0.005
0.010
0.005

200
200
200
200
200
200
200
200
200
200
200

1.2

10
0.3
1.0
0.3
1.0
0.3
1.0
6.0
6.0
6.0
6.0

0.005
0.003
0.003
0.003
0.003
0.003
0.003
1.0
1.0
1.0
1.0

200
20
20
20
20
20
20
400
400
400
400

1.
1.2
1.2
1.2
1.2
1.5
1.5
1.5
1.5

6.0
6.0
6.0
6.0
20
20
20
20

1.0
1.0
1.0
1.0
1.0
5.0
5.0
5.0
5.0

400
400
400
400
400
400
400
400
400

1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2

1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2

1-34

10

1-35

lN2370B-1N2500
......

TYPE

iii
....

t-

c

REPLACEMENT

REF.

:E

1N2371
1N2371A
1N2371B
1N2372
1N2373
1N2374
1N2375
1N2376
1N2377
1N2378
1N2379
1N2380
1N238l
1N2382
1N2382A
1N2383
1N2383A
1N2384
1N2384A
1N2385
1N2385A
1N2386
1N2387
1N2390
1N2391
lN2392
1N2393
1N2394
1N2395
1N2396
1N2397
1N2398
1N2399
1N2400
1N2401
1N2402
1N2403
1N2404
lN2405
1N2406
1N2407
1N2408
1N2409
1N2410,
1N2411
1N2412
1N2413
1N2414
1N2415
1N2416
1N2417
1N2418
lN2419
1N2420
1N2421
1N2422
1N2423
1N2424
1N2482
1N2483
1N2484
1N2485
1N2486
IN2487
1N2488
1N2489
1N2490
1N2491
1N2492
1N2493
1N2494
1N2495
1N2496
1N2497
1N2498
1N2498A
lN2499
lN2499A
lN2500

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

lN400l
lN400l
MR990A
MR990A
MR990A
MR990A
MR990A
1Nl730
1N1730
IN1730

1N4005
lN4007
MR991A
MR992A
MR993A
MR994A
MR995A
1N2383
1N2385

s

S
S
S
S
S
G
S

lN1730
1N1730

1N4751 *

1N4728

R
R
R
R
R
R
R
R
R
R
R
R
R

R
R
R
R
R
R
R
R
OS
OZ

2000
2000
2000
1000
600
1000
1500
2000
2400
3000
4000
6000
10K
4000
4000
6000
6000
8000
8000
10K

S
S
S
S
S
S
S
S
S
S
S
S

R
R
R
R
R
R
R
R
R
R
R
R

00
50
100
200
300
400
500
600
700
800
50
100

S
S
S
S
S
S
S
S
S
S
S
S

R
R
R
R
R
R
R
R
R
R
R

S
S
S
S
S
S
S
S
S
S
S
S

R
R
R
R
R
R
R
R
R
R
R
R

300
400
500
600
700
800
50
100
200
300
400
500
600
700
800
50
100
200
300
400
500
600
700
800
200
400
500
200
300
400
500
600
1600
50
100

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

s

S
S
S
S
S
S

1N4003
1N4004
1N4005
1N4003
1N4004
1N4004
1N4005
1N4005

lN4001
lN4001
1N4001
lN4001
lN4001
lN4001
lN400l
1N4001

MRll20
MRll2l

MR11Z0
MR1120

MR1l23
MR1l24
MRll25
MR1l26
1N2974A
lN2974B
lN2975A
lN2975B
lN2976A

R
R
R
R
R
R
R
R
R
R
R

2.0
3.0
3.0
4.5
7.5
9.0
9.0
15.0
22.5
37.5
18
6.0
27
9.0
27
12
39

10
1.0
5.0
10
0.2
0.1
0.1
0.1
0.1
0.075
0.075
0.05
0.05
0.025
0.15
0.35
0.1
0.35
0.07
0.275
0.07
0

1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2

O.

1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1;2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
4.8
1.5
1.5

0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.5
6.0
6.0

*
*
*
*
*

0.001
0.001
0.001
0.001
0.5
0.250
0.250
0;250
0.250
0;250
0.250
0.250
0.250
0.250
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.5
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
2.0
2.0

25
15
,20
25
12
12
12
12

12
12
12
12
12
12
6.0
6.0
6.0
6.0
6.0
6.0
6.0
30

8.0

10

10
10
11

500
500
500
500
500

10
5.0
10
5.0
10

35
35
35
35
35
35
35
35
35
35
35
30
30
30
30
30
30
30
30
15
150
150

11
12

Replacement" denotes exact device type replacement available on request.

1-36

LOW

15
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35
35

lOW
lOW
lOW
lOW
lOW

lN2500A-1N2582

TYPE

...!C~

REPLACEMENT

REF.

lE

1N2501
1N2502
1N2503
1N2504
1N2505
1N2506
1N2507
1N2508
1N2509
1N2510
1N2512
1N25
1N2514
1N2515
1N2516
1N2517
1N2518
1N2519
1N2520
1N2521
1N2522
1N2523
1N2524
1N2525
1N2526
1N2527
1N2528
1N2529
1N2530
1N2531
1N2532
1N2533
1N2534
1N2535
1N2536
1N2537
1N2538
1N2539
1N2540
1N2541
1N2542
1N2543
1N2544
1N2545
1N2546
1N2547
1N2548

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S

s
S
S
S
S
S
S
S
S

1N2550
1N2551
1N2552
1N2553
1N2554
1N2555
1N2556
1N2557
1N2558
1N2559
1N2560

S
S
S
S
S
S
S
S
S
S
S
S

1N2562
1N2563
1N2564
1N2565
1N2566
1N2567
1N2568
1N2569
1N2570
1N2571
1N2572

S
S
S
S
S
S
S
S
S
S
S
S

1N2574
1N2575
1N2576
1N2577
1N2578
1N2579
1N2580
1N2581
1N2582

S
S
S
S
S
S
S
S
S
S

1N2976B
1N4006
1N4007

*

0.15
0.15
0.15
0.15
0.3
0.3
0.3
0.3

1N4006
1N4006

0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2

2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5

0.002
0.002
0.002
0.002
0.002
0.002
0.002
0.002
0.002
0.002
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
l.0
1.0
l.0

30
30

Microwave
Ki.crowave

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R

R
R
R

R
R
R
R

R
R

R
R
R

R
R
R
R
R

R

200
300
400
500
600
100
200
300
400
500
600
50
100
200
300
400
500
600
700
800
900
1000
50
100
200
300
400
500
600
700
800
900
1000
50
100
200
300
400
500
600
700
800
900
1000
700
800
900
1000

R
R
R

R
R
R

R
R
R

R
R

R
R
R
R
R
R
R

R
R
R
R

1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.2
1.2
l.2
l.2
l.2
1.2
l.2
l.2
l.2
1.2
1.2
l.0
1.0
l.0
l.0
l.0
l.0
l.0
1.0
l.0
l.0
1.0
l.5
l.5
l.5
l.5
l.5
l.5
l.5
l.5
l.5
l.5
l.5
l.2
1.2
l.2
l.2
1.0
l.0
1.0
l.0
l.5
l.5
l.5
l.5
l.5
1.5
l.5
l.5

900
1000
50
100
200
300
400
500
600

2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
6.0
6.0
6.0
6.0

3.0

30
30
30
30
30
30
30
50
50
50
50
50
50
50
50

SO

50
50
50
50
50
50
50
50
50
50
50

SO

50

SO
SO

50
50
50
50
50
50
50
50
50
150
150
150
150
150
150
150
150
150
150
150
150
150
150
150
150
150
150
150
250
250
250
250
250
250
250

Replacement * denotes exact device type replacement available on request.

1-37
------

lN2S83-1N2667
z:

....

TYPE

•

1N2584
1N2585
1N2586
1N2587
1N2588
1N2589
1N2590
1N2591
1N2592
1N2593
1N2594
1N2595
1N2596
1N2597
1N2598
1N2599
1N2600
1N2601
1N2602
1N2603
1N2604
1N2605
1N2606
1N2607
1N2608
1N2609
1N2610
1N2611
1N2612
1N2613
1N2614
1N2615
1N2616
1N2617
IN2618
1N2620
1N2620A
IN2620B
1N2621
1N2621A
IN2621B
IN2622
1N2622A
1N2622B
1N2623
1N2623A
1N2623B
1N2624
1N2624A
1N2624B
1N2625
1N2625A
1N2625B
1N2626
1N2626A
1N2626B
1N2627}
1N2628
1N2629
1N2630
1N2631
1N2632
1N2633
1N2634
IN2635
1N2636
1N2637
IN2638
1N2641
1N2647
1N2650
1N2653
IN2656
IN2659
1N2662
IN2664
IN2666
1N2667

...
iii

l-

e

REPLACEMENT

REF.

'"~

~

::IE

;:::
z:
5!

S
S
S
S
S
S
S
S
S
S
S
S

R
R
R
R
R
R
R
R
R
R
R
R

S
S
S
S
S
S
S
S
S
S
S
S

R
R
R
R
R
R
R
R
R
R
R
R

S
S
S
S
S
S
S
S
S
S
S
S

1N4001
1N4002
1N4003
1N4004
1N4004
1N4005
1N4005
1N4006
1N4007

1N4001
1N4001
1N4001
IN400r
1N4001
1N4001
1N4001
IN4001
IN4001

1N937
1N937A
1N937B
1N938
1N938A
1N93SB

IN2620
1N2620
IN2620
IN2620
IN2620
IN2620
IN2620
IN2620
IN2620
1N2620
1N2620
1N2620
1N2620
1N2620
1N2620
1N935
1N935
IN935
1N935
1N935
IN935

S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S

...

R
R
R

R-

R
R
R
R
R
R
R
R

800
900
1000
50
100
200
300
400
500
600
700
800
900
1000
50
100
200
300
400
500
600
700
SOO
900
1000
50
100
200
300
400
500
600
800
1000
1200
1500

1.2
1.2
1.2
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1

1600
2800
1600
1600
1500
1500

12

12
12

12
12
12
12
12
12
12

12
12
12
12
12

1.0
1.0
1.0
1.0
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2

250
250
250
250
250
250
250
250
250
250
250

0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75

.2
0.2
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3

250
250
250
250
250
250
250
250
250
250
250
250
250
30
30
30
30
30
30
30
30
30
30

3.0
6.0
3.0
3.0
2.25
2.25
28.0
1.3
1.3

0.6
0.2
0.6
0.6
0.085
0.085
0.25
1.5
1.5

0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.3
0.3

5.0
5.0
5.0
5.0
5.0
5.0
5.0
15
15

1.
2.6
2.6
3.9
5.2
6.5
7.8
10.4
13

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

0.3
0.3
0.3
O.S
0.8
O.S
O.S
O.S
O.S

15
15
15
15
15
15
15
15
15

12

12
12
12
12
12

12
12
12
12

DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR

Varactor

G
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S

DS
R
R
R
R
R
R
R
R
R
R
R
R

R
R
R
R
R
R
R
R

100
200
300
400
600
800
1200
1600
2000
2400
3200
4000

1-38

lN2668-1N2793

TYPE

...3S
!C

z

0

REPLACEMENT

REF.

:E

...~

S:!
;::

i5

51

1N2668
1N2669
1N2673
1N2677
1N26B1
1N2685
1N26B7
1N26B9
1N2690
1N2691
1N2696
1N269B
1N2700
1N2701
1N2702
IN270S
1N270B
IN2711
1N2714
1N2717
1N2720
IN2722
1N2723
IN2724
IN272S
IN2728
1N2731
1N2734
1N2737
1N2738
1N2739
1N2740
1N2742
1N2744

R
R
R
R
R
R
R
R
R
R

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

R
R
R
R
R
R
R

R
R
R
R
R
R
R

R
R
R
R

R
R
R
R
R
R
R
R
R
R
R
R
R

1N2749
1N2750
IN2753
1N2756
IN2759
IN2762
IN2763
1N2764
1N276S
1N276SA

R

1N2766
1N2766A
IN2767
1N2767A
1N2768
1N2768A
1N2769
1N2769A
1N2770
1N2770A

S
S
S
S
S
S
S
S
S
S
S

772
1N2773
1N2774
1N277S
IN2776
1N2777
IN2778
1N2779
1N2780
1N2781
1N2782
1N2783
IN2784
IN278S
IN2786
IN2787
1N2788
1N2789
1N2790
1N2791

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

1N2793

S

1N823A
1NB2SA

1NB21
1N821

R
R
R
DR
DR

1N1736A
IN1736A
IN406I
1N4061A
IN4063
1N4063A
IN4065
IN406SA
1N4067
IN4067A

IN429
IN429
IN429
IN429
IN429
IN429
IN429
IN429
IN429
IN429

DR
DR
DR
DR
DR
DR
DR
DR
DR
DR

1.3
1.3
2.6
2.6
1.3
1.3
1.3
1.3
2.6
2.6
3.9
S.2
6.S
7.8
1.3
1.3
1.3
1.3
2.6
2.6
3.9
1.3
1.3
1.3

1.5
3.6
3.6
3.6
3.6
3.6
3.6.
3.6
3.6
3.6
7.2
7.2
7.2
7.2
7.2
7.2
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.6
3.6
3.6

2.
2.6
1.3
1.3
1.3
1.3
2.6
2.6
3.9

3.6
3.0
3.0
3.0
3.0
3.0
3.0
3.0

200
300
400
600

BOO

900
1200
1600
100
200
300
400
600

BOO

100
200
300
400
600
800
1200
1600
2000
2400
100
200
300
400
600

BOO

1200
100
200
300

BOO

100
200
300
400
600
800
1200

0.8
0.3
0.3
0.3
0.3
0.3
0.3
0.8

O.B
O.B

0.3
0.3
0.3
0.3
0.3
0.3
0.2
0.2
0.2
0.2
0.2
0.2

15
15
15
15
15
15
15
15
15
15
15
IS
IS
IS
IS
IS
15

0.8
0.3
0.3
0.3
0.3
0.3
0.3
0.8
0.3
0.3
0.3

IS
IS
IS
lS
IS
IS
IS
IS
IS
lS
lS
1S
IS
IS
IS
IS
IS
lS
lS

O.
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.8

lS
IS
lS
IS
lS
IS
IS
IS
IS

O.B
O.B
O.B

R
R
R
R
R
R
R

1N2970

R
R
R
DS
DZ·

62

R
R
R
R
R

lL

1N31S6

1N3I54

IN1183

INllB3

DR

OS
R

1-39

10

6.0W

lN2,794-1N2827B
~

TYPE

"" 'REPLACEMENT
...

ill

ZSO

1NZ80S
1NZ80SA
1N280SB
1N2806
1N2806A
1N2806B
1N2807
IN2807A
IN2807B
IN2808
IN2808A

S
S
S
S
S
S
S
S
S
S
S

1N2809
1N2809A
1N2809B
1N281O
1N281OA
1N281OB
1N2811
IN2811A
1N281lB
IN2812

S
S
S
S
S
S
S
S
S
S
S

1NZ804
1NZ804
1NZ804
1NZ804
1NZ804
1N2804
1N2804
1N2804
IN2804
1N2804
1N2804
1N2804
1N2804
IN2804
1N2804
1N2804
1N2804
1N2804
1N2804
1NZ804
1N2804
1N2804
1N2804
IN2804
1N2804
1N2804
1N2804
1N2804
1N2804
1NZ804
1NZ804
1N2804
1N2804
1N2804

1N2817
1N2817A
1N2817B
1N2818
1N2818A
1N2818B
1N2819
1N2819A
1N2819B
1N2820
1N2820A
1N2821
1N2821A
1N2821B
1N2822
1N2822A
1N2822B
1N2823
1N282,3A
1N2823B

S
S
S
S
S
S
S
S
S
S
S

IN2804
1N2804
1N2804
1N2804
1NZ804
1NZ804
1NZ804
1N2804
1N2804
1N2804
1N2804

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

36

3500

6.8
6.8
6.8
7.5
7.5
7.5
8.2
8.2
8.2
9.1
9.1
9.1
10
10
11
11
11
12
12
12
13
l3
l3

14
14
14
15
15
15
16
16
16
17
17
17
18
18
18
19
19
19
20
ZO
20
22
22

2Z
24
24

1NZ804
1NZ804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1NZ804
1NZ804 DZ
1NZ804 DZ
1NZ804 DZ
IN2804 DZ
IN2804 DZ
IN2804 DZ
1N2804 , DZ
1N2804 DZ
IN28Q4 DZ
1N2804 DZ

25
25
25
27
27
27
30
30
30
33
33
33
36
36
36
39
39
39
43
43
43

1..40

1700
1700
1700
1500
1500
1500
1370
1370
1370
1200
1200

ZO
10
5.0
ZO
10
'j.0
20
10
5.0
20
10
5.0
20
10

SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW

1100
1100
1100
1000
1000
1000
960
960
960
890
890

10
5.0
20
10
5.0
20
10
5.0
ZO
10

SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW

830
830
830
780
780
780
740
740
740
700
700
660
660
660
630
630
630
570
570
570
520
520
520
500
500
500
460
460
460
420
420
420
380
380
380
350
350
350
320
320
320
290
290
290

5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
5.0
20
10
5.0
20
10
5.0
20
10

SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW

20
10
5.0
20
10
5.0
20
10
5.0
20
10

SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW

ZO
10
5.0
20
10
5.0
ZO
10
5.0

SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW

10

lN2828-1N2866
:z
co

....

TYPE

...=:
iii

REPLACEMENT

REF.

:IE

1N2828A
1N2828B
1N2829
1N2829A
1N2829B
1N2830
1N2830A
1N2830B
1N2831
1N2831A
1N2831B
1N2832B
1N2833
1N2833A
1N2833B
1N2834
1N2834A
1N2834B
1N283S
1N283SA
1N283SB
1N2836A
1N2836B
1N2837
1N2837A
1N2837B
1N2838
1N2838A
1N2838B
1N2839
1N2839A
1N2839B
1N2840
1N2840A
1N2840B
1N2841
1N2841A
1N2841B
1N2842
1N2842A
1N2842B
1N2843
1N2843A
1N2843B
1N2844
1N2844A
1N2844B
1N284S
1N284SA
1N2845B
1N2846
1N2846A
1N2846B
1N2847
1N2848
1N2849
1N28S0
1N2851
1N28S2
1N2855
1N28S6
1N28S7
1N2858
1N2858A
1N2859
1N2859A
1N2860
1N2860A
1N2861
1N2861A
1N2862
1N2862A
1N2863
1N2863A
1N2864
1N2864A
1N2865
1N2866

1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

1N4001

1N4001

1N4002

1N4001

1N4003

1N4001

1N4004
1N4004

1N4001

1N4005

IN4001

IN400S

1N4001

S

...z:~S!

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

45
45
47
47
47
50
50
50
51
51
51

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

56
56
62
62
62
68
68
68
75
75
75
82
82
82
91
91
91
100
100
100
105
105
105
110
110
110
120
120
120
130
130
130
140
140
140
160
160
160
180
180
180
200
200
200

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R'

100
200
300
400
500
600
600
800
1000
50
50
100
100
200
200
300
300
400
400
500
500
600
600
1000
1500

2.0
2.0
2.0
2.0
2.0
2.0
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
2.5
2.5

1.5
1.5
1.5
1.5
1.5
1.5
250
250
250
0.75
1.0
0.75
1.0
0.75
1.0
0.75
1.0
0.75
1.0
0.75
1.0
0.75
1.0
0.7
0.7

1-41

0.3
0.2
0.2
0.2
0.2
0.2
25
20
15
0.3
0.3
0.3
0.3
0.3
0.3
O.
0.3
0.2
0.3
0.2
0.3
0.2
0.3
0.1
0.1

15
15
15
15
15
15
3500
4500
4500
40
25
40
25
40
25
25
40
25
40
25
40
25
7.0
7.0.

280
280
270
270
270
250
250
250
245
245
245
220
220
220
200
200
200
180
180
180
170
170
170
150
150
150
140
140
140
120
120
120
120
120
120
110
110
110
100
100
100
95
95
95
90
90
90
80
80
80
68
68
68
65
65
65

10
5.0
20
10
5.0
20
10
5.0
20
10
5.0

sow
sow

10
5.0
20
10
5.0
20
10
5.0
20
10
5.0

SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW

10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0

SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW

SOW
SOW

sow

SOW
SOW
SOW
SOW
SOW
SOW

sow

SOW
SOW
SOW
SOW
SOW
SOW

lN2867:"'lN2976A
.
..
.

I
"-'

TYPE'

IN2868
IN2878
IN2879
IN28BO
IN2881
IN2882
IN2883
IN2884
IN2885
IN2886
IN2887

IN2901
IN2902
IN2903
IN2904
IN2905
IN2906
IN2907
IN2908
IN2909
IN2910
IN2911
IN2913
IN2914
IN2915
IN2916
IN2917
IN2918
IN29,19
IN2920
IN2921
IN2922
IN2923

S
S
S
S
S

~"
S
S
S
S

lN400l
lN400l
IN4001
lN400l
IN4001
IN4001
MR990A
MR990A
MR990A
MR990A

IN4006
IN4006
IN4007
lN4007
IN4007
IN4007
MR9'91A
MR991A
MR991A
MR99iA

S
S
S
S
S
S
S

S
S

S
S
S
S

S
S

S
S
S
S
S

S
S
S

IN2925
S
IN2'926
IN2926A
IN2927,A
thru
IN2934,A'
IN2937
S
IN2938
S
IN2939,A
thru
IN2941,A,

Microwave
Microwave

x-x

band
.band Detector
I
I

::::'"'OOi":;': r";;r ""I ,~
I

Tunnel Diodes, see Table on Page

45
0.9

25
100

5.0
15

lOW
2',OW

6.8
6.8
6.8
7.5

370
20
370
10
370
5.0
335
20
335
10
335
5.0
305
20
305
10
3055.0
275
20

lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

5.0
20
10
5.0
20
10
5.0
20
10

lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

1·99

, see

IN2970
lN2970A
IN2970B
lN2971
IN2971A
IN2971B
IN2972
lN2972A
lN2972B
IN2973
lN2973B
IN2974
IN2974A
IN2974B
lN2975
IN2975A
IN2975B
lN2976

lN2976~

S

S
S
S
S
S
S
S
S

S

lN2970
IN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
IN2970

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

1N2970
1N2970
lN2970
lN2970
lN2970
IN2970
lN2970
lN2970

DZ
DZ
DZ
DZ
DZ
DZ
DZ
'DZ
DZ

7.5

7.5
8.2
8.2
8.2
9.1
9.
9.1
10
10
10
11
11

S

S
S
S
S
S

S
S
S
S

11

F42

250
250
250
230
230
230
210
210

lN2976B-1N3003B

TYPE

i

!;1

REPLACEMENT

REF.

:IE

1N2977
1N2977A
1N2977B
lN2978
lN2978A
lN2978B
lN2979
1N2979A
lN2979B
lN2980
lN2980A
lN2980B
lN298l
lN298lA
lN298lB
lN2982
1N2982A
lN2982B
1N2983
lN2983A
lN2983B
lN2984
lN2984A
lN29
lN2985A
lN2985B
lN2986
lN2986A
lN2986B
lN2987
lN2987A
lN2987B
lN2988
lN2988A
lN2989
lN2989A
lN2989B
lN2990
lN2990A
lN2990B
lN299l
lN299lA
1N299lB
lN2992
1N2992A
lN2992B
lN2993
lN2993A
lN2993B
lN2994
lN2994A
lN2994B
lN2995
lN2995A
lN2995B
lN2996
lN2996A
lN2997
lN2997A
lN2997B
lN2998
lN2998A
lN2998B
lN2999
lN2999A
lN2999B
lN3000
lN3000A
lN300l
lN300lA
lN300lB
lN3002
lN3002A
lN3002B
lN3003
lN3003A
lN3003B

S
S
S

S
S
S
S
S
S
S

S
S
S
S
S

S
S

S
S
S
S

S
S
S
S
S

s
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S

S
S
S
S
S
S
S
S

s
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S

1N2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
1N2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
1N2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970

13

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
IlZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
I)Z
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

13
13

14
14
14
15
15
15
16
16
17

17
17
18
18
18
19
19
19
20
20
20
22
22
22
24
24
24
25
25
25
27
27

39
43
43
43
45
45
45
47
47
47
50
50
50
51
51
51
52
52
52
56
56
56
62
62
62

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

68

68
68
75
75
75
82
82
82

1-43

190
190
190
180
180
180
170
170
170
155
155
155
145
145
145
140
140
140
130
130
130
125
125

20
10
5.0
20
10
5.0
20
10
5.0
20
10

lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

20

lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

10

5.0
20
10
5.0
20
10
5.0
20
10

ll5
ll5
ll5
105
105
105
100
100
100
95
95

10
5.0
20
10
5.0
20
10
5.0
20
10

lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

85
85
75
75
75
70
70
70
65
65
65
60
60
60
55
55
55
55
55
55
50
50
50
50
50
50
50
50
50
45
45
45-.
40
40

10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10

lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

37
37
37
33
33
33
30
30
30

20
10
5.0
20
10

5.0
20
10
5.0

lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

•

lN3004-1N3031

•

1N3004A
1N3004B
1N3005
1N3005A
1N3005B
1N3006
1N3006A
1N3006B
1N3007
1N3007A
1N3007B

S
S
S
S
S
S
S
S
S
S
S

1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

008A
1N3008B
1N3009
1N3009A
1N3009B
1N3010
1N3010A
1N3010B
1N3011
1N3011A
1N3011B
12
1N3012A
1N3012B
1N3013
1N3013A
1N3013B
1N3014
1N30l4A
1N30l4B
1N3015
IN3015A
1N3015B

S
S
S
S
S
S
S
S
S
S
S

1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
lN2970
1N2970
1N2970
1N2970

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

IN3016A
1N3016B
IN3017
1N3017A
1N3017B
lN3018
1N3018A
1N30l8B
lN3019
1N30l9A
1N3019B
1N3020
lN3020A
1N3020B
1N3021
1N3021A
1N3021B
1N3022
1N3022A
1N3022B
1N3023
1N3023A
1N3023B

S
S
S
S
S
S
S
S
S
S
S
S

1N3016
1N3016
1N3016
IN3016
IN3016
IN3016
IN3016
IN3016
1N3016
1N3016
1N3016
1N3016
1N3016
1N3016
1N3016
1N3016
1N3016
1N3016
1N3016
1N3016
1N3016
1N3016
1N3016

1N3024B
1N3025
1N3025A
1N3025B
1N3026
1N3026A
1N3026B
1N3027
1N3027A
1N3027B

S
S
S
S
S
S
S
S
S
S
S

1N3016
1N3016
1N3016
1N3016
1N3016
1N3016
1N3016
1N3016
1N3016
1N3016

1N3028A
lN3028B
1N3029
1N3029A
1N3029B
1N3030
1N3030A
1N3030B
1N3031

S
S
S
S
S
S
S
S
S
S

1N3016
1N3016
IN3016
IN3016
1N3016
1N3016
1N3016
1N3016

91

91
100
100
100
105
105
105
110
110
110
120
120
120
130
130
130
140
140
140
150
150
150
160
160
160
175
175
175
·180
180
180
200
200
200
6.8
6.8
6.8
7.5
7.5
7.5
8.2
8.2
8.2
9.1
9.1
9.1

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

10
10

10
11
11
11
12
12
12
13
13
13
15
15
15
16
16
16
18
18
18
20
20
20
22
22
22
24
24
24
27
27
27
30

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

DZ
DZ
DZ

1-44

28
28
25
25
25
25
25
25
23
23
23
20
20
20
19
19
19
18
18
18
17
17
17
16
16
16
14
14
14
14
14
14
12
12
12

10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20

3
37
34
34
34
31
31
31
28
28
28
25
25
25
23
23
23
21
21
21
19
19
19
17
17
17
15.5
15.5
15.5
14
14
14
12.5
12.5
12.5
11.5
11.5
10.5
10.5
10.5
9.5
9.5
9.5
8.5

5.0
20
10
5.0

lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

10
5.0
20
10
5.0
20
10
5.0
20
10
5.0

lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20

1. OW
1. OW
1. OW
1. OW
1. OW

10

l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
1. OW
l.OW
l.OW
l.OW
l.OW
1. OW
1. OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
1. OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW

lN3031A-1N3071

TYPE

I
i

S

1N3031B
1N3032
1N3032A
1N3032B
1N3033
1N3033A
1N3033B
1N3034
1N3034A
IN3034B
1N3035
IN3035A
IN3035B
IN3036
1N3036A
1N3036B
1N3037
1N3037A
1N3037B
1N3038
1N3038A
1N3038B
1N3039

S

1N3039B
1N3040
1N304(}A
1N3040B
1N3041
1N3041A
1N3041B
1N3042
1N3042A
1N3042B
1N3043
1N3043A
1N3043B
IN3044
1N3044A
1N3044B
IN3045
1N3045A
1N3045B
1N3046
IN3046A
1N3046B
1N3047

S
S
S
S
S
S
S
S
S
S
S

1N3047B
1N3048
1N3048A
1N3048B
IN3049
IN3049A
IN3049B
1N3050
1N3050A
IN3050B
1N3051
1N3051B
IN3052
IN3053
IN3054
IN3055
1N3056
IN3057
1N3058
1N3059
1N3060
1N3061
IN3062
1N3063
1N3064
1N3065
1N3066
1N3067
1N3068
1N3069
1N3070
1N3071

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

REPLACEMENT

REF.

IN3016
IN3016
IN3016
IN3016
1N3016
IN3016
IN3016
1N3016
IN3016
1N3016
IN3016
IN3016
IN3016
IN3016
IN3016
IN3016
IN3016
IN3016
1N3016
IN3016
IN3016
1N3016
1N3016
1N3016
IN3016
IN3016
IN3016
IN3016
1N3016
1N3016
1N3016
1N3016
IN3016
1N3016
1N3016
1N3016
IN3016
IN3016
IN3016
1N3016
IN3016
IN3016
IN3016
IN3016
1N3016
IN3016
1N3016
IN3016
IN3016
IN3016
1N3016
IN3016
IN3016
IN3016
IN3016
IN3016
IN3016
1N3016

30
30
33
33
33
36
36
36
39
39
39
43

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

43
47
47
47
51
51
51
56
56
56
62
62
62
68
68
68
75
75
75
82
82
82
91
91
91
100
100
100
110
110

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
PZ
DZ

no

120
120
120
130
130
130
150
150
150
160
160
160
180
180
180
200

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
"R
R
R
R
R
R
R
R
R

R

12K
14K
16K
18K
20K
22K
24K
26K
28K
30K

70
75
80
85
90
95
100
105
120
125

0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1

DS
DS
DS
DS
DS
DS
DS
DS
DS
DS

1-45

0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2

6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0

8.5
8.5
7.5
7.5
7.5
7.0
7.0
7.0
6.5
6.5
6.5
6.0
6.0
6.0
5.5
5.5
5.5
5.0
5.0
5.0
4.5
4.5
4.5
4.0
4.0
4.0
3.7
3.7
3.7
3.3
3.3
3.3
3.0
3.0
3.0
2.8
2.8
2.8
2.5
2.5
2.5
2.3
2.3
2.3
2.0
2.0
2.0
1.9
1.9
l.9
l.7
l.7
l.7
l.6
1.6
1.6
1.4
1.4
1.4
1.2
1.2
1.2

10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
5.0
20
10
"5.0
20
10
5.0
20
10
5.0
20
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0

l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
.OW
l.OW
l.OW
l.OW
l.OW
l.OW
LOW
LOW
l.OW
LOW
LOW
l.OW
l.OW
l.OW

IN3072-1N3168

.....

.......""
:$

TYPE

•

lN3072
lN3073
lN3074
lN3075
lN3076
lN30n
lN3078
lN3080
1N308l
lN3082
lN3083
lN3084
lN3085
lN3086
lN3087
lN3088
lN3089
lN3090
lN3091
1N3092

"'::IE"

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
G
G

S
S
S
S
S
S
S
S
S
S
S
S

REPLACEMENT

lN4003
lN4003
lN4004
lN4004
lN4004
lN4004
IN4005
lN4005
IN4003
IN4004
lN4005
MR122lSB
MR1223SB
MR1225SB
MR1227SB
MR1228SB
MR1229SB
X-band

REF.

lN400l
lN4001
lN400l
lN4001
lN400l
lN400l
lN400l
lN400l
IN4001
lN4001
lN400l
100
200
300
400
500
600
800
1000

Swit

lN3046A
lN3048A
lN3050A
1N305lA
lN3008A
1N3011A
1N30l4A
IN30l5A

lN30l6

40
40
40
40
40
40
40

DS
DZ

1N2970
lN2970
lN2970
1N2970

120
150
180
220
120
150
180
220

3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0

20/10

l.OW

7.4

120

5.0

l.OW

G

Tunnel Diodes, see

G
G

S
S
G

lN3l39
lN3140
lN314l
IN3l42
1N3143
lN3144
1N3145
1N3146
lN3147
1N3148
1N3l49
1N3l49A
1N3150
1N3151
lN3l54
lN3l54A
lN3l55
1N3l55A
lN3l56
lN3156A
lN3l57
lN3l57A
lN3l60
lN3l61
1N3l62
lN3l63
lN3164
1N3l65
lN3l66
lN3l67
lN3l68

S
S
S
S
S
S
S
S
S

lN3154
lN3l54
IN3154
1N3l54
lN3154
lN3l54
lN3154
lN3154

27

0.1

250

1.30
1.30
1. 30
1. 30
1.30
1.30

240
240
240
240
240
240

1
16
16
16
16
16
16

G
G

S
S
S
S
S
S
S

S

MR1230SB
MR123lSB
MR1232SB
MR1233SB
MR1234SB
MR1235SB
MR1236SB
MR1237SB

MR1230
MR1230
MR1230
MR1230
MR1230
MR1230
MR1230
MR1230

1-46

lN3169-1N3255

TYPE

1N3170
1N3171
1N3171A
1N3172
1N3172A
1N3173
1N3173A
1N3174
1N3174A
1N3175
1N3176
lN3177
1N3179
1N3180
1N3181
1N3182
1N3183
1N3184
1N3185
1N3186
1N3187
lN3188
1N3189

iI...
;
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

REPLACEMENT

REF.

MR1238SB
MR1239SB
240
240
240
240
240
240
240
240
240

16
16
16
16
16
16
16
16
15
15

3000
3000
30ClO
3000
3000
3000
3000
3000
3000
3000

lN5237A
Varactor

7.7

lN4003
1N4004
1N4005
1N4003
1N4004
lN4005
1N4006

1N4001
1N4001
1N4001
lN4001

lN3208
1N3208
1N3208
lN3208
1N3208
1N248B
1N248B
1N3217
thru

1N3222
1N3223
1N3225
lN3227
lN3228
lN3229
1N3230
lN3231
1N3232
1N3233
1N3234
1N3235
1N3236
1N3237
1N3238
lN3239
lN3240
lN3241
lN3242
lN3243
1N3244
1N3245
1N3247
lN3248
lN3249
lN3250
lN3251
1N3252
lN3253
1N3254
lN3255

S
G

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

R
R
R
R
R
R
R
R

F-

R
R
R

S
S

S
S
S
S

S
S

S
S

S

lN4003
lN4004
lN4005

lN4001
1N4001
lN4001

R
R
R
R
R
R
R
R
R
R

1500
1800
2000
50
100
200
400
600
800
1000
1200
1500
50
100
200
400
600
800
1000
200
400
600

3.
3.3
3.3
3.3
3.3
3.3
3.3
3.3
3.3
2.2
2.2
2.2
2.2
2.2
2.2
2.2
2.2
2.2
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.2
1.2
1.2

0.5
0.5
0.5
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75
1.0
1.0
1.0
1.0
1.0
1.0
1.0
0.75
0.75
0.75

1-47

0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.2
0.2
0.2

12.5
12.5
12.5
15.0
15.0
15.0
15.0
15.0
15.0
15.0
15.0
15.0
20.0
20.0
20.0
20.0
20.0
20.0
20.0
40
40
40

14

10

0.6

lN3256·1N3313B

....

...""
:$

TYPE

l-

e

REPLACEMENT

REF.

::IE

IN3256
IN3257
IN3258
11<3260
IN3261
IN3262
IN3263
IN3264
IN3265
IN3266
IN3267
IN3268
IN3269
IN3270
IN3271
IN3272
IN3273
IN3274
IN3275
IN3276
IN3277
IN3278
IN3279
IN3280
IN3281
IN3282
IN3283
IN3284
IN3285
IN3286
IN3287
IN3288
IN3288A
IN3289
IN3289A
1N3290
1N3290A
1N3291
1N3291A
1N3292
1N3292A
IN3292B
IN3293
1N3293A
IN3294
IN3294A
IN3295
IN3295A
IN3296
IN3296A
IN3297
IN3297A
IN3298
IN3298A
IN3299
thru
IN3304,A
IN330S
IN3305A
IN3305B
IN3306
IN3306A
IN3307
IN3307A
IN3307B
IN3308
IN3308A
IN3308B
IN3309
IN3309A
IN3309B
IN3310
IN3310A
IN3311
IN3311A
IN3311B
IN3312
IN3312A
IN3312B
IN3313
IN3313A
IN3313B

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

MR1220SB
MR1221SB
MR1222SB
MR1223SB
MR1224SB
MR1225SB
MR1226SB
MR1227SB
MR1228SB
MR1229SB

MR1220
MR1220
MRl220
MR1220
MR1220
MR1220
MR1220
MR1220
MR1220
MR1220

S

S
S
S
S
S

IN3213
IN3213
IN3213
IN3213
IN3213

G

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

MR1811R
MR1813R
MR1815R
MR1817R
MR1818SB
MR1819SB

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
DS
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R

R
R

800
900
1000
1200
1400
1600
200
400
600
800
1000
1000

1.6
1.6
1.6
1.4
1.4
1.4
1.3
1.3
1.3
1.3
1.3
3.7

160
160
160
160
160
160
0.75
0.75
0.75
0.75
0.75
0.1

100
200
200
300
300
400
400
500
500
500
600
600
800
800
1000
1000
1200
1200

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100

12
12
12
12
12
12
12
12
12
12
12
12
12
12
12
12

2000
2000
2000
2000
2000
2000
2000
2000
2000
2000
2000
2000
2000
2000
2000
2000
25
25
25
25
25
2.5
2.5
2.5
2.5

24
300
24
400
24
525
24
650
21
21
800
17
1050
13
1300
11
1600
9.0

2300
1600
2300
1600
2300
1600
2300
1600
1600
2300
1600
2300
1600
2300
1600
2300
1600
2300

4-Layer
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S

s
S
S

S
S
S
S
S
S
S

IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
IN2804
1N2804
IN2804
IN2804
IN2804
IN2804

6.8
6.8
6.8
7.5
7.5
7.5
8.2
8.2
8.2
9.1
9.1
9.1
10
10
10
11
11
11
12
12
12
13
13

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

13

14
14
14

1-48

1850
1850
1850
1700
1700
1700
1500
1500
1500
1370
1370
1370
1200
1200
1200
1100
1100
1100
1000
1000
1000
960
960
960
890
890
890

20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0

SOW
50W
SOW
SOW
50W
50W
50W
50W
SOW
SOW
50W
SOW
SOW
SOW
SOW
SOW
50W
50W
50W
SOW
SOW
SOW
SOW
SOW
SOW

lN3314-1N3341

....c<

TYPE

...
iii:
c<

REPLACEMENT

REF .

::E

1N3314
1N3314A
1N3314B
1N3315
1N3315A
1N3315B
1N3316
1N3316A
1N3316B
1N3317
1N3317A
1N3317B
1N3318
1N3318A
1N3318B
1N3319
1N3319A
1N3319B
1N3320
1N3320A
1N3320B
1N3321
1N3321A
1N3321B
1N3322
1N3322A
1N3322B
1N3323
1N3323A
1N3323B
1N3324
1N3324A
1N3324B
1N332S
1N332SA
1N332SB
1N3326
1N3326A
1N3326B
1N3327
1N3327A
1N3327B
1N3328
1N3328A
1N3328B
1N3329
1N3329A
1N3329B
1N3330
1N3330A
1N3330B
1N3331
1N3331A
1N3331B
1N3332
1N3332A
1N3332B
1N3333
1N3333A
1N3333B
1N3334
1N3334A
1N3334B
1N3335
1N3335A
1N3335B
1N3336
1N3336A
1N3336B
1N3337
1N3337A
1N3337B
1N3338
1N3338A
IN3338B
1N3339
1N3339A
1N3339B
1N3340
1N3340A
1N3340B
1N3341

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N8204
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804

S
S
S
S
S
S
S
S
S
S
S
S

1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S

1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
IN2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

15
15
15
16
16
16
17
17
17
18
18
18
19
19
19
20
20
20
22
22
22
24
24
24
25
25
25
27

27
27
30
30
30
33
33
33

36
36
36
39
39
39
43
43
43
4S
4S
4S
47
47
47
SO
SO
SO
Sl
Sl
51
S2
S2
S2
S6
56
56
62
62
62
68
68
68
7S
7S
7S
82
82
82
91

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

91
91

100
100
100
lOS

1-49

830
830
830
780
780
780
740
740
740
700
700
700
660
660
660
630
630
630
S70
S70
S70
520
S20
S20
500
SOO
SOO
460
460
460
420
420
420
380
380
380
350
3S0
3S0
320
320
320
290
290
290
280
280
280
270
270
270
250
2S0
250
24S
245
24S
240
240
240
220
220
220
200
200
200
180
180
180
170
170
170
150
ISO
ISO
140
140
140
120
120
120
120

20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
S.O
20
10
5.0
20
10
5.0
20
10
5.0

50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
50W
SOW
SOW
SOW

10
S.O
20
10
S.O
20
10
20
10
5.0

SOW
50W
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
50W

10
S.O
20
10
5.0
20
10
5.0
20
10
5.0
20
10
S.O
20
10
S.O
20
10
5.0
20
10
S.O
20
10
S.O
20
10
S.O
20
10
S.O
20
10
S.O
20
10
S.O
20
10
S.O
20
10
S.O
20

SOW
SOW
SOW
SOW
50W
SOW
50W
50W
SOW
SOW
SOW
SOW
SOW
SOW
50W
SOW
SOW
SOW
50W
SOW
50W
SOW
SOW
50W
50W
SOW
50W
SOW
SOW
SOW
50W
50W
SOW
50W
SOW
50W
50W
SOW
SOW
50W
50W
50W
SOW
SOW
SOW

s.o

•

lN3341A-1N340S

....

TYPE

...s
...
~

REPLACEMENT

REF.

:IE

•

1N3341B
1N3342
1N3342A
1N3342B
1N3343
1N3343A
1N3343B
1N3344
1N3344A
1N3344B
1N334S

1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804
1N2804

s

S
S
S
S
S
S
S
S
S
G

lOS
llO
llO
llO
120
120
120
130
130
130
140
140
140
150
150
lS0
160
160
160
17S
17S
17S
180

120
120
llO
llO
llO
100
100
100
9S
9S
9S
90
90
90
8S
8S
8S
80
80
80
70
70
70
68

5.0
20
10
S.O
20

SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW
SOW

10
10
10
10
10
10
10
10
10
10
10
10
10
10

SOOM
SOOM
500M
500M
SOOM
SOOM
SOOM
500M
500M
SOOM
SOOM
500M
SOOM
SOOM

S.O
20
10
S.O
20
10
S.O
20
10
S.O
20
10
S.O
20
10
S.O
20

Hi

6S
6S
6S

Backward
R
R
R
R
R
R

&

1N3360
1N3361
1N3362
1N3363
1N3364
1N336S
1N3366
1N3367
1N3368
1N3369
1N3370
1N3371
1N3372
1N3373
1N3374
1N337S
1N3376
1N3377
1N3378
1N3379
1N3380
1N3381
1N3382
1N3383
1N3384
1N338S
1N3386
1N3387
1N3388
1N3389
1N3390
1N3391
1N3392
1N3393
1N3394
1N339S
96
1N3397
1N3398
1N3399
1N3400
1N3401
1N3402
1N3403
1N3404
1N340S

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S10
.SM3.9ZZS10
.SM4.7ZZS10
.SM5.6ZZS10
.5M6.8ZZS10
.5M8.2ZZS10
SM10ZZS10
.SM12ZZSlO
.SM1SAAS10
.SM18ZZS10

10
15
25
50
7S
100

1.2
1.2
1.2
1.2
1.2
1.2

3.0
3.0
3.0
3.0
3.0
3.0

lS0
200
300
400
SOO
600
700
800
900
1000
1200
lS00

1.2
1.2
1.2
1.2
1.2
1.2
1.2
2.0
2.0
2.S
2.S
2.S
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
20
20
20
20
20
20
20
20

0.020
0.020
0.010
0.010
0.010
0.010
0.010
0.010
0.010
0.010
0.010
0.010
0.010
0.010
0.010
0.025
0.02S
0.025
0.31S
0.31S
0.31S
0.31S
0.31S
0.31S
0.31S
31S

30
30
30
30
30
30
30
30
30
30
30
30
30
30
30
30
30
30

loS
1.8
2.2
2.7
3.3
3.9
4.7
5.6
6.8
8.2
10
12
lS
18

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

tSee page '-1a for ordering information.

1-50

SO
SO
SO
50
30
30
30
20
20
10
10
10
10
10

lN3406-1N3487

.....

TYPE

ii!

=

REF.

REPLACEMENT

:IE

10

.sM27ZZS10
.sM33ZZS10
.5M39ZZS10
.sM47ZZS10
1Ns234A
1Ns23sA
1Ns236A
1Ns237A
1Ns240A
1Ns242A
1N5245A

1N340B
1N3409
1N3410
1N3411
1N3412
1N3413
1N3414
1N341s
1N3416
1N3417
1N3419
1N3420
1N3421
1N3422
1N3423
1N3424
1N3425
1N3426
1N3427
1N3428
1N3429
1N3430
1N3431
1N3432
1N3433
1N3434
1N3435
1N3436
1N3437
1N3438
1N3439
1N3440
1N3441
1N3443
IN3444
1N3445
1N3446
1N3447
1N3448
1N3449
1N3450
1N3451
1N3452
1N3453
1N3454
1N3455
1N3456
1N3457
1N3458
1N3459
1N3460
1N3461
1N3462
1N3463
1N3464
1N3465
1N3467
1N3468
1N3469
1N3470
1N3471
1N3473
1N3474
1N3475
1N3476
1N3477
1N3477A
1N3480
1N3481
1N3482
1N3483
1N3484
1N3485
1N3486
1N3487

1N5254A
1N5256A
1N5257A
1N5259A
1N5261A
1N5263A
1N5266A
1N5268A
1N5271A
1N5273A
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

t
t
t

t

1Ns221
1Ns221
1Ns221
1Ns221
1Ns221
1Ns221
1N5221
1N5221
1N5221
1N5221
1Ns221
1N5221
1N5221
IN5221
1N5222
1N5221
1Ns221
1N5221
1Ns221
1N5221
1N5221
1N4128
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

22
27
33
39
47
6.2
6.8
7.5
8.2
10
12
15
18
22
27
30
33
39
47
56
68
82
100
120
150
180
220
8.2
10.0
12.0
15.0
18.0
22.0
27.0
33.0
39.0

3.0
3.0
3.0
1.5
1.5
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
25
25
25
25
25
7.5
7.5
7.5
7.5

1N5279A
1N5281A
IN4738
1N4740
1N4742
1N4744
1N4746
1N4748
1N4750
1N4752
1N4754
1N4756
1N4735
1N4736
1N4738
1N4740
1N4742
1N4744
1N4746
1N4748
1N4750
1N4751
1N4752
1N4754
1N4756
1N4758
1N4760
1N4762
1N4764
1M120ZSlO
1M150ZSlO
1M180ZS10
1M200ZS5

1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728

39
47
56
68
82
100
120
150
180
220

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

1N5221A
1N5221B

1N5221
1N5221

2.2
2.2

5.0
5.0

10
10
10
10
10

10
10

10
10
10
10
10
10
10

10
10
10
10
10
10
10
10
10
10
10

10
10
10
10
10
10
10
10
10
10
10
10

10
10
10
10
10
10
10

10
10
10
10
10
10
10

10
10
10
10
10

sOOM
500M
sOOM
sOOM
sOOM
sOOM
sOOM
sOOM
sOOM
500M
500M
500M
500M
500M
500M
500M
500M
500M
500M
500M
500M
500M
500M
500M
500M
500M
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W
2.0W

G
G
G
G
G
G

S
S
S
S
S
S
S

10

5.0

250M
250M

RF Power

RF Power

tSee page 1-1a for ordering information.

1-51
--- ------"-"--"

lN3488-1N3566

TYPE

1N349D
1N3491
IN3492
IN3493
1N3494
IN3495
1N3496
IN3497
1N349B
IN3499
1N35DD
1N35D1
1N35D2
1N35D3
1N3504
IN3506
IN3507
IN35DB
1N3509
1N3510
1N3511
IN3512
1N3513
IN3514
IN3515
IN3516
IN3517
IN351B
IN3519
IN3520
IN3521
IN3522
IN3523

I

REPLACEMENT

Varactor Diode, see Table on Page
I
I
I
I
4-Layer Diodes, see Table on
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

R
R
R

R

*

S
G

IN821
IN821
INB21

R

IN823
IN825 *
1N827 *
1N829 *
INB21 *
MZ640 *
MZ620 *
MZ610 *
MZ6D5 *
1N5226B *
1N5227B *
IN522BB *
IN5229B ..1N523DB *
IN5231B *
32B
IN5234B *
IN5235B *
IN5236B *
1N5237B *
1N5239B *
IN5240B *
IN5241B *
1N5242B *
1N5243B *
IN5245B *
IN5246B *

1N821
MZ60D
MZ60D
MZ6DD
MZ60D
1N5221
1N5221
IN5221
1N5221
1N5221
1N5221

DR
DR
DR
DR
DR
DR
DR
DR
DR
DZ
DZ
DZ
DZ
DZ
DZ

1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
1N5221
IN5221

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

1N5250B*
1N5251B*
1N5252B*
1N5254B*
1N5256B*
IN5257B*
IN5258B*
1N5259B*
1N526DB*
IN5261B*

IN5221
1N5221
IN5221
IN5221
IN5221
IN5221
IN5221
1N5221
IN5221
IN5221

Backward
Backward
Backward
Backward
Backward
Backward
Backward
Backward
Backward
Backward

IN3558
1N3559
IN3560
thru
IN3562
IN3563
1N3564
IN3565
IN3566

REF.

1·102
1-94

5.0
100
200
30.0
40.0

1.7
1.7
1.7
1.7
1.7

18
18
18
18
18

1..0
1..0
1.0
1..0
1..0

3.00
3.00
300
30.0
3.0.0

5.6
6.2
6.8
7.5
B.2
9.1
10
11

12
13
15
16
IB
2.0
22
24
27
3D
33
36
39
43
47

Diode

Diode

Diode
Diode
Diode
Diode
Diode
de

Matched
Tunnel

S
G
S
S

Replacement * denotes exact device type replacement available on request.
tSee page l-1a for ordering information.

1-52

2.0
2.0
2.0
1.0
10
10
10

1.0
10
10

5 • .0
5 • .0
5 • .0
5.0
5 • .0
5 . .0
4.0
4.0
3 • .0
3 • .0
3 • .0
2.0
2 • .0

5 • .0
5 • .0
5 • .0
5 • .0
5.0
5.0
5 • .0
5 • .0
5 • .0
5 • .0
5 • .0
5.0

5.0
5.0
5.0
5.0
5.0
5.0
5 • .0
5 •.0
5.0
5.0

2.0
2.0
2.0
10
1.0
10
1.0
1.0
1.0
1.0
5 • .0
5 • .0
5 • .0
5 . .0
5 • .0
5 • .0
4 • .0
4.0
3 • .0
3 • .0
3 • .0
2 • .0
2 • .0

IN3567 -lN3638

TYPE

lN3567
IN3568
lN3569
lN3570
lN3571
lN3572
lN3573
lN3574
lN3575
lN3576
IN3577
lN3578
lN3579
lN3580
lN3580A
lN3580B
lN358l
lN358lA
lN358lB
lN3582
lN3582A
lN3582B
lN3583
lN3583A
lN3583B
lN3584
lN3584A
lN3584B
lN3585
lN3586
lN3587
IN3588
IN3589
IN3590
IN3591
lN3592
IN3593
lN3594
lN3595
IN3596
IN3597
lN3598
IN3599
IN3600
IN3601
IN3602
lN3603
IN3604

~

!C
lE
S
S
S
S
S
S
S
S
S
S
S
S

REPlACEMENT

MR1121
MR1123
MR1123
MR1124
MR1l25
MR1126

*
*
*
*
*
*

S
S
S
S
S
S

S
S
S
S

s

S
S
S
S
S

•

MR1120
MR1120
MR1l20
MR1l20
MR1l20
MR1l20

lN2l63
lN2163
IN2163
IN2163
IN2163
IN2l63
IN2163
IN2163
IN2163
IN2163
IN2163

s

S
S
S
S
S
S

REF.

IN945 *
IN945A *
lN945B *
MR1240SB
MR124lSB
MR1243SB
MR1245SB
MR1247SB
MR1248SB
MR1249SB

G

IN941
lN94l
IN941
MR1240
MR1240
MR1240
MR1240
MR1240
MR1240
MR1240

DR
DR
DR
R
R
R
R
R
R
R
DS

S

S
S
S
S
S
S
S
S
S

S
S
S

IN3607
lN3608
IN3609
IN3611
IN3612
IN3613
lN3614
IN3615
IN3616
IN3617
IN3618
lN3619.
IN3620
lN362l
lN3622
lN3623
lN3624
lN3625
IN3626
IN3627}
IN3628

S
S
S
S
S
S
S
S

S
S
S

S
S
S

S
S
S

S
S
G

Varactor

S
lN363l
IN3632
IN3633
IN3634
IN3635
lN3636
IN3637
lN3638

lN4003
lN4004
IN4005
IN4006
MR1120
MR1121
MR1l22
MR1l22
MR1l23
MR1124
MR1l25
MR1l26
MR1128
MR1130

S

S
S
S
S
S

S
S

S

R
R
R

R
R
R

R
R

R
R

100
200
300
400
500
600
700
800
900
1000

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75

Replacement * denotes exact device type replacement available on request.

1-53

0.01
0.01
0.01
0.01
0.01
0.01
0.01
0.01
0.01
0.01

30
30
30
30
30
30
30
30
30
30

-lN3689

.....

TYPE

...

i!l
l-

e

REPLACEMENT

REF.

:IE

•

lN3639
lN3640
lN3641
lN3642
lN3643
lN3644
lN3645
lN3646
lN3647
lN3648
lN3649
lN3650
lN3653
lN3654
lN3655
lN3655A
lN3655B
lN3656
lN3657
lN3658
lN3659
lN3660
lN3661
lN3662

lN3673A
lN3675
lN3675A
lN3675B
lN3676
lN3676A
lN3676B
lN3677
lN3677A
lN3677B
8
lN3678A
lN3678B
lN3679
lN3679A
lN3679B
lN3680
lN3680A
lN3680B
lN3681
lN3681A
lN3681B

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S

lN4001
lN4001
lN4001
lN4001

lN4005
lN4006

MR1l28
MR1130
Microwave
Microwave
Microwave

MR1130
lN4736
lN4736
lN4736A
lN4737
lN4737
lN4737A
lN4738
lN4738
lN4738A

*
**
*
**
*
**

lN4739
lN4739A
lN4740
lN4740
lN4740A
lN4741
lN4741
lN474lA
lN4742
lN4742
lN4742A

**
*
"*
*
**
*
**

S

s

S
S
S
S
S
S
S
S
S
S

MR1120
MR1120
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728

lN4744
lN4744 *
lN4744A *
*
lN4745 *
lN4745 *
lN4745A "
lN4746
lN4746 *
lN4746A *
*
lN3686
lN3686A
lN3686B
lN3687
lN3687A
lN3687B
lN3688
lN3688A
lN3688B
lN3689

S
S
S
S
S
S
S
S
S
S

lN4747 *
lN4747A "*
lN4748 "
lN4748
lN4748A *
*
lN4749
lN4749 *
lN4749A *
*
lN4750

*

lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728

R
R

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

1000
6.8
6.8
6.8
7.5
7.5
7.5
8.2
8.2
8.2
9.1
9.1
9.1
10
10

10
11
11
11
12
12
12
13
13
13

15
15
15
16
16
16
18
18
18
20
20
20
22
22
22
24
24
24
27

Replacement * denotes exact device type replacement available on request.

1-54

19
19
19
17
17
17
15
15
15
14
14
14
13
13

20
10
5.0
20
10
5.0
20
10
5.0

750M
750M
750M
750M
750M
750M
750M
750M
750M

10
5.0
20

13

5.0
20

11
11
11

5.0
20
10
5.0

750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M

12
12
12

.5
9.5
9.5
8.5
8.5
8.5
7.8
7.8
7.8
7.0
7.0
7.0
6.2
6.2
6.2
5.6
5.6
5.6
5.2
5.2
5.2
4.6

10
10

10
5.0
20
10

5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20

750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M

lN3689A-1N3734
z:

....

TYPE

...
;

89B
1N3690
1N3690A
1N3690B
1N3691
IN3691A
IN3691B
IN3692
IN3692A
IN3692B
IN3693
1N3693A
1N3693B
1N3694
lN3694A
lN3694B
lN3695
1N3695A
1N3695B
lN3696
1N3696A
1N3696B
1N3697
1N3697A
1N3697B
1N3698
1N3698A
1N3698B
1N3699
1N3699A
1N3699B
1N3700
1N3700A
1N3700B
1N3701

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

:!i

REPLACEMENT

50
1N4750A
1N4751
1N4751
1N4751A
1N4752
1N4752
1N4752A
IN4753
IN4753
IN4753A
lN4754

**
*
**
*
**
*
**
*
lN4754A *
1N4755
1N4755 *
1N4755A *
*
lN4756
lN4756 *
*
1N4756A *
lN4757
1N4757 *
lN4757A *
*
1N47S8
*
1N4758A *
IN4759 *
lN4759 *
1N4759A *
1N4760
1N4760 *
1N4760A *
*
1N4761
1N4761 *
*
1N4761A *
1N4762
*
1N4762 *
1N4762A *

REF.

1N4728
1N4728
1N4728
1N4728
1N4728
IN4728
IN4728
IN4728
1N4728
IN4728
1N4728
1N4728
1N4728
1N4728
lN4728
lN4728
1N4728
1N4728
lN4728
lN4728
1N4728
lN4728
1N4728
1N4728
IN4728
1N4728
1N4728
lN4728
1N4728
1N4728
1N4728
1N4728

5
;;:

;:::
:z
5!

...

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

1N4763 *
1N4763 *
1N4763A *
lN4764 *
lN4764 *
lN4764A *
lMllOZSlO *
lMllOZS10 *
5 *
10 *

1N3705B
1N3706
1N3706A
lN3706B
IN3707
1N3707A
IN3707B
1N3708
1N3708A
1N3708B
1N3709
1N3709A
1N3709B
1N3710
1N3710A
1N3710B
lN3711
1N3712

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

1M120ZS5
*
lM130ZSl0 *
lM130ZSl0 *
lM130ZS5 *
1M150ZSl0 *
1M150ZSlO*
lM150ZS5 *
lM160ZSlO *
1M160ZSl0 *
lM160ZS5 *
lM180ZSl0 *

S

S

1N4728
1N4728
lN4728
1N4728
1N4728
1N4728
lN4728
1N4728
1N4728
1N4728lN4728

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
R

6000

11

0.15

0.025

27
30
30
30
33
33
33
36
36
36
39
39
39
43
43
43
47
47
47
51
51
51
56
56
56
62
62
62
68
68
68
75
75
75
82
82
82
91
91
91
100
100
100
110
110
110
120
120
120
130
130
130
150
150
150
160
160
160
180
180
180
200
200
200

0.95
0.95
0.95
0.85
0.85
0.85
0.80
0.80
0.80
0.68
O.
0.68
0.65
0.65
0.65

5.1

40

.2
3.0
3.0
3.0
2.7
2.7
2.7
2.5
2.5
2.5
2.2
2.2
2.0
2.0
2.0
1.8
1.8
1.8
1.7
1.7
1.7
1.5
1.
1.5
1.4
1.4
1.4
1.3
1.3
1.3
1.1
1.1
1.1
1.0

5.0

•

20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5;0
20

750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M

5.0
20
10
5.0
20
10
5.0
20
10
5.0
20

750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M

5.0
20
10
5.0
20
10
5.0
20
10
5.0
20

750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M

5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
5.0
20
10
5.0

750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M
750M

5.0

LOW

10

thru

1N3721
1N3722
lN3723
1N3724
lN3726
1N3727
1N3728
lN3729
lN3730
IN3731
11'13732
lN3733
1N3734

S
S
S
S

S
S
S
S
S
S

S
S

Microwave

Photos ens

Replacement * denotes exact device type replacement available on request.

1-55
------

-'-

-

- ._--------

-lN3795

TYPE

I

REPLACEMENT

REf.

•
759
IN3760
IN3761
IN3762
IN3763
IN3764
IN3765
IN3766
IN3767
IN3768
IN3769
IN3770
IN3771i
IN3772
IN3773
IN3774
IN3775
IN3776
IN3777
IN3778
IN3779
IN3780
1N3781
1N3782
IN3783
IN3784
IN3785
IN3785A
1N3785B
IN3786
lN3786A
1N3786B
IN3787
IN3787A
1N3787B
IN3788A
IN3788B
IN3789
1N3789A
IN3789B
1N3790
IN3790A
IN3790B
IN3791
IN3791A
1N3791B

S
S
S
S
S
S
S
S
S
S
G

IN2767A

Varactor

G
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

2.2

3.3

0.1

1.15

10

2.0

0.34W

10

25

10

6.0W

10

1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W

Microwave

1N821A
IN821A
IN823A
IN825A
1N827A
1N829A

IN821
IN821
IN3785
1N3785
1N3785
1N3785
IN3785
1N3785
1N3785
1N3785
1N378S
1N3785
1N3785
'1N3785
1N3785
1N3785
1N3785
1N3785
1N3785
IN3785
1N3785
1N3785
1N3785

6.8
6.8
7.5
7.5
7.5
8.2
8.2
8.2
9.1
9.1
9.1

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

10
10

10
11
11
11

12
12
12

13

15
15
15
16
16
16
18

1-56

55
55
55
50
50
50
46
46
46
41
41
41
37
37
37
34
34
34
31
31
31

5.0
20

10

5.0
20

10

5.0
20
10
5.0
20
10

5.0
20
10
5.0
20
10
5.0
10

5.0
20

10

5.0
20
10
5.0
20

1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1. 5W
1.5W
1.5W

lN3795A-1N3823

....

...s

TYPE

~
:IE

IN3795A
IN3795B
IN3796
IN3796A
IN3796B
IN3797
IN3797A
IN3797B
IN3798
IN3798A
IN3798B
IN3799

S
S
S
S
S
S
S
S
S
S
S
S

1N3799B
1N3800
IN3800A
IN3800B
IN3801
1N3801A
IN3801B
1N3802
IN3802A
1N3802B
IN3803
1N3803A
1N3803B
1N3804
IN3804A
1N3804B
IN3805
1N3805A
1N3805B
1N3806
1N3806A
1N3806B
1N3807
1N3807B
1N3808
1N3808A
1N3808B
1N3809
1N3809A
1N3809B
1N3810
1N381OA
1N3810B
1N3811
1N3811A
1N3811B
1N3812
1N3812A
IN3812B
IN3813
1N3813A
1N3813B
IN3814
1N3814A
1N3814B
1N3815
1N3815A
IN3815B
1N3816
1N3816A
1N3816B
1N3817
1N3817A
1N3817B
1N3818
1N3818A
1N3818B
1N3819
IN3819A
1N3819B
1N3820
1N3820A
IN3820B
1N3821
1N3821A
1N3822
1N3822A
1N3823

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S'
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

REPLACEMENT

REF.

IN3785
IN3785
IN3785
IN3785
IN3785
IN3785
IN3785
IN3785
IN3785
1N3785
IN3785
1N3785
IN3785
1N3785
IN3785
1N3785
IN3785
1N3785
IN3785
1N3785
1N3785
1N3785
1N3785
1N3785
IN3785
1N3785
1N3785
1N3785
1N3785
1N3785
1N3785
1N3785
IN3785
1N3785
1N3785
1N3785
1N3785
1N3785
1N3785
1N3785
1N3785
1N3785
1N3785
IN3785
1N3785
1N3785
1N3785
IN3785
1N3785
1N3785
1N3785
IN3785
1N3785
1N3785
IN3785
1N3785
1N3785
1N3785
1N3785
IN3785
IN3785
1N3785
1N3785
1N3785
1N3785
1N3785
1N3785
1N3785
IN3785
IN3785
1N3785
IN3785
1N3785
1N3785
1N3821
1N3821
1N3821
1N3821
1N3821

18
18
20
20
20
22
22
22
24
24
24
27
27
27
30
30
30
33
33
33
36
36
36
39
39
39
43
43
43
47
47
47
51
51
51
56
56
56
62
62
62
68
68
68
75
75
75
82
82
82

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
'DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

91

91
91
100
100
100
110
110
110
120
120
120
130
130
130
150
150
150
160
160
160
180
180
200
200
200
3.3
3.3
3.6
3.6
3.9

1-57

21
21
19
19
19
17
17
17
16
16
16
14
14
12
12
12
11
11
11
10
10
10
10
10
10

9.0
9.0
9.0
8.0
8.0
8.0
7.4
7.4
7.4
6.7
.7
6.7
6.0
6.0
6.0
5.5
5.5
5.5
5.0
5.0
5.0
4.5
4.5
4.5
4.1
4.1
4.1
3.7
3.7
3.7
3.4
3.4
3.4
3.1
2.9
2.9
2.9
2.5
2.5
2.5
2.3
2.3
2.3
2.1
2.1
1.9
1.9
1.9
76
76
69
69
64

5.0
20
10

5.0
20
10
5.0
20

10

5.0
20

10

5.0
20
10
5.0
20
10

5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10

5.0
20

10

5.0
20
10
5.0
20
10

5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10

5.0

10

5.0
10
5.0
10

l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5w
l.5W
l.
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
l.5W
1.5W
l.5W
l.5W
l.5W
l.5W
l.5W
1.5W
l.5W
1.5W
l.5W,
l.5W
l.5W
l.5W
l.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W,
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
L5W
1.5W
LOW
LOW
LOW
LOW
LOW

lN3823A-1N3921

REPLACE MENT

•

1N3821
1N3821
1N3821
1N3821
1N3821
1N3821
1N3821
1N3821
1N3821
1N3821
1N3821

1N3824A
1N3825
1N3825A
IN3826
1N3826A
IN3827
1N3827A
1N3828
1N3828A
1N3829
1N3830
1N3830A
1N3831

4-Layer Diodes, see

thru

I

thru

Tunnel Diodes, see

1N3860
1N3861
1N3862
IN3863
1N3864
1N3865
1N3866
1N3867
1N3868
1N3869
1N3870
1N3871
1N3872
1N3873
1N3874
1N3875

G
G
G

7.5
7.5

10
5.0

LOW
LOW

0.77
l.5
2.0

50
30
20

5.0
5.0
5.0

250M
250M
250M

LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW

on Page 1·94

ITableI

I

on Page 1-99

I
Tunnel Rectifier
Tunnel
Tunnel

S
G

S
S
S
S

S
S
S
S
S
S

S

1N3877
1N3878
1N3879
1N3880
IN3881
1N3882
1N3883
1N3884
1N3885
1N3886
1N3887

S

S
S
S
S
S

1N3879
1N3879
1N3879
1N3879
1N3879

S
S

S
S

S

IN5221B
S
S
S
S

IN3901
IN3902
IN3903
1N3904
1N3905
1N3906
1N3907
1N3908
1N3909
IN3910
IN3911
1N3912
IN3913
1N3914
IN3915
1N3916
IN3917
IN3918
1N3919
1N3920
1N3921

S

IN5221
1N3899
IN3899
1N3899
1N3899
1N3899

S
S
S
S
S
S
S
S
S
@

S
200 ns

l.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
l.5
1.5
1.5
l.5

75
150
150
150
150
150
150
150
150
ISO

.R
.R
.R
.R

DS
DS
DZ
DZ
DZ
.R
.R
.R

.R
.R
R
R
R
R

1N3909
1N3909

200
300
400
50
150
200
300
400
50
100
200
300

R
.R

R

S
S
S
S
S
S
S

R
R
R
R
R
.R
.R
.R
.R
.R
R
R
R

R

IN3889
1N3889
1N3889
1N3889
1N3889

1N3890
1N3891
1N3892
IN3893
IN3894
1N3895
IN3896
IN3897
1N3898
1N3899

rr

.ow

58
58
53
53
49
49
45
45
41
41
37
7
34
34

4.3
4.3
4.7
4.7
5.1
5.1
5.6
5.6
6.2
6.2
6.8

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

S
S
S

1N3846
1N3847

.R t

REF.

.R
.R

.R

50
100
200
300
400
50
100
200
300
400
50
100
200

1.5
1.5
1.5
1.5
l.5
1.5
l.5
1.5
1.5
1.5
l.5
l.5
l.5

20
20
20
20
20
20
20
20
20
20
30
30
30

6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
10

400
50
100
200
300
400
1000
1500
2000

1.5
1.5
1.5
1.5
1.5
l.5
2.0
2.0
2.0

30
30
30
30
30
30
5.0
5.0
5.0

10
10

1-58

10
10
10
10

10

10
10

0.5
0.5
0.5

250
250
250
250
250
225
225
225
225
225
300
300
300
300
300
300
300
300
300
300
100
100
100

IN3922-1N3998A

..
..
-'

TYPE

...
....
;;;:

REPLACEMENT

REF.

::IE

1N3923
1N3924
1N3925
1N3926
1N3927
lN3928
lN3929
lN3930
lN393l
1N3932
lN3933
lN3934
lN3935
thru
lN3937
lN3938
lN3939
lN3940
lN394l
lN3942
1N3943
lN3944
lN3945

•

S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
G

thru

lN3947
lN3948
lN3949
lN3950
lN395l
1N3952
lN3953
lN3954
lN3955
lN3956
lN3957
9
lN3960
lN3961
1N3962
1N3963
1N3964
1N3965
1N3966
1N3967
1N3968
1N3969
1N3970
1N3971
1N3972
1N3973
1N3974
1N3975
1N3976
1N3977
1N3978
lN3979
lN398l
lN3982
1N3983
lN3984
lN3985
lN3986
lN3987
lN3988
lN3989
lN3990
1N399l
lN3992
1N3993
1N3993A
lN3994
lN3994A
lN3995
lN3995A
1N3996
lN3996A
lN3997
lN3997 A
lN3998
lN3998A

S
S
S
S
G
S
S
S
S

lN4933
lN4933
lN4933
lN4933
1N4933
lN4933

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
G
S
S
S

lN3997A
1N3998A
lN3998A

lN3993
lN3993
lN3993

MR1l28
MR1l30
MR1l30

MR1l20
MR1l20
MR1l20

S

S
S
S
S
S
S
S
S
S

lN3993
1N3993
lN3993
lN3993
lN3993
lN3993
lN3993
lN3993
lN3993
1N3993
lN3993
1N3993

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
DS
DS

100
200
300
400
500
600
200
400
600
800
200
400

1.3
1.3
1.3
1.3
1.3
1.3
1.6
1.6
1.6
1.6
1.6
1.6

DS
DZ
DZ
DZ

R
R
R
R
DS
DS
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

tSee page 1·1 a for ordering information.

1-59

3.5
3.5
3.5
3.5
3.5
3.5
22
22
22
22
50
50

0.4
0.4

0.4
0.4
0.4
0.4
1.0
1.0
1.0
1.0
2.0
2.0

20
20
25

250
19
15

5.0
5.0
5.0

lOW
1.5W
1.5W

5.5
6.0
6.2

1000
1000
805

5.0
5.0
5.0

lOW
lOW
lOW

3.9
3.9
4.3
4.3
4.7
4.7
5.1
5.1
5.6
5.6
6.2
6.2

640
640
580
580
530
530
490
490
445
445
405
405

10
5.0
10
5.0
10
5.0

lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

35
35
35
35
35
35
200
200
200
200
600
600

10

5.0
10
5.0
10
5.0

lN3999-1N40368

•

1N3999A
1N4000
1N4000A
1N4001
1N4002
1N4003
1N4004
1N4005
1N4006
1N4007
IN4008
1N401O
1N4011
1N4012
1N4013
1N4014
1N4015
1N4016
1N4016A
1N4016B
1N4017
1N4017A
1N4017B
1N4018
1N4018A
1N4018B
l.N4019
1N4019A
1N4019B
1N4020
1N4020A
1N4020B
1N4021
1N4021A
1N4021B
1N4022
1N4022A
1N4022B
1N4023
1N4023A
1N4023B
1N4024
1N4024A
1N4024B
1N4025
IN4025A
1N4026
1N4026A
1N4026B
1N4027
1N4027A
1N4027B
1N4028
1N4028A
1N4028B
1N4029
1N4029A
1N4029B
1N4030
1N4030A
1N4030B
1N4031
1N4031A
1N4031B
1N4032
1N4032A
1N4032B
1N4033
1N4033A
33B
1N4034
1N4034A
1N4034B
1N4035
1N4035A
1N4035B
1N4036
1N4036A
1N4036B

1N3993
1N3993
IN3993
iN4001
IN4001
1N4001
IN4001
1N4001
IN4001
IN4001

S
S
S
S
S
S
S
S
S
S

G
S
S
S
S
S
S
S
S
S
S
S
S

IN821

1N821

1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
'lN2970
1N2970
1N2970
1N2970
1N2970

S
S
S
S
S
S
S
S
S
S
S
S

1N2972
1N2972A
1N2972B
1N2973
1N2973A
1N2973B
1N2974
1N2974A
1N2974B
1N2975
1N2975A
1N2975B
1N2976
1N2976A
1N2976B
1N2977
1N2977A
1N2977B
1N2979
1N2979A
1N2979B
1N2980
1N2980A
1N2980B
1N2982
1N2982A
1N2982B
1N2984
1N2984A
1N2984B
1N2985
1N2985A
1N2985B
1N2986
1N2986A
1N2986B
1N2988
1N2988A
1N2988B
1N2989
1N2989A
1N2989B
1N2990
1N2990A
1N2990B
1N2991
1N2991A
1N2992B
1N2992
1N2992A
1N2992B
1N2993
1N2993A

S
S
S
S
S
S
S
S
S
S

1N2995
1N2995A
1N2995B
1N2997
1N2997A
1N2997B
1N2999
1N2999A
1N2999B

S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
IN2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
IN2970
1N2970
1N2970
1N2970
1N2970

DZ
DZ
DZ
R
R
R
R
R
R
R
DS
DS
DR
R
R
R
R
R
DZ
DZ
DZ
DZ
DZ

50
100
200
400
600
800
1000

1.1
1.1
1.1
1.1
1.1
1.1
1.1

1.0
1.0
1.0
1.0
1.0
1.0
1.0

0.03
0.03
0.03
0.03
0.03
0.03
0.03

30
30
30
30
30
30
30

1000
700
800
900
1000

1.1
1.3
1.3
1.3
1.3

0.5
12
12
12
12

0.2
1.0
1.0
1.0
1.0

30
200
200
200
200

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

8.2
8.2
8.2
9.1
9.1
9.1
10
10
10
11
11
11
12
12
12
13
13
13

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
'lZ
DZ

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

15
15
15
16
16
16
18
18
18
20
20
20
22
22
22
24
24
24
27
27
27
30
30
30
33
33
33
36
36
36
39
39
39
43
43

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

47
47
47
51
51
51
56
56
56

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

1-60

150
150
150
135
135
135
125
125
125
115
115
115
105
105
105
95
95
95
85
85
85
80
80
80
70
70
70
65
65
55
55
55
50
50
50
45
45
45
42
42
42
38
38
38
35
35
35
32
32
32
29
29
29
27
27
27
25
25
25
22
22

22

5.0
10
5.0

lOW
lOW
lOW

20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20

5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W

10

5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0

5

5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
S.OW
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W

lN4037-1N4081A

TYPE

...s....

=

REPLACEMENT

REF.

:IE

7
1N4037A
1N4037B
1N4038
1N4038A
1N4038B
1N4039
1N4039A
1N4039B
1N4040
1N4040A
1N4040B
1N4041
1N4041A
1N4041B
1N4042
1N4042A
1N4042B
1N4043
1N4044
1N4045
1N4046
1N4047
1N4048
1N4050
1N4051
1N4052
1N4053
1N4054
1N4055
1N4056
1N4057
1N4057A
1N4058
1N4058A

S
S
S
S
5
S
S
S
S
S
S
S
S
S
S
S
S
S
S
5
S
5
S
S

S
S
S
S
S

1N4077A
1N4078
IN4078A
1N4079
1N4079A
1N4080
1N4080A
1N4081
1N4081A

1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
IN2970

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

62
62
68
68
68
75
75
75
82
82
82
91
91

91
100
100
100
MR1230SB
MR1231SB
MR1232SB
MR1233SB
MR1234SB
MR1237SB
MR1238SB
MR1239SB

S
S
S
S
S

s
S

1N4060
1N4060A
1N4061
1N4061A
1N4062
1N4062A
1N4063
1N4063A
1N4064
1N4064A
1N4065A
1N4066
1N4066A
1N4067
1N4067A
1N4068
1N4068A
1N4069
1N4069A
1N4070
1N4070A
1N4071
1N4071A
1N4072
1N4072A
1N4073
1N4073A
1N4074
1N4074A
1N4075
1N4075A
1N4076
1N4076A

1N3000B
1N3001
1N3001A
1N3001B
1N3002
1N3002A
1N3002B
1N3003
1N3003A
1N3003B

S
S
S
S

1N429
1N429
1N429
1N429
1N429
1N429
1N429
1N429
1N429
1N429
1N429
1N429
1N429
1N429
1N429

S

1N429
1N429
1N429
1N429
1N429
1N429
1N429
1N429
1N429
1N429
1N429
1N429
1N429
1N429
1N429
1N429
1N429
1N429
1N429
1N429
1N429
1N429
IN429
1N429

S
S
S
S
S
S
S
S
S
S

1N429
1N429
IN429

S
S

S
S
S
S

S
S
S
S

S
S
S
S
S
S
S
5

S

DR
DR
DR

DR
DR
DR
DR
DR

DR

DR

DR

DR

DR
DR
DR
DR
DR

DK

DR
DR
DR
DR
DR
DR
DR
DR
DR
DR

DR
DR

DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR

DR

1-61

5.
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W

20
20
18
18
18
17
17

17

15
15
15
14
14
14
13
13

13

20
10
5.0
20
10
5:0

5.0W
5.0W
5.0W
5.0W
5.0W

lN4082-1N4158A
-'

TYPE

lN4082A
lN4083
lN4083A
lN4084
lN4084A
lN4085
lN4085A
lN4086
lN4087
lN4088
lN4089
lN4090
lN4091
lN4092
lN4093
lN4094
1N4095
lN4096
lN4097
1N4098
lN4099
lN4100
IN4101
102
lN4103
1N4104
IN4105
1N4106
IN4107
IN4108
IN4109
IN4110
lN4111
lN4ll2
IN4ll3
ll5
IN4ll6
IN4ll7
lN4ll8
IN4ll9
IN4120
IN4121
1N4122
1N4123
lN4124
1N4125
1N4l26
lN4127
lN4128
1N4129
1N4l30
1N413l
lN4132
1N4l33
lN4134
1N4135
1N4l36
1N4137
1N4138
1N4l39
IN4l40
lN414l
1N4142
1N4l43
lN4144
1N4145
lN4l46
lN4l47
lN4l48
lN4l49
1N4l50
lN4l5l
lN4152
1N4l53
1N4l54
lN4l55
1N4156
1N4157
1N4158
1N4158A

.......;;;""
:i!l

REPLACE MENT

S
S
S
S
S
S
S
S
S
S

REF.

lN429
lN429
lN429
lN429
lN429
lN429
lN429
lN429

G

S

G

S
S
S
S
S
S
S
S
S
S
S
S
S
S

Backward
Varactor

1N2624B
1N5231A
1N4763A
lN4764A
IM150ZS5

DZ
DZ
DZ
DZ

S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

lN4099
lN4099
IN4099
lN4099
lN4099
IN4099
IN4099
lN4099
IN4099
lN4099
lN4099
lN4099
1N4099
lN4099
lN4099
lN4099
IN4099
lN4099
lN4099
lN4099
1N4099

S

S
S

s

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S.
S

90
100
150
6.8
7.5
8.2
8.7
9.1
10
11
12
13
14
15
16
17
18
19

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
R
R

1N4719
1N4720
1N4721
1N4722
lN4723
IN4724
lN4725

IN4719
IN4719
IN4719
IN4719
IN4719
lN4719
lN4719

1N4736
IN4736

lN4728
IN4728

22
24
25
27
28
30
33
36
39
43
47

200
400

1.6
1.6

70
70

16
12

750
750

600
50
100
200
400
600
800
1000

1.6
1.0
1.0
1.0
1.0
1.0
1.0
1.0

70
3.0
3.0
3.0
3.0
3.0
3.0
3.0

8.0
0.1
0.1
0.1
0.1
0.1
0.1
0.1

750
300
300
300
300
300
300
300

5
60
62
68
75
82
87
91
100

6.8
6.8

1-62

8.0
5.0
5.0
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25

37
37

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

3.0W
3.0W
3.0W
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

250M
250M
250M
250M
250M
250M
250M
250M
250M

20
10

LOW
LOW

lN4158B-1N4185B

....

:!Ii

TYPE

f5

=:

REPLACEMENT

REF.

:IE

•

IN4159A
IN4159B
IN4160
IN4160A
IN4160B
IN4161
IN4161A
IN4161B
IN4162
IN4162A

37
737
IN4737A
IN4738
IN4738
IN4738A
IN4739
IN4739
IN4739A
IN4740
IN4740

IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728

IN4163
IN4163A
IN4163B
IN4164
IN4164A
IN4164B
IN4165
IN4165A
IN4165B
IN4166
IN4166A

IN4741
IN4741
IN4741A
IN4742
IN4742
IN4742A
IN4743
IN4743
IN4743A
IN4744
IN4744

IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

S
S
S
S
S
S
S
S
S
S
S

IN4745
IN4745
IN4745A
IN4746
IN4746
IN4746A
IN4747
IN4747
IN4747A
IN4748
IN4748

IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

S
S
S
S
S
S
S
S
S
S
S
S

IN4749
IN4749
IN4749A
IN4750
IN4750
IN4750A
IN4751
IN4751
IN4751A
IN4752
IN4752

IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
1N4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

IN4167A
IN4167B
IN4168
IN4168A
IN4168B
IN4169
IN4169A
IN4169B
IN4170
IN4170A
IN4171
IN4171A
IN4171B
IN4172
IN4172A
IN4172B
IN4173
IN4173A
IN4173B
IN4174
IN4174A
IN4175
IN4175A
IN4175B
1N4176
1N4176A
IN4176B
1N4177
IN4177A
IN4177B
IN4178
IN4178A
IN4178B
1N4179
IN4179A
IN4179B
IN4180
IN4180A
IN4180B
IN4181
IN4181A
IN4181B
IN4182
IN4182A
IN4182B
1N4183
1N4183A
IN4183B
1N4184
IN4184A
IN4184B
IN4185
IN4185A
IN4185B

s

S
S
S
S
S
S
S
S
S
S
S
S

53
1N4753
IN4753A
IN4754
1N4754
IN4754A
IN4755
IN4755
IN4755A
IN4756
IN4756
IN4756A
IN4757
IN4757
IN4757A
IN4758
IN4758
IN4758A
IN4759
IN4759
IN4759A
IN4760
IN4760

S
S
S
S
S
S
S
S
S
S

IN4761
.1N4761A
IN4762
1N4762
IN4762A
IN4763
IN4763
IN4763A

S
S
S
S
S
S
S
S
S
S

11
11
11

12
12
12

13
13

13
15
15
15
16
16
16
18
18
18
20
20
20
22
22
24
24
24
27
27
27
30
30
30
33
33
36
36
36
39
39
39
43
43
43
47
47
47
51
51
51
56
56
56
62
62
62
68
68
75
75
75
82
82
82
91
91
91

1-63

25
23
23
23
21
21

21

19
19
19
17
17

20
10
5.0
20
10
5.0
20
10
5.0
20
10

l.OW
l.OW
l.OW
l.OW
l.OW
1. OW
l.OW
1. OW
l.OW
1. OW
1. OW

16
14
14
14
13
13

13
12
12
11
11
11

9.5
9.5
9.5
8.S
8.5
8.5
7.5
7.5
7.5
7.0
7.0
7.0
6.5
6.5
6.5
6.0
6.0
6.0
5.5
5.5
5.5
5.0
5.0
5.0
4.5
4.5
4.5
4.0
4.0
4.0
3.7
3.7
3.7
3.3
3.3
3.3
3.0
3.0
3.0
2.8
2.8
2.8

l.OW
l.OW
l.OW
l.OW
1. OW
1. OW
1. OW
1. OW
l.OW
1. OW
1. OW

20
10

5.0
20

10

5.0
20
10
5.0
20
10
20
10

5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10

5.0
20

10

5.0
20
10
5.0
20
10
5.0
20
10

5.0
20

10

5.0

1. OW
'1.0W
l.OW
1. OW
l.OW
l.OW
1. OW
1. OW
l.OW
l.OW
l.OW

l.OW
l.OW
1. OW
1. OW
l.OW
l.OW
1. OW
1. OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
1. OW
l.OW
l.OW
1. OW
l.OW
l.OW
l.OW
1. OW
1. OW
l.OW
1. OW
l.OW
l.OW

lN4186-1N4213

TYPE

REPLACE MENT

2.5
2.3
2.3
2.3
2.0
2.0
2.0
1.9
1.9
1.9

lM110ZSlO t

t~mmOt
lM120ZSl0

+

t~mmOt

lM130ZSl0 t
lM130ZSl0 t
lM130ZS5 t
lN4l90A
lN4l90B
lN4l9l
lN4l9lA
lN4l9lB
lN4l92
lN4192A
lN4l92B
lN4l93
lN4193A
IN4193B
lN4l94
lN4l94A
lN4l94B
lN4l95
lN4l95A
1N4195B
IN4196
IN4196A
1N4196B
1N4197
1N4197A
1N4197B
lN4l98
1N4l98A
lN4198B
1N4199
lN4l99A
lN4l99B
lN4200
lN4200A
lN4200B
lN420l
lN420lA
lN420lB
lN4202
1N4202A
1N4202B
lN4203
1N4203A
1N4203B
1N4204
lN4204A
lN4204B
lN4205
lN4205A
lN4205B
lN4206A
lN4206B
lN4207
lN4207 A
lN4207B
lN4208
lN4208A
lN4208B
lN4209
lN4209A
IN4209B
IN4210
lN42l0A
lN42l0B
lN4211
lN4211A
lN4211B
lN42l2
IN4212A
lN42l2B
IN4213
tS~e

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

lM150ZS5
lM160ZSlO t

S
S
S
S
S

i~t~m~O t
lM180ZSl0 t
lM180ZSl0 t
lM180ZS5 t
lM200ZS10
lM200ZSl0
lM200ZS5
lN2970
lN2970A
lN2970B
lN2970
lN2971
lN2970
lN2971A
lN2970
1N2971B
1N2970
IN2972
lN2970
IN2972A
1N2970
1N2972B
1N2970
1N2973
1N2970
1N2973A
lN2970
1N2973B
1N2970
lN2974
lN2970
lN2974A
1N2970
IN2974B
lN2970
1N2975
IN2970
lN2975A
IN2970
lN2975B
lN2970
1N2976
lN2970
lN2976A
lN2970
lN2976B
lN2970
lN2977
lN2970
lN297i'A
lN2970
lN2977B
lN2970
1N2978
1N2970
1N2978A
1N2970
lN2978B
1N2970
1N2979
IN2970
lN2979A
1N2970
lN2979B
1N2970
lN2980
1N2970
lN2980A
1N2970
lN2980B
lN2970
lN2981
lN2970
lN298lA
lN2970
lN298lB
1N2970

S
S
S
S
S
S
S
S
S
S

lN2982A
lN2982B
lN2983
lN2983A
1N2983B
lN2984
1N2984A
1N2984B
lN2985
lN2985A
IN2985B
lN2986
lN2986A
lN2986B
lN2987
lN2987A
lN2987B
lN2988
IN2988A
lN2988B
lN2989

S

S

1N2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
1N2970
1N2970
lN2970
lN2970
lN2970
lN2970
1N2970
1N2970
lN2970
IN2970
lN2970

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
D2:
DZ
DZ
DZ
DZ
DZ

150
150
160
160
160
180
180
180
200
200
200
6.8
6.8
6.8
7.5
7.5
7.5
8.2
8.2
8.2
9.1
9.1
9.1
10
10
10
11
11
11

12
12
12
13
13
13

14
14
15
15
15
16
16
16
17
17
17
18
18
18
19
19
19
20
20
20
22
22
22

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

24
24
25
25
25
27
27
27
30

page l·la for ordering information.

1-64

10

1.7
1.6
1.6
1.6
1.4
1.4
1.4
1.2
1.2
1.2
370
370
370
335
335
335
305
305
305
275
275
275

230
230
230
210
210
210
190
190
190
180
180
180
170
170
170
155
155
155
145
145
145
140
140
140
130
130
130
125
125
125
115
115
115
105
105
105
100
100
100
95
95
95
85

5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10

5.0
20
10
5.0
20
10
5.0
20
10

5.0
20
10
5.0
20
10
5.0
20
10

5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10

5.0
20
10
5.0
2
10
5.0
20
10
5.0
20
10
5.0
20

LOW
LOW
LOW
LOW
LOW
LOW
LOW
l.OW
l.OW
l.OW
LOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

lN4213A-1N4241

TYPE

1N4213B
1N4214
1N4214A
1N4214B
1N4215
1N4215A
1N4215B
1N4216
1N4216A
1N4216B
1N4217
1N4217A
1N4217B
1N4218
1N4218A
1N4218B
1N4219
1N4219A
1N4219B
1N4220
1N4220A
1N4220B
1N4221
1N4221B
1N4222
1N4222A
1N4222B
1N4223
1N4223A
1N4223B
1N4224
1N4224A
1N4224B
1N4225
1N4226
1N4226A
1N4226B
1N4227
1N4227A
1N4227B
1N4228
1N4228A
1N4228B
1N4229
1N4229A
1N4229B
1N4230
1N4230A
1N4230B
1N4231
1N4231A
1N4231B
1N4232
1N4232A
1N4232B
1N4233

I

iii
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S

1N4233B
1N4234
1N4234A
1N4234B
1N4235
1N4235A
1N4235B
1N4236
1N4236A
1N4236B
1N4237

S
S
S
S
S
S
S
S
S
S
S
S

1N4237B
1N4238
1N4238A
1N4238B
1N4239
1N4239A
1N4239B
1N4240
1N4241

S
S
S
S
S
S
S
S
S
S

REPLACEMENT

REF.

1N2989B
1N2990
1N2990A
1N2990B
1N2991
1N2991A
1N2991B
1N2992
1N2992A
1N2992B
1N2993
1N2993A
1N2993B
1N2994
1N2994A
1N2994B
1N2995
1N2995A
1N2995B
1N2996
1N2996A
1N2996B
1N2997
1N2997A
1N2997B
1N2998
1N2998A
1N2998B
1N2999
1N2999A
1N2999B
1N3000
1N3000A
1N3000B
1N3001

1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1112970
1112970
1N2970
1112970
1112970
1N2970
1N2970
1N2970

1N3002
1N3002A
1N3002B
1N3003
1N3003A
1N3003B
1N3004
1N3004A
1N3004B
1N3005
1N3005A
1N3005B
1N3006
1N3006A
1N3006B
1N3007
1N3007A
1N3007B
1N3008
1N3008A
1N3008B
1N3009

1N2970
1N2970
1112970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970

1N3009B
1N3010
1N301OA
1N3010B
1N3011
1N3011A
1N3011B
1N3012
1N3012A
1113012B
1N3013
1N3013A
1N3013B
1N3014
1N3014A
1N3014B
1N3015
1N3015A
1N3015B
lOM5.0AZ2
1OM6.0AZ1

1N2970
1112970
1N2970
1N2970
1112970
1N2970
1N2970
1N2970
1112970
1112970
1112970

t

1112970
1112970
1112970
1N2970
1N2970
1112970
1N2970
1N2970
1N2970
1N2970
1112970
1N2970
1N2970
1112970
1112970
1112970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1112970
1N2970
1112970
1N2970
1N2970
1N2970
1N2970
1112970

33
33
33
36
36
36
39
39
39
43
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
l'Z
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

1-65

43
45
45
45
47
47
47
50
50
50
51

75
75
75
70
70
70
65
65
65
·60
60
60
55
55
55
55
55
55
50
50
50
50

51
52
52
52
56
56
56
62
62
62
68

50
50
50
50
45
45
45
40
40
40
37

68
75
75
75
82
82
82
91
91
91
100
100
100
105
105
105
110
110
110
120
120
120
130
130
130
140
140
140
150
150
150
160
160
160
175
175
175
180
180
180
200
200
200
400
350

33
33
33
30
30
30
28
28
28
25
25
25
25
25
25
23
23
23
20
20
20
19
19
19
18
18
18
17
17
17
16
16
16
14
14
14
14
14
14
12
12
12
5.0
6.0

5.0
20
10
5.0
20
10
5.0
20
10

5.0
20

5.0
20
10
5.0
20
10
5.0
20

lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

5.0
20

lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

5.0
20
10
5.0
20
10
5.0
20
10
5.0
20

lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20

lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

5.0
20
10
5.0
20

lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

10

10

5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
2.0
2.0

•

lN4242-1N4279B

...
...""I:::!

:!

TYPE

REPLACEMENT

REF .

::IE

IN4243
IN4244
IN4245
IN4246
IN4247
lN4248
1N4249
lN425Q
IN4251
1N4252
IN4253
IN4254
IN4255
1N4256
IN4257
IN4258
IN4258A
1N4258B
1N4259
IN4259A
IN4259B
IN426Q
lN426QA
OB
1
IN4261A
IN4261B
IN4262
IN4262A
IN4262B
IN4263
1N4263A
IN4263B
1N4264
IN4264A
5
IN4265A
IN4265B
IN4266
IN4266A
IN4266B
IN4267
IN4267A
1N4267B
IN4268
IN4268A
IN4268B
IN4269
IN4269A
IN4269B
lN427D
IN427DA
IN427DB
IN4271
1N4271A
1N4271B
IN4272
IN4272A
72B
IN4273
1N4273A
IN4273B
IN4274
IN4274A
IN4274B
IN4275
IN4275A
IN4275B
IN4276
1N4276A
7
IN4277A
IN4277B
IN4278
IN4278A
IN4278B
IN4279
IN4279A
lN4279B

S
S
S
S
S
S
S
S
S
S

IN4QQ3
IN4QQ4
IN4QQ5
IN4QQ6
lN4QQ7
lN4QQ6
IN4QQ7

IN4QQl
IN4QQl
IN4QQl
IN4QQ1
1N4QQ1
IN4QQ1
1N40Q1

1N2973
IN2973A
IN2973B
IN2974
IN2974A
IN2974B
IN2975
IN2975A
IN2975B
IN2976
IN2976A

MR99QA
MR99QA
MR99QA
IN297Q
IN297Q
IN297Q
1N297Q
1N297Q
1N297Q
IN2970
1N297Q
IN297Q
1N297Q
IN297Q
IN297D
IN2970
1N297Q
1N297Q
1N2970
IN297Q
1N297Q
IN297Q
1N297Q

s
s
S

s
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

IN2977
IN2977A
IN2977B
IN2979
IN2979A
IN2979B
IN2980
IN298QA
IN298QB
IN2982
IN2982A
IN2982B
IN2984
IN2984A
IN2984B
IN2985
IN2985A
IN2985B
IN2986
IN2986A
IN2986B
IN2988
1N2988A
IN2988B
IN2989
IN2989A
IN2989B
1N299D
IN299QA
IN299QB
IN2991
IN2991A
IN2991B
IN2992
IN2992A
IN2993
IN2993A
1N2993B
IN2995
IN2995A
IN2995B
IN2997
IN2997A
lN2997B

1N297Q
IN297Q
IN2970
IN2979
IN297D
IN297Q
1N297Q
1N297Q
IN297Q
IN297Q
1N297Q
IN297Q
1N297Q
1N297Q
IN297Q
IN297Q
IN297Q
1N297Q
IN297Q
IN297Q
IN297Q
1N297D
IN297Q
1N297Q
1N297Q
1N297Q
1N297D
1N297D
1N297Q
1N297Q
IN297Q
IN297D

4.8
4.8

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

6.25
6.25
6.25
6.25

6.8
6.8
6.8
7.5
7.5
7.5
8.2
8.2
8.2
9.1
9.1
9.1
10

10.
10.

11
11
11

12
12
12
13
13

13

15
15
15
16
16
16
18
18
18
20
20
20.
22
22

22
24
24
24
27
27
27
30.
30.
3D
33
33
33
36
36
36
39
39
43
43
47
47
47
51
51
51

1-66

370.
370.
370.
335
335
335
30.5
305
305
275
275
275
250
250
250.
230
230.
230.
210
210.
210.
190.
190.
190.
170.
170.
170.
155
155
155
140.
140.
125
125
125
115
115
115
10.5
105
10.5
95
95
85
85
85
75
75
75
70.
70.
70.
65
65
60.
60.
60
55
55
55
55
55
55

20.
10.
5.0.
20.
10

5.0.
20.
10

20.
10
5.0
20
10

5.0.
20
10.
5.0.
20.
10.

10.
5.0.
20.
10.
5.0.
20.
10.
5.0.
20.
10.
5.0.
20.
10.
5.0.
20.
10

5.0.
20
10.
5.0.
20.
10.
5.0.
20.
10.
5.0.
20.
10.
5.0.
20.
10

5.0.
20.
10

5.0.
20.
10
5.0.
20.
10.
5.0.
20
10.
5.0.

lQW
lQW
lQW
IDW
lOW
IDW
lOW
lOW
lOW
lQW
IDW
lQW
lOW
lQW
1QW
lQW
lQW
lQW
lOW
lOW
lOW
lOW
lQW
lOW
1QW
lOW
lOW
lQW
lQW
lQW
lQW
lQW
lQW
IDW
IDW
IDW
lQW
lQW
lOW
lQW
lOW
1QW
lQW
lOW
lQW
lQW
lOW
lQW
lQW
1QW
lOW
lOW
lOW
lQW
lQW
lQW
lOW
lQW
IDW
lQW
lDW
lOW
lDW

lN4280-1N4315
:z
5!

:;;!

TYPE

Ii!

I!:!

REPLACEMENT

IN4284B
IN4285
1N4285A
1N4285B
1N4286
1N4286A
lN4286B
lN4287
IN4287A
lN4287B

S
S
S
S
S
S
S
S
S
S
S
S

IN4288A
lN4288B
lN4289
lN4289A
lN4289B
lN4290
lN4290A
lN4290B
lN4291
IN429lA
lN429lB

S
S
S
S
S
S
S
S
S
S
S
S

lN2999A
lN2999B
lN3000
lN3000A
lN3000B
lN3001
IN300lA
lN300lB
lN3002
IN3002A
IN3002B
IN3003
IN3003A
lN3003B
lN3004
lN3004A
1N3004B
1N3005
IN3005A
lN3005B
lN3007
lN3007A
lN3007B
lN3008
lN3008A
1N3008B
lN3009
lN3009A
lN3009B
lN3011
IN3011A
IN3011B
lN30l2
lN30l2A
IN30l2B

i

lN4280A
lN4280B
lN428l
lN428lA
lN428lB
lN4282
lN4282A
IN4282B
lN4283
lN4283A
IN4283B

REf.

lN2970
lN2970
lN2970
lN2970
lN2970
lN2970
IN2970
lN2970
lN2970
IN2970
IN2970
IN2970
lN2970
lN2970
lN2970
1N2970
1N2970
1N2970
1N2970
1N2970
lN2970
1N2970
1N2970
lN2970
1N2970
lN2970
1N2970
lN2970
1N2970
lN2970
1N2970
lN2970
lN2970
lN2970IN2970

!C
u
;;:

;:::

...:z5!

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

56
62
62
62
68
68
68
75
75
75
82
82
82
91
91
91
100
100
100
110
110
110
120
120
120
130
130
130
150
150
150
160
160
160

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

DR
DR
DR
DR
DR

lN4298A.
lN4298B
lN4299
IN4299A
IN4299B
lN4300
lN4300A
lN4300B
IN4301
lN4301A
lN430lB
lN4302
lN4302A
lN4302B
lN4303
IN4303A
IN4303B
lN4304
lN4304A
IN4304B
IN4305
lN4306
lN4307
lN4308
1N4309
1N4310
1N4311
lN4312
lN4313
lN43l4
lN4315

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

s

DR
DR
DR
DR
DR
DR

DR
DR
DR
DR
DR

DR
DR
DR
DR
DR
DR
DR

DR
DR

S
S

DR
DR
DS

S
S
S
S
S
S
S
S
S
S

DS
DS
DS
DS
DS
DS
DS
DS
DS
DS

1-67

45
45
40
40
40
37
37
37
33
33
33
30
30
30
28
28
28
25
25
25
23
23
23
20
19
19
19
17

17
17
16
16
16

10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0

lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW
lOW

lN4316-1N4347B
:z
5!

.....

TYPE

IN4316
IN4317
IN4318
IN4319
IN4320
IN4321
IN4322
IN4323
IN4323A
IN4323B
IN4324
IN4324A
IN4324B
IN4325
IN4325A
IN4325B
IN4326
IN4326A
IN4326B
IN4327
IN4327A
IN4327B
IN4328
IN4328A
IN4328B
IN4329
IN4329A
IN4329B
IN4330
IN4330A
IN4330B
IN4331
IN4331A
1N4331B
IN4332
IN4332B
IN4333
IN4333A
IN4333B
IN4334
IN4334A
IN4334B
IN4335
IN4335A
IN4335B
1N4336
IN4.336A
IN4336B
IN4337
IN4337A
IN4337B
IN4338
IN4338A
IN4338B
IN4339
IN4339A
IN4339B
1N4340
IN4340A
IN4341
IN4341A
IN4341B
1N4342
IN4342A
1N4342B
IN4343
IN4343A
IN4343B
IN4344
IN4344A
IN4345
IN4345A
IN4345B
IN4346
IN4346A
IN4346B
IN4347
IN4347A
IN4347B

...
....
~

;j

S
S
S
S
S
S
S
S
S
S
S
S

S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S

S
S
S

S

S
S
S
S

S
S

S
S

S

REPLACEMENT

REF.

!c

...;::
...5!
~

:z

SMSOZSI0 t

IN4728

IN4736
IN4736
IN4736A
IN4737
IN4737
37A
38
IN4738
1N4738A
IN4739
IN4739
IN4739A
1N4740
IN4740
1N4740A
IN4741
IN4741
1A
IN4742
1N4742
IN4742A
IN4743
IN4743
IN4743A
IN4744
IN4744
IN4744A
IN4745
IN4745

IN4728
IN4728
IN4728
IN4728
IN4728

IN4749A
IN4750
IN4750
IN4750A
IN4751
IN4751
IN4751A
IN4752
IN4752
IN4752A
IN4753
IN4753

IN4728
IN4728
1N4728
IN4728
IN4728
IN4728
IN4728
IN4728
1N4728
IN4728
1N4728

IN4754
IN4754
IN4754A
IN4755
IN4755
IN4755A
IN4756
IN4756
1N4756A
IN4757
IN4757

IN4728
1N4728
IN4728
IN4728
IN4728
1N4728
IN4728
IN4728
IN4728
IN4728
IN4728

IN4
IN4758
IN4758A
IN4759
IN4759
IN4759A
IN4760
IN4760
IN4760A

IN4728
1N4728
IN4728
1N4728
IN4728
1N4728
IN4728
IN4728

DS
DS
DS
DS
DS
DZ
DS
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ.
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

6.8
6.8
6.8
7.S
7.S
7.S
8.2
8;2
8.2
9.1
9.1
9.1
10
10
10
11
11
11
12

12

12

13
13

13
15
15
15
16
16
18
18
18
20
20
20
22

22
22
24
24
27
27
27
30
30
30
33
33
33
36
36
36
39
39
39
43
43
43
47
47
47
51
51

DZ
DZ
DZ
DZ
DZ

56
56
62
62
62
68
68
68

Oz

DZ
DZ
DZ

tSee page l-la for ordering information.

1-68

37
37
37
34
34
31
31
31
28
28
28
25
25
25
23
23
23

21

21
21

19
19
19
17
17

17
16
16
14
14
14
13
13

13
12
12
12
11
11

11

9.5
9.5
9.5
8.5
8.5
8.5
7.5
7.5
7.5
7.0
7.0
7.0
6.5
6.5
6.5
6.0
6.0
6.0
5.5
5.5
5.5
5.0
5.0

20
10
S.O
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10

5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10

1. OW
1. OW
1. OW
1. OW
1.0W
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
.OW
1.0W
1. OW
1. OW
LOW
1.0W
1. OW
l.OW
l.OW
l.OW
l.OW
l.OW
.OW
1. OW
l.OW
l.OW
1. OW
1. OW
l.OW
1. OW
l.OW
1. OW
1. OW
l.OW
l.OW
1. OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
1. OW
1. OW
l.OW
1. OW
l.OW
l.OW
1. OW
l.OW
l.OW
l.OW
l.OW
1. OW
.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW

lN4348 -lN4405

TYPE

REPLACEMENT

REF.

1Ml10ZS10 t
1Ml10ZS5 t
1M120ZS10 t

1N4728
1N4728
1N4728
IN4728
IN4728
IN4728
1N4728
IN4728
lN4728
lN4728
lN4728
lN4728
IN4728
lN4728
IN4728
lN4728
lN4728
lN4728
lN4728
lN4728

i:gg~~~o t

1M130ZS10 ~
1Ml30ZS10
1M130ZS5 t
1M150ZS10 t
1M150ZS10 t
1M150ZS5
1M160ZS10 t
1Ml60ZSlO t
1M160ZS5 t
lM180ZSl0 t

t~~m~Ot

lM200ZSlO t
lM200ZS10 t
1M200ZS5 t

lN746
lN4001
lN4364
1N4365
lN4366
lN4367
1N4368
1N4369
lN4370
lN4370A,
1N4371
1N4371A
1N4372A
1N4373
1N4374
1N4375
1N4376
11i4377
lN4378
1N4379
1N4380
1N4381
1N4382
1N4383
1N4384
lN4385

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

1N4002
1N4003
lN4004
1N4004
1N4005
lN4005

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DS
jjz
R-

lN400l
lN400l
lN400l
lN400l
lN400l
lN400l
lN746

900

1.3

0.5

0.5

300
400
500
600

1.5
1.5
1.5
1.5

O.
0.75
0.75
0.75
0.75

0.1
0.1
0.1
0.1

20

2.3
2.3
2.0
2.0
2.0
1.9
1.9
1.9
1.7
1.7
1.7

5.0
20
10
5.0

LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW

10

5.0

0.25W

20
20
20
20

10
5.0
10
5.0

0.4W
0.4W
0.4W
0.4W

20
20
20
20
20
20

LOW
LOW
LOW
l.OW
LOW
LOW

110
110
120
120
120
130
130
130
150
150
150
160
160
160
180
180
180
200
200
200

1.6
1.4
1.4
1.4
1.2
1.2
1.2

2.4

2.4
2.4
2.7
2.7
3.0
3.0

10
5.0
20
10
5.0
20
10·
5.0
20
10
5.0
20
10
5.0
20
10

MR991A
VeE = 5 0 V, H

G

S
S

S
S

lN4387 }
IN4388

1N4003
1N4004
lN4005
Varactor Diodes,

1N4389
1N4390
1N4391
1N4392
lN4393,
A,B
thru
1N4399,

S
S

1N4400
1N4401
1N4402
lN4403
lN4404
1N4405

S
S
S
S
S
S

S

S
Tunnel Diodes, see
1N4736
1N4737
lN4738
lN4739
lN4740
1N4741

lN4728
lN4728
lN4728
lN4728
lN4728
1N4728

DZ
DZ
DZ
DZ
DZ
DZ

6.8
7.5
8.2
9.1
10

11

Replacement * denotes exact device type replacement available on request.
tSee page 1·1a for ordering information.

1-69

37
34
31
28
25
23

lN4406-1N4487

REPLACEMENT

TYPE

REF.

•
1N4418
1N4419
1N4420
1N4421
1N4422
1N4423
lN4424
1N4425
lN4426
1N4427
1N4428
1N4429
lN4430
lN443l
lN4432
1N4433
1N4434
1N4435
1N4436
1N4437
1N4438
IN4439
1N4440
IN4441
IN4443
IN4444
IN4445
IN4446
1N4447
1N4448
IN4449
1N4450
1N4451
1N4452
1N4453
1N4454
1N4455
1N4456
1N4457
1N4458
1N4459
1N4460
IN4461
1N4462
1N4463
lN4464
IN4465

80
81
IN4482
IN4483
IN4484
IN4485
1N4486
IN4487

S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

IN4728
lN4728
1N4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
IN4728
lN4728
t:t~gmg t lN4728
1M200ZSl0 t lN4728

lN4755
1N4756
1N4757
1N4758
1N4759
1N4760
1N476l
lN4762
1N4763
lN4764
lM110ZS10
10 t
1M130ZSl0 t
lM150ZSl0 t

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
R
R
R
R
R
R

200
400
600
800
1000
1500

1.2
1.2
1.0
1.2
1.2
4.0

10
10
10
10
10
0.025

1.0
1.0
1.0
1.0
1.0
0.001

100
100
100
100
100
3.0

39
43
47
51
56
62
68
75
82
91
100
llO
120
130
150
160
180
200

6.0
5.5
5.0
4.5
4.0
3.7
3.3
3.0
2.8
2.5
2.3
2.0
1.9
1.7
1.6
1.4
1.2

20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20

LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
l.OW
LOW
LOW
LOW
LOW

6.2
6.8
7.5
8.2
9.1
10
11
12
13
15
16
18
20
22
24
27
30
33
3
39
43
47
51
56
62
68
75
82

40
37
34
31
28
25
2
21
19
17
16
14
13
12
11
9.5
8.5
7.5
.0
6.5
6.0
5.5
5.0
4.5
4.0
3.7
3.3
3.0

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W

S

S
S
S
S

S
S
S

S
S

1N4735A
IN4736A
1N4737A
IN4738A
1N4739A
lN4740A
IN474lA
lN4742A
lN4743A
IN4744A
IN4745A
IN4746A
IN4747A
IN4748A
IN4749A
IN4750A
IN4751A
IN4752A

S
S

S
S

S
S
S
S
S

lN4728
lN4728
1N4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
IN4728
IN4728
IN4728
lN4728
IN4728
IN4728
IN4728
IN4728

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

tSee page '·la for ordering information.

1-70

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

lN4488 -lN4SS8

....

TYPE

~

!;i1

REPLACEMENT

REF.

S
S
S
S
S
S
S
S
S
S
S
S
S

1N4764A
1M110ZS5 t

1M150ZS5
1M160ZS5
1M180ZS5 t
1M200ZS5 t

1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728

1N4735A

1N4728

:IE

1N4501
1N4502
1N4503
IN4504
1N4505
1N4506
1N4507
1N4508
1N4509
1N4510
1N4511
1N4513
1N4514
1N4517
1N4523
1N4524
1N4525
1N4526
1N4527
1N4528
1N4529
1N4530

1N4534
1N4535
1N4536
1N4537
1N4538
IN4539
1N4540
1N4541
1N4542
1N4544
1N4545
1N4546
1N4547
1N4548
1N4549
1N4549A
1N4549B
1N4550
1N4550A
IN4550B

mmm +t

G

S
S
S
S
S
S
S
S
S
S
S
S
S

11!4752
IN5388A

IN4728
IN5333

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
R
R
DZ
DR
DS
DZ
DZ
R
R
R
R
R
R
R

1600
3000

3.0
5.0

0.75
0.75

6000
200
400
600
800
1000
1200

8.5
1.4
1.4
1.4
1.4
1.4
1.4

0.1
12
12
12
12
12
12

0.1
0.1

0.1
2.5
2.5
2.5
2.0
1. 75
1.5

35
35

20
240
240
240
240
240
240

100
110
120
130
150
160
180
200

5.0
5.0
5.0
5.0
5.0
5.0
5.0

.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W

6.2

5.0

LOW

33
200

20
4.0

10
10

3.0W
3.0W

3.45

5.0

5.0

0.5W

3.9

3.2
3.2
3.2
2900
2900
2900
2600
2600
2600
2400
2400
2400
2200
2200
2200
2000
2000
2000
1800
1800
1800
1600
1600
1600
3200
3200
3200
2900

20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20

50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
50W
SOW
50W
50W
SOW
50W
50W
SOW
50W
50W

G
G

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

.5M3.4ZZS5

S

1N4551B
1N4552
1N4552A
IN4552B
1N4553
1N4553A
1N4553B
1N4554
1N4554A
1N4554B

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

1N2804
1N2804
1N2804
IN2804
1N2804
1N2804
1N2804
1N2804
IN2804
1N2804
1N2804
IN2804
1N2804
1N2804
IN2804
1N2804
1N2804
1N2804

1N4555A
1N4555B
IN4556
IN4556A
IN4556B
IN4557
1N4557A
1N4557B
1N4558

S
S
S
S
S
S
S
S
S

1N2804
IN2804
1N2804
IN2804
1N2804
IN2804
IN2804
IN2804
1N2804

DS
DS
R
DS
DS
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

3.9
3.9
4.3
4.3
4.3
4.7
4.7
4.7
5.1
5.1
5.1
5.6
5.6
5.6
6.2
6.2
6.2
6.8
6.8
6.8
7.5
7.5
7.5
3.9
3.9
3.9
4.3.

tSee page '·1a for ordering information.

1-71

10

5.0

10
5.0
20
10
5.0
20
10
5.0
20

•

lN4558A';'; lN4~5

TYPE

1N4562A
1N4562B
1N4563
1N4563A
1N4563B
1N4564
1N4564A
1N4564B
1N4565
1N4565A
1N4566
1N4566A

REPLACEMENT

S
S
S

REF.

1N280'4
1N280'4
1N280'4
1N2804
1N280'4
1N280'4
Ui4549
1N4549
1N4549
1N4549
1N4549
1N4549
1N4549
1N4549
1N4549
1N4549
1N4549
IN4549
IN4549
IN4549
1N4549
1N4549
1N4549
1N4549
1N4549
1N4549

S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

DR

S
S
S

DR
DR

S

S

DR

S
S
S
S
S
S
S
S
S
S
S

DR

s·

S

S
S
S
S
S
S
S
S
S
S
S

MR1221SB
MR1223SB
MR1225SB
MR1227SB
MR1228SB
MR1229SB

MR1220'
MR122o.
MR122o.
MR122o.
MR122o.
MR122o.

Varactor

1N46o.o.
1N46o.1
1N46o.2
1N46o.3
1N46o.4
1N46o.5

DR

DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR

S
S
S

1N4586
1N4587
1N4588
1N4589
1N459o.
1N4591
1N4592
IN4593
1N4594
1N4595
1N4596

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DR
DR
DR
DR
DR
DR
DR
DR

Microwave Mixer
Microwave Mixer

Microwave Mixer
.Microwav:e Mixer
Microwave Mixer

Microwave

DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
R
R
R
R
R
R
R
R
R
R
R
R

100'0'
10.0.
20.0.
30.0.
40.0.
50.0.
60.0.
80.0.
100.0.
120.0.
140.0.

1.3
1.3
1.35
1.35
1.35
1.35
1.35
1.35
1.35
1.35
1.35
1.35

1.0'
1.0'
150.
150..
150.
150'
150.
150.
150.
150.
150.
150.

see

NF
NF
NF
NF
MHz, NF
MHz NF
MHz,
MHz,
MHz,
MHz,

= 9.5 dB
= 8.8 dB
= ·8.0. dB

• 9.5 dB
= 8.8 dB
= 8.0. dB

1-72

0'.2
0'.2
9.5
9.5
9.0.
9.0.
8.0.
6.5
5.5
4.5
4.0.
3.5

50'
50.
30.0.0.
30.0.0.
30.0.0.
30.0.0.
30.0.0.
30.0.0.
30.0.0.
30.0.0.
30.0.0.
30.0.0.

lN4606-1N4677

TYPE

I

REPLACEMENT

REF.

•

Varactor

1N4581A
1N4582A
1N4583A
1N4584A
MZ4614
MZ4615
MZ4616
MZ4617
MZ4618
MZ4619
MZ4620
1N4621
1N4622
1N4623
1N4624
1N4625
1N4626
1N4627
1N4628
1N4629
1N4630
1N4631
1N4632
1N4633
1N4634
1N4635
1N4636
1N4637
1N4638
1N4639
1N4640
1N4641
1N4642
1N4643
1N4644
1N4645
1N4646
1N4647
1N4648
1N4649
1N4650
1N4651
1N4652
1N4653
1N4654
1N4655
1N4656
1N4657
1N4658
1N4659
1N4660
1N4661
1N4662
1N4663
1N4664
1N4665
1N4666
1N4667
1N4668

S
S
S
S
S
S
S
S
S
S
S
S

IN4670
IN4671
1N4672
1N4673
1N4674
1N4675
IN4676
IN4677

S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

MZ4623
MZ4624
MZ4625
MZ4626
MZ4627
1N4736A
1N4737A
1N4738A
1N4739A
1N4740A
1N4743A
1N4744A
1N4745A
1N4746A
1N4747A
1N4748A
1N4749A
1N4750A
1N4751A
1N4752A
1N4753A
1N4754A
1N4755A
1N4756A
1N4728A
1N4729A
1N4730A
1N4731A
1N4732A
1N4733A
1N4734A
1N4735A
1N4736A
1N4737A
1N4738A
1N4739A
1N4740A
1N4741A
1N4742A
1N4743A
1N4744A
1N4745A
1N4746A
IN4747A
IN4748A
IN4749A
IN4750A
IN4751A
IN4752A
IN4753A
IN4754A
IN4755A
IN4756A

**
*
*
*

1N4549
1N4549
1N4549
1N4549
IN4099
IN4099
1N4099
1N4099
1N4099
1N4099
1N4099
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
'lN4728 DZ
1N4728 DZ
1N4728 DZ
1N4728 DZ
1N4728 DZ
1N4728 DZ
1N4728 DZ
1N4728 DZ
1N4728 DZ
1N4728 DZ
1N4728 DZ
DZ
1N4728 DZ
1N4728 DZ
1N4728 DZ
1N4728 DZ
1N4728 DZ
1N4728 DZ
1N4728 DZ
1N4728 DZ
1N4728 DZ
1N4728 DZ
1N4728 DZ
1N4728 DZ
1N4728 DZ
IN4728 DZ
1N4728 DZ
1N4728. DZ
IN4728 DZ
1N4728 DZ
1N4728 DZ
1N4728 DZ
).N4728 DZ
1N4728 DZ
IN4728 DZ
DZ
DZ
IN4728 DZ
1N4728 DZ
1N4728 DZ
IN4728 DZ
IN4728 DZ
IN4728 DZ
IN4728 DZ

3.6
3.9
4.3
4.7
5.1
5.6
6.2
6.8
7.5
8.2
9.1
10

0.25
0.25
0.25
0.25
0.25
0.25
0.25
19
17
15
14

11

12
11
9.5
8.5
7.8
7.0
6.2
6.0
5.2
4.6
4.2
3.8
3.
3.2
3.0
2.7
10
10
64
58
53
49
45
41

12
13

15
16
18
20
22
24
27
30
33
36
39
43
47
3.3
3.6
3.9
4.3
4.7
5.1
5.6
6.2

13

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

0.25W
0.25W
0.25W
0.25W
0.25W
0.25W
0.25W
0.25W
0.25W
0.25W
0.25W
0.25W
0.25W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

0.6W
0.6W
0.6W
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW

28
25
23
21
19
17
16
14
13

22
24
27
30
33
36
39
43
47

Replacement * denotes exact device type replacement available on request.

1-73

11
9.5
8.5
7.5
7.0
6.5
6.0
5.5

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

l.OW

1. OW
1. OW
1. OW

l.OW
l.OW
l.OW
l.OW

1N4,~78.1N~73~
z

.....

T'(i'E

...

"11
.=c..

S!

REPLACEMENT

REF.

..::E

•

5
~

i!j
S! .

12
13
14
15
16
17
18
19
20
22
24
25
27
28

105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105
105

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

33
36
39
40

105
105
105
105

5.0
5.0
5.0
5.0

3.3
3.3
3.6
3.6
3.9
3.9

76
76
69
69
64
64
58
58
53
53
49

5.0
10
5.0
10
5.0
10
5.0
10
5.0

1.8
2.0
2.2
2.4
2.7
3.0
3.3
3.6
3.9
4.3
, 4.7
5.1
5.6
6.2
6.8
7.5
8.2
8.7
9.1

S
S
S
S

S
S'

10
11

1N4701
1N4702
1N4703 .
11'14704
1N4705
1N4706

igm~

1N4709
1N4710
1N4711
1N4712
S
S
S
S
S
S
S
S
S

,S

S
S
S
S
S
S
S

1N4728
1N4728
IN4728
IN4728
IN4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728

DZ
DZ
DZ
DZ
DS
R
R
R
R
R
R
R
DS
DS
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

4"03

4.3
4.7
4.7
5.1

1-74

10

10

250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250
250

1. OW
1. OW
1. OW
l.OW
l.OW
l.OW
1. OW
l.OW
l.OW
1. OW
l.OW

lN4733A·1N4764

.....

...
:!is

TYPE

~

:I

REPlACEMENT

REF.

•

s
s
s
s
IN4736
IN4736A
IN4737
IN4737A
IN4738
IN4738A
IN4739
IN4739A
IN4740
IN4740A
IN4741

IN4743
IN4743A
IN4744
IN4744A
IN4745
IN4745A
IN4746
IN4746A
IN4747

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

s

S
S
S
S
S
S
S
S
S
S
S
S
S
S

IN4748
1N4748A
IN4749
IN4749A
1N4750
IN4750A
1N4751
1N4751A
IN4752
IN4752A
IN4753
1N4753A
1N4754
IN4754A
IN4755
IN4755A
IN4756
1N4756A
IN4757
IN4757A
1N4758
IN4758A
1N4759

S
S
S
S
S
S
S
S
S
S
S
S

IN4760A
1N4761
IN4761A
IN4762
IN4762A
IN4763
IN4763A
1N4764

S
S
S
S
S
S
S
S
S
S

728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
1N4728
1N4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

37
37
34
34
31
31
28
28
25
25
23

27
30
30
33
33
36

21
21
19
19
17
17
15.5
15.5
14
14
12.5
12.5
l1.5
·l1.5
10.5
10.5
9.5
9.5
8.5
8.5
7.5
7.5
7.0

39
39
43
43
47
47
51
51
56
56
62
62
68
68
75
75
82
82
91
91
100

.5
6.5
6.0
6.0
5.5
5.5
5.0
5.0
4.5
4.5
4.0
4.0
3.7
3.7
3.3
3.3
3.0
3:0
2.8
2.8
2.5

1
12
13
13

15
15
16
16
18
18
20
20
22
22
24
24
27

DZ

DZ
DZ
DZ
DZ

1-75

5.0
10
5.0

10

5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
'10
5.0
10
5.0
10
5.0
10

10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10

1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW

lN4764A-1N4838

.....

TYPE

.......il\li
e

REPLACEMENT

REF.

:IE

•

1N4765
1N4765A
1N4766
1N4766A
1N4767
1N4767A
1N4768
1N4768A
1N4769
1N4769A
1N4770
770A
1N4771
1N4771A
1N4772
1N4772A
1N4773
1N4773A
1N4774
1N4774A
1N4775
1N4775A
1N4776
1N4776A
1N4777
1N4777A
1N4778
1N4778A
1N4779
1N4779A
1N4780
1N4780A
1N4781
1N4781A
1N4782
782A
1N4783
1N4783A
1N4784
1N4784A

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

1N4835A
1N4835B
1N4836
1N4836A
1N4836B
1N4837
IN4837A
1N4837B
IN4838

1N4549
1N4549
1N4549
1N4549
1N4549
1N4549
1N4549
1N4549
1N4549
1N4549
1N4549
1N4549
1N4549
1N4549
1N4549
1N4549
1N4549
1N4549
1N4549
1N4549
1N4549
1N4549
1N4549
1N4549

DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR

1N4001
1N4001
1N4001
1N4001
1N4001
1N4001
1N4001

R
R
R

DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR

S
S
S
S
S

1N4786
thru
1N4815
1N4816
1N4817
1N4818

1N4831A
1N4831B
1N4832
1N4832A
1N4832B
1N4833
1N4833A
1N4833B
1N4834
1N4834A
1N4834B

DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR

e on

Varactor D

1N4001
1N4002
1N4003
1N4004
1N4004
1N4005
1N4005

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

s

S
S
S
S
S

1N4739
1N4739A
1N4740
1N4740
1N4740A
1N4741
1N4741
1N4741A
1N4742
1N4742
1N4742A
1N4743
1N4743
1N4743A
1N4744
1N4744
1N4744A
IN4745
IN4745
1N4745A
IN4746

50
100
200
300
400
500
600
100
200
400

1~I02

1.3
1.3
1.3
1.3
1.3
1.3
1.3
1.25
1. 25
1.25

0.25
0.25
0.25
0.25
0.25
0.25
0.25
1.0
1.0
1.0
1.0

50
50
50
50
50
50
50
35
35
35
35

9.1
9.1
9.1
10
10
10

1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
IN4728
1N4728
1N4728
IN4728
IN4728
1N4728
1N4728

1.5
1.5
1.5

11
11
11

12
12
12
13

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

13
13
15
15
15
16
16
16
18

DZ

1-76

28
28
28
25
25
25
23
23
23
21
21

21
19
19
19
17

17
17
16
16
16
14

10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20

1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W

lN4838A-1N4874

....

...
:$

TYPE

=-

REPLACEMENT

REF.

lE

1N4838A
1N4838B
1N4839
1N4839A
1N4839B
1N4840
1N4840A
1N4840B
1N4841
1N4841A
1N4841B
1N4842
1N4842A
1N4842B
1N4843
1N4843A
1N4843B
1N4844
1N4844A
1N4844B
1N4845
1N4845A
1N4845B
1N4846
1N4846B
IN4847
1N4847A
1N4847B
1N4848
1N4848A
1N4848B
1N4849
1N4849A
1N4849B
1N4850
1N4850A
1N4850B
1N4851
1N4851A
1N4851B
1N4852
1N4852A
1N4852B
1N4853
1N4853A
1N4853B
1N4854
1N4854B
1N4855
IN4855A
IN4855B
1N4856
1N4856A
IN4856B
IN4857
1N4857A
1N4857B
IN4858
1N4858A
IN4858B
1N4859 .
IN4859A
IN4859B
1N4860
1N4860A
IN4860B
IN4861
IN4862
IN4863
IN4864
1N4867
IN4868
IN4869
1N4870
1N4871
1N4872
1N4873
1N4874

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

1N4750
1N4750A
1N4751
1N4751
1N4751A
1N4752
1N4752
1N4752A
IN4753
1N4753
1N4753A
1N4754
1N4754
1N4754A
IN4755
1N4755
IN4755A
1N4756
IN4756
1N4756A
IN4757
IN4757
1N4757A
IN4758
1N4758
1N4758A
1N4759
1N4759
1N4759A
1N4760
1N4760
1N4760A
1N4761
IN4761
1N4761A
IN4762
1N4762
IN4762A
1N4763
1N4763
IN5763A
IN5764
1N5764

1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
1N4728
IN4728
IN4728
IN4728
IN4728
IN4728
1N4728
IN4728
IN4728
IN4728
1N4728
IN4728
1N4728
IN4728
IN4728
1N4728
1N4728
1N4728
1N4728
IN4728
1N4728
IN4728
IN4728
IN4728
1N4728
IN4728
IN4728
IN4728
1N4728
1N4728
IN4728

IN4728
IN4728
IN4728
IN4728
IN4728
IN4728
t:m~~lOt IN4728
1M110ZSlO t IN4728
IM110ZS5 t IN4728
1M120ZS10 IN4728
1M120ZS10 t
1M120ZS5 t IN4728
1M130ZS10 t IN4728
IN4728
i~ggmOt IN4728
IN4728
IM150ZS10 +
IMl50ZS10 IN4728
1Ml50ZS5 t IN4728

S

S
S
S

S
S

S
S
S
S

S

18
18
20
20
20
22
22
22
24
24
24
27
27
27
30
30
30
33
33
33
36
36
36
39
39
39
43
43
43
47
47
47
51
51
51
56
56
56
62
62
62
68
68
68
75
75
75
82

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DS
DS
DS
DS
R
R
R
R
R
R
R
R
R
R

82
91
91
91
100
100
100
110
110
110
120
120
120
130
130
130
150
150
150

2500
3000
5000
7500
10K
12K
15K
20K
25K

2.
3.6
4.8
8.4
12
16
18
23
30
38

1.25
1. 25
1.25
1.25
1.25
1.25
1.25
1. 25
1.25

tSee page 1-1a for ordering information.

1-77

0.6
0.6
0.6
0.6
0.6
0.6
0.6
0.6
0.6

150
150
150
150
150
150
150
150
150

14
14
19
19
19
11
11
11
11
11
11
9.3
9.3
9.3
8.3
8.3
8.3
7.5
7.5
7.5
7.0
7.0
7.0
6.5
.5
.5
5.8
5.8
5.8
5.3
5.3
5.3
5.0
5.0
5.0
4.5
4.5
4.5
4.0
4.0
4.0
3.7
3.7
3.7
3.3
3.3
3.3
3.0
2.8
2.8
2.8
2.5
2.5
2.5
2.3
2.3
2.3
1.2
1.2
1.2
1.9
1.9
1.9
1.7
1.7
1.7

10
5.0
20
10

5.0
20
10
5.0
20
10

5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10

5.0
20

1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W

5.0
20

1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W

5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0

1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W
1.2W

10

5.0
20
10
5.0
20
10
5.0
20
10

lN4875-1N4922A
z

.....

TYPE

...
~

!;c

REPLACE MENT

REF.

:IE

5
6
7
1N4878
1N4879
1N4880
1N4881
1N4882
1N4883
1N4884
1N4885 }
1N4886
1N4887
1N4888
1N4889
1N4890
1N4890A
1N4891
1N4891A
1N4892
1N4892A
1N4893
1N4893A
1N4894A
1N4895
1N4895A
1N4896
IN4896A
1N4897
1N4897A
1N4898
1N4898A
IN4899
1N4899A
1N4900
1N4900A
IN4901
1N4901A
1N4902
1N4902A
1N4903
1N4903A
1N4904
1N4904A
1N4905
IN4905A
1N4906A
IN4907
1N4907 A
IN4908
1N4908A
1N4909
1N4909A
IN4910
1N4910A
1N4911
1N4911A
1N4913
1N4913A
1N4914
IN4914A
1N4915
1N4915A
1N4916
1N4916A
1N4917
1N4917A
IN4918
1N4918A
1N4919
1N4919A
1N4920
1N4920A
1N4921
1N4921A

z
....
5!

S
S
S
S

S
S
S
S

S
S

~

c..>

LL:

;::

MR1221SB

MR1220

1N4747
1N4753
IN4742A
1N4747A

1N4728
1N4728
1N4728
1N4728

R
R
R
R
R
R
DZ
DZ
DZ
DZ

40
20
65
40

Varactor

S
S
S
S
S
S
S
S
S
S

S
S
S
S
S

S
S
S
S
S
S
S
S

S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S

S
S

S
S
S
S
S
S

S
S

S
S
S

S
S
S
S
S

IN3000B
MZ640 *
MZ640 *
MZ640 *
MZ640 *
MZ620 *
MZ620 *
MZ620 *
MZ620 *
MZ610 *
MZ610 *
MZ610 *
MZ610 *

1N2970
MZ600
MZ600
MZ600
MZ600
MZ600
MZ600
MZ600
MZ600
MZ600
MZ600
MZ600
1N4765
1N4765
1N4765
1N4765
IN4765
lN4765
IN4765
1N4765
IN4765
1N4765
IN4765
IN4765
1N4765
1N4765
IN4765
IN4765
1N4765
1N4765
1N4765
1N4765
IN4765
1N4765
1N4765
IN4765
1N4765
1N4765
1N4765
1N4765
1N4765
IN4765
IN4765
1N4765
1N4765
1N4765
IN4765
1N4765
1N4765
IN4765
1N4765
1N4765
1N4765
IN4765
1N4765
1N4765
IN4765
IN4765
1N4765
1N4765
IN4765
IN4765
IN4765
IN4765
IN4765
IN4765

R
DS
DZ
DR
DR
DR
DR

DR
DR
DR
DR
DR

DR

DR
DR
DR
DR
DR
DR
DR
DR
DR
DR

DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR

DR
DR
DR
DR
DR

DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR

Replacement * denotes exact device type replacement available on request.

1-78

20
36
12
20

10
10
5.0
5.0

3.0W
3.0W
3.0W
3.0W

lN4923-1N4996

~
!;.;

TYPE

REPLACEMENT

REF.

::IE

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
G
G

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

1N4946
IN4947
IN4948
1N4950
1N4951
IN49S2
1N49S4
1N49SS
IN49S6
1N4957
1N4958
1N4959
1N4960
1N4961
1N4962

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

1N4965
1N4966
1N4967
1N4968
IN4969
IN4970
1N4971
1N4972
1N4973
1N4974
S
IN4976
1N4977
1N4978
1N4979
IN4980
IN4981
IN4982
IN4983
1N4984
IN498S
IN4986

·R t rr

@

IN4765
IN4765
IN4765
IN4765
IN4765
IN4765
IN4933
IN4933
IN4933
IN4933
IN4933

50
100
200
400

1.2
1.2
1.2
1.2

Microwave
band
Microwave Ka-band Mixer.

Varactor Diode,see Table

1NS342B
IN5343B
INS 344B
1N5346B
IN5347B
IN5348B
IN5349B
IN5350B
lN53S2B

1NS333
IN5333
IN5333
1N5333
1N5333
1NS333
1N5333
1N5333
1NS333

1N5357B
1NS358B
1N5359B
1NS36IB
IN5363B
IN5364B
1N5365B
INS366B
INS367B
lNS368B

1N5333
INS333
1NS333
IN5333
IN5333
lN5333
IN5333
IN5333
lNS333
INS333

IN5372B
IN5373B
IN5374B
IN5375B
IN5377B
lN5378B
lN5379B
INS380B
lNS381B
INS383B
1NS384B
INS386B
iN5388B
SMllOZSBS
SM180ZSBS
SM135ZSBS
SMlSOZSBS
SMI6SZSBS
SM180ZSBS

lN5333
IN5333
lN5333
IN5333
IN5333
IN5333
INS333
INS333
lN5333
IN5333
lNS333
INS333

DS
DS
DS
DS
DS
DS
DS
DS
DS
DS
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

200 ns

1-79

6.8
7.5
8.2
9.1
10
11
12
13
IS

175
175
150
150
125
120
100
100
7S

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W

18
20
22
24
27
30
33
36
39
43
47

65
SO
50
50
40
40
30
30
30
2S

5.0
S.O
5.0
5.0
5.0
S.O
5.0
5.0
5.0
S.O
S.O

3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W

56
62
68
75
82
91
100
110
120
130
150

20
20
20
20
15
15
12
12
10
19
8.0

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
S.O
5.0

180
200
220
240
270
300
330
360
390

S.O
S.O
5.0
5.0
S.O
4.0
4.0
3.0
3.0

S.O
S.O
S.O
S.O
S.O
5.0
S.O
S.O
5.0

.OW
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
.OW
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W

lN4997 -lNS043

....

TYPE

...iii
t-

c

REPLACEMENT

REF.

liE

1N4997
1N4998
1N4999
1NSOOO
1NSOO1
1NS002
1NS003
1NS004
1NSOOS
1N5006
1N5007
1N5008
INS008A
1N5009
IN5009A
INSOlO
1N501OA

S
S
S
S
S
S
S
S
S
S
S
S

1NS015
1N5015A
1N5016
1N5016A
1N5017
1N5017A
1N5018
1N5018A
1NS019
1N5019A
1NS020
S
S

S
S
S
S
S
S
S
S
S
1N5026A
1N5027
1NS027A
1N5028
1N5028A
1N5029
1N5029A
1N5030
1NS030A
1NS031
1N5031A
1NS032
1N5033
1N5033A
IN5034
1N5034A
1NS035
1N5035A
1N5036
1N5036A
1N5037
1NS037A
1NS038
1N5039A
1NS040
1NS040A
1NS041
1NS041A
1NS042
1NS042A
1NS043

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S

S

1N4728

IN4735
1N4735A
1N4736
1N4736A
1N4737
1N4737A
1N4738
1N4738A
1N4739
1N4739A
1N4740
1N4740A
1N4741
1N4741A
1N4742
1N4742A
1N4743
1N4743A
1M14zS10
1M14ZS5
1N4744
1N4744A
1N474S
1N474SA
1M17ZS10
1Ml7ZS5
1N4746
1N4746A
lM19ZS10
lM19ZS5
1N4747
1N4747A
1N4748
1N4748A
1N4749
1N4749A
lM25ZS10
1M25ZS5
1N4750
1N4750A
1N4751
1N47S1A
1N47S2
1N4752A
1N4753
1N4753A
IN4754
IN4755
1N4755A
lM45ZSlO
1M45ZSS
1N47S6
1N4756A
lMSOZSlO
lMSOZSS
1N4757

1N4
1N4719
1N4719
IN4719
1N4719
1N4719
1N4719

R
R
R
R
R
R
R
R
R
R
R

IN4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
IN4728
1N4728
IN4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

1N4728
IN4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728
1N4728

50
100
200
400
600
800
1000
100
200
400
600

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.3
1.3
1.3
1.3

3.0
3.0
3.0
3.0
3.0
3.0
3.0
1.0
1.0
1.0
1.0

2.0
2.0
2.0
2.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

300
300
300
300
300
300
3S
35
35
3S

3.3
3.3
3.6
3.6
3.9
3.9
4.3
4.3
4.7
4.7
5.1
5.1
5.6
5.6
6.2
6.2
6.8
6.8
7.5
7.5
8.2
8.2
9.1
9.1
10
10
11
11

12
12
13
13

14
14
15
15
16
16
17
17
18
18
19
19
20
20
22
22
24
24
2S
25
27
27
30
30
33
33
36
36
39
39
43
43
45
45
47
47
50
50
51

1-80

189
3
173
160
160
145
145
133
133
122
122
111
111
104
104
92
92

83
83
76
76
69
69
62
57
57
52
52
48
48
4S
4S
42
42
39
37
3S
3S
33
33
31
31
28
28
26
26
25
25
23
23
21
21
19
19
17
17
16
16
15
15
14
14
13
13

12
12
12

10
5.0
10
5.0
10
5.0
10
5.0
10
S.O
10
5.0
10

s.o

10
5.0
10
5.0
10
S.O
10
S.O
10
5.0
10
S.O
10
5.0
10
5.0
10
5.0
10
5.0
10

s.o

10
5.0
10
5.0
10
5.0
10
5.0
10
5.0
10
S.O
10
S.O
10
S.O
10
5.0
10
5.0
10
5.0
10
5.0
10
10

s.o

10
S.O
10
5.0
10
5.0
10

2.5W
.5W
2.SW
2.5W
2.5W
2.5W
2.5W
2 .. 5W
2.5W
2.5W
2.SW
2.5W
2.5W
2.5W
2.5W
2.5W
2.SW
2.5W
2.5W
2.SW
2.SW
2.SW
2.SW
2.5W
2.5W
2.SW
2.SW
2.SW
2.5W
2.5W
2.5W
2.5W
2.5W
2.5W
2.5W
2.5W
2.5W
2.5W
2.5W
2.5W
2.5W
2.5W
2.5W
2.5W
2.5W
2.5W
2.5W
2.5W
2.5W
2.5W
2.5W
2.SW
2.SW
2.SW
2.SW
2.5W
2.5W
2.5W
2.SW
2.5W
2.5W
2.SW
2.SW
2.SW
2.SW
2.5W
2.5W
2.5W
2.SW

lNS043A-1NS116

....

TYPE

...!C~

REPLACEMENT

REF.

:E

1NS043A
1N5044
1NS044A
1NS045
1NS04SA
1NS046
1NS046A
1N5047
1NS047A
1N5048
1N5048A
1NS049
1NS049A
1NSOSO
1N5050A
1NS051
1NSOS1A
1NS052
1NSOS3
1N5054
1N5055
1NSOS6
1NS057
1N5058
1N5061
1N5062
1NS063
1N5064
1N5065
1N5066
1N5067
1NS068
1N5069
1NS070

1N5083
1N5084
1N508S
lN5086
1NS087
1N5088
1N5089
1N5090
1N5091
1N50n
1N5093
1N5094
1N5095
1N5096
1NS097
1NS098
lN5099
1NS100
1NS101
lNSl02
1NSl03
1NS104
1NSlOS
lNS106
1NS107
1NS108
1NS109
1N5110
1NS111
1NS112
1NS113
1N5114
1NS11S
1N5116

S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

7A
1M52ZS10
1M52ZS5
1N4758
1N47S8A
1N47S9
1N47S9A
1N4760
1N4760A
1N4761
1N4761A
1N4762
1N4762A
1N4763
1N4763A
1N4764
1N4764A
1N4006
1N4006
1N4007

1N4728
1N4728
1N4728
1N4728
1N4728
1N4001
1N4001
1N4001

1N4004
1N4005
1N4006
1N4736A
1N4737A
1N4738A
1N4739A
1N4740A
1N4741A
1N4743A
1M14ZSS

1N4001
1N4001
1N4728
1N4728
1N4728
1N4728

}~m~

1N4728

lN4746A
lN4748A
1N4749A
1N47S0A
1N475lA
1N4752A
1N47S3A
1N4754A
1M40ZSS
1N4755A
lM4SZS5
1N4756A
1M50ZSS
1N4757A
1N4758A
lM60ZSS
lN4759A
1N4760A
1M70ZS5
lN476lA
1M80ZSS
lN4762A

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
R
R
R
R
R
R
R
R
R
R
R

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

700
800
1000
100
200
300
400

1.3
1.3
1.3
1.4
1.4
1.4
1.4

600
800

1N4728

1N4728
1N4728
1N4728
1N4728

1N4728
1N4728
lMllOZS5
1N4728
1N4728
t~mmt 1N4728
1M140ZS5 + 1N4728
1M160ZSS t
1N4728
1N4728
t~mmt 1N4728
1M190ZSS
1N4728
1M200ZSS
1N4728
1MllOZSBS t 1N4728
1M120ZSBS
1M130ZSB5
1N4728
1M13SZSBS
1N4728
1M140ZSB5
1N4728
lM150ZSBS
1N4728
1N4728
1M160ZSBS
1N4728
i~immt 1N4728
1M180ZSBS
1N4728
1M190ZSBS
1N4728
lM19SZSBS
1N4728

t

tt
t
tt
t
tt

tt

0.5
0.5
0.5
0.2S
0.25
0.25
0.25

50
50
50
30
30
30
30

6.8
7.S
8.2
9.1
10
11
13
14
1S
16
18
22
24
27
30
33
36
39
40
43
45
47
50
51

1N4728
1N4728
lN4728
1N4728
1N4728

1N4728

loS
1.5
1.5
1.0
1.0
0.8
0.8

Sl
52
52
S6
S6
62
62
68
68
75
7S
82
82
91
91
100
100

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
.DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

56

60
62
68
70
7S
80
82
91
110
120
130
140
160
170
180
190
200
220
240
260
270
280
300
320
330
340
360
380
390

tSee page 1-1a for ordering information.

1-81

•

12
11
11

10
10
9.2
9.2
8.3
8.3
7.6
7.6
6.9
6.9
6.2
6.2

S.O
10
S.O
10
5.0

2.5W
2.5W
2.5W
2.5W
2.5W

75
75
75
75
75
70
50
SO

S.O
5.0
5.0
5.0
S.O
S.O
S.O
5.0

3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W

5.0
S.O
5.0
5.0
5.0
S.O
S.O
5.0
5.0
5.0
5.0
5.0
5.0
5 •.0
S.O
5.0
5.0
S.O
S.O
S.O
S.O
S.O
S.O
S.O
5.0
5.0
S.O
S.O
S.O
5.0
5.0
5.0
S.O
S.O

.OW
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W
3.0W

30
30
25
25
20
20
20
20
15
15
15
15
15
10
10
10
10
10
10
10
10
8.0
5.0
5.0
S.O
5.0
4.0
4.0
4.0
4.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
2.0
2.0
2.0
2.0
2.0
2.0

lNS117·1NS188A

.....

:!!!i

""
!;il

REPLACE MENT

IN5118
IN5119
IN5120
IN5121
IN5122
IN5123
1N5124
1N5125
1N5126
IN5127
1N5128
1N5129
1N5130
1N5131
1N5132
1N5133
1N5134
1N5136
thru
1N5148
1N5136A
thru
1N5148A
1N5150A
1N5152A
1N5153A
1N5155A
1N5156
thru
1N5157
1N5158
thru
1N5160
1N5163
1N5164
1N5165,A
thru
1N5167,A
1N5168
1N5169
1N5170
1N5171
1N5172
1N5173
1N5174
1N5175
1N5176
1N5177
1N5178
1N5179

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

IN5341B
5M40ZS5
5M45Zs5

1N5180
1N518l
1N5182
1N5183
IN5184
1N5185
1N5185A
1N5186
1N5186A
1N5187
1N5187A
1N5188
1N5188A

S
S
S

TYPE

REF.

=-

•

t
t
~~~m~ t
5M70ZS5 t
5M80ZS5 t
~~~m~ t

14
40
45
50
60
70
80
90
140
170
190
260
280
320
340
380

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

IN5333

IN5333
,lN5333
1N5385B
IN5333
1N5387B
5M130ZSB5 t
5M140ZSB5 t
5M160ZSB5 t

~~immt
5M200ZSB5

t

Varactor Diodes,

on Page

I

I
I

I
I

Table on Page

Varactor Diodes,
Varactor Diodes,

1-102

1·102,

1-102

Varactor Diodes, see Table on Page 1-102

I

I

I

I

4-Layer Diodes, see Table on Page
S

1-94

I
Harmonic Generator
Harmonic Generator

Hot Carrier Diodes
Hot
Hot
S
S
S
S

S
S
S
S

S

Diode
Diode
R
R
R
R
R
R
R
R
R

15
50
100
300
400
500
600
800
1000

1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

0.025
0.025
0.025
0.025
0.025
0.025
0.025
0.025
025

200
200
200
200
200
200
200
200

R
R
R
R
R
R
R
R
R
R
R
R
R

100
4000
5000
7500
10000
50
50
100
100
200
200
400
400

1.25

4.0
0.6
0.6
0.6
0.6
3.0
4.0
3.0
4.0
3.0
4.0
3.0
4.0

100
0.02
0.02
0.02
0.02
0.100
0.022
0.100
0.022
0.100
0.022
0.100
0.022

100

S

S
S

S
S
S
S
S

S
S
S

1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1

tSee page 1-1a for ordering information.

1-82

80
80
80
80
80
80
80
80

30
30
25
20
20
15
15
8.0
8.0
5.0
5.0
4.0
4.0
4.0
3.0
3.0

5.0W
5.0W
5.0W
5.0W

lN5189·1N5234A
~

TYPE

~

=

REPLACEMENT

REF.

::II

INS189
INS189A
INS190
INS190A
INS197
INS198
INS199
INS200

INS219
INS220
INS221
INS221A
INS221B
INS222
INS222A
INS222B
INS223

•

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

R
R
R
R
R
R
R
R
R
R

INS227A
INS227B
IN5228
INS228A
1NS228B
IN5229
IN5229A
1N5229B
INS230
IN5230A
1N5230B
INS231

S
S
S
S
S
S
S
S
S
S
S
S

INS221
INS221
INS221
IN5221
IN5221
IN5221
IN5221
INS221
IN5221
INS221
INS221
INS221
IN5221
1N5221
INS221
1N5221
INS221
IN5221
INS221
INS221
1NS221
INS221

1N5232
1N5232A
IN5232B
1NS233
IN5233A
1NS233B
1N5234
1N5234A

S
S
S
S
S
S
S
S
S

IN5221
INS221
1NS221
IN5221
IN5221
1N5221
1N5221
1NS221

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

2.7
2.8
2.8
2.8
3.0
3.0
3.0
3.3
3.3
3.3
3.6
3.6
3.6
3.9
3.9
3.9

4.3
4.3
4.7
4.7
4.7
5.1

20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20
20

5.1
5.6
5.6
5.6
6.0
6.0
6.0
6.2
6.2

20
20
20
20
20
20
20
20
20

4.3

1-83

5.0
10
10

5.0
10
10

5.0
10
10

5.0
10
10
5.0
10
10
5.0
10
10
5.0
10
10
5.0
10
5.0
10

10
5.0
10
10
5.0
10
10

SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
500M
SOOM
SOOM
SOOM
500M
SOOM
500M
500M
500M
500M
500M
SOOM
50 OM
50 OM
500M
500M
SOOM
500M
SOOM
500M
SOOM
500M
500M

lN5234B-1N52618

.....

...........
:$

TYPE

e

REPLACEMENT

REF.

:IE

INS23S
INS23SA
INS23SB
INS236
INS236A
INS236B
INS237
INS237A
INS237B
INS238
INS238A

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

44B
INS24S
INS24SA
INS24SB
INS246
INS246A
INS246B
INS247
INS247A
INS247B
INS248
INS248A
IN5248B
IN5249
IN5249A
IN5249B
INS250
INS250A

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

INS2S1
INS2S1A
INS2S1B
INS252
INS2S2A
INS2S2B
INS2S3
INS2S3A
IN5253B
INS2S4
IN5254A

S
S
S
S
S
S
S
S
S
S
S
S

INS255
IN525SA
IN5255B
IN52S6
INS256A
IN5256B
IN52S7
IN5257A
INS2S7B
INS2S8
IN52S8A

S
S
S
S
S
S
S
S
S
S
S

INS2S9
INS2S9A
INS2S9B
INS260
INS260A
INS260B
INS261
INS261A
INS261B

S
S
S
S
S
S
S
S
S

INS
INS221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
INS221

INS221
IN5221
IN5221
INS221
INS221
INS221
IN5221
IN5221
INS221
IN5221
IN5221
INS221
INS221
INS221
INS221
INS221
INS221
IN5221
INS221
INS221
IN5221
IN5221
IN5221

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

INS221
INS221
INS221
INS221
IN5221
INS221
INS221
INS 221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
INS221

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

INS221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
INS221
INS221

6.8
6.8
6.8
7.S
7.S
7.S
8.2
8.2
8.2
8.7
8.7

20
20
20
20
20
20
20
20
20
20
20

20
20
20
20
20
20
20
20

1-84

10
10
S.O
10

10
S.O
10
10
S.O
10

10

SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM

10
10
S.O
10
10

S.O
10
10

S.O
10

20

10

12
13
13
13
14
14
14
IS
IS
IS
16
16
16
17
17
17
18
18
18
19
19
19
20
20

20
9.S
9.S
9.S
9.0
9.0
9.0
8.S
8.S
8.5
7.8
7.8
7.8
7.4
7.4
7.4
7.0
7.0
7.0
6.6
6.6
6.6
6.2
6.2

S.O

22
22
22
24
24
24
2S
2S
25
27
27

.6
S.6
S.6
S.2
S.2
S.2
S.O
S.O
5.0
4.6
4.6

28
28
28
30
30
30
33
33
33
36
36

.S
4.5
4.5
4.2
4.2
4.2
3.8
3.8
3.8
3.4
3.4

39
39
39
43
43
43
47
47
47

3.2
3.2
3.2
3.0
3.0
3.0
2.7
2.7
2.7

10

10
S.O
10
10
S.O
10
10

S.O
10
10
S.O
10
10
S.O
10
10
S.O
10

10
S.O
10
10
10
10

S.O
10
10
S.O
10
10
5.0
10
10
10

10
S.O
10
10
S.O
10
10
S.O
10

10
10
10

S.O
10
10

S.O
10
10
5.0

SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
500M
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
500M
500M
500M
SOOM
500M
500M
500M
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM

lN5262·1N5326

....

~

TYPE

$lEi

1NS262
1NS262A
1NS262B
1NS263
1NS263A
1NS263B
1NS264
1NS264A
1NS264B
1NS26S
1NS26SA
1NS26S:B

S

1NS266A
1NS266B
1NS267
1NS267A
1NS267:B
1NS268
1NS268A
1NS268B
1NS269
1NS269A
1NS269B
70
1NS270A
1N5270B
1NS271
1NS271A
1NS271B
1NS272
1NS272A
1NS272B
1NS273
1NS273A
1NS273B
1NS2
1NS274A
1NS274B
1NS27S
1NS27SA
1NS27SB
1NS276
1NS276A
1NS276B
1NS277
1NS277A
1NS277B
1N5278
1NS278A
1N5278B
1NS279
1NS279A
1NS279B
1NS280
1NS280A
1NS280B
1NS281
1NS281A
1NS281B
1NS282
1NS283

REF.

1NS221
1NS221
1NS221
1NS221
1NS221
1NS221
1NS221
1NS221
1NS221
1NS221
1NS221
1NS221
1NS221
1NS221
1NS221
1NS221
1NS221
1NS221
1NS221
1NS221
1NS221
1NS221
1NS221
1NS221
1NS221
1NS221
1NS221
1NS221
1NS221
1NS221
1NS221
1NS221
1N5221
lNS221
1NS221
1NS221
1NS221
lNS22l
1N522l
lNS221
1N5221
1N5221
1NS221
1NS22l
lNS2il
1NS221
1NS221

S

S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S

s
S
S

S
S
S
S

S
S
S

S

S
S
S

S
S

S
S
S
S

S
S
S

S
S

1N5221
INS221
INS221
1NS221
lNS221
INS221
lNS221
1NS221
INS221
lNS221
INS221

S
S

S
S

S
S

S
S
S

S
S

thru

1NS314
1NS31S
1NS316
1NS317
1NS318
1NS319
1NS320
1NS324
INS326

REPLACE MENT

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

10
5.0
10

Sl
51
56
S6
56
60
60
60
62
62
62
68
75
75
75
82
82
82
87
87
87
91
91
91
100
100
100
110
110
110
120
120
120
130
130
130
140
140
140
150
150
150
160
160
160
170
170
170
180
180
180
190
190
190
200
200
200

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DS

Current

S
S

S

S
S
S
S

S

1-85

10

5.0

10

10
5.0
10

10
S.O
5.0
10
10
5.0
10
10

5.0

10

10
5.0
1.4
1.4
1.4
1.3
1.3
1.3
1.1
1.1
1.1
1.0
1.0
1.0

o.

0.95
0.95
0.90
0.90
0.90
0.85
0.8S
0.85
0.80
0.80
0.80
0.74
0.74
0.74
0.68
0.68
0.68
0.66
0.66
0.66
0.65
0.6S
0.65

10
5.0
10
10
5.0
10
10
5.0
10
10

5.0
10
10
5.0
10
10
S.O
10
10
5.0
10
10
5.0
10
10
5.0
10
10
5.0
10
10
S.O
10
10

5.0

SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SO,oM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
500M
SOOM
SOOM
SOOM
SOOM
SOOM
500M
500M
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
500M
SOOM
SOOM
SOOM
SOOM
SOOM
500M
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SOOM
SO OM
SOOM
SOOM

lN5329·1N5355A

...
i

...
:!i

TYPE

•

REPLACEMENT

REF.

R'

R'
R

1N5332
1N5333
1N5333A
1N5333B
1N5334
1N5334A
1N5334B
1N5335
1N5335A
1N5335B
1NS336
1NS336A
1N5336B
1NS337
1NS337A
1NS337B
1NS338
1N5338A
1N5338B
1N5339
1NS339A
1N5339B
1NS340
1N5340A
1NS340B
1NS341
1NS341A
1NS341B
1NS342
1NS342A
1NS342B
1NS343
1NS343A

·s

1NS344A
1NS344B
1NS345
1NS34SA
1N534sB
1NS346
1NS346A
1NS346B
1NS347
1NS347A

S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S

1N5349A
1NS349B
1NS3S0
1NS3S0A
1N53S0B
1NS3S1
1N53S1A
1NS3S1B
1N5352
1N5352A
1N5352B
1N5353
1N5353A
1NS353B
1N53S4
1N53S4A
1N5354B
1NS3S5
1Ns355A

s
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S

1N5333
1N5333
1N5333
1N5333
1N5333
1N5333
1N5333
1N5333
1NS333
1N5333
1N5333
1N5333
1NS333
1N5333
1NS333
1N5333
1N5333
1N5333
1N5333
1NS333
1N5333
1NS333
1NS333
1NS333
1N5333
1N5333
1NS333
1N5333
1NS333
1N5333
1Ns333
1N5333
1NS333
1NS333
1NS333
1NS333
1NS333
1NS333
1NS333
1NS333
1NS333

1NS333
1NS333
1NS333
1NS333
1NS333
1N5333
1NS333
1NS333
1NS333
1NS333
1NS333
INS333
1NS333
1NS333
1NS333
1NS333
1NS333
1NS333
1NS333
1NS333
1N5333
1NS333
1N5333

3.3
3.3
3.3
3.6
3.6
3.6
3.9
3.9
3.9
4.3
4.3
4.3
4.7
4.7
4.7
5.1
5.1
5.1
5.6
5.6

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

380
380
380
350
350
350
320
320
320
290
290
290
260
260
260
240
240
240
220
220

.0
6.0
6.0
6.2
6.2
6.2
6.8
6.8
6.8
7.5
7.5

12
12
12
13

13

13

14
14

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

15
15
15
16
16
16
17
17
17

18
18

1-86

5.0
5.0
5.0
5.0
5.0
5.0
5;0
5.0

20
10
5
20
10
5
20

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

10

5
20
10

5
20
10.

.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

10
5
20
10
5
20
10
5
20
10

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

20
10
5
20
10
5
20
10
5
20
10

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

10
5
20
10
S
20
10

8.2
8.2
8.2
8.7
8.7
8.7
9.1
9.1
9.1
10
10
11
11
11

20
10
5
20
10
5
20
10

125
125
100
100
100
100
100
100
100
100
75
75
75
75
75
70
70
70
65
65

10
5
20
10
5
20
10

5
20
10

.0
S.O
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

lN5355B-1N5382B

TYPE

;

$lIE

:z
CI

REPLACEMENT

REF.

5;:;:

...!iil
5!

1N5355B
1N5356
1N5356A
1N5356B
1N535]
1N5357A
1N5357B
1NS3S8
1N5358A
1NS3S8B
1N5359
1NS359A
1NS3S9B
1N5360
1N5360A
1N5360B
1NS361
1NS361A
1NS361B
1NS362
1NS362A
IN5363
1N5363A
1N5363B
1N5364
1N5364A
1N5364B
1N5365
1N5365A
1N5365B
1N5366
1N5366A
IN5366B
IN5367
INS367A
1NS367B
INS368
1NS368A
INS368B
1NS369
1NS369A
INS369B
1NS370
1N5370A
INS370B
1N5371
1NS371A
1NS371B
1NS372
INS372A
1N5372B
IN5373
1NS373A
INS373B
1NS374
1NS374A
1NS374B
1NS37S
1N537SA
1N537SB
INS376
1NS376A
1NS376B
1N5377
INS377A
1N5377B
1NS378
1N5378A
1NS378B
INS 79A
1N5379B
1NS380
1NS380A
1N5380B
INS381
1N5381A
1NS381B
1NS382
1NS382A
1NS382B

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

s

S
S
S
S

S
S

S
S
S
S
S
S
S

S
S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S

1N5333
1N5333
1N5333
1N5333
1N5333
1N5333
1N5333
1NS333
1N5333
1N5333
1NS333
1NS333
1N5333
1N5333
1N5333
1NS333
1N5333
1N5333
IN5333
1N5333
1N5333
1N5333
1N5333
IN5333
1N5333
1NS333
IN5333
IN5333
1N5333
1N5333
1NS333
IN5333
1N5333
IN5333
1NS333
INS333
IN5333
1NS333
1NS333
1NS333
INS333
IN5333
IN5333
INS333
IN5333
1NS333
1N5333
1N5333
IN5333
1NS333
1N5333
1NS333
1NS333
1N5333
1NS333
1NS333
1NS333
INS333
1NS333
1NS333
IN5333
INS333
INS333
IN5333
INS333
1N5333
1NS333·
1N5333
1NS333
IN5333
IN5333
1N5333
IN5333
IN5333
1N5333
1N5333
IN5333
1N5333
1N5333
1N5333
1NS333

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

24
24
2S
25
25
27
27
27
28
28
28
30
30
30
33
33
33
36
36
36
39
39
39

65
65
65
65
65
6S
50
50
50
50
SO
50
50
50
50
50
50
50
50
50
50
40
40
40
40
40
40
30
30
30
30
30
30

10
S
20
10
5
20
10
5
20
10
5
20
10
5
20
10
5
20
10
5
20
10
5

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
0
5.0
S.O
5.0
5.0
5.0
5.0
S.O
5.0
5.0
S.O
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
S.O
5.0
5.0

43
43
47
47
47
51
51
Sl
S6
S6
S6

30
2S
25
2S
2S
2S
25
20
20
20

10
5
20
10
S
20
10
5
20
10
5

5.0
S.O
5.0
5.0
S.O
S.O
S.O
S.O
S.O
S.O
S.O

10
5
20
10
5
20
10
5
20
10
5

.0
S.O
S.O
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

10
5
20
10
5
20
10
5
20
10
5

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

10
5
20
10
5
20
10
5
20
10
5

5.0
5.0
S.O
5.0
5.0
5.0
5.0
S.O
S.O
5.0
5.0

19
19
19
20
20
20
22
22
22

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

60
62
62
62
68
68
68
75
75
75

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

82
82
87
87
87
91
91
91
100
100
100
110
120
120
120
130
130
130
140
140
140

1-87

20
20
20
20
20
20
20
20
20
20
15
IS
15
15
IS
15
15
15
IS
12
12
12
12
12
12
10
10
10
10
10
10
8.0
8.0
8.0

20
10
5
20
10
5
20
10
S

lN5383·1N5432
:2
TYPE

iii

!;;l

REPLACEMENT

REF.

:IE

1NS383A
1NS383B
1NS384
1NS384A
1NS384B
1NS38S
1NS38SA
1NS38SB
1N5386
1NS386A
1NS386B
1NS387
1NS387A
1NS387B
1NS388
1NS388A
1NS388B
1NS389
1N5390
1NS391
1NS392
1NS393
1NS394
1NS397
1NS398
1NS399
1NS400
1NS401
1NS402
1NS403
1NS404
1NS40S
1NS406
1NS407
1NS408
1NS409
1NS410
1NS411
1NS412
1NS413
1NS414
1NS41S
1NS416
1NS417
1NS418
1NS419
1NS420
1NS421
thru
1NS42S
.lNS426
1NS427
1NS429
1NS430
1NS431
1NS432

s
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

180
190
190
190
200
200
200

1NS333
1NS333
1NS333
1NS333
1NS333
40,000

Hot Carrier Diode
S
S
S

.s

S
S
S
S
S
S
S
S
S
S
S
S
S
S

Diac (Trigger

R
R
R
R

SO
100
200
300

R
R
.R
R
R
R
R
R
"R
R
R
R

SOO
600
800
1000
SO
100
200
300
400
SOO
600

80

0.100
loS
1.S
loS
loS
1.S
1.S
1.S
1.S
1.S
3.0
3.0
3.0
3.0
3.0
3.0
3.0

R
R
R
R

Varactor Diodes,
S
S

S
S
S
S
S

1-88

.0.10

10
SO
SO
SO
SQ
SO
SO
SO
SO
200
200
200
200
200
200
200

S.O
S.O
S.O
S.O
S.O
S.O
S.O
S.O

S
20
10
S
20
10
S

S.O
S.O
S.O
S.O
S.O
5.0
S.O

lN5433·1N5529B

...

...
TYPE

:z

i!!

!;;l REPLACEMENT

REF.

:IE

5
~...
e

s

R
R
R

S
S

600
600
600

2.0
2.0
12

25
60
200

Mixers

Diodes

I see
R
R
R
R
R
R
R
R

1N5479
1N5480
1N5481
1N5482
1N5483
1N5484
IN5485
1N5518
1N5518A
1N5518B
1N5518C

0.350
0.350
0.350
0.350
0.350
0.350

3.3
3.3
3.3
3.3

20
20
20
20

20
10
5.0
2.0

400M
400M
400M
400M

20
20
20
20
20
20
20
20
20
20
20

1.0
20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M

1N5518 DZ
1N5518 DZ
DZ
DZ
1N5518 DZ
1N5518 DZ
1N5518 DZ
DZ
DZ
1N5518 DZ
1N5518 DZ

3.3
3.6
3.6
3.6
3.6
3.6
3.9
3.9
3.9
3.9
3.9
4.3
4.3
4.3
4.3
4.3
4.7
4.7
4.7
4.7
4.7
5.1
5.1

20
20
20
20
10
10
10
10
10
5.0
5.0

10
5.0
2.0
1.0
20
10
5.0
2.0
1.0
20
10

400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

5.1
5.1
5.1
5.6
5.6
5.6
5.6
5.6
6.2
6.2
6.2

5.0
5.0
5.0
3.0
3.0
3.0
3.0
3.0
1.0
1.0
1.0

5.0
2.0
1.0
20
10
5.0
2.0
1.0
20
10
5.0

400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

6.2
6.2
6.8
6.8
6.8
6.8
6.8
7.5
7.5
7.5
7.5

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

2.0
1.0
20
5.0
2.0
1.0
20
10
5.0
2.0

400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

7.5
8.2
8.2
8.2
8.2
8.2
9.1
9.1
9.1

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

1.0
20
10
5.0
2.0
1.0
20
10
5.0

400M
400M
400M
400M
400M
400M
400M
400M
400M

IN5518 DZ
1N5518 DZ
1N5518 DZ
DZ

1N5518D
1N5519
1N5519A
1N5519B
1N5519C
1N5519D
1N5520
1N5520A
1N5520B
1N5520C
1N5520D

S
S
S
S
S
S
S
S
S
S
S

1N5521A
1N5521B
1N5521C
1N5521D
1N5522
1N5522A
1N5522B
1N5522C
1N5522D
1N5523
1N5523A

S
S
S
S
S
S

1N5523B
1N5523C
1N5523D
1N5524
1N5524A
1N5524B
1N5524C
1N5524D
1N5525
1N5525A
1N5525B

S
S
S
S
S
S
S
S
S
S
S

1N5525C
1N5525D
1N5526
1N5526A
1N5526B
1N5526C
1N5526D
1N5527
1N5527A
1N5527B
1N5527C

S
S
S
S
S
S
S
S
S
S
S

1N5527D
1N5528
1N5528A
1N5528B
1N5528C
1N5528D
1N5529
1N5529A
1N5529B

S
S
S
S
S
S
S
S
S

s

S
S
S
S

80
80
80
80
80
80

1N5518
1N5518
1N5518
1N5518
1N5518
1N5518

1N5518
1N5518
1N5518
1N5518
1N5518
1N5518
1N5518

1N5518
IN5518
1N5518
1N5518
1N5518
1N5518

1N5518
1N5518
1N5518
1N5518
IN5518
IN5518

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

1-89

10

lN5529C·1N5544B
z

.....
TYPE

~

=

REPLACEMENT

REF.

::E

•

IN5529C
IN5529D
IN5530
IN5530A
IN5530B
IN5530C
IN5530D
IN5531
IN5531A
IN5531B
IN5531C

S
S
S
S
S
S
S
S
S
S
S

IN5531D
IN5532
IN5532A
IN5532B
IN5532C
IN5532D
IN5533
IN5533A
IN5533B
IN5533C
IN5533D

S
S
S
S
S
S
S
S
S
S
S

IN5534
IN5534A
IN5534B
IN5534C
IN5534D
IN5535
IN5535A
IN5535B
IN5535C
IN5535D
IN5536

S
S
S
S
S
S
S
S
S
S
S

IN5536A
IN5536B
IN5536C
IN5536D
1N5537
1N5537A
IN5537B
IN5537C
IN5537D
IN5538
IN5538A

S
S
S
S
S
S
S
S
S
S
S

IN5538B
IN5538C
IN5538D
IN5539
IN5539A
IN5539B
IN5539C
IN5539D
IN5540
IN5540A
IN5540B

S
S
S
S
S
S
S
S
S
S
S

IN5540C
IN5540D
IN5541
IN5541A
IN5541B
IN5541C
IN5541D
IN5542
IN5542A
IN5542B
IN5542C

S
S
S
S
S
S
S
S
S
S
S

IN5542D
IN5543
IN5543A
1N5543B
IN5543C
1N5543D
1N5544
IN5544A
IN5544B

S
S
S
S
S
S
S
S
S

~
'~""

z
....
9

IN5518
IN5518
IN5518
IN5518
IN5518
IN5518

IN5518
IN5518
IN5518
IN5518
IN5518
IN5518

IN5518
IN5518
IN5518
IN5518
IN5518
IN5518
IN5518
IN5518
IN5518
IN5518
1N5518
IN5518
IN5518
1N5518
IN5518
IN5518
IN5518
IN5518
IN5518
IN5518
IN5518

IN5518
IN5518
IN5518
IN5518
IN5518
IN5518

IN5518
IN5518
IN5518
1N5518
IN5518
1N5518

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

2.0
1.0
20
10
5.0
2.0
1.0
20
10
5.0
2.0

400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M

13

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

1.0
20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

14
14
14
14
14
15
15
15
15
15
16

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0
20

400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

16
16
16
16
17
17
17
17
17
18
18

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

10
5.0
2.0
1.0
20
10
5.0
2.0
1.0
20
10

400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

18
18
18
19
19
19
19
19
20
20
20

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

5.0
2.0
1.0
20

400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

20
20
22
22
22
22
22
24
24
24
24

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

2.0
1.0
20
10
5.0
2.0
1.0
20
5.0
2.0

400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

24
25
25
25
25
25
28
28
28

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

1.0
20
10
5.0
2.0
1.0
20
10
5.0

400M
400M
400M
400M
400M
400M
400M
400M
400M

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

9.1
9.1
10
10
10
10
10

11
11
11
11

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

11

12

12

12
12
12
13
13

13

13

1-90

10

5.0
2.0
1.0
20
10
5.0

10

lN5544C·1N5578

....

TYPE

...s""
!c

REPLACEMENT

REF.

:IS

IN5518
IN5518
IN5518

INS
IN5545B
IN5545C
IN5545D
IN5546
IN5546A
lN5546B
IN5546C
IN5546D
550
IN5551
IN5552
IN5553
IN5554
IN5555

IN5518
1N5518
IN5518

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
R
R
R
R
R

ient

thru

Drs,

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

IN5564B
IN5565
IN5565A
IN5565B
IN5566
IN5566A
IN5566B
IN5567
IN5567A
IN5567B
IN5568

S
S
S
S
S
S
S
S
S
S
S

IN5568B
IN5569
IN5569A
IN5569B
IN5570
IN5570A
IN5570B
1N5571
1N5571A
1N5571B

S
S
S
S
S
S
S
S
S
S
S

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

IN5572
1N5572A
1N5572B
IN5573
IN5573A
IN5s:13B
IN5574
IN5574A
IN5574B
IN5575
1N5575A

S
S
S
S
S
S
S
S
S
S
S

IN5575B
IN5576
1N5576A
1N5576B
IN5577
IN5577A
IN5577B
IN5578

S
S
S
S
S
S
S

3.0
3.0
3.0
3.0
3.0

0.025
0.025
0.025
0.025
0.025

.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

2.0
1.0
20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M
400M

6.8
6.8
6.8
7.5
7.5
7.5
8.2
8.2
8.2
9.1
9.1
9.1
10
10
10

37
37
37
34
34
34
31
31
31
28
28
28
25
25
25
23
23
23
21
21
21
19
19
19
17

20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20
10
5.0
20

1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
l.OW
l.OW

150
150
150
150
150

e on

IN5558
IN5559
IN5559A
1N5559B
IN5560
1N5560A
IN5560B
IN5561
IN5561A
1N5561B
IN5562
IN5562A
IN5562B
IN5563
IN5563A
IN5563B
IN5564

s

200
400
600
800
1000

28
28
30
30
30
30
30
33
33
33
33
33

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

11
11
11

12
12
12
13

13

13

15
15
15
16
16
16
18
18
18
20
20
20
22

17

17
15
15
15
14
14
14
12
12
12

10

l.OW
1. OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
1. OW
l.OW

20

1. OW
l.OW
1. OW
l.OW
l.OW
l.OW
1. OW
1. OW
1. OW
l.OW
1. OW

22

22

11
11
11

5.0
20
10
5.0
20
10
5.0
20
10
5.0

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

24
24
24
27
27
27
30
30
30
33
33

10
10
10
9.5
9.5
9.5
8.5
8.5
8.5
7.5
7.5

5.0
20
10
5.0
20
10
5.0
20
10

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

33
36
36
36
39
39
39
43

7.5
7.0
7.0
7.0
6.5
6.5
6.5
6.0

1-91

l.OW
l.OW
1. OW
l.OW
l.OW
l.OW
l.OW
1. OW
l.OW
1. OW

10

5.0
20
10
5.0
20
10

5.0
20

1. OW
1. OW
1. OW
l.OW
l.OW
l.OW
1. OW
1. OW

1N5578A-1N56.23

TYPE

•

;

$lIE

z

PAGE
REPlACEMENT NUMBER

5
;;:

!iii

~

1N5578A
1N5578B
1N5579
1N5579A
1N5579B
1N5580
1N5580A
1N5580B
1N5581
1N5581A
1N5581B

S
S
S
S
S
S
S
S
S
S
S

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

43
43
47
47
47
51
51
51
56
56
56

6.0
6.0
5.5
5.5
5.5
5.0
5.0
5.0
4.5
4.5
4.5

10
5.0
20
10
5.0
20
10
5.0
20
10
5.0

1. OW
1. OW
1. OW
1. OW
1. OW
.1. OW
1. OW
1. OW
1. OW
1. OW
1.0W

1N5582
1N5582A
1N5582B
1N5583
1N5583A
1N5583B
1N5584
1N5584A
1N5584B
1N5585
1N5585A
1N5585B

S
S
S
S
S
S
S
S
S
S
S
S

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

62
62
62
68
68
68
75
75
75
82
82
82

.0
4.0
4.0
3.7
3.7
3.7
3.3
3.3
3.3
3.0
3.0
3.0

20
5.0
20
10
5.0
20
10
5.0
20
10
5.0

1.
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW

1N5586
1N5586A
1N5586B
1N5587
1N5587A
1N5587B
1N5588
1N5588A
1N5588B
1N5589
1N5589A

S
S
S
S
S
S
S
S
S
S
S

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

91
91
91
100
100
100
110
110
110
120
120

2.8
2.8
2.8
2.5
2.5
2.5
2.3
2.3
2.3
2.0
2.0

1N5589B
1N5590
1N5590A
1N5590B
1N5591
1N5591A
1N5591B
1N5592
1N5592A
IN5592B

S
S
S
S
S
S
S
S
S
S

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

120
130
130
130
150
150
150
160
1-60
160

1N5593
1N5593A
1N5593B
1N5594
1N5594A
1N5594B
1N5595
1N5596
1N5597
1N5598

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

DZ
DZ
DZ
DZ
DZ
DZ

180
180
180
200
200
200

1N5601
1N5602
1N5603
1N5604
1N5-605
1N5606
1N5607
1N5608
1N5609
1N5610
thru
1N5614
1N5615
1N5616
1N5617
1N5618
1N5619
1N5620
1N5621
1N5622
1N56:l3

R
R
R
R
R
R

1.15
0.87
0.70
0.47
2.1
1.4

Transient
S
S
S
S

S
S
S
S
S
S

R

R
R
R
R
R
R
R

R
R

1-92

lO

20

5.0
20
10

1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. Ow
1. OW
1. OW
1. OW
1. OW

2.0
1.9
1.9
1.9
1.7
1.7
1.7
1.6
1.6
1.6

5.0
20
10
5.0
20
10
5.0
20
10
5.0

1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW
1. OW

1.4
1.4
1.4
1.2
1.2
1.2

20
10
5.0
20

1. OW
LOW

lO

5.0
20
10
5.0
20
lO

lO

5.0

l~OW

LOW
1. OW
1. OW

lN5624-1N5816

.....
eI:

...

ii2

TYPE

t-

el:

REF.

REPlACEI!IENT

I
I

:IE

1N5625
1N5626
1N5627
1N5629,A
thru

Transient Suppressors, see

1N5665,A
1N5666A
thru

•

R
R
R
R

5
5
5
5

I

I

Voltage Regulator Diodes

1N5678A
1N5679

R

50

1.1

1.0

0.01

50

R

100

1.1

1.0

0.01

50

Carrier D

DS
DS
DS
DS
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
DR
R

-1.0
-0.2
1.2
2.3
3.0
4.0
5.0

10
11

7.0
8.0
9.0
10.5
12.5
13
15
17
19

12
13
15
16
18
20
22
24
27
30
33
36
39
43
47
51
56
62
68
75
300

10

4500

30
100M

2.0*
1.0*

400 N

2.5
2.5
2.5
2.5
2.5
6.0
6.0
6.0
6.0
6.0
20
20

2.0
2.0
2.0
2.0
2.0
3.0
3.0
3.0
3.0
3.0
10

35
35
35
35
35
125
125
125
125
125
250
250
250
250
250

1·94

0.8
0.8
0.8
0.8
0.8
0.7
0.7
0.7
0.7
0.7
0.85
85

MR851
MR851
MR852
MR852
MR850
MR821
MR821
MR822
MR822
1N3899

4.7
5.1
5.6
6.2
6.8
7.5
8.2

1N3899

1-93

10

10
10
10

21

23.5
26
31
34
37
40
44
47
51
56
60

-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65
-65

+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200
+200

I

•

4~LAYER

DIODES

INDEX AND SHORT·FORM SPECIFICATIONS
This table contains a numerical listing and short-form specifications with EIA-registered
IN numbers.

KEY

TYPE

REPLACEMENT

IH
(rnA)

VIIRIF
(volts)

REF.
(min)

(max)

(min)

VF
(max)

Numerical listing
of Registered Type
Numbers
Type number of recommended
replacement or nearest
electrical equivalent fully
characterized in this book
Reference device number indicates specific Data
Sheet on which device Is characterized
Forward Breakover (Switching) Voltage required to switch the
device from the "blocking" state to the "on" state (in volts dc)
Holding Current - the value of current required to hold the diode In the
conducting state
Forward Voltage - the forward, voltage across the device at a specified forward current, IF
Forward Current - the continuous or dc value of forward current during the "on" state
Steady state power dissipation

1-94

(volts)

@

IF

IF
(rnA)

(rnA)

(max)

PD
(mW)

4-LAYER DIODES INDEX

TYPE

REPLACE·
MENT

REF.

V,BRIF

IH

(volts)

(mA)

lN3299-1N5793
VF @

IF

IF

(mA)

(mA)
(max)

Po
(mW)
150
400
400
400
400
400
400
400
400
400

(min)

(max)

(min)

(max)

(volts)

1N3299
1N3300
1N3300A
iN3301
1N3301A
1N3302
1N3302A
1N3303
1N3303A
1N3304

36
14.4
16.2
17.6
19.8
21.6
24.3
26.4
29.7
31.2

44

21.6
19.8
26.4
24.2
32.4
29.7
39.6
36.3
46.8

1.0
1.0
1.0
1.0
1.0
5.0
5.0
5.0
5.0
5.0

15
15
15
15
15
20
20
20
20
20

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

30
30
30
30
30
30
30
30
30

200
200
200
200
200
200
200
200
200

1N3304A
1N3489
1N3489A
1N3490
1N3??1
1N3??2
1N3831
1N3832
1N3833
1N3834

35.1
16
16
16

42.9
24
24
24

5.0
1.0
1.0
14

1.5

30

200

24
29
14
39

0.5
0.5
0.5
0.5

1.2
1.2
1.2
1.2
1.2
1.2

400
150
150
150

16
21
26
31

20
6.0
6.0
45
4.0
50
15
15
15
15

15
15
15
15

150
150
150
150

150
150
150
150

1N3835
1N3836
1N3837
1N3838
IN3839
1N3840
IN3841
IN3842
IN3843
1N3844

36
41
46
90
16
21
26
31
36
41

44
49
54

0.5
0.5
0.5
0.5
14
14
14
14
14
14

15
15
15
15
50
50
50
50
50
50

1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2
1.2

15_
15
15
15
50
50
50
50
50
50

150
150
150
150
150
150
150
150
150
150

150
150
150
150
150
150
150
150
150
150

1N3845
IN3846
IN3935
IN3936
IN3937
1N5158
1N5159
IN5160
IN5799

46
90

14
14

1.2
1.2

50
50

150
150

150
150

1.0
1.0
1.0
1.0

50
50
30
8.0
3.5
20
20
20
20

1.5
1.5
1.5
1.5

150
150
150
150

150
150
150
500

150
150
150
150

1.0
1.0
10
10
10
10
10
10
0.1
0.1
0.1
0.1
0.1
0.1

20
20
50
50
50
50
50
50
2.0
2.0
2.0
2.0
2.0
2.0

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

150
150
150
150
150
150
150
150
150
150
150
150
150
150

500
500
500
500
500
500
500
500
500
500

150
150
150
150
150
150
150
150
150
150

500
500
500
500

150
150
150
150

IN5780
IN5781
IN5782
IN5783
IN5784
IN5785
1N5786
IN5787
1N5788
1N5789
1N5790
IN5791
1N5792
1N5793

IN5158
1N5159
IN5160

IN5158
1N5158
IN5158

8.0
9.0
10
11
12
13

8.0
9.0
10
11
12
13
8.0
9.0
10
11

12
13

no

24
29
34
39
44
49
54

no

30
20
100
10

n

12
13

14
15
10
11
12
13

14
15
10
11
12
13

14
15

1-95

•
LIGHT-EMITTING DIODE
KEY
This table contains a nurnaricallisting and short·form specifications for light·emitting diod .. with EIA·registerec:l 1N numbers

ElECTR ICAl/OPTICAl
CHARACTERISTICS

MAXIMUM RATINGS
PD
TYPE

MATERIAL

: Ref.

@ Z5 0 C : Point

T : Ref
VR
DC :Point (Volts)

IF
(mA)

AlphaNumerical
Listings
GA - Gallium Arsenide
GAP - Gallium Arsenide
Phosphide
GP - Gallium Phosphide
Power Dissipation @ 250 C
Units: M = Milliwatt.
W= Watts
Ref. Point: A,C,J,S
Indicates - Ambient, Case, Junction or Stud
Maximum Temperature
Ref. Point: J = Junction
S = Storage Junction
Reverse Voltage
Forward Current - Continuous
B - Brightness in Footlamberts
CP = Candlepower in Millicandela
Po = Power Output Radiated in Microwatts
Peak Emission Wavelength
Forward Voltage

B
Brightness
C1@IF
mA

Light Output
CP
Candlepower
mcd@IF
mA

Po
Radiated
p.W@IF
mA

X!

VF
(Volts)

nM

LIGHT-EMITTING DIODE
MAXIMUM RATINGS

...I

TYPE

~
II:
w

le(

Po
@25 0 C

~

1N5765 GAF 85M
lN580l pAP 100M

;f
'li

c

T
oC

II:

C
C

ELECTRICAL/OPTICAL CHARACTERISTICS

.

...c

~
'li

VR
IF
(volts) rnA

II:

100
100

S
S

B
Brightness
fL@ IF
rnA

50
20

Light Output
CP
Candlepower
rncd@IF
rnA

Po
Radiated
jlW@IF
rnA

},f.
nM

700
670

1-96

VF
(volts)

2.0
2.0

TRANSIENT SUPPRESSOR DIODES

INDEX AND SHORT FORM SPECIFICATIONS

The following table provides a numerical index and short-form specifications for voltage transient
suppressor diodes with EIA-registered type numbers.

KEY

TYPE

REPLACEMENT

REFERENCE

Numerical Listing of
Registered Type Numbers.
Type number of recommended
replacement or of nearest
electrical equivalent fully characterized
In this book
Reference device number Indicates specific Data
Sheet on which device Is characterized
Breakdown Voltage
Reverse Current
Reverse Voltage (working) @ TA

=2SoC

Peak Reverse Voltage durIng Reverse Surge
Maximum Surge Current
Temperature Coefficient of Breakdown Voltage

1-97

VI.'"

I.

V.M

V.

i.

TC

TRANSIENT SUPPRESSOR DIODES INDEX
.....

TYPE

...!Cii'1

Volts (min)

•

s

TC

IR

VRM

VR

mAde

Volts (wkg)

Volts

21.5
28.5
34.5
124

32
24
19
5.7
139
143
128
132
120
124

0.093
0.094
0.096
0.100
+0.1
+0.1
+0.1
+0.1
0.057
0.057
0.061
0.061
0.065
0.065

REFERENCE

::IE

IN5555
1N5556
1N5557
1N5558
1N5610
1N5611
1N5612
1N5613
1NS629
1N5629A
1N5630
1N5630A
1N5631
1N5631A

@

V(BRIR
REPLACEMENT

iR
(surge)
AMP (max)

%,OC(max)

S
S
S
S
S
S
S
S

1N2991B
1N2995B
1N2997B
1N3015B
1N2991B
1N2995B
1N2997B
1N3015B
1N2970A
1N2970B
1N2971A
1N2971B
1N2972A
1N2972B

1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970

33
43.7
54
191
33
43.7
54
191
6.12
6.45
6.75
7.13
7.38
7.79

0.005
0.005
0.005
0.005
1.0
1.0
1.0
1.0
10
10
10
10
10
10

5.5
5.8
6.05
6.40
6.63
7.02

30.5
40.3
49
175
30.5
40.3
49
175
10.8
10.5
11.7
11.3
12.5
12.1

1N5632
1N5632A
1N5633
1N5633A
1N5634
1N5634A
1N5635
IN5635A
1N5636
1N5636A

S
S
S
S
S
S
S
S
S
S

IN2973A
IN2973B
1N2974A
1N2974B
IN2975A
IN2975B
IN2976A
1N2976B
1N2977A
1N2977B

1N2970
IN2970
IN2970
1N2970
1N2970
1N2970
1N2970
IN2970
1N2970
1N2970

8.19
8.65
9.0
9.5
9.9
10.5
10.8
11.4
11.7
12.4

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

7.37
7.78
8.10
8.55
8.92
9.40
9.72
10
10.5
11.1

13.8
13.4
15
1.45
16.2
15.6
17.3
16.7
19
18.2

109
112
100
103
93
96
81
90
79
82

0.068
0.068
0.073
0.073
0.075
0.075
0.078
0.078
0.081
0.081

1N5637
1N5637A
1N5638
IN5638A
1N5639
1N5639A
IN5640
IN5640A
1N5641
1N5641A

S
S
S
S

IN2979A
IN2979B
1N2980A
IN2980B
IN2982A
IN2982B
IN2984A
1N2984B
1N2985A
1N2985B

IN2970
1N2970
IN2970
IN2970
IN2970
IN2970
IN2970
1N2970
1N2970
1N2970

13.5
14.3
14.4
15.2
16.2
17.1
18
19
19.8
20.9

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

12.1
12.8
12.9
13.6
14.5
15.3
16.2
17 .1
17 .8
18.8

22
21. 2
23.5
22.5
26.5
25.2
29.1
27.7
31.9
30.6

68
71
64
67
56.5
59.5
51. 5
54
47
49

0.084
0.084
0.086
0.086
0.088
0.088
0.090
0.090
0.092
0.092

IN5642
IN5642A
IN5643
IN5643A
1N5644
1N5644A
1N5645
1N5645A
1N5646
1N5646A

S
S

S
S
S

IN2986A
1N2986B
1N2988A
IN2988B
1N2989A
1N2989B
1N2990A
1N2990B
1N2991A
1N2991B

IN2970
IN2970
IN2970
IN2970
1N2970
1N2970
1N2970
IN2970
1N2970
1N2970

21.6
22.8
24.3
25.7
27
28.5
29.7
31.4
32.4
34.2

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

19.4
20.5
21.8
23.1
24.3
25.6
26.8
28.2
29.1
30.8

34.7
33.2
39.1
37.5
43.5
41.4
47.7
45.7
52
49.9

43
45
38.5
40
34.5
36
31. 5
33
29
30

0.094
0.094
0.096
0.096
0.097
0.097
0.098
0.098
0.099
0.099

1N5647
1N5647A
1N5648
1N5648A
1N5649
1N5649A
1N5650
1N5650A
1N5651
1N5651A

S
S
S
S
S
S
S
S
S
S

1N2992A
1N2992B
1N2993A
1N2993B
1N2995A
1N2995B
1N2997A
1N2997B
1N2999A
1N2999B

1N2970
1N2970
1N2970
IN2970
1N2970
1N2970
1N2970
1N2970
1N2970
IN2970

35.1
37.1
38.7
40.9
42.3
44.7
45.9
48.5
50.4
53.2

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

31.6
33.3
34.S
36.8
38.1
40.2
41.3
43.6
45.4
47.8

56.4
53.9
61.9
59.3
67.8
64.8
73.5
70.1
80.5
77

26.5
28
24
25.3
22.2
23.2
20.4
21.4
18.6
19.5

0.100
0.100
0.101
0.101
0.101
0.101
0.102
0.102
0.103
0.103

1N5652
1N5652A
1N5653
1N5653A
1N5654
1N5654A
1N5655
1N5655A
1N5656
1N5656A

S
S
S
S
S
S
S
S
S
S

1N3000A
1N3000B
1N3001A
1N3001B
1N3002A
1N3002B
1N3003A
1N3003B
1N3004A
1N3004B

1N2970
1N2970
1N2970
1N2970
1N2970
1N3970
1N2970
1N2970
1N2970
1N2970

55.8
58.9
61.2
64.6
67.5
71.3
73.8
77 .9
81.9
86.5

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

50.2
53
55.1
58.1
60.7
64.1
66.4
70.1
73.7
77 .8

89
85
98

16.9
17.7
15.3
16.3
13.9
14.6
12.7
13.3
11.4
12

0.104
0.104
0.104
0.104
0.105
0.105
0.105
0.105
0.106
0.106

1N5657
1N5657A
1N5658
1N5658A
1N5659
1N5659A
IN5660
1N560A
1N5661
1NS661A

S
S
S
S
S
S
S
S
S
S

1N3005A
1N3005B
1N3007A
1N3007B
1N3008A
1N3008B
1N3009A
1N3009B
1N3011A
1N3011B

1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970

90
95
99
105
108
114
117
124
135
143

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

81
85.5
89.2
94
97.2
102
105

10.4

121
128

144
137
158
152
173
165
187
179
215
207

9.5
9.9
R.7
9.1
8.0
8.4
7.0
7.2

0.106
0.106
0.107
0.107
0.107
0.107
0.107
0.107
0.108
0.108

IN5662
1N5662A
1N5663
1N5663A
1N5664
1N5664A
1N5665
1N5665A

S
S
S
S
S
S
S
S

1N3012A
1N3012B
1N3013A
1N3013B
1N3014A
1N3014B
1N3015A
1N3015B

1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970
1N2970

144
152
153
162
162
171
180
190

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

130
136
138
145
146
154
162
171

230
219
244
234
258
246
287
274

6.5
6.8
6.2
6.4
5.8
6.1
5.2
5.5

0.108
0.108
0.108
0.108
0.108
0.108
0.108
0.108

S
S
S
S

s

S
S
S

S

S
S

S
S
S
S

s

1-98

III

92

108
103
118
113
131
125

11

TUNNEL DIODES

Index and Short-Form Specifications
This table contains a numerical listing and short-form specifications for tunnel
diodes with EIA-registered IN numbers.

KEY

TYPE

MATERIAL

Ip

Ip/lv

(mA)

Numerical
Listing of
Registered
Type Numbers

Vp

C
CJ*

f

(mY)

(pF)

(GH%)

Resistive
Cutoff
Frequency

S = Silicon
G = Germanium
GA = Gallium Arsenide

Total Capacitance
*Junction Capacitance

Peak Current
Forward Voltage measured
at the Peak Point

Ratio of Peak Current to Valley Current

1-99

TUNNEL DIODES INDEX

1N2927 - 1N3720

C

TYPE

MATERIAL

Ip

Ip/lv

(my)

(mAl

•

1N2927
1N2927A
1N292S
1N292SA
1N2929
1N2929A
1N2930
1N2930A
1N2931
1N2931A

s

1N2932
1N2932A
1N2933
1N2933A
1N2934
1N2934A
1N2939
1N2939A
1N2940
1N2940A

S
S
S
S
S
S

Vp

f

CJ*
(pF)

(8Hz)

0.10
0.10
0.47
0.47
1.0
1.0
4.7
4.7
10
10

2.5
3.2
2.5
3.2
2.5
3.2
2.5
3.2
2.5
3.2

75
70
SO
74
80
75
85
79
85
80

SO
SO
100
100
150
150
250
250
400
400

G
G
G
G

22
22
47
47
100
100
1.0
1.0
1.0
1.0

2.5
3.2
2.5
3.2
2.5
3.2
10
7.0
7.7
4.4

90
82
90
83
90
85
65
60
65
65

1200
1200
1800
lS00
2500
2500
15
10
10
7.0

1N2941
1N2941A
1N2969
1N2969A
1N3113
1N3114
1N3115
1N3116
1N3117
1N3118

G
G
G
G
GA
GA
GA
GA
GA
GA

4.7
4.7
2.2
2.2
1.0
2.2
2.2
4.7
4.7
10

7.9
4.4
7.6
4.5
10
10
10
10
9.0
10

65
65
65
65

50
30
25
15
10
10
10
15
15
20*

1N3119
1N3120
1N3128
1N3129
1N3130
1N3138
1N3149
1N3149A
1N3150
1N3217

GA
GA
G
G
G
GA
G
G
G
G

10
22
5.0
20
50
50
10
10
22
0.47

10
S.O
8.0
8.0
13
7.7
4.4
7.6
4.7

1N3218
1N3218A
1N3219
1N3219A
1N3220
1N3221
1N3221A
1N3222
1N3560
1N3561

G
G
G
G
G
G
G
G
G
G

1.0
1.0
2.2
2.2
4.7
10
10
22
1.0
1.0

5.0
5.0
5.0
5.0
4.7
5.0
6.0
5.1
5.0
8.0

1N3562
1N3712
1N3713
1N3714
1N3715
1N3716
1N3717
1N3718
1N3719
1N3720

G
G
G
G
G
G
G
G
G
G

5.0
1.0
1.0
2.2
2.2
4.7
4.7
10
10
22

6.0
5.0
7.0
4.2
7.0
4.0
7.6
4.1
7.0
4.2

S
S
S
S
S
S
S
S
S

160

2.2
2.2
2.6
2.5

20

1-100

65
90
120
260
65
65
65

15
20
25
30
90
50
125
8.0

2.6

65

2.6

55
55

10
5.0
20
10
30
100
35
150
20
20

55
65
65
65
65
65
65
65
65
65

85
10
5.0
25*
10
50
25
90
50
150

2.2

1.3
1.3
1.3
2.3
3.2
2.2
3.0
1.8
3.4
1.6
1.6

TUNNEL DIODES INDEX (continued)

1N3721 -1 N43998

TYPE

MATERIAL

Ip

Ip/Iv

(mA)

Vp

C
CJ*'

f

(mY)

(pF)

(8Hz)

100
25
25
30
40
40
15
15
20
25

2.6

65

1N3721
1N3847
1N3848
1N3849
1N3850
1N3851
1N3852
1N3853
1N3854
1N3855

G
G
G
G
G
G
G
G
G
G

22
5.0
10
20
50
100
5.0
10
20
50

7.0
6.0
6.0
6.0
6.0
6.0
8.0
8.0
8.0
8.0

70
75
85
105

lN3856
1N3857
1N3858
1N3859
1N3860
1N3948
1N4393
1N4393A
1N4393B
1N4394

G
G
G
G
G

8.0
8.0
8.0
8.0
8.0
3.5
2.5
3.2
3.5
2.5

115
70
75
85
105
80
75
70
65
80

25
8.0
8.0
10
12

S
S
S
S
S

100
5.0
10
20
50
4.7
0.10
0.10
0.10
0.22

1N4394A
1N4394B
1N4395
lN4395A
1N4395B
1N4396
1N4396A
1N4396B
1N4397
1N4397A

S
S
S
S
S
S
S
S
S
S

0.22
0.22
0.47
0.47
0.47
1.0
1.0
1.0
2.2
2.2

3.2
3.6
2.5
3.2
3.5
2.5
3.2
3.5
2.5
3.2

72
67
80
74
69
80
75
70
80
77

90
90
100
100
100
150
150
150
200
200

1N4397B
1N4398
1N4398A
1N4398B
1N4399
1N4399A
1N4399B

S
S
S
S
S
S
S

2.2
4.7
4.7
4.7
10
10
10

3.5
2.5
3.2
3.5
2.5
3.2
3.5

73
85
79
74
85
80
75

200
250
250
250
400
400
400

1-101

80
80
80
90

•

V ARACTOR DIODES
INDEX AND SHORT-FORM SPECIFICATIONS

The following table provides a numerical index and short-form specifications for varactor diodes with
EI A-registered type numbers.

KEY

CAPACITANCE

TYPE

REF.

CJ
Cr"

C
Tol

pF

%

C (max)
C (min)

Numerical listing
of Registered
Type Numbers
Reference device number
indicates specific Data Sheet on
which device is
characterized
Nominal Capacitance usually
CJ (junction capacitance)
With *, specified value is Cr
(total capacitance)
Cr=CJ+Cc
Tolerance of capacitance listed
in preceding column
Effective tuning Ratio (Capacitance at Voltage
V, divided by capacitance at Voltage V,)
Voltage range over which the tuning range is measured
Reverse Breakdown Voltage
Figure of Merit at this specified frequency
Power Dissipation at 25'C

1-102

Voltage
Range

BV.

VI.' V,
Volts Volts Volts

Q

@

f

PD
@
25'C

GHz watts

VARACTOR DIODES INDEX
1N950-1 N4793D

CAPACITANCE
TYPE

REF.

CJ

CT*
pF

1N950
1N951
1N952
1N953
IN954
IN955
IN956
1N2627
IN2628
1N3182

35
50
70
100
35
50
70
2.75
2.5
33

1N3488
IN3551
IN3552
IN3554
1N3555
IN3556
1N3557
1N3627
1N3628
1N3770

56
50
21. 5
12
20
47
24
21. 3
50
2.0

1N5472A
1N5447A
IN5141A
IN5144
1N5148
1N5144
1N5477A
IN5452A

1N3945
1N3946
IN3947
1N4091
1N4387
1N4388
IN4598
1N4599
IN4609

1N5447A
IN5457A
IN5474A
IN5461A
1N4387
IN4388

IN4786
1N4786A
IN4786B
1N4786C
IN4786D
IN4787
IN4787A
IN4787B
IN4787C
IN4787D

IN5441A
1N5441A
IN5441B
IN5441C
IN5441D
1N5442A
IN5442A
IN5442B
IN5442C
IN5442D

6.8
6.8
6.8
6.8
6.8
8.2
8.2
8.2
8.2
8.2

IN4788
IN4788A
IN4788B
IN4788C
IN4788D
IN4789
IN4789A
IN4789B
IN4789C
IN4789D

IN5443A
IN5443A
IN5443B
IN5443C
1N5443D
IN5444A
IN5444A
IN5444B
IN5444C
IN5444D

IN4790
IN4790A
IN4790B
IN4790C
IN4790D
1N4791
IN4791A
1N4791B
IN4791C
IN4791D
IN4792
1N4792A
IN4792B
IN4792C
IN4792D
IN4793
IN4793A
IN4793B
IN4793C
IN4793D

I
I
I

C
Tol

I
I

%

I

I

I

:

Voltage
BV R
C(max) I Range
C(min) r-v~-rv;
I Volts : Volts Volts
2.51
2.4
2.'+3
2.4
2.51
2.4
2.43
1. 75
1.5

6.0
6.0

20

1. 38

4.0
4.0
4.0
4.0
4.0
4.0
4.0
0
0

4.0

130
80
60
25
25
25
25
5.0
5.0

8.0

2.45
2.5

4.0
4.0

20
20

1.5

4.0

20

Q @ f

Po
@

25°C

GHz

Watts

130
80
60
25
25
25
25
5.0
5.0
20

7.0
7.0
7.0
7.0
7.0
7.0
7.0
10
14
65

0.05
0.05
0.05
0.05
0.05
0.05
0.05
1.0
1.0
0.05

0.163

15
11
22
100
100
100
210
20
20
5.5

7.0
30
25

0.05
0.05
0.05

60
50
75
25
30

0.05
0.10
0.05
0.05
0.05

7.0
7.0
9.0

0.05
0.05
0.05

150
200
50
100
60

0.05
0.05
0.05
0.05
0.05

0.30
20
10
0.25
0.50
0.25

4.04
5.0
2.64

4.0
2.0
4.0

90
100
35

20
9.0
9.0
6.0
150
100
90
110
35

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.56
2.56
2.56
2.56
2.56
2.56
2.56
2.56
2.56
2.56

0
0
0
0
0
0
0
0
0
0

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

25
25
25
25
25
25
25
25
25
25

15
15
15
15
15
15
15
15
15
15

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50

10
10
10
10
10
12
12
12
12
12

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.50
2.50
2.50
2.50
2.50
2.49
2.49
2.49
2.49
2.49

0
0
0
0
0
0
0
0
0
0

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

25
25
25
25
25
25
25
25
25
25

15
15
15
15
15
15
15
15
15
15

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50

IN5445A
IN5445A
IN5445B
IN5445C
IN5445D
IN5446A
IN5446A
IN5446B
IN5446C
IN5446D

15
15
15
15
15
18
18
18
18
18

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.49
2.49
2.49
2.49
2.49
2.48
2.48
2.48
2.48
2.48

0
0
0
0
0
0
0
0
0
0

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

25
25
25
25
25
20
20
20
20
20

15
15
15
15
15
15
15
15
15
15

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50

IN5448A
IN5448A
IN5448B
1N5448C
1N5448D
IN5449A
IN5449A
IN5449B
IN5449C
IN5449D

22
22
22
22
22
27
27
27
27
27

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.46
2.46
2.46
2.46
2.46
2.46
2.46
2.46
2.46
2.46

0
0
0
0
0
0
0
0
0
0

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

20
20
20
20
20
20
20
20
20
20

15
15
15
15
15
15
15
15
15
15

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50

71

70
4.2
35
20
22
47
22

2.5

1-103

0.50
0.50

•

VARACTOR DIODES INDEX

(continued)

1N4794-1N4807D

CAPACITANCE
TYPE

•

REF.

CJ
C1*
pF

I
I
I

I
I
I
I
I

C
Tol

1N4794
1N4794A
1N4794B
1N4794C
IN4794D
1N4795
1N4795A
1N4795B
1N4795C
1N4795D

1N5450A
1N5450A
1N5450B
1N5450C
1N5450D
1N545lA
1N5451A
IN5451B
IN5451C
1N5451D

33
33
33
33
33
39
39
39
39
39

%
20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

1N4796
IN4796A
1N4796B
1N4796C
1N4796D
IN4797
1N4797A
1N4797B
1N4797C
1N4797D

IN5452A
1N5452A
1N5452B
IN5452C
1N5452D
IN5453A
1N5453A
1N5453B
IN5453C
IN5453D

47
47
47
47
47
56
56
56
56
56

1N4798
1N4798A
1N4798B
1N4798C
1N4798D
1N4799
1N4799A
1N4799B
1N4799C
1N4799D

1N5454A
1N5454A
1N5454B
1N5454C
1N5454D
1N5455A
1N5455A
1N5455B
1N5455C
1N5455D

IN4800
1N4800A
1N4800B
1N4800C
IN4800D
1N4801
1N4.801A
1N4801B
1N4801C
1N4801D

:

Voltage
BVR
C(max) I Range
CIminI i~v~-rv;
: Volts : Volts Volts

Q @ f

Po
@

25°C

GKz

Watts

2.46
2.46
2.46
2.46
2.46
2.44
2.44
2.44
2.44
2.44

0
0
0
0
0
0
0
0
0
0

4 .. 0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

20
20
20
20
20
20
20
20
20
20

15
15
15
15
15
15
15
15
15
15

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.43
2.43
2.43
2.43
2.43
2.42
2.42
2.42
2.42
2.42

0
0
0
0
0
0
0
0
0
0

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

20
20
20
20
20
15
15
15
15
15

15
15
15
15
15
15
15
15
15
15

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50

68
68
68
68
68
82
82
82
82
82

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.40
2.40
2.40
2.40
2.40
2.36
2.36
2.36
2.36
2.36

0
0
0
0

4.0
4.0
4.0
4.0
O. 4.0
0 4.0
0 4.0
0 4.0
0 4.0
0 4.0

15
15
15
15
15
15
15
15
15
15

15
15
15
15
15
15
15
15
15
15

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0;05
0.05
0.05

0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50

IN5456A
1N5456A
1N5456B
IN5456C
1N5456D
1N5139
1N5139A
1N5139B
1N5139C
1N5139D

100
100
100
100
100
6.8
6.8
6.8
6.8
6.8

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.33
2.33
2.33
2.33
2.33
2.56
2.56
2.56
2.56
2.56

0
0
0
0
0
0
0
0
0
0

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

15
15
15
15
15
100
100
100
100
100

15
15
15
15
15
15
15
15
15
15

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50

1N4802
IN4802A
1N4802B
1N4802C
1N4802D
1N4803
IN4803A
1N4803B
IN4803C
1N4803D

1N5462A
1N5462A
1N5462B
1N5462C
1N5462D
1N5140
IN5140A
1N5140B
1N5140C
1N5140D

8.2
B.2
8.2
8.2
8.2
10
10
10
10
10

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.58
2.58
2.58
2.58
2.58
2.50
2.50
2.50
2.50
2.50

0
0
0
0
0
0
0
0
0
0

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

100
100
100
100
100
100
100
100
100
100

15
15
15
15
15
15
15
15
15
15

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50

IN4804
1N4804A
1N4804B
1N4804C
1N4804D
1N4805
1N4805A
1N4805B
1N4805C
1N4805D

1N5141
1N5141A
1N5141B
1N5141C
1N5141D
1N5142
1N5142A
1N5142B
1N5142C
1N5142D

12
12
12
12
12
15
15
15
15
15

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.49
2.49
2.49
2.49
2.49
2.49
2.49
2.49
2.49
2.49

0
0
0
0
0
0
0
0
0
0

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

100
100
100
100
100
100
100
100
100
100

15
15
15
15
15
15
15
15
15
15

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50

1N4806
1N4806A
1N4806B
1N4806C
1N4806D
1N4807
1N4807A
1N4807B
1N4807C
1N4807D

IN5143
1N5143A
1N5143B
1N5143C
1N5143D
1N5144
1N5144A
1N5144B
1N5144C
1N5144D

18
18
18
18
18
22
22
22
22
22

20 2.48
10 2.48
5.0 2.48
2.0 2.48
1.0 2.48
20 2.46
10 .2.46
5.0 2.46
2.0 2.46
1.0 2.46

0
0
0
0
0
0
0
0
0
0

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

90
90
90
90
90
90
90
90
90
90

15 0.05
15 0.05
15 0.05
15 0.05
15 0.05
15 0.05
15 .0.05
15 0;05
15 0.05
15 0.05

0.50
0.50
0.50
0.50
0.50

1-104

VARACTOR DIODES INDEX (continued)
1N4808-1N5153

CAPACITANCE
TYPE

REF.

CJ

CT*
pF

I
I
I

I
I

I

:

C
Tol
%

Voltage
BVR
C(max) I Range
C(min) r-v~-rv;
I Volts : Volts Volts

Po
Q @ I

@

25°C

GHz

Watts

1N4808
1N4808A
1N4808B
1N4808C
1N4808D
1N4809
1N4809A
1N4809B
1N4809C
1N4809D

1N5145
1N5145A
IN5145B
1N5145C
1Ns14sD
1Ns146
IN5146A
INs146B
INs146C
1N5146D

27
27
27
27
27
33
33
33
33
33

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.46
2.46
2.46
2.46
2.46
2.46
2.46
2.46
2.46
2.46

0
0
0
0
0
0
0
0
0
0

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

65
65
65
65
65
60
60
60
60
60

15
15
15
15
15
15
15
15
15
15

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50

1N4810
1N4810A
1N4810B
1N4810C
1N4810D
1N4811
1N4811A
IN4811B
1N4811C
1N4811D

INS147
IN5147A
INs147B
1N5147C
INs147D
IN5148
IN5148A
INs148B
IN5148C
INs148D

39
39
39
39
39
47
47
47
47
47

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.44
2.44
2.44
2.44
2.44
2.43
2.43
2.43
2.43
2.43

0
0
0
0
0
0
0
0
0
0

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

55
55
55
55
55
50
50
50
50
50

15
15
15
15
15
15
15
15
15
15

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50

1N4812
1N4812A
1N4812B
1N4812C
1N4812D
1N4813
1N4813A
1N4813B
1N4813C
1N4813D

1N5148
INs148A
IN5148B
1N5148C
1N5148D
IN5454A
1NS454A
INs454B
IN5454C
1N5454D

56
56
56
56
56
68
68
68
68
68

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.42
2.42
2.42
2.42
2.42
2.40
2.40
2.40
2.40
2.40

0
0
0
0
0
0
0
0
0
0

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

40
40
40
40
40
30
30
30
30
30

15
15
15
15
15
15
15
15
15
15

0.05
0.05
0.05
0.05

0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50

1N4814
1N4814A
1N4814B
1N4814C
IN4814D
1N4815
1N4815A
1N4815B
1N4815C
IN4815D

82
82
82
82
82
100
100
100
100
100

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.36
2.36
2.36
2.36
2.36
2.33
2.33
2.33
2.33
2.33

0
0
0

4.0
4.0

15
15
15
15
15
15
15
15
15
15

0.05
0.05
0.05
0.05
0.05
0.05

4.0
4.0

20
20
20
20
20
20
20
20
20
20

ni4885
1N4886
IN4941
IN5136
IN5136A
1N5137
IN5137A
IN5138
1N5138A
1N5139
1N5139A
1N5140
1N5140A
IN5141
IN5141A
1N5142

1N5139
IN5139A
IN5140
IN5140A
IN5141
IN5141A
IN5142

35
35
0.4
1.0"
1.0*
2.2*
2.2*
3.3*
3.3*
6.8*
6.8*
10*
10*
12*
12*
15*

20
10
20
10
20
10
10
5.0
10
5.0

2.57
2.57
2.0
2.2
2.2
2.2
2.2
2.4
2.4
2.9
2.9
3.0
3.0
3.0
3.0
3.0

6.0
0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

150
120
6.0
60
60
60
60
60
60
60
60
60
60
60
60
60

150
120
6.0
60
60
60
60
60
60
60
60
60
60
60
60
60

2000
350
350
350
350
350
350
350
350
300
300
300
300
250

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

1N5142A
1N5143
IN5143A
1N5144
1N5144A
1N5145
IN5145A
1N5146
IN5146A
1N5147

IN5142A
IN5143
IN5143A
IN5144
IN5144A
IN5145
IN5145A
1N5146
1N5146A
IN5147

15*
18*
18*
22*
22*
27*
27*
33*
33*
39*

5.0
10
5.0
10
5.0
10
5.0
10
5.0
10

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

60
60
60
60
60
60
60
60
60
60

60
60
60
60
60
60
60
60
60
60

250
250
250
200
200
200
200
200
200
200

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4

1N5147A
1N5148
1N5148A
1N5149
1N5150
1N5150A
IN5151
1N5152
1N5152A
INS153

39*
IN5147A
47*
IN5148
IN5148A
47*
IN5149 11. 5"
IN5149 11.5*
12
INSlS0A
5.8*
IN51S1
S.8*
IN51S1
6.0
INSlS0A
5.8*
INS151

5.0
10
5.0

4.0
4.0
4.0

60
60
60

60
60
60
80
80
80
75
75
75
75

200
200
200
800
800
800
1100
1100
1100
1100

0.05
0.05
0.05
0.05
0.05
O.OS
0.05
0.05
0.05
O.OS

0.4

I
I

10

5.0
10

3.0
3.0
3.0
3.4

3.4
3.4
3.4
3.4
3.4
3.4
3.4

3.4
3.4

a

0
0
0
0
0
0

6.0

10
10

1-105

4.0
4.0
4.0
4.0
4.0
4.0

0.05

0.05
0.05
0.05
0.05
0.05

0.05

0.05
0.05
0.05

10

0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
20
20
0.1
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

0.4
0.4
0.4
0.4

0.4
10
14
29.2
5.5
5.5
11.7
5.5

VARACTOR DIODES INDEX

(continued)

1N5153A-1N5450D

CAPACITANCE
TYPE

1N5153A
1N5154
1N5155
1N5155A
1NS156
IN51S7
INS421
INS422
IN5423
1NS424
1NS425

REF.

1N5150A
1N5154
1N5154
1N5150A
1N51S6
IN51S6

IN5439
1N5439A
1NS439B
1N5439C
1NS439D
1N5440
1NS440A
1NS440B
1N5440C
1NS440D
1NS441
1NS441A
1N5441B
1NS441C
1NS441D
1NS442
1NS442A
1NS442B
1NS442C
1NS442D
1NS443
1N5443A
1NS443B
1NS443C
1N5443D
1NS444
1NS444A
1NS444B
1NS444C
INS444D
1NS44S
1NS44SA
1NS44SB
1NS44SC
1NS445D
1NS446
1NS446A
1NS446B
1NS446C
1NS446D
1NS447
1NS447A
1NS447B
1NS447C
1NS447D
1NS448
1NS448A
1NS448B
1NS448C
1NS448D
1NS449
1N5449A
INS449B
1N5449C
IN5449D
1N54S0
1N5450A
1NS4S0B
1N54S0C
1N5450D

1N5441A
1NS441A
1NS441B
1N5441C
1NS441D
1N5442A
1NS442B
1N5442C
1NS442D
1NS443A
1NS443B
1NS443C
1NS443D
1NS444A
1NS444B
1NS444C
lNS444D

CJ
CT*
pF

I
I
I

I

I
I
I
I

C
Tol
%
10

:

Voltage
BV R
C(max) I Range
--I----r-C(min): VI I V2
I Volts : Volts Volts

6.4
2.1*
2.1*.
10
1.9
0.8
25
0.8
2S
210
20
340 ·20
680
20
680
20
20
1370

4.1
4.1
4.1
4.2
4.2

4.0
4.0
4.0
4.0
4.0

3.3*
3.3*
3.3*
3.3*
3.3*
4.7*
4.7*
4.7*
4.7*
4.7*

20
10
S.O
2.0
1.0
20
10
S.O
2.0
1.0

2.3
2.3
2.3
2.3
2.3
2.4
2.4
2.4
2.4
2.4

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

6.8*
6.8*
6.8*
6.8*
6.8*
8.2*
8.2*
8.2*
8.2*
8.2*

20
10
5.0
2.0.
1.0
20
10
S.O
2.0
1.0

2.5
2.S
2.S
2.5
2.S
2.5
2.5
2.5
2.S
2.S

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

10*
10*
10*
10*

20
10
5.0
2.0
1.0
20
10
S.O
2.0
1.0

2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6

10'~

12*
12*
12*
12'~
12'~

75
35
3S
3S
20
20
100 210
100 210
100· 210
100 11S
100 11S

Q @ f

GHz

PD
@
25°C
Watts

1100
1700
1700
1700
3600
3600
200
200
150
300
200

0.05
11. 7
0.05
3.5
0.05
3.5
O.OS
8.7S
O.OS
3:2S*
O.OS
3.2S*
0.025 0.2S
0.025 0.2S
0.02S 0.2S
0.010 0.25
0.010 0.25

30
30
30
30
30
30
30
30
30
30

450
450
4S0
4S0
450
4S0
4S0
450
450
4S0

O.OS
O.OS
0.05
O.OS
O.OS
O.OS
O.OS
O.OS
O.OS
O.OS

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

4S0
4S0
4S0
4S0
4S0
4S0
4S.0
450
450
4S0

O.OS
O.OS
O.OS
O.OS
0.05
O.OS
O.OS
O.OS
O.OS
O.OS

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

400
400
400
400
400
400
400
400
400
400

O.OS
O.OS
0.05
O.OS
O.OS
O.OS
O.OS
O.OS
O.OS
O.OS

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

400
400
400
400
400
3S0
3S0
3S0
3S0
3S0

0.05
O.OS
0.05
O.OS
O.OS
O.OS
O.OS
O.OS
O.OS
O.OS

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

1N544SA
1NS44SB
1NS44SC
1NS44SD

lS*
'lS*
lS*
lS*
lS*

1NS446A
1NS446B
1NS446C '
1NS446D

18*
18 1,
18*
18*

20
10
S.O
2.0
1.0
20
10
S.O
2.0
1.0

20*
20*
20*
20*
20*
22*
22*
22*
22*
22*

20
10
S.O
2.0
1.0
20
10
S.O
2.0
1.0

2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6

2.0
2.0
2.0
2.0
2.0
2.0
2;0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

3S0
3S0
3S0
350
3S0
3S0
350
3S0
3S0
3S0

O.OS
0.05
O.OS
O.OS
0.05
0.05
O.OS
O.OS
O.OS
O.OS

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

27*
27*
27*
27*
27*
33*
33*
33*
33*
33*

20
10
S.O
2.0
1.0
20
10
S.O
2.0
1.0

2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

3S0
350
350
3S0
350
350
3S0
3S0
3S0
350

0.05
O.OS
O.OS
0.05
O.OS
O.OS
0.05
O.OS
O.OS
O.OS

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

1NS447A
1N5447B
1NS447C
1NS447D
1NS448A
1NS448B
1NS448C
1NS448D
1NS449A
1N5449B
1N5449C
1N5449D
1NS450A
1NS4S0B
1N5450C
1N5450D

18'~

1-106

VARACTOR DIODES INDEX

(continued)

1N5451-1N5464D
CAPACITANCE
TYPE

IN5451
IN5451A
IN5451B
IN5451C
IN5451D
IN5452
IN5452A
IN5452B
IN5452C
IN5452D
IN5453
IN5453A
IN5453B
IN5453C
IN5453D
IN5454
IN5454A
IN5454B
IN5454C
IN5454D
IN5455
IN5455A
IN5455B
IN5455C
INs455D
IN5456
IN5456A
IN5456B
IN5456C
IN5456D

REF.

IN5451A
IN5451B
IN5451C
IN5451D
1N5452A
INs4s2B
INs452C
IN5452D
IN5453A
IN5453B
IN5453C
IN5453D
IN5454A
IN5454B
INs454C
INs4s4D
IN5455A
IN5455B
IN5455C
IN5455D
IN5456A
IN5456B
IN5456C
IN5456D

CJ
Cr*
pF
39*
39*
39*
39*
39*

47'~

47*
47*
47*
47*
56*
56~'

56*
56*
56*
68*
68~'

68*
68*
681'
82*
82*
82*
82*
82'~

100*
100*
100*
100'~
100'~

I
I
I
I
I

I
I
I

:

C
Tal

C(max) I
C(min)

%

I
I

Yoltage
Range

:--y--rV;
I

BY R

Po
@

Q @ f

I

25°C

GHz

Watts

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

300
300
300
300
300
250
250
250
250
250

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.6
2.6
2.6
2.6
2.6
2.7
2.7
2.7
2.7
2.7

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

200
200
200
200
200
175
175
175
175
175

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.7
2.7
2.7
2.7
2.7
2.7
2.7
2.7
2.7
2.7

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

175
175
175
175
175
175
175
175
175
175

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

2.7
2.7
2.7
2.7
2.7
2.5
2.5
2.5
2.5
2.5

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

150
150
150
150
150
600
600
600
600
600

0.05
0.05
0.05
0.05
6.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

Yolts I Yolts Yolts

IN5457
IN5457A
IN5457B
IN5457C
IN5457D
IN5458
IN5458A
IN5458B
IN5458C
IN5458D

120"
120*
120*
120*
3.9*
3.9*
3.9*
3.9*
3.9*

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

IN5459
IN5459A
IN5459B
IN5459C
IN5459D
IN5460
IN5460A
IN5460B
IN5460C
IN5460D

4.7*
4.7*
4.7"'
4.7*
4.7*
5.61'
5.6"
5.6*
5. 6'~
5.6"

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

600
600
600
600
600
600
600
600
600
600

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

6.8*
6.8*
6.8*
6 .8'~
6.8*
8.2*
8.2*
8.2*
8.2*
8.2*

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.7
2.7
2.7
2.7
2.7
2.8
2.8
2.8
2.8
2.8

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

600
600
600
600
600
600
600
600
600
600

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

10*
10*
10*
10*
10*
12*
12*
12*
12*
12*

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.8
2.8
2.8
2.8
2.8
2.8
2.8
2.8
2.8
2.8

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

550
550
550
550
550
550
550
550
550
550

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4

IN5461
IN5461A
IN5461B
IN5461C
IN5461D
IN5462
IN5462A
IN5462B
IN5462C
INs462D
INs463
INs463A
INs463B
1Ns463C
INs463D
IN5464
IN5464A
IN5464B
IN5464C
IN5464D

120'~

IN5461A
IN5461A
IN5461A
IN5461A
IN5462A
IN5462B
IN5462C
INs462D
INs463A
INs463B
IN5463C
IN5463D
IN5464A
INs464B
INs464C
IN5464D

1-107

I

O.Li

0.4
0.4
0.4
0.4
0.4

VARACTOR DIODES INDEX (continued)
1N5465-1N5476D

CAPACITANCE
I

•

TYPE

IN5465
IN5465A
IN546SB
IN5465C
INS46SD
INS466
IN5466A
INS466B
INS466C
IN5466D
INS467
INS467A
INS467B
IN5467C
INS467D
INS468
IN5468A
INS468B
INS468C
INS468D
INS469
INS469A
INS469B
INS469C
INS469D
INS470
INS470A
INS470B
INS470C
IN5470D
INS471
INS471A
INS471B
IN5471C
INS471D
IN5472
IN5472A
INS472B
INS472C
IN5472D
INS473
INS473A
INS473B
IN5473c
IN5473D
IN5474
INS474A
IN5474B
IN5474C
INS474D
IN5475
INS47SA
INS47SB
INS475C
INS47SD
INS476
INS476A
INS476B
IN5476C
IN5476D

REF.

IN5465A
INS46SB
INS46SC
INS46SD
INS466A
INS466B
INS466C
INS466D
INS467A
INS467B
INS467C
INS467D
INS468A
INS468B
INS468C
INS468D
INS469A
INS469B
INS469C
INS469D
INS470A
INS470B
INS470C
INS470D
INS471A
INS471B
INS471C
INS471D
INS472A
INS472B
INS472C
INS472D
INS473A
INS473B
IN5473C
IN5473D
INS474A
INS474B
INS474C
IN5474D
IN547SA
INS47SB
IN547SC
IN547SD
INS476A
INS476B
INS476C
INS476D

CJ
Cr *
pF

I
I
I
I

I
I

C
Tol
%

:

Voltage
BVR
C(max) I Range
C(min): VI I V2
I Volts : Volts Volts

--I----r--

Q @ f

Po
@

25°C
GHz

Watts

15*
15*
15*
15*
15*
18*
18*
18*
18*
18'~

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.8
2.8
2.8
2.8
2.8
2.9
2.9
2.9
2.9
2.9

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

550
550
550
550
550
500
500
500
500
500

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

20*
20*
20*
20*
20*
22*
22*
22*
22*
22*

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.9
2.9
2.9
2.9
2.9
2.9
2.9
2.9
2.9
2.9

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

500
500
500
500
500
500
500
500
500
500

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

27*
27*
27*
27*
27*
33*
33*
33*
33*
33*

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.9
2.9
2.9
2.9
2.9
2.9
2.9
2.9
2.9
2.9

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

500
500
500
500
500
500
500
500
500
500

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

39*
39*
39*
39*
39*
47*
47*

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.9
2.9
2.9
2.9
2.9
2.9
2.9
2.9
2.9
2.9

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

450
450
450
450
450
400
400
400
400
400

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

20
10
5.0
2.0
1.0
20
10
5.0
2.0
1.0

2.9
2.9
2.9
2.9
2.9
2.9
2.9
2.9
2.9
2.9

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

300
300
300
300
300
250
250
250
250
250

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

20
10
S.O
2.0
1.0
20
10
S.O
2.0
1.0

2.9
2.9
2.9
2.9
2.9
2.9
2.9
2.9
2.9
2.9

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

30
30
30
30
30
30
30
30
30
30

30
30
30
30
30
30
30
30
30
30

22S
225
225
22S
22S
200
200
200
200
200

O.OS
0.05
O.OS
O.OS
O.OS
0.05
O.OS
O.OS
O.OS
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

47'~

47*
47*
56*
56*
56*
56*
S6'~

68*
68*
68*
68*
68*
82*
82*
82*
82*
82*
100*
100*
100*
100*
100'~

I

1-108

VARACTOR DIODES INDEX(continued)
1N5681-1N5704

CAPACITANCE
TYPE

REF.

CJ
CT*
pF

i

C

:

Tol
%

I
Voltage
I
BVR
C(max)
Range
_
_ II~---,...--C(min): V i V
: Volts : Vorts Volts

Po
Q @

f

@

GHz

Watts

IN5681
IN5681A
IN5681B
IN5682
IN5682A
IN5682B
IN5683
IN5683A
IN5683B
1N5684

IN5461A
IN5461A
IN5461B
IN5462A
IN5462A
IN5462B
IN5463A
IN5463A
IN5463B
1N5464A

6.8
6.8
6.8
8.2
8.2
8.2
10
10
10
12

20
10
5.0
20
10
5.0
20
10
5.0
20

3.1
3.1
3.1
3.1
3.1
3.1
3.2
3.2
3.2
3.2

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

40
40
40
40
40
40
40
40
40
40

45
45
45
45
45
45
45
45
45
45

600
600
600
600
600
600
550
550
550
550

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

1N5684A
1N5684B
1N5685
1N5685A
1N5685B
1N5686
IN5686A
IN5686B
IN5687
IN5687A

1N5464A
1N5464B
1N5465A
IN5465A
IN5465B
IN5457A
IN5457A
IN5457B
IN5458A
IN5458A

12
12
15
15
15
18
18
18
22

10
5.0
20
10
5.0
20
10
5.0
20
10

3.2
3.2
3.2
3.2
3.2
3.2
3.2
3.2
3.3
3.3

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

40
40
40
40
40
40
40
40
40
40

45
45
45
45
45
45
45
45
45
45

550
550
550
550
550
500
500
500
500
500

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

IN5687B
IN5688
IN5688A
IN5688B
IN5689
1N5689A
1N5689B
IN5690
1N5690A
1N5690B

IN5458B
1N5469A
IN5469A
1N5469B
IN5470A
1N5470A
IN5470B
IN5471A
IN5471A
1N5471B

33
33
33
39
39
39

5.0
20
10
5.0
20
10
5.0
20
10
5.0

3.3
3.3
3.3
3.3
3.3
3.3
3.3
3.3
3.3
3.3

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

40
40
40
40
40
40
40
40
40
40

45
45
45
45
45
45
45
45
45
45

500
500
500
500
'500
500
500
450
450
450

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

IN5691
IN5691A
IN5691B
1N5692
IN5692A
1N5692B
1N5693
1N5693A
IN5693B
1N5694

1N5472A
1N5472A
1N5472B
1N5473A
1N5473A
1N5473B
IN5474A
1N5474A
1N5474B
IN5475A

47
47
47
56
56
56
68
68
68
82

20
10
5.0
20
10
5.0
20
10
5.0
20

3.3
3.3
3.3
3.3
3.3
3.3
3.3
3.3
3.3
3.3

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

40
40
40
40
40
40
40
40
40
40

45
45
45
45
45
45
45
45
45

400
400
400
300
300
300
250
250
250
225

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

1N5694A
1N5694B
1N5695
IN5695A
1N5695B
1N5696
1N5696A
IN5696B
1N5697
1N5697A

1N5475A
IN5475B
1N5476A
IN5476A
IN5476B
IN5461A
IN5461A
IN5461B
IN5462A
IN5462A

82
82
100
100
100
6.8
6.8
6.8
8.2
8.2

10
5.0
20
10
5.0
20
10
5.0
20
10

3.3
3.3
3.3
3.3
3.3
2.7
2.7
2.7
2.7
2.7

4.0
4.0
4.0
4.0
4.0
2.0
2.0
2.0
2.0
2.0

40
40
40
40
40
60
60
60
60
60

45
45
45
45
45
65
65
65
65
65

225
225
200
200
200
450
450
450
450
450

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

1N5697B
1N5698
1N5698A
1N5698B
1N5699
1N5699A
IN5699B
IN5700
IN5700A
1N5700B

1N5462B
IN5463A
1N5463A
IN5463B
1N5464A
1N5464A
1N5464B
IN5465A
IN5465A
IN5465B

8.2
10
10
10
12
12
12
15
15
15

5.0
20
10
5.0
20
10
5.0
20
10
5.0

2.7
2.8
2.8
2.8
2.8
2.8
2.8
2.8
2.8
2.8

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

60
60
60
60
60
60
60
60
60
60

65
65
65
65
65
65
65
65
65
65

450
400
400
400
400
400
400
400
400
400

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

IN5701
1N5701A
IN5701B
IN5702
1N5702A
1N5702B
1N5703
1N5703A
1N5703B
1N5704

1N5467A
1N5467A
1N5467B
1N5468A
1N5468A
1N5468B
1N5469A
IN5469A
1N5469B
1N5470A

18
18
18
22
22
22
27
27

20
10
5.0
20
10
5.0
20
10
5.0
20

2.8
2.8
2.8
3.2
3.2
3.2
3.2
3.2
3.2
3.2

2.0
2.0
2.0
2.0
2.0
2.0
2/0
2.0
2.0
2.0

60
60
60
60
60
60
60
60
60
60

65
65
65
65
65
65
65
65
65
65

375
375
375
375
375
375
350
350
350
350

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

22
22
27

27
27

27

33

1-109

45

I

25°C

--

VARACTOR DIODES INDEX (continued)
1N5704A-1 N5710B

CAPACITANCE

•

TYPE

REF.

CJ
Cr'
pF

I
I
I
I
I

,
I

C
Tol
%

:

Voltage
BV R
C(max) I Range
C(min) r-v~-rv~
, Volts : Volts Volts

Q @

Po
f

@

25°C

GHz

Watts

1N5704A
1N5704B
1N5705
1N5705A
1N5705B
1N5706
1N5706A
1N5706B
1N5707
1N5707A

1N5470A
1N5470B
1N5471A
1N5471A
1N54.71B
1N5472A
1N5472A
1N5472B
1N5473A
1N5473A

33
33
39
39
39
47
47
47
56
56

10
5.0
20
10
5.0
20
10
5.0
20
10

3.2
3.2
3.2
3.2
3.2
3.2
3.2
3.2
3.2
3.2

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

60
60
60
60
60
60
60
60
60
60

65
65
65
65
65
65
65
65
65
65

350
350
325
325
325
300
300
300
225
225

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

1N5707B
1N5708
1N5708A
1N5708B
1N5709
1N5709A
1N5709B
1N5710
1N5710A
1N5710B

1N5473B
1N5474A
1N5474A
1N5474B
1N5475A
1N5475A
1N5475B
1N5476A
1N5476A
1N5476B

56
68
68
68
82
82
82
100
100
100

5.0
20
10
5.0
20

3.2
3.2
3.2
3.2
3.2
3.2
3.2
3.2
3.2
3.2

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

60
60
60
60
60
60
60
60
60
60

65
65
65
65
65
65
65
65
65
65

225
175
175
175
150
150
150
150
150
150

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

I

10

5.0
20
10
5.0

1-110

2N ... &3N
INDEX

INDEX

2N and 3N Numbers
Amplifiers Reference
Thyristors
Transistors
Field-Effect
Programmable Unijunction
Unijunction

2-1

2-2
2-67
2-69
2-80
2-86
2-87

NUMERICAL INDEX

•

The following table provides a numerical index and short-form specifications for EIA-registered
2N and 3N type numbers .

Collector-Emitter Saturation
Voltage at Specified
Collector Current
Ie Units:
A=Amp
M= milliamp

KEY
MAXIMUM RATINGS

........
c ...

TYPE

ii:a: REPLACE·
"'c MENT REF. USE

......
Co
:eO>.

ELECTRICAL CHARACTERISTICS

,

I

I

110-

11;
10

p:
VCE -I~

...

PD I'" TJ VCBO
hFE @ Ic ~ VCEISATI @ Ie ~
0::
0::
@ 25'C:&l 'C (yolts) (YOlts):a (min) (max)
(Yolts)

'"

,,,,

,'"

Common-Emitter DC ShortCircuit Forward-Current
Transfer Ratio at Specified
Collector Current
Ic Units: A = Amp
M = milliamp
* = microamp
N = nanoamp

Numerical
Listing
of 2N and 3N
Registered
Type Numbers
S = Silicon
G = Germanium
P= PNP
N= NPN

Maximum Collector-Emitter Voltage
(Subscript Identifies Condition)
Subscript:
o =. VCEO =
R = VCE. =
S = VCES =
V = VCEV =

Type number of recommended
replacement or of nearest
electrical equivalent fully
characterized in this book

Base Open
Specified Resistance
Base Shorted
Used when only
voltage bias is used
X = VCEX = Base·Emitter Back
Biased
U VCE = Termination Undefined

Reference device number indicates
specific Data Sheet on
which device is characterized

=

Small-Signal Forward-Current Transfer Ratio
(E, B or C defines the parameter)
E
h,. = Common-Emitter Current
Transfer Ratio
B = bib = Common-Base Current
Transfer Ratio
C = hie = Common-Collector Current
Transfer Ratio

APPLICATION CODE
A = Amplifier
AH = Amplifier, High frequency
AHP = Amplifier, High frequency
power
AL = Amplifier, Light sensitive
AM = Amplifier, Multiple device
AP = Amplifier, Power
RD= Radiation Detector
S = Switch
SC = Switch, Chopper
SH = Switch, High speed
SHP == Switch, High speed power
SP = Switch, Power

=

CUTOFF FREQUENCY
Units: K = KHz
M= MHz
G= GHz
(B, E, M or T Indicate the Parameter)
B == fhlb = fob == Common-Base Cutoff Frequency
E ==
== f•• = Common-Emitter Cutoff Frequency
M == fm .. == Maximum Frequency of Oscillations
T == fT == Current Gain - Bandwidth Product

fh,.

Power Dissipation at 25'C
Units: M == milliwatts
W = Watts
Ref. Point: A, C, J, S, Indicates Ambient,
Case, Junction or Stud

'"

I..
110I.!!hl_I'S
f_
!!
I':
·~lt

Maximum Collector - Base Voltage
Maximum Operating Junction Temperature

2-2

1=

"'I'"

2N21-2Nl07
.....
TYPE

~

..

~ ~

!C

:IE a..

2N21
2N22
2N23
2N24
2N25
2N26
2N27
2N28
2N29
2N30
2N31
2N32
2N32A
2N33
2N34
2N34A
2N35
2N35A
2N36
2N37
2N38
2N38A
2N41
2N43
2N43A
2N44
2N45
2N46
2N47
2N48
2N49
2N50
2N51
2N52
.2N53
2N54
2N55
2N56
2N57
2N59
2N59A
2N59B
2N59C
2N60
2N60A
2N60B
2N60C
2N61
2N61A
2N61B
2N61C
2N62
2N63
2N64
2N65
2N66
2N67
2N68
2N71
2Nn
2N73
2N74
2N75
2N76
2N77
2N78
2N78A
2N79
2N80
2N81
2N82
2N94
2N94A
2N95
2N96
2N97
2N97A
2N98
2N98A
2N99
2N100
2N101
2N102
2N103
2N104
2N105
2N106
2N107

G
G
G
G
G

G
G
G
G

G
G
G
G
G
G
G

G
G
G

G

P
P
P
P
P
P
N
N
N
P
P
P
P
P
P
P
P
N
P
P
P
P
P
P

REPLACE·
MENT

REF.

2N1191

2N1191

2N1191
2N1191
2N1191

2N1l91
2N1l91
2N1191

P
P
G P
G P
G P
G P
G P
G
G
G
G
G P

2N525
2N524
2N524

2N524
2N524
2N524

2N1191

2N1191

2N1191

2N1l91

2N1191

2Nll91

P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G J'
G P
P
P
G P
P
G P

2N1191
2N1191

2N1l91
2N1191

2N1l93
2N1l93
2N1193
2N1193
2N1l93
2N1193
2N1193
2N1193
2N1192
2Nl191
2N1191
2N1191
2N1l91
2N1l91
2N1191
2N1193

2N1l91
2N1l91
2N1191
2N1191
2N1191
2N1191
2N1191
2N1191
2N1191
2Nl191
2Nl191
2N1l91
2Nl191
2N1l91
2N1191
2Nll91

G
G

G

G
G
G
G
G

G
G
G

G
G
G

G
G
G
G

G
G
G
G

G
G
G
G

P
P
P
P
P
N
N
P
P
P
P
N
N
N
P
N
N
N
N
N
N
P
N
N
P
P
P
P

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS
USE

2N319
2N1l91

2N1191

2N1191

2N1l91
2N1191

2Nl191
2N1l91

2N650

2N650

2N1l91
2N464

2N1l91
2N464

~

TJ

VCE_

Vca

@25·C

&l

·C

S
S
S
A
AH
S
A
A
A
A
A
S
S
AH
A
A
A

0.12W
145M
105M
145M
200M
90M
50M
50M
50M
100M
100M
50M
50M
30M
50M
50M
50M

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

85
55
55
50
60
55
85
85
85
40
40

100
100
40
30
50
30
35
30
35
35
35
40
40
8.5
25
25
25

A
A
A
A
A
A
A
A
A
A
A
A
A
S
S
S
S
A

50M
50M
50M
50M
50M
240M

A
A
A
A
A
A

50
20

20

20

20
25
45

240M
240M
240M
50M
50M
50M
50M
50M
O.lW
0.12W
O.lW

A
A
A
A

A
A
A
A
A

50
50
50
50
60

0.2W
0.2W
20W
180M
180M
180M
180M
180M
180M
180M
180M
180M
180M
180M
180M
50M
102M
102M

A
A
C
A
A
A
A
A
A
A
A
A
A
A
A

60
60

A
A
AP
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
AP
S
AP
AP
S

sc
2N319
2N1191

Po

S
S
A
A
AH
AH
A
A
A
A
AH
AH
AP
A
A
A
A
A
A
A
AP
AP
A
A
A
A
A

O.~W

27.5W
O.lW
2.0W

1. OW
50M
0.2W
0.2W
0.2W
5.0M
35M
65M
65M
35M
50M
50M
35M
150M
150M
2.5W
50M
50M
50M
50M
50M
sOM
25M
1. OW

1. OW

50M
150M
35M
102M
50M

A
A
A
C
A
A
A
A

85
85
85
85

85
85
85
85
85
85
85
85
85
85
85
85
85
85
85
80
85
70
60

(yolts) (volts)
100
50
30
50
40

45
45
45
25
35
35
35
15
50
50
50
45
45
45
60
25
40
50
60
25
40
50
60
25
40
50
60
35
44
30
24
60
50
25
75
40

A
A
A

J
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

60
85
85
100
71
85
85
70
75
85
75
85
75
50
70
70
75
70
50
85
60

20
25
15
20
35
25
20
20
20
25
30
30
40
40
40
40
25
25
25
35
30
35
6.0
12

hFE @ Ic
(min) (max)

:!
:::0

0
0
0
0

25
40
50
60
25
40
50
60
25
40
50
60

0
0
0
0
0
0
0
0
0
0
0
0

44
30
24
40

S
S
S

50

U

50
50
20

U
U
U

15
15

0
0

20

0

20
20

R
R

0

,.,

VCE{SATI@lc
(volts)

;;;

~-

:::0

I

2.0
2.0
2.2
2.5

B
B
B
B

0.95
0.94
0.96

B
B
B

30
15

E
E

20M

30

E

20M
20M
20M

30

E

0
0
0
0
0

30
30
30
30

6.0

2-3

t
a

34
34
18
18

65
43

45
45

135
135

1. OM
1. OM

0.97
0.97

B
B

20

E

20
20
20

60
80
80

loOM
1. OM
1. OM

7.5
5.0
5.0
5.0
19
24
19
99

E
E
E
E
E
E

1.5

E

0.95

B

L

1;.

.I

:!!!

"C

:::0

3.0M

B

1. OM

B
B
B
B
B

0.5M
1. OM
3.0M
3.0M

500K
500K
500K
500K

B
B
B

B

500K

B

5.0M
5.0M

B
B

2.0M
5.0M

B
B

500K
0.5M
0.5M
0.8M
0.8M
2.0M
2.5M

B
B
B
B

B
B
B

•

2Nl08-1N184

r=

TYPE

~ iii!
iii ....::5co

2N108
2N109
2N110
2N111
2N111A
2N112
2N112A
2N113
2N114
2N115
2N117
2N118

G
G
G
G
G
G
G
G
G
G
,8
8

P
P
P
P
P
P
P
P
P
P
N
N

2N118A
2N119
2N120
2N122
2N123
2N124
2N125
2N126
2N127
2N128
2N129
2N130

N
N
N
N
P
N
N
N
N
P
P
P

2N130A
2N131
2N131A
2N132
2N132A
2N133
2N133A
2N135
2N136
2N137
2N138
2N138A
2N138B
2N139
2N140
2N141
2N142
2N143
2N144
2N145
2N146
2N147
2N148
2N148A

8
8
8
8
G
G
G
G
G
G
G
G
G'
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G

2N149
2N149A
2N150
2N150A
2N155
2N156
2N157
2N157A
2N158
2N158A

G
G
G
G
G
G
G
G
G
G

N
N
N
N
P
P
P
P
P
P

2N160
2N160A
2N161
2N161A
2N162
2N162A
2N163
2N163A
2N166
2N167
2N167A
2N168
2N168A

8
8
8
8
8
8
8
8
G
G
G
G
G

N
N
N
N
N
N
N
N
N
N
N
N
N

2N169
2N169A
2N170
2Nl72
2N173
2N174
2N174A
2N175
2N176
2N178
2N179
2N180
2N181
2N182
2N183
2N184

G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G

N
N
N
N
P
P
P
P
P
P
P
P
P
N
N
N

P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
N
P
N
N
N
N
N
N

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS
REPLACE·
MENT
2N1192

REF.

USE

2N1l91

A
A

@25'C

2N1191

2N1191

2N650
2N1192
2N651
2N1192
2N651
2N1192
2N651

2N650
2N1l91
2N650
2N1191
2N650
2N1191
2N650

2N2217
2N2217
2N2217
2N2217
2N2221
2N2221
2N2221
2N2221

2N1192
2N176
2Nl192
2Nll92

2N176
2N176
2N1529
2N1529
2N2137
2N2137

2N2218
2N2218
2N2218
2N2218

2N277
2N174
2N174
2N1191
2N176
2N178
2N176
2N1191
2N1191

~
""-=

TJ

Vea

'C

(volts)

A
A

71

150M
150M

A
A
A
A
A
A
A
C
C
C

85
85
85
85
85
85
85
75
150
150

35
50
30
30
30
30
10
10
32
30
30

8H
8H
AH
AP
8H
8
8
8
8
AH
AH
A

150M
150M
150M
8.75W
50M
50M
50M
50M
50M
30M
30M
85M

C
C
C
C
J
A
A
A
A
A
A
A

150
150
175
150
85
75
75
75
75
85
85
85

45
30
45
120
20
10
10
10
10
10
10
44

A

A
AH
AH
AH
A
A
A
A
A
AP
AP
AP
AP
AH
AH
AH
AH
AH

100M
85M
100M
85M
100M
85M
100M
100M
100M
100M
50M
150M
100M
80M
80M
1.5W
1.5W
1. OW
1. OW
65M
65M
65M
65M
65M

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

85
85
85
85
85
85
85
85
85
85
50
85
85
70
70
25
25
25
25
75
75
75
75
75

45
30
45
24
35
30
35
20
20
10
24
45
45
16
16
60
60
60
60

AH
AH
AH
AH
AP
AP
AP
AP
AP
AP

65M
65M
65M
65M
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W

A
A
A
A
A
A
A
A
A
A

75
75
. 75
75
85
85
85
85
85
95

A
A
A
A
A
A
A
A
AH
AH
8H
AH
AH
AH
A
AH
AH
AP
8P
8P
AH
AH
A
A
A
A
8
8
8

0.15W
0.15W
0.15W
0.15W
0.15W
0.15W
0.15W
0.15W
25M
75M
75M
SSM
65M

A
A
A
A
A
A
A
A
A
A

65M
65M
25M
65M
lOW
100W
100W
50M
90W
40W

A
A
A
A
C
C
C
A
C

c

85
85
50
75
95
95
95
71
80
90

60
80
80
10
40
30

0.15W
0.25W
O.IW
O.IW
O.IW

A
A
A
A
A

75
75
75
75
75

30
30
25
25
25

8
AH
AH
AH
AH
AH
AH
8P
8H
8H

2N176
2N176
2N1531
2N1532
2N2139
2N2141

Po

A

A
A

A
A

50M
1.65M
O.2W
150M
150M
150M
150M
96M
96M

sow

A
A
A

-=.
hFE @ Ie
VeE- '5
(volts)
(min) (max)

i

25

0

65

15
15
15
15

0
0
0
0

15
15
15
15

32

R

15

15
25

(yolts)
0.15
2.5

.

:!2

~-

I

0.9 B
0.95 B

1. OM
2.0M

B
B

0.974 B
0.987 B

2.0M

B

0.98 B

5.0M
0.3M
5.0M
5.0M
5.0M
45M
30M

B'

1. OM
1. OM
1. OM
1. OM
110

76
3.0

333

12
24
48
100

24
48
100
200

30M

100M
5.0M
5.0M
5.0M
5.0M

0.3
0.3
0.3
0.3

5.0M
,5.0M
5.0M
5.0M
19 E
11.5 E

40

0

14 E

30

0

27 E

20

0

20
12
12
6.0

R
R
R

30

0

30
30
30
30
20
20
20
16
32

U
U
U
U
U

16
32
16
32

U
U
U
U

M
M

0

0

20 E
40 E
60 E
10

50M

24
24
20
20
21
21

0.5A
0.5A
0.5A
0.5A
0.5A
0.5A

9.0
9.0
19
19
19
19
39
39

19
19
39
39
199
199
199
199

17

90

6.0
30
30
15
15

0
0
0
0
0

15
25
6.0
16
50
70
70

0
0
0
U

8
8
8

35
25
40

30
30

R
R

25
15

2-4

-=.

·c

50 E

U
U

60

L

i=>

=>
50M
IBM

f
i

4.5
4.5

30
30
60
90
60
80

30
30
15
15

'50M

VcclsATl@le

0

40
40
40
40
40
40
40
40
50
85
85
75
85

115

i=>

0.65
0.6

0.5A
1.0A

0.75
0.75

1.0A
1.0A

0.952 B
8.0M

0.9H

~

4.5M
6.5M
10M

B
B

145K
lOOK
lOOK
145K
4.0K

B

5.0M
5.0M

B

B
B

B
E

B
B

20 E

~~ E
E

3C
70
50
80

5.0A
5.0A
1.2A

1.0
0.9
0.7

12A
12A
12A

lOOK

B

45

0.5A
0.5A

0.4
0.6

3.0A
3.0A

4.0K
5.0K

E
E

2N185-2N270

TYPE

I ...

~

:Ii

=s
co

2N185
2N186
2N186A
2N187
2N187A
2N188
2N188A
2N189
2N190
2N191
2N192
2N193
2N194
2N194A
2N206
2N207
2N207A
2N207B
2N211
2N212
2N213
2N213A
2N214
2N215

G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G

P
P
P
P
P
P
P
P
P
P
P
N
N
N
P
P
P
P
N
N
N
N
N
P

2N216
2N217
2N218
2N219
2N220
2N223
2N224
2N225
2N226
2N227
2N228
2N229

G
G
G
G
G
G
G
G
G
G
G
G

N
P
P
P
P
P
P

2N230
2N231
2N232
2N233
2N233A
2N234
2N234A
2N235
2N235A
2N235B
2N236
2N236A
2N236B
2N237
2N238
2N240
2N241
2N241A
2N242
2N243
2N244
2N247
2N248
2N249

G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
S
S
G
G
G

P
P
P
N
N
P
P
P
P
P
P
P
P
P
P
P
P
P
P
N
N
P
P
P

2N250
2N250A
2N251
2N251A
2N252
2N253
2N254
2N255
2N255A
2N256
2N256A
2N257
2N258
2N259
2N260
2N260A
2N261
2N262
2N262A
2N263
2N264
2N265
2N266
2N267
2N268
2N268A
2N269
2N270

~

G
G
G
G
G
G

~

G
G
S
S
S
S
S
S
S

s

S
G
G
G
G
G
G
G

p

P
P
P
N

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS
REPLACE·
MENT
2N650
2N1l91
2N1l91
2N1l91
2N1l91
2N1l91
2N1l91
2N1l91
2N1l91
2N1l92
2N1l93

2N1l91
2N1l93
2N1l90

REF.
2N650
2N1191
2N1191
2Nl191
2N1191
2N1191
2N1191
2N1191
2N1l91
2N1191
2N1l91

2N1191
2N1191
2N1189

2N1189

2N1192

2N1191

2N1189
2N1l93
2N1192
2N1l93
2N1l92
2Nl192

2N1189
2N1191
2N1191
2N1191
2N1191
2Nl192

2N350A
2N351A
2N376A

2N178
2N178
2N350A
2N235A
2N235B
2N350A
2N350A
2N350A

2N1192

2N1l91

2N321
2N321

2N319
2N319
2N242

P 2N3611
P 2N3611
P 2N1530
P 2N3616
P
N
N
P
P
P 2N555
P 2N178
P
P 2N2906
P 2N2906
P 2N2906
P 2N2906
P 2N2906
P 2N2906
P 2N2906
N 2N2907
N 2N2906
P 2N1l75
P
P
P 2N1530
P
P
P 2N1193

Po

~

@25'C li

2N1l89

2N554
2N555
2N350A

USE

2N3611
2N3611
2N1529
2N3615

2N554
2N178
2N2906
2N2906
2N2906
2N2906
2N2906
2N2906
2N2906
2N2907
2N2906
2N1413
2N1529
2Nl191

A
A
A
A
A
A
A
A
A
A
A
AH
AH
AH
A
A
A
A
AH
AH
A
A
A
A
AH
A
A
A
A
A
A
A
A
A
A
A
AP
AH
AH
AH
AH
AP
AP
AP
AP
AP
AP
AP
AP
A
A
S
A
A
A
A
A
All
A
A
AP
AP
AP
AP
AH
AH
AH
AP
AP
AP
AP
Ap.
A
A
A
A
A
A
A
A
A
A
A
AH
AP
SP
S
A

150M
100M
200M
100M
200M
100M
200M
75M
75M
75M
75M
150M
50M
50M
75M
50M
50M
50M
50M
150M
50M
150M
125M
150M

'"A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

TJ
'C
50
85
85
85
85
85
85
85
85
85
85
85
75
75
65
65
65
75
85
75
85
75
70

VCE _ ~
hF€ @ Ic
(volts) (volts)
(min) (max)
VCB

I

20
25
25
25
25
25
25
25
25
25
25
18
15
20
30
12
12
12
10
18
40
40
40
30

50M
150M
80M
80M
50M
100M
250M
250M
250M
250M
50M
50M

A
A
A
A
A
A
A
A
A
A
A
A

75
71
70
70
71
65
75
75
75
75
75
75

15W
9.0M
9.0M
50M
50M

C
A
A
A
A

60
4.5
4.5
10
10

25W

C

25W
25W

C
C

85
55
55
75
75
90
90
90
90
85
95
95
95
55
60
85
85
85
85
150
150

50
45
20
6.0
25
25
45
60
60
40
25
25

25W C
25W
150M A
50M A
25M A
100M A
200M A
20W C
750M C
750M C
80M A
350M

A

75
60

12W C
80
90W C 100
12W C
80
90W C 100
30M C
55
65M C
75
65M C
75
1.5W A
85
20W C
85
1.5W A
85
20W C
85
25W C
85
250M A 160
250M A 160
0.2W A 150
0.2W A 150
0.2W A 150
0.2W A 150
0.2W A 150
150M A 150
125M A 150
75M A
85
75M A
85
80M A
25W C
85
lOW C
90
120M A
85
150M A
50

25
25
25
25
25
25
25
25
25
25
18
15
20

.

@ Ic .

:!!!

h,_

::.

12
12
12
10
18
25
25

50

R
R
V
V
V

R
10

30
40
60
60

loOM

R
R

0

18

V

U

0.6
1.0

100M
100M

50

100

35M

65

115

50M

0.15

50M

60
60
35
35
50

120
120
105
105
100

100M
100M
100M
100M
35M

0.25
0.25
0.25
0.25

100M
100M
100M
100M

60

106

0.5A

0.8

R
U
U
R
R

35

35
35
35
3.8
10
70
100

E
E
E

3.9

E

39

E

E
E
E

2.0A
19
9.0
3.0
3.5

V
V

25
25
40
40
35
35
35

6.0
25
25
45

4.0
4.8
5.0

R

'- :!!! .'"'IiLIII
::.

.

~

800K
800K
loOM
loOM
1. 2M
1. 2M
800K
loOM
1. 2M
1.5M
2.0M
2.0M
2.0M

B
B
B
B
B
B
B
B
B
B
B
B
B

2.0M
4.0M

E
B

10K
600K

E
B

2.0M

B

600K
550K

B
B

12K
30M
20M

E
M
M

5.0K

E

5.0K
500K

E
B

25M
1. 3M
1. 3M
5.0K

T
B
B
B

8.0K
200K
8.0K
200K

E
T
E
T

E

·R
R

25

30
4.5
4.5

I

R
R
R
R
R
R
R
R

25
25
30
30
25
10

40
80
80
25
25

VCEISATI

(volts)

35

15
35
16
16
10

15
15
30
30
40
30
30
10
30
75
10
30
45
45

:i::.

E
E
E
E

R

R

0.8
0.8
0.8
1.0
1.0

LOA
LOA
LOA
3.0A
3.0A

R

1.0

3.0A

0.1

S

8.0M

0.98

B

16

E

0.9
0.961

B
B

30

E

R
R
R

35

V

55
16
12
20

0
0

15

R

V

30
25
30
25

100
100

500M
3.0A
500M
3.0A

0.7

3.0A

0.7

3.0A

0

25

R

30
30

0

0

30
30
25
18

0
0
R
R

45
20

60
24

V

20

7.0K
15 E
32 E

150
55

10M
10M

1.5
1.5

10M
10M

2.0A

1.0

2.0A

39 E
0.95 B

20M
10M
1.5M
800K
6.0K

2-5

0

B
B
B
B

•

2N271-2N341A

TYPE

•

~ ~
iii!

..e ..
S

:IE

....

2N271
2N271A
2N272
2N273
2N274
2N277
2N278
2N279
2N280
2N281
2N282
2N283

G
G
G
G
G
G
G
G
G
G
G
G

P
P
P
P
P
P
P
P
P
P
P
P

2N284
2N284A
2N285
2N285A
2N290
2N291
2N292
2N293
2N296
2N297
2N297A
2N299

G
G
G
G
G
G
G
G
G
G
G
G

P
P
P
P
P
P
N
N
P
P
P
P

2N300
2N301
2N301A
2N302
2N303
2N306
2N307
2N307A
2N308
2N309
2N310
2N3ll

G
G
G
G
G
G
G
G
G
G
G
G

P
P
P
P
P
N
P
P
P
P
P
P

2N312
2N315
2N315A
2N315B
2N316
2N316A
2N317
2N317A
2N318
2N319
2N320
2N321

G
G
G
G
G
G
G
G
G
G
G
G

N
P
P
P
P
P
P
P
P
P
P
P

2N322
2N323
2N324
2N325
2N326
2N327
2N327A
2N327B
2N328
2N328A
2N328B
2N329

G
G
G
G
G
S
S
S
S
S
S
S

P
P
P
P
N
P
P
P
P
P
P
P

2N329A
2N329B
2N330
2N330A
2N331
2N332
2N332A
2N333
2N333A
2N334
2N334A
2N334B

S
S
S
S
G
S
S
S
S
S
S
S

P
P
P
P
P
N
N
N
N
N
N
N

2N335
2N335A
2N335B
2N336
2N336A
2N337
2N337A
2N338
2N338A
2N339
2N339A
2N340
2N340A
2N341
2N341A

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N
N
N
N
N
N

REPLACE·
MENT

REF.

USE

Po

MAXIMUM RATINGS
'E TJ
Vca VCE _

l

~
@25·C co:

·C

(Yolts)

(yolts)

ELECTRICAL CHARACTERISTICS

.!'

~
'"0

AH
AH
A
A
AH
AP
AP
A
A
A
A
S

150M
150M
150M
150M
120M
70W
70W
125M
125M
167M

A
A
A
A
A
C
C
A
A
C

85
85
85
85
100
95
95
75
75
75

30
30
30
45
40
40
50
16

10
10
24
25
40
40
45
20
20
16

125M

A

75

20

20

S
S
AP
AP
AP
A
AH
AH
A
AP
AP
AH
AH
AP
AP
AH
AH
A
AP
AP
AH
AH
AH
SP
SP

125M
125M
25W
25W
SSW
180M
65M
65M
20W
35W
35W
20M

A
A
C
C
C
A
A
A
C
C
C
A

75
75
95
95
95
50
85
85
85
95
95
85

32
60

32
60
35
35

R
R

15
15

0
0

50
50
4.5

S

20M
llW
llW
150M
150M
50M
25W
25W
30M
30M
30M
75M

A
A
A
A
A
A
C
C
A
A
A
A

85
85
85
85
85
75
75
75
55
55
55
85

5.0
40
60
30
30
20
35
35

A
A
A
A
A
A
A
A
A
A
A
A

85
85
100
100
85
100
85
100

15
20
30
30
20
30
20
25
12

2N2904
2N2904
2N2904
2N2904
2N2904
2N2904
2N2904

S
S
S
S
S
S
AL
A
A
A
A
A
A
A
A
A
AM
AM
A
AM
AM
A

75M
100M
150M
150M
100M
150M
100M
150M
50M
225M
225M
225M
140M
140M
140M
12W
7.0W
350M
385M
385M
350M
385M
385M
350M

A
A
A
C
C
A
A
A
A
A
A
A

60
60
60
85
85
160
160
200
160
160
200
160

18
18
18
35
35
50
50
50
35
50
50
30

2N2221
2N2218
2N2221
2N2218
2N2221
2N2218
2N2218

2N2904
2N2904
2N2904
2N2904
2N331
2N2218
2N2218
2N2218
2N2218
2N2218
2N2218
2N2218

AM
AM
A
AM
A
AH
A
AH
A
AH
A
A

385M
385M
350M
385M
200M
150M
500M
150M
500M
150M
500M
500M

A
A
A
A
A
A
A
A
A
A
A
A

160
200
160
160
71
175
175
175
175
175
175
175

50
50
45
50
30
45
45
45
45
45
45
60

2N2221
2N2218
2N2218
2N2221
2N2218
2N2221
2N2218
2N2221
2N2218

2N2218
2N2218
2N2218
2N2218
2N2218
2N2218
2N2218
2N2218
2N2218

AH
A
A
AH
A
S
A
S
A
A
AH
A
AH
A
AH

150M
500M
500M
150M
500M
125M
500M
125M
500M
LOW
3.0W
1. OW
3.0W
1. OW
3.0W

A
A
A
A
A
C
A
C
A
C
A
C
A
C
A

175
175
175
175
175
150
175
150
175
150
200
150
200
150
200

45
45
60
45
45
45
45
45
45
55
60
85
85
125
125

2N298A
2N298A
2N298A
2N650
2N650
2N651
2N651
2N650

2N298A
2N298A
2N298A
2N277
2N277
2N650
2N650
2N650
2N650
2N650

2N285A

2N285A
2N285A

2Nll91

2N1191

2N1531
2N297A

2N1529
2N297A
2N297A

2N301
2N301A

2N307
2N307A

S

2N319
2N319
2N319

2N36ll
2N2906
2N2906
2N2906

2N2906

2N322
2N322
2N322
2N3611

70
25
15
15
60
60
60
5.0

15

85
85
85

hFE @ Ic

(min) (max)

0
0
S
0
S
S

30
20
35
35

175
70
70

R

45

120

:i=>

VCElsAn@ Ic

(yolts)

~

~

L

~

~

;:

=>

ii!

0.35M

B

0.35M
0.35M

B
B

4.0K
5.0K
5.0K
90M

B
E
M

llE

85M

M

45 E
75 E
25 E

7.0M
14M
0.6M
3.0K
3.5K

B
B
B
E
E

1. OM
1.5M
2.0M
150K
150K

B
B
B
B
B

hl_

=>

~
'"

60 E
50M
1.5M
5.0A
5.0A

20 E
1.0

12A
20 E
30 E
30 E

15
15
0.5
0.5

1.0A
1.0A
30 E

s

6.0
6.0
19
40
40

44
55
100
100

1.0A
0.5A
0.5A

1.0
1.0
1.0

1.0A
2.0A
2.0A

V

4.5

V

10
10
15
35
35
20
20
30
15

0
0
R
R
R
0
0
0
0

15
15
20
20
10
15
6.0
10

0
0
0
0
0
0
0
0

20
20
20

R

20
20

0.2A
0.2A

0.5
1.0
0.8

O.lA
0.2A
1.0A

25

75

10M

0.075

10M

25
15
20
20
20
20
20
20

75
30
50
50
50
50
60
60

10M
100M
100M
100M
200M
200M
400M
400M

0.075
0.15
0.15
0.15
0.18
0.18
0.2
0.2

10M
100M
100M
100M
200M
200M
400M
400M

E

R
R

18
18
18
35
35

R
R
S
S

34
53
72
30
30

65
125
198
60
60

20M
20M
20M
500M
500M

0.6
0.6

500M
500M

40
40

0
0

9.0
9.0

22
22

3.0M
3.0M

0.3
0.3

5.0M
5.0M

35
35

0
0

18
18

44
44

3.0M
3.0M

0.5
0.5

10M
10M

30
30

0
0

36
36

88
88

3.0M
3.0M

0.6
0.6

15M
15M

30

0

45

0

R

9.( E
18 E
3( E

9. ( E

45

0

45
60

0
0

45
60

0
0

9.0

20

18

40

1.0
18

45

55
60
85
85
85
125

2-6

15

1.0

5.0M
5.0M

1. OM

1.0
1.0
1.0
1.0

5.0M
5.0M

86
85

36

86

28
76

90
333

1. OM

20
20
45
45

55
55
150
150

10M
10M
10M
10M

20

80

20

80

20

80

0

0
0
0
0
0
0

5.0M

1.0

5.0M

5.0M

0.9 B
O. \ B

2.5M

B

0.94E B
0.94 B
O. 94~ B

2.5M

B

0.94
1

B
E

8.0M
8.0M

B
B

0.97
0.97
3
0.98
0.98

B
B
E

2.5M
2.5M

B
B

2.5M
10M
15M
20M
25M

B

10M

T

10M

T

10M

T

B
B

19 E
3
O.
25
O.
25
O.
2

E
B
E
B
E
B
E

B

B
B

B

2N342-2N414

TYPE
2N342
2N342A
2N342B
2N343
2N343A
2N343B
2N344
2N345
2N346
2N350
2N350A
2N351
2N351A
2N352
2N353
2N354
2N355
2N356
2N356A
2N357
2N357A
2N358
2N358A
2N359
2N360
2N361
2N362
2N363
2N364
2N365
2N366
2N367
2N368
2N369
2N370
2N371
2N372
2N373
2N374
2N375
2N376
2N376A
2N377
2N377A
2N378
2N379
2N380
2N381
2N382
2N383
2N384
2N385
2N385A
2N386
2N387
2N388
2N388A
2N389
2N389A
2N392
2N393
2N394
2N394A
2N395
2N396 .
2N396A
2N397
2N398
2N398A
2N398B

I i~~~6
2N401
2N402
2N403
2N404
2N404A
2N405
2N406
2N407
2N408
2N409
2N41O
2N41l
2N412
2N413
2N413A
2N414

3 ~

~

S
8
8
S
8
8
G
G
G
G
G
G
G
G
G

8
8
G

G
G
G
G

G
G
G
G
G
G

G
G

G
G
G

G
G
G
G

G
G
G
G
G
G
G
G
G

G
G

G
G
G
G
G
G
G
G

G
8
8
G
G

G
G
G

G
G

G
G

G
G
G
G

~
co
a..
N
N
N
N
N
N
P
P
P
P
P

REF.

2N350
2N350
2N351A

P

P
P
P
P
P
N
N
N
N
N
N
P

2N1536
2N1536
2N2906
2N2906

2N3S0A
2N1529
2N1529
2N2904
2N2904

2N359

P
P
P
P
N
N
N
P
P
P
P
P

2N1l92
2Nll91
2N1l92
2N1l92

2Nl191
2N1191
2Nl191
2N3324
2N3324

2Nll91
2N1191
2N1l91
2N3323
2N3323

P
P
P
P
P
P
N
N
P
P
P
P
P
P
P
N
N
P
P
N
N
N
N
P

2N3324

2N3323

2N3325

2N3323
2N375
2N3S0
2N3S0A

P
P
P
P
P
P
P
P
P
P
p

P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS
REPLACE·
MENT

2N3325

2N1l91
2N1l91
2Nll91
2Nll91

2N378
2N378
2N378
2N381
2N381
2N381
2N3323

2N5068
2N5068
2N1550

2N5068
2N5068
2N1539

2N967

2N960

2N2043
2N351A
2N350A

2N398
2N398
2N2042

~~~~gA

2N3611
2N1191
2N1191

2N3611
2Nll91
2Nl191

2N322
2N322
2N324
2N324

2N322
2N322
2N322
2N322

"E

TJ

YCB

VCE _

@25'C

:=

'C

(volts)

(volts)

l.OW
l.OW
750M
l.OW
l.OW
750M
20M
20M
20M
lOW
90W
lOW
90W
25W
30W
150M
150M
100M
150M
100M
150M
100M
150M
170M

C
C
A
C
C
A
A
A
A
A

150
150
175
150
150
175
55
55
55
100
100
100
100
100
100
140
140
85
·100
85
100
85
100
85

60
85
85
60
60
65
5.0
5.0
5.0
50
50
50
50

60
85
85
60
60
65
5.0
5.0
5.0
40
40
40
40
40
40
25
10
18
20
15
20
12
15
18

170M
170M
170M
170M
150M
150M
150M
100M
100M
100M
80M
80M

A
A
A
A
A
A
A

85
85
85
85
100
100
100
75
75
75

32

AH
AH
AH
AP
AP
AP
8
S
8P
8P
8P
A
A
A
AH
8
8
AP
AP
S
S
AP
AP
AP

80M
80M
80M
58W
lOW
90W
150M
150M
50W
SOW
SOW
0.2W
0.2W
0.2W
120M
150M
150M
500M
500M
150M
150M
85W
85W
48W

A
A
A
C
A

S
8H
8H
8H
8H
8H
8H
8P
A
8P
AP
AP
AP
A
A
8
8
A
A
A
A
AH
AH
AH
AH
AH
AH
AH

USE
A
A
A
A
A
A
AH
AH
AH
AP
AP
AP
AP
AP
AP
AH
8
8
8
8
8
8
8
A
A
A
A
A
8
8
8
8
8
8
AH
AH

Po

l

J
A

J
C
C
A
A
A
A
A
A
A
A
A

A

A
A
A
A

71
71

25
10
20
30
20
30
20
30
25

A
A
C
C
C
A
A
A
A
A
A
C
C
A
A
C
C
C

71
71
71
95
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
200
200
95

24
25
25
80
50
50
25
40
20
40
30
50
50
50
40
25
40
60
80
25
40

25M
150M
150M
200M
200M
200M
200M
50M
150M
250M
25W
35W

A
A
A
A
A
A
A
A
A
A
C
C

100
85
85
100
100
100
100
55
100
100
90
95

6.0
10
10
30
30
30
30
105
105
105

25W
180M
180M
150M
150M
150M
150M
150M
150M
80M
80M
80M
80M
150M
150M
150M

C
A
A
A
A
A
A

90
85
85
85
100
.71
71
71
71
71
71
71
71
85
85
85

J

A

A
A
A
A
A
A
·A
A

30
30
18
28

32
25
32
30
30
30
30
30
30
24
24

VCElsAn@lc

~ (volts)

(min) (max)

::::0

=i

.e. '-

hl _

::::0

o.

0
0
0
0
0

V

O.
9.
0.96
0.96
28
1

V

i6

a

v

a
8
a

20
20
25
25
30
40

60
60
90
90
140
150

700M
0.7A
700M
0.7A
l.OA
l.OA

R

20
20
20
25
20
25
100

50
50
50
75
50
75
300

100M
100M
200M
200M
300M
300M
50M

R
R

50
25

150
75

50M
SOM

8
R
R
U
U

0
0

a
a
a
a

25
25
25
40
25
40
60
80
20
40
60
60
40
6.0
10
30
15
20
20
15
105
105
105
35
20

25
25
25
40
20
20
20
20
13
13
13
13
30
30
30

2-7

R
R
R

0
R

V
V
V
R

V
R
R
R

8
0

a
R
R

a

R

8
8
8

35
20
20

R

35

0

18
15
15

a

J

E

B
B
E
E
E
E

30M
30M
60M

M
M
M

5.0K

E

4.0A

E
E
E

0.15
0.2
0.2
0.2
0.2
0.2
0.2

5.0M
100M
100M
200M
200M
300M
300M

5.0K
10K
7.0K
8.0M
8.0M

M
M

l.OM
l.OM
l.OM
300K
400K
500K

B
B
B
B
B

9.C E
9. C E

5C E
25 E

35
35
35
20
20
40
20
30
35
60
75
20
30
30
20
20
60
60
12
12
60
20
20
30
20
30
30
40
20
20
20

90
120
120
60
60
80
70
70
65
95
120
17S
110
110
180
200
60
60
150
150
120
150
150
150
150

l.OA
700M
O.7A
30M
30M
2.0A
2.0A
2.0A
20M
20M
20M
l.5M
30M
30M
2.5A
2.5A
30M
0.30M
l.OA
l.OA
3.0A
SOM
10M
10M
10M
10M
10M
10M
5.0M
5.0M
5.0M

R

0

::::0

3.0A

0
0

8
0
8
V
V

ii

B
B

l. 75

4
9.C
1
4

60
40
40
20
40

!

l. 75

\C

60

25

J

h.E @ Ic

40

300

0.5A

E
E
E
E
E
E

B

l.0

2.0A

7.0K

E

1.75
0.5

5.0A
200M

5.0K
5.0M

B

l.0
l.0
l.0

2.0A
2.0A
2.0A

5.0K
S.OK
5.0K

E
E
E

4.0M
4.0M
7.0K
6.0K
5.0M
5.0M

B
B

l.OM

T

25M
4.0M
4.0M
3.0M
5.0M
5.0M
10M

T
B
B
B
B
B
B

E

35 E

~i

0.75
0.5

l.OA
3.0A

0.07

8.0M

0.2
0.2
0.2
0.2

50M
50M
50M
50M

0.35
0.25
1.0
0.8

5.0M
5.0M
l. 2A
1.0A

l.0

l.2A

0.15

12M

E
E

E
E
B
B

4

E

2
4

E
E

l.OM

B

2

E

3.0K

E

4.0M

B

0
0

0
0

30

12M

2N414A-2N487

...

TYPE

•

2N414A
2N414B
2N414C
2N415
2N415A
2N416
2N417
2N418
2N419
2N420
2N420A
2N422
2N422A
2N424
2N424A
2N425
2N426
2N427
2N428
2N428A
2N438
2N438A
2N439
2N439A
·2N440
2N440A
2N441
2N442
2N443
2N444
2N444A
2N445
2N445A
2N446
2N446A
2N447
2N447A
2N447B
2N448
2N449
2N450
2N456
2N456A
2N456B
2N4S7
2N457A
2N457B
2N458
2N458A
2N458B
2N459
ZN459A
2N460
2N461
2N462
2N463
2N464
2N465
2N466
2N467
2N469
2N469A
2N470
2N471
2N471A
2N472
2N472A
2N473
2N474
2N474A
2N475
2N475A
2N476
2N477
2N478
2N479
2N479A
2N480
2N480A
2N481
2N482
2N483
2N484
2N485
2N486
2N487

..

!!C =s~
:IE 2
G
G
G
G'
G
G
G
G
G
G
G
G
G
S
S
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
S

S
S
S
S
S

S
S

S
S
S
S
S
S
S
S
S

P
P
P
P
P
P
P
P
P
P
P
P

P
N
N
P

REF.

2N1537

2N1529

2N1535
2N1537
2N651

2N1S29
2N1529
2N6S0
2N5069
2N5069

2N5069
2N5069

p.

N
N
P
P
P
N
N
N
N
N
N
N

2N441
2N441
2N441

N
N
N
N
P
2N456A

P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P

2N456A
2N456A
2N456A

2N456A
2N376A
2N376A
2N460
2N460
2N1551

2N1539
2N464
2N464
2N464
2N464

P
P
N
N
N
N
N
N
N
N
N

N
N
N
N
N
N
N
N
P
P
P
p
P

G
G
G
G
G
G P
G P

2N2221
2N2221
2N2221
2N2221
2N2221
2N2221
2N2221
2N2221
2N2221
2N2221
2N2221
2N2221
2N2221
2N2221
2N2221
2N2221
2N2221

USE

Po
@25'C

P
P
P
N
N
N
N

p

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS
REPLACE·
MENT

2N2218
2N2218
2N2218
2N2218
2N2218
2N2218
2N2218
2N2218
2N2218
2N2218
2N2218
2N2218
2N2218
2N2218
2N2218
2N2218
2N2218

I

..

TJ

';;

'C

YCB
(volts)

AH
AH
AH
AH
AH
AH
AH
SP
AP
SP
SP
A

150M
0.2W
0.2W
150M
150M
150M
150M
25W
35W
25W
25W
150M

A
A
A
A
A
A
A
C
C
C
C
A

85 30
100 30
100 30
85 30
85 30
85 30
85 30
100 100
95 25
100 65
100 90
85 35

A
AP
AP
S
S
S
S
S
S
S
S
S
S
S
AP
AP
AP
A
A
A
A
S
S
S

175M
85W
85W
175M
175M
175M
175M
O.l,W
O.lW
0.15W
O.lW
0.15W
O.lW
0.15W
50W
50W
50W
100M
150M
100M
150M
100M
150M
100M

A
C
C
A
A
A
A
A
A
A
A
A
A
A
C
C
C
A
A
A
A
A
A
A

100
200
200
85
85
85
85
100
85
85
85
85
85
85
95
95
95
85
100
85
100
85
100
85

S
S
AH
AH
SH
AP
AP
AP
AP
AP
AP
AP

150M
150M
65M
65M
150M
50W
150W
150W
50W
150W
150W
50W

A
A
A
A
A
C
C
C

100
100
85
85
85
95

AP
AP
SP

150W
150W
50W
106W
0.2W
0.2W
150M
37.5W
150M
150M
150M
150M
50M
50M
0.2W
0.2W
0.2W
0.2W
0.2W
0.2W
0.2W
0.2W
0.2W
0.2W
0.2W
0·.2W
0.2W
0.2W
0.2W
0.2W
0.2W
150M
150M
150M
150M
150M
150M
100M

~P

"

A
S
AP
A
A
A
A
AL
AL
AH
A
A
AH

A
A
A
A
A
A
A
A
AH
AH
A
AH
A
AH
AH
AH
AH
AH
AH
A

(volts)
15
24
24
10
10

12
10
75
20
40
65
20

~

j

R

0
R
R

30
25
15
15
20
40
40
40
60
60
60
80

'12
12
15
15
12
40
40
30
60
60
40
80

C
C
C
C
A
A
A
C
A
A
A
A

80
100 80
100 60
110 105
100 45
100 45
75 40
100 60
85 45
85 45
85 35
85 35

80
45
60

0

60
40
30
20
15

0
0
0
0

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

75 6.0
85 20
200 15
200 30
200 30
200 45
200 4S
200 15
200 30
200 30
200 45
200 45
200 15
200 30
200 15
200 30
200 30
200 45
200 45
85 12
85 12
85 12
85 12
85 12
85 12
85 18

15
15
30
30
45
45
15
30
30
45
45
15
30
15
30
30
45
45
12
12
12
12
12
12
18

A
A
A
A
A
A
A
A

40
50
40
40

h,_

..,'lit

j

L

i

::0

I

40
40

E
E

4.0M
4.0M

B
B

350

4.0A
0.5A
4.0A
4.0A

2.0
0.8
2.0
2.0

4.0A
1.5A
4.0A
4.0A

25

E

3.0K

E

0.75
0.32
0.32
0.32
0.32
0.32

1.0A
100M
100M
150M
200M
0.2A

30

E

12
12
20
30
40
60
80
20
20
30
30
40
40
20
20
20

40
40
40

50M
50M
50M
50M
50M
SOM
5.0A
5.0A
5.0A

20

40

20M

15

E

40

160

20M

35

E

60

250

20M

60

E

0
0
0
0
0

80
80
8.0
34
30

300
300
51

20M
20M
1. OM
1. OM
10M

85
150

E
E

0
0

30
30

90
90

5.0A
S.OA

0
0
X

30
30

90
90

5.0A
5.0A

0
0

30
30
20
40
16
32
20
20

90
90
70
70
32
100

5.0A
5.0A
2.0A
2.0A

x
x

10

2-8

(,olts)

~
::0

0

0
0
0
0

A
A

::0

VCEiSATl@lc

V
V

30
30
30
30
30
30
30
30
30
30
30
40
50
60
15
40
15
30
15
30
15

100
95

(min) (max)

:i

0
0
0
0

0
R
R
0
0
0
0
0
0
0
0
0
0
0
S
S
S
0
0
0

C
C

hFE @ Ic

0

20
80
80
20
10
IS
12
18
25
25
ZO
20
15
15
40
45
50
15
25
12
18
10
15
6.0

100
95

35

YCE-

60
60
40
60
80

60

1.0A
1.0A

50M
1.0

R

B
B
B
B
B
B
B
B
B
B
B

0.5M
0.5M
0.5M
2.0M
5.0M
5.0M
5.0M

B
B
B
B
B
B
B

9.0M
9.0M

B
B

0.2
1.0
0.5
0.5
1.0
0.5
0.5
1.0

10M
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A

5.0M

B

4.0K
200K

T

4.0K
200K

T

0.5
0.5
1.0
0.3

5.0A
5.0A
2.0A
2.0A

4.0K
200K
5.0K
5.0K

E
E

500K
4.0K

B
E

T
T
T
T

200M
2.0A

E
E

E

T

1. OM
1.5
1.5
1.0
1.5
1.0
1.5
1.5
1.0
1.5
1.0
1.5
1.5
1.5
1.5
1.0
1.5
1.0

10
10

10
10

20

B

T

12A

R
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
'0
0
0
0
0
0
0

1.5M
1. OM
2.5M
3.0M
S.OM
10M
10M
2.5M
2.5M
5.0M
5.0M
10M
10M

1. OM

5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M

30
10
10
10
10
10
20
20
20
20
20

E
E
E
E
E
E
E
E
E
E
E

8.0M
8.0M
8.0M
8.0M
8.• OM
8.0M
8.0M
8.0M
8.0M
8.0M

30
30
40
40
40
40
40

E
E
E
E
E
E
E

12M
12M
20M
20M
20M
20M
20M

T
T
T
T
T
T
T
T
T
T
T
T
T

10

E

10M

T

2N489-2N549

TYPE

~iS

=c
z~

REF.

USE

Po

i

@25·C -;;

""

2N489

thru

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS
REPLACE·
MENT

TJ

·c

YCB

YCE-

(Yolts) (volts)

f

hFE @ Ic
(min) (max)

~

VCEISAn@lc

=> (Yolts)

i=>

hf_

f

L

i=>

I

~

Unij unction Transistors, see Table on Page 2-86

2N494
2N495
2N496
2N497
2N497A
2N498
2N498A
2N499
2N499A
2N500
2N501
2N501A
2N502
2N502A
2N502B
2N503
2N504
2N506
2N507
2N508
2N508A
2N509

S
S
S
S
S
S
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G

P
P
N
N
N
N
P
P
P
P
P
P
P
P
P
P
P
N
P
P
P

2N511
2N51LA
2N511B
2N512
2N51ZA
2N512B
2"N513
2N513A
2N513B
2N514
2N514A
2N514B

G
G
G
G
G
G
G
G
G
G
G
G

P
P
P
P
P
P
P
P
P
P
P
P

2N515
2N516
2N517
2N518
2N519
2N519A
2N520
2N520A
2N521
2N521A
2N522
2N52ZA
2N523
2N523A
2N524
2N524A
2N525
2N525A
2N526
2N526A
2N527
2N527A
2N528
2N529

G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G

N
N
N
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P

2N530
2N531
2N532
2N533
2N534
2N535
2N535A
2N535B
2N536
2N537
2N538
2N538A

G
G
G
G
G
G
G
G
G
G
G
G

P
P
P
P
P
P
P
P
P
P
P
P

2N539
2N539A
2N540
2N540A
2N541
2N54LA
2N542
2N542A
2N543
2N543A
2N544
2N545
2N546
2N547
2N548
2N549

G
G
G
G
S
S
S
S
S
S
G
S
S
S
S
S

P
P
P
P
N
N
N
N
N
N
P
N
N
N
N
N

G

AH
S
A
A
A
A
AH
AH
AH
SH
SH
AH
AH
AH
AH
AH
A
A
A
A
AH

150M
150M
4.0W
5.0W
4.0W
5.0W
30M
60M
50M
60M
60M
60M
75M
75M
25M
30M
50M
50M
200M
0.2W
225M

2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1162
2N1162
2N1162
2N1162
2N1162
2N1162

AP
AP
SP
AP
AP
SP
AP
AP
SP
SP
SP
SP

150W
150W
150W
150w
150W
150W
150W
150W
150W
80W
80W
80W

C
C
C
C

C

2N524
2N524
2N524
2N524
2N524
2N524
2N524
2N524

AH
AH
AH
S
S
S
S
S
S
S
S
S
S
S
A
A
A
A
A
A
A
A

50M
50M
50M
0.15W
100M
150M
100M
150M
100M
150M
100M
150M
100M
150M
225M
225M
225M
225M
225M
225M
225M
225M
2.5W
100M

2N3323

2N4237
2N4238
2N4237
2N5681
2N499
2N499
2N3323

2N3284
2N3323

2N499
2N499
2N499
2N3283
2N3323

2N4238
2N4238
2N4239
2N5681

2N322
2N508A
2N1554
2N1555
2N1556
2N1558
2N1559
2N1560
2NU63
2N1165
2N1167
2N1163
2N1165
2N1167

2N1189
2Nl192
2Nl192

2N1l89
2N1l91
2N1l91

2N1193

2N1l91

2N2140
2N2140

2N2137
2N2137

2N2145
2N2145
2N1551
2N1551
2N2221
2N2221
2N2221
2N2221
2N2221
2N2221

2N2137
2N2137
2N1539
2N1539
2N2218
2N2218
2N2218
2N2218
2N2218
2N2218

2N4238
2N4237
2N4238
2N4237
2N4238

2N4237
2N4237
2N4237
2N4237

A
A
A
A
S
AH
SP
SP
SP
SP
SP
SP
AH
A
AH
A
AH
A
AH
AH
AH
AH
AH
AH

A
A

C
C
C
C
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

140 25
140 10
200 60
200 60
200 100
200 100
85 30
100 30
85 20
100 15
100 15
85 20
100 30
100 30
85 20
85 35
85 40
85 40
100 18
100 30
100 30

25
10
60
60
100
100
18
18
15
12
12
20
30
30
20
25
18
30

U
U
0
0
0
0
0
0
S
S
S
S
S
S
S
R

S

15
12
12
12
12

36
36
36
36

10M
10M

20
30

20
25
25
99
100
20
20
20
20
20
20
20
20
20

15M
200M
200M
200M
200M

200
50
50
198
200

10M
50M
50M
20M
20M

60
60
60
60
60
60
60
60
60

lOA
lOA
lOA
15A
15A
15A
20A
20A
20A

95
95
95

40
60
80
40
60
80
40
60
80
40
60
80

40
60
80

X

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
C
A

75
75
75
85
85
100
85
100
85
100
85
100
85
100
100
100
100
100
100
100
100
100
100
85

20
20
20
45
15
25
15
25
15
25
15
25
15
20
45
45
45
45
45
45
45
45
40
15

18
18
18
12
15
18
12
15
10
12
8.0
10
6.0
6.0
30
30
30
30
30
30
30
30
40
15

R
R
R

100M
100M
100M
100M
25M
50M
50M
50M
50M

A
A
A
A
A
A
A
A
A

J

15
15
15
15
50
20
20
20
20
30
80
80

15
15
15
15
50
20
20
20
20

34W
34W

85
85
85
85
65
85
85
85
85
100
95
95

60
60

20
20

50
50

2.0A
2.0A

95
95
95
95
200
200
200
200
200
200

80
80
80
80
15
15
30
30
50
45
34
60
30
60
30
60

55
55
55
55
15
15
30
30
50
45

30 75
30 75
45 113
45 113

2.0A
2.0A
2.0A
2.0A

U
0
U
0
U
0

20

60
30
60
30
60

0
0
0
0
0

15
15
20
20
20

34w
11W
34W
34W
0.2W
0.2W
0.2W
0.2W
0.2W
0.2W
80M
5W
5W
5W
5W
5W

C
C

C
C
C
C

C

J
J
J
J
J
A
A
A
A
A
A
A
C
C
C
C

C

71
200
200
200
200
200

2-9

X
X

0
0
0
0
a
0
0
0
0
0
0
R

R
R
R
R
R
R
R

60
20

10M
50

0.15

0.2
0.2

5.0M

50M
50M

0.5
0.5
0.5
0.75
0.75
0.5
1. 25
1.25
0.5
1.25
1. 25
1.25

lOA
lOA
lOA
15A
15A
lOA
20A
20A
lOA
25A
25A
25A

20M

60 250

20M

80 320

20M

100 400
25 42
25 42
34 65
34 65
53 90
53 90
72 121
72 121
20

20M
20M
20M
20M
20M
20M
20M
20M
20M
0.5A

0.13
0.13
0.13
0.13
0.13
0.13
0.13
0.13

8.0M
7.2M

M
T

20

E

120M
120M

T
T

9.0
15
20
9.0
16

E
E
E
E
E

90M
90M
220M
220M

T
T
T
T

75
0.96

E
B

168M
50M
600K
600K
2.5M
2.5M
400M

T
M
B
B
B
B
T

260K
260K
260K
260K
260K
260K
300K
260K
260K

T
T
T
T
T
T
T
T
T

2.0M
2.0M
2.0M
10M
0.5M
0.5M
3.0M
2.0M
8.0M
8.0M

B
B
B
B
B
B
B
B
B
B

4.0
4.0
4.0

E
E
E

15
15
20
40
35
70
60
100
80
125
16
18
30
30
44
44
60
60

E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E

35
35
35
35

E
E
E
E

0.9

B

80
80
80
80
80
80

E
E
E
E
E
E

10M

20M

40 170

E
E

10M
10M

0.6
0.6

0.15

15
9.0

20M
20M
20M
20M
20M
20M
20M
20M

i~~ .~.
21M
21M
800K
0.8M
100M
LaM
103M
103M
1.5M
1.5M

B
B
B
B
B
B
B
B
B
B

1. OM

B

10M
8.0M
10M
10M
10M
10M

T
T
T
T
T
T

4.0M
4.0M
4.0M

T
T
T

0
0
a
a
0
U
U
U
U
U

100

30M

20

20
80
80
80
80
80

0.5A
0.5A
0.5A
0.5A
0.2A

0.13
4.0
0.6
0.6

10M
70M
2.0A
2.0A

0.6
0.6
0.6
0.6
1.5
1.0
1.5
1.0
1.5
1.0

2.0A
2.0A
2.0A
2.0A
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M

5.0
3.0
5.0
3.0
4.0

0.5A
0.5A
0.5A
0.5A
0.2A

2N550-2N635

TYPE

~ ....
~
iii :3
!;<

::e

2N550
2N551
2N552
2N553
2N554
2N555
2N556
2N557
2N558
2N559
2N560
2N561
2N563
2N564
2N565
2N566
2N567
2N568
2N569
2N570
2N571
2N572
2N573
2N574
2N574A
2N575
2N57 SA
2N576
2N576A
2N577
2N578
2N579
2N580
2N581
2N582
2N583
2N584
2N585
2N586
2N587
2N588
2N588A
2N589
2N591
2N592
2N593
2N594
2N595
2N596
2N597
2N598
2N599
2N600
2N601
2N602
2N603
2N604
2N60s
2N606
2N607
2N608
2N609
2N610
2N611
2N612
2N613
2N614
2N615
2N616
2N617
2N618
2N619
2N620
2N621
2N622
2N624
2N625
2N626
2N627
2N628
2N629
2N630
2N631
2N632
2N633
2N634
2N634A
2N635

s
s
S
G

G
G

G
G
G
G

S
G
G
G
G
G
G
G
G
G
G
G

G
G
G

G
G
G

G
G
G
G
G
G

G
G
G
G
G
G
G
G
G
G
G

G
G

G
G
G
G

...
Q

N
N
N
P
P
P
N
N
N
P
N
P
P
P

p
p
p
p

P

p

P
P
P

p

P
P
P
N
N
P
P
P
P
P
P
P
P
P
P
N
P
P
P
P
P
P
N
N
N
P
P

G
G

p
P

G
G

P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
N

G
G
G

G
G
G
G

G
G
G
G

G
G
G
G
G

s

S
S
S
G

G
G
G
G

G
G
G
G

G
G
G

G

N
N
N
P
N
P
P
P

MAXIMUM RATINGS
REPLACE·
MENT

REF.

Po
@25'C

2N4237

2N4237

2N178
2N178

AH
AH
AH
SP
AP
AP

2N5591

S
S
S
S
S
S
S
A
sp

SP
SP
S
S
AL
S
S
S
S

s

2N1l93
2N1l93
2Nll92
2N1l91
2N1l91

2N1191
2N1l91
2Nll91
2N1191
2Nll91

2N2222A
2N2222A
2N2222A

2N2218
2N2218
2N2218

2N375

2N1l94
2N1l93
2Nll92

2N1l91
2Nl191
2N1l91

200
200
200
95
90
90
85
85
75
100
150
100
85
85
85
85
85
85
85
85
85
85
100
100
100
100
100
100
100
55
71
71
71
71
71
71
71
71
85
100
85
85
100
71
85
85
85
85

30
60
30
80

30
60
30

90W
90W
90W
90W
167M
167M
167M
150M
2.5M
150M

s

S
S

2N3427
2N3427
2N3427
2N3427
2N3427

C

AP
AP
AP
AP
A
A
A
SH
S
SH

sp

2N3427
2N3427
2N3428
2N3427
2N3428

(volts)

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
C
A

2N1539
2N1539
2N1539
2N1539

2N1529
2Nl191

(volts)

A
A
A
A
C

2N1550
2N1551
2N1554
2N1555

2N1532
2Nll92

~

'C

...

100M
250M
250M
250M
750M
750M
120M
120M
120M
o.12W
0.12W
o.12W
0.12W
180M
180M
180M
180M
180M
180M
180M
180M
180M
90W
175M
175M
175M
385M
100M
1500M

S
S

2N3323

VCE _

AH
AH
AP
A
S
S
S
S
S
S
S
S
SP
Sp
SH
SH
SH
AH
AH
AH
AH
A
A
A
A
A
AH
AH
AH
AH
AP
A
A
A
AM
AH
S

2N650
2N650
2N650
2N650
2N650
2N650
2Nll91
2N1l91
2N1l91
2N1l91

2N3324

Vcs

A
A
A
A
A
A
C
A
A
A
A
A

2N650
2N650
2N651
2N651
2N651
2N651
2N1l93
2N1l92
2N1l93
2N1l93

2N1191

TJ

120M
120M
250M
150M
30M
60M
90W
50M
125M
125M
100M
100M

S

S
A

2N1l91

'l;;

A
A
A
A
A
A
A
A
A
A
A

S
S

S
S
S

ELECTRICAL CHARACTERISTICS

.;;

5W
5W
5W
35W
40W
lOW
100M
100M
100M
0.15W
500M
SOW
150M
120M
150M
120M
150M
120M
150M
120M
150M
120M
0.2W
180W
180W
180W
180W
200M
200M
25M
120M
120M
120M
80M
120M
80M

S

P

P
P
P
P
N
N
N

USE

C
C

C
J
J
A
A
A
A
A
A

J
J
J
J

A
A
A
A
A
A
A
A
A

40
25
20
15
15
80
30
30
30
30
30
30
30
30
30
30
40
60
80
60
80
20
40
25
20
20
20
18
25
18
25
25
45
40
15
15
100
32
20
40
20
15

20
20
15
15
60
50
25
25
25
25
25
25
20
20
10
10
25
55
60
50
55
20
20

10
45
35
30
35
30
20
30
30
15
15
15
15
25
25
25
25
25
20
20
15
15
80
50

A
A
A
A
C

85
100
100
100
100
100
85
85
85
85
85
85
85
85
85
85
85
85
85
85
85
85
95
160
160
160
160
100
100

50
50
50
30
40

20
15
15
75
32
20
30
20
15
10
40
35
20
J5
20
20
20
20
15
15
15
15
15
15
15
15
15
15
15
12
12
60
40
35
30
30
20
30

C
C
C
C
A
A
A
A
J
A

100
100
100
100
85
85
85
85
85
85

40
60
80
100
25
36
35
20
25
20

30
45
60
75
20
20
30
20
20
20

C

2-10

I

h'E @ Ic
(min) (max)

·i
=>

VCEISATI@lc
(volts)

~
=>

20
20
20
40

80
80
80
80

0.2A
50M
50M
500M

4.0
2. a
2.0
0.9

50M
50M
3.0A

X
X
X

35
20
60

70

1. OM
1. OM
1. OM

S
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

20
20
10
10
30
30
50
50
70
70
100
100
30
9.0
9.0
19
19
20
20

0.5
0.5
0.75
0.3
0.5

200M
200M
200M
10M
10M

0.2
0.2
0.5
0.5
0.4
0.4

lOA

a

R

R

R

300
22
22
42
42
60
60

100M
4.0A

50M
IDA
lOA
IDA
IDA
400M
400M

0.3
0.3
0.3

t!

ti

S

S
S
S

S
0
0
0
0
0
0
0



II

.i!
T
T
T

5.0K

B

400M
400M

2.0M
5.0M

B
B

400M
400M
400M
20M
100M
20M

3.0M
5.0M
10M
4.0M
14M
4.0M

B
B
B

14M
3.0M

IDA
25A
25A

400M
400M
400M
20M
20M
20M

40
20
35
20

20M
20M
250M
200M

0.3
0.2
0.5
0.5

100M
20M
250M
200M

10M
3.0A

0.2
1.5

10M
3.0A

S
S
0
0
0
0
0
0
S

L

4.0M
3.0M
3.0M

10
20
30
20
40
20

0.3

~

o .2A

0
0
0

50
30
30
50
50
70
70
100
100

hI_

B
B
B
B

B

200M
200M
5.0K

M
M

20
30
20
35

1.5M
3.0M

E

50
40
70
100
70
100
20
30
40

E
B
T
T

80
100
140

5.0M
3.0M
5.6M
10M
5.6M
10M
10M
30M
50M

60
9.0
18
36

140
22
44
88

5.0K
200K
250K
JOOK
300K
20M

E
B

30
20

40

225
225

100M
100M
100M
100M
100M

0.2
0.2
0.2
0.2
0.2
0.25
0.25
0.25

10M
10M
10M
10M
10M
10M
15M
20M

0.8
0.5
0.4
0.3

2.0A
8.0M
8.0M
8.0M

E

E

T
T
T
T

T

a
a

0
0
0
0
0
0
0
S
0
0
0
0
S

20

S

20

S

10
10
10
10

S
S
S

LOA
5.0M
5.0M
5.0M

E

500M

1.0

sOOM

30
30
30
30

IDA
lOA
IDA
lOA

1.0
1.0
1.0
1.0

IDA
lOA
IDA

5.0K
s.OK
5.0K
5.0K

120

200M
10M
200M

0.2

10M

5.0N
s.ON
10M

IDA

B
B

B
E
E
E
E

E

R
R

R

0

is

R

40
25

a

B

B
B

2N635A - 2N710
TYPE

...=<~ i:=5
...
:E

2N635A
2N636
2N636A
2N637
2N637A
2N637B
2N638
2N638A
2N638B
2N639
2N639A
2N639B
2N640
2N641
2N642
2N643
2N644
2N645
2N646
2N647
2N649
2N650
2N650A
2N651
2N651A
2N652
2N652A
2N653
2N654
2N655
2N656
2N656A
2N657
2N657A
2N658
2N6.59
2N660
2N661
2N662
2N663
2N665
2N669
2N670
2N671
2N672
2N673
2N674
2N675
2N677
2N677A
2N677B
2N677C
2N678
2N678A
2N678B
2N678C
2N679
2N680
2N681

N
N
N
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
N
N
N
P
G P
G P
G P
G P
G P
G P
G P
G P
S N
S N
S N
S N
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G N
G P
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G

thru
2N692
2N694
2N695
2N696
2N696A
2N697
2N697A
2N698
2N699
2N699A
2N699B
2N700
2N700A
2N702
2N703
2N705
2N705A
2N706
2N706A
2N706B
2N706C
2N707
2N707A
2N708
2N708A
2N709
2N709A
2N710

co

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS
REPLACE·
MENT

REF•

USE

sp

MP277
MP278
MP279
MP1338
MP1338A
MP1338B
MP259
MP260
MP261

SP

sp

2N2955
2N2955
2N2955

2N2955
2N2955
2B2955

2N4238
2N4238
2N5681
2N5681

2N650
2N650
2N650
2N650
2N650
2N650
2N653
2N653
2N653
2N4237
2N4237
2N5681
2N5681

2N1191

TJ

:=

'C

J
A
J
C
C
C
C
C
C
C
C
C
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
C
C
C
C
A
A
A
A
A
C
C
C
A
C
A
C
A
C
C
C
C
C
C
C
C
C
A
f.

85
85
85
100
100
100
100
100
100
100
100
100
71
71
71
71
71
71
85
71
71
100
100
100
100
100
100
100
100
100
200
200
200
200
85
85
85
85
85
100
95
100
85
85
85
85
85
85
100
100
100
100
100
100
100
100
85
75

25
25
25
50
80
40
40
40
25
25
75
75
50
60
90
100
50
60
90
100
25
20

O.lW A
75M A
600M A
800M A
600M A
800M A
800M A
600M A
800M A
870M A
75M A
75M A
300M ·A
300M A
300M C
150M A
300M A
300M A
300M A
360M A
300M A
500M A
360M A
360M A
300M A
300M A
300M C

100
100
175
300
175
300
200
175
300
200
100
100
175
175
100
100
175
175
175
200
175
175
200
200
200
200
100

30
15
60
60
60
60
120
120
120
120
25
25
25
25
15
15
25
25
25
40
56
70
40
50
15
15
15

@25'C
S
SH
S

2N3428
2N3428
2N3428
2N3428
2N3428
2N3428
MP439
MP439A
MP439B
MP439C
MP327
MP328
MP329
MP330

:5

Po

2N665
2N176
2N3427
2N3427
2N3427
2N3427
2N3427
2N3427

2N1191

SP
SP
SP
SP
SP
SP
A
A
A
S
S
S
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
S
S
S
S
S
SP
SP
AP
A
A
A
A
A
A
AP
AP
AP
AP
AP
AP
AP
AP
S
A

2.5M
150M
2.5M
60W
60W
60W
60W
60W
60W
37W
37W
37W
80M
80M
80M
120M
120M
120M
100M
100M
100M
0.2W
0.2W
0.2W
0.2W
0.2W
0.2W
0.2W
0.2W
0.2W
4.0W
5.0W
4.0W
5.0W
167M
167M
167M
167M
167M
35W
35W
62.5W
300M
800M
300M
800M
300M
800M
90W
90W
90W
90W
90W
90W
90W
90W
150M
150M

.r.

VeB

VCE _

(Yolts) (volts)
25
20
25

34
34
34
30
30
30
25
25
20
45
45
45
45
45
45
30
30
30
60
60
100
100
25
25

20
20
15
35
65
75
35
65
75
35
65
75

25
25
18
30
30
30
30
30
30
25
25
25
60
60
100
100
16
14
11
9.0

11
25
40
30
40
40
25
25
75
75
30
40
70
80
20
30
60
70
20
20

i-

I

'"R
0
R
R
R
R
R
R
R
R
R

R

0
0
0
R
R
R

R
R
R
R
R

R
0
0
0
0
0
0
0
0
0
0
0
S
V
V

hFE @ Ie
(min) (max)
80
35
100
30
30
30
20
20
20
15
15
15

20
20
20
50
30
33
45
45
80
80
20
40
70
30
30
30
30
25
40
60
80
30
25
40
40
40

240
300
60
60
60
40
40
40

150

90
90
90
90
80
110
150

M

=a

S
S
S
S
0
0
0
0
X

S

40
40
20
20
20
20
50
50
50
50
20
18

10M
200M
10M
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A

0.2

10M

0.15

10M
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A

1.5
1.5
1.5
2.0

2.0
2.0
2.5
2.5
2.5

hI_

::>

i i
L

10M
10M
10M
10M
10M
10M
10M
10M
10M
200M
200M
200M
200M

500M
500M
0.5A
1.0A
1.0A

250
250
60
60
60
60
100
100
100
100

1.0A
1.0A
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
30M
50M

i::>

10M
15M
15M

B
B
B

20M
20M
20M

T
T
T

O.75M
0.75M
1. OM
1. OM

E

3.0A
3.0A

10M
10M
10M
30M

75
80
250
250
250

165

~

(yolts)

S
S
V
V

VCElsAn@le

::>

0.25

50M
50M
50M
50M
50M
50M

3.45
3.5

150M
250M

2.5M
5.0M

B
B

3.5
3.5
3.4
1.0
0.9

400M
550M
180M
3.0A
3.0A

B
B
B

0.35
0.35
0.2
0.2
0.35
0.35
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
0.3
0.75

1.0A
1.0A
400M
400M
1.0A

10M
15M
4.0M
15K
20K
3.0K
500K
500K

1.0
1.5
1.5
1.5
1.5
1.2
5.0
5.0
1.2

50M
150M
150M
150M
150M
50M
150M
150M
50M

0.5
0.5
0.3
0.3
0.6
0.6
0.4
0.4
0.6
0.6
0.4
0.15
0:3
0.3
0.5

10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
3.0M
3.0M
10M

0.25
0.25
0.25
0.25
0.25

30
30
50
50
100
100
30
50
100

E
E
E
E
E
E
E
E
E

LOA

B
E

B

E
E
E

B
B

400K
400K

B
B

2.0M

B

T

lOA
lOA
lOA
lOA
lOA
lOA

lOA
lOA
100M
50M

15

E

0.9

B

340M

15

E

~2~
4q~

Thyristors, see Table on Page 2-69
G

G
S
S
S
S
S
S
S
S

P
P
N
N
N
N
N
N
N
N
P

G
G P
S N
S N
G P
G P
S N
S N
S N
S N
S N
S N
S N
S N
S N
S N
G P

2N2218
2N2218
2N3498
2N3498
2N3498

2N835

2N834

2N696
2N2218
2N696
2N2218
2N3498
2N699
2N3498
2N3498
2N700
2N700
2N702
2N702
2N705
2N706
2N706
2N706
2N834
2N707
2N707
2N708
2N834
MM709

AH
SH
S
S
S
S
S
S
S
S
AH
AH
SH
SH
SH
SH
SH
SH
SH
SH
AHP
AH
SH
SH
SH
SH
SH

15
15
40
35
40
35
80
80
80
100
20
25
25
25
15
15
20
20
15
20
28
40
20
30
6.0
6.0
15

2-11

0
S
R
0
R

0
R
R
R
R

S
0
0
0
S
S
R

R
0
R

R

o

R

R
0
0
S

10
25
20
20
40
40
20
40
40
40
1.5
1.5
20
40
25
25
20
20
20
20
9.0
9.0
30
40
20
30
25

60
60
120
120
60
120
120
120
60
100

60
60
60
50
120
120
120
90

2.0M
10M
150M
150M
150M
150M
150M
150M
150M
150M
2.0M
6.0M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10*

25
15
35
35
35
4.0
4.0

E
E
E
E
E
E
E

52~

42~
52~

~2~
27()M
3~g~
70M
200M
20 21-1

2°2~

T
T

T
T
T
T

T
T
T
T
T
T
T

T
T

200M

T

79M

T
T
T

30~~

300
600M
800M

T

T

2N710A-2N780

....

TYPE

"" a:!

:E
2N710A
2N711
2N711A
2N711B
2N715
2N716
2N717
2N718
2N71BA
2N719
2N719A
2N720

G
G
G
G
S
S
8
8
S
8
8
S

2N720A
2N721
2N721A
2N722
2N722A
ZN725
2N726
lN727
2N728
2N729
2N730
2N731
2N734
2N734A
lN735
2N735A

S
S
S
8
8
G
S
8
8
8
S
8
8
8
8
8
8
8
8
S
S
8
8
8

N
N
N
N
N
N
N
N
N
N
N
N

S

N

lN736
2N736A
2N736B
2N738
2N738A
2N739
2N739A
2N740
2N740A
2N741
2N741A
2N742
2N742A
2N743
2N743A
2N744
2N744A
2N745
2N746
2N747
2N748
2N749
2N751
2N752
2N753
2N754
2N755

2N7S6
2N756A
2N757
2N757A
2N758
2N758A
2N758B
2N759

2N7S9A
2N759B
2N760
2N760A
2N760B
2N761
2N762
2N764 }

MAXIMUM RATINGS

>-

m:siii

REPLACE·
MENT

P
P

p
P
N
N
N
N
N
N
N
N

2N711
2N711

lN711
2N2221
2N2221
2N2221
2N3498
2N3498
2N3498

N
P

P
p
P

REF.

2N2905
2N2837

2N2218

lN2218
2B2218
2N718
2N718A
2N3498
2N3498
2N3498
2N720A
2N721
2N2904
2N722
2N2800

P

p

2N727

P

N
N
N
N

p

2N2539
2N2539
2N2218

lN731
lN2218

2N2221
2N1218A 2N2218
2N735
2N2218A lN2118
2N2222 2N735
2N2222 2N2218
2N2896 2N2895
2N2896 2N2895
2N2896 2N2895
2N735
2N2896 2N2895
2N735
2N2896

N
N
N
N
N
N
N
N
N

8
S
8
S
S
S
8
S
8
S
8
S

N
N
N

8
8
S
S
S
8
S
8
S
S

N
N
N
N
N
N
N
N
N
N

N

N
N
N
N
N
N
N
N

:i

TJ

@25'C

&1

'C

8H
SH
8H
8H
AH
AH
AH
AH
AH
AH
AH
AH

150M
150M
150M
150M
500M
500M
400M
400M
500M
400M
50 OM
400M

A

100
100
100
100
175
175
175
175
200
175
200
175

15
12
15
18
50
70
60
60
75
120
120
120

S
AH
AH
AH
AH
8H
A
A
8H
8H
A
A
A
A
A
A
A
A
A
A
A
A
A

500M
400M
50 OM
400M
500M
150M
300M
300M
4.0M
4.0M
500M
500M
500M
0.5W
500M
0.5W
500M
500M
0.5W
500M
0.5W
500M
0.5W
0.5W

A
A
A
A
A
A
A
A
A
A
A

200
200
200
175
200
100
175
175
175
175
175
175

120
50
50
50
50
15
25
25
15
30
60
60

100
50
50
50
50
12
20
20
15
30
40
40

175
175
200
175
175
200
175
200
175
200
200

80
80
80
80
80
80
80
125
125
125
125
125

60
60
60
60
60
60
60
80
80
80
80
80

0.5W
150M
150M
0.5W
0.5W
300M
0.36W
300M
0.36W
0.15W
0.15W
200M

A
A
A
A

200
100
100
200
200
200
200
175
200
175
175
175

125
15
20
60
60
20
40
20
40
45
45
25

80
15
20
60
60
12
15
12
15
30
30
25

175
175
175
200
175
175
175
200
200
200
200
200

30
45
20
85
60
100
45
60
45
60
45

30
25
20
45
20
60
80
45
60
45
60
45

200
200
200
200
200
200
200
200
200
200

60
60
45
60
60
45
60
60
50
50

60
60
45
60
60
45
60
60
30
30

100
100
150
150
150

12
12
20
20
25
20
20
20
20
20
20
15
15
15
45

10
7.0
15
15
25
15
15
15
15
15
15
15
15
15
45

A

2N2895
2N741
2N741
2N2218
2N2218
2N743
2N2369
2N744
2N2369
2N2218
2N2218
2N2218

A

8
AH
AH
AH
SH
AH
AH
A
A

2N2218

2N2218
2N2218
2N2218
lN2218
2N706
2N3019
2N3019
2N2218
2N3019
2N2218
2N3019
2N2218

2N2218
2N3019
2N3019
2N2218
2N3019
2N3019
2N2218A
2N2218A

2N3019
2N3019
2N2218
2N3019
2N3019
2N2218
2N3019
2N3019
2N2218A
2N2218

A
A
A
A

G
G P
S
8
S
S
S
8
8
S
8

2N727
2N727
2N2537
2N2537
2N2218

2N2218
2N2218
2N2369
2N2369
2N2221
2N2221
2N2221
2N2221
2N2221
2N2221
2N2221

2N2218
2N2218

ELECTRICAL CHARACTERISTICS
VCE _

PD

USE

AH
AH
S
8
8H
8H
8H
8H

A
A
S

A
A
A

A
A
A
A
A
A

Ii!

A
A
A
A
A
A
A
A
A
A
A

A
A
A
A

A
A
A
A
A
A
A

A
A

A
A
A

A
A

A
A

A

200M
200M
200M
0.5W
300M
0.3W
0.3W
0.5W
0.5W
0.5W
0.5W
0.5W

A

0.5W
0.5W
0.5W
0.5W
0.5W
0.5W
0.5W
0.5W
0.5W
0.5W

A
A

A
A

A
A

A
A
A
A
A
A
A

A
A
A
A
A
A
A
A

100

VCB

i

hFE

@ Ie

(volts) (volts) .§ (min) (max)
15
12

7.0
7.0
35
40
40
40
50
80
80
80

'"8

S
0
0
0
0

R
R
R
R
R

R
R
R

25
20
25
30
10
10
20
40
40
20
20
40

250
150
150
50
50
60
120
120
60
60
120

.~

'"

30
15
15
35

150M
150M
150M
150M
150M
10M
10M
10M
10M
10M
150M
150M

5.0
1.5
0.5
1.5
0.5

150M
150M
150M
150M
150M

30
15
15
25
25

E

0.6
0.6
0.7
0.7
1.5
1.5

10M
10M
10M
10M
150M

15
30

E
E

1.0
0.5
1.0
0.5
1.0
0.6
0.5
1.0
0.5
LO
0.5

10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M

20
20
40
40
80
80
80
20
20
40
40
80

0.5

10M

80
20
20

5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M

0

50
50
100
100
200
200
200
50
50
100
100
200

0
S
8
0
0
0
0
0
0
0
0
0

60
10
10
25
25
20
20
40
40
20
45
30

200

60
60
120
120
55
150
90

5.0M
5.0M
5.0M
10M
10M
10M
10M
10M
10M
10M
10M
10M

0
0
0

20
15
30

40
55
150

10M
10M
10M

R
R
0
0
0
0
0
0
0
0
0
0

a

a

R
R
R
0
0
0
0
0
0
0
0
0
0
0

40
20
20

120
80
80

12

50
20
45

8
0
0
0
0
0
0
0
0
0
0
8
S
S

25
25

5.0M
5.0M
5.0M
5.0M

10M
5.0M
5.0M

1. OM

25

0
0
0

S.OM

1. OM

a

1. OM
55
150

"i

a

10M
10M
50M
10M
15M
15M
150M
150M
150M
150M
50M
150M

15
15
30
30
60
60
60
15
15
30
30
60

8
0
0
0
0

hl_

0.5
0.5
0.55
0.25
1.2
1.2
1.5
1.5
1.5
5.0
1.2
5.0

45
120
200
200
60
120

R

120
45
45
90
90

:i:::>

10M
10M
10M
10M
15M
15M
150M
150M
150M
150M
150M
150M

40
20
20
30
30
20
15
30
20
20
20
40

R
R

VCElsAn@lc
(volts)

10M
10M

0.5

E
E
E
E

10M
10M
10M

0.35

10M

0.6

5.0M

0.5

5.0M

1.2
0.6
0.8
0.8
1.0
1.0
LO
LO
1.0

15M
10M
10M
10M
10M
10M
10M
10M
10M

1.0
0.5
1.0
1.0
0.5
LO
1.0
0.5
LO
LO

10M
10M
10M
10M
10M
10M
10M
10M
10M
10M

0.13
0.25
0.25
0.25
0.25

2.0M
10M
10M
10M
10M

=

150M
150M
150M
70M
70M
40M
50M
60M
40M
40M
50M

I
T

T
T
E
E

T
T
T

T
T
T
T
T
T
T
T

140M
140M
100M
100M
40M
25M

T

30M

T

60M

T

E
E
E
E
E

100M
100M

T

30M

T

E

60M

T

E
E

E
E
E
E
E

T

T
T
T
T

T

E
E

100M

T

300M

T

E

E

19
39

E

30
10
40

E
E

12
12
18
18
18

E

18
18
36
36
36
76
76
76
19
39

~

:::>

50M
50M
50M
60M
60M

E

l~OM

0.35

0.5

E

C

E

E

E
E
E
E
E

E
E
E

E
E

E
E
E
E

200M
500M
300M
500M
10M
10M

T
T

T
T
B
B

50M
20M
200M
200M
30M
30M
50M
50M
50M
50M
50M

B
B

50M
50M
50M
50M
50M
50M
50M

B
B
B
B
B
B
B

T
T

T
T

B
B
B
B
B

SOM

B

50M
50M

B
B

125M
100M
75M
100M
75M

T

320M
320M
320M
60M

T
T
T
T

Thyristors, see Table on Page 2·69

thru

2N767
2N768
2N769
2N770
2N771
2N772
2N773
2N774
2N775
2N776
2N777
2N778
2N779
2N779A
2N779B
2N780

G p
G P
S
8
8
8
S
S
8
8
S
G
G

N
N
N
N
N
N
N
N
N

G

p
p
P

S

N

2N961
2N961
2N3014
2N3014
2N3014
2N3014
2N3014
2N3014
ZN3014
2N3014
2N3014
2N964
2N964
2N964
2N2220

2N956
2N956
2N3013
2N3013
2N3013
2N3013
2N3013
2N3013
2N3013
2N3013
2N3013
2N960
2N960
2N960

SH
SM
8H
SH
SH
AH
AH
AH
AH
AH
AH
8M
SH
8H
A

35M
35M
150M
150M
150M
150M
150M
150M
150M
150M
150M
60M
60M
150M
LOW

A
A
A
A

A
A
A

A
A
A
A
A
A

A

C

150
150
150
150
150
150
100
100
100
175

2-12

12
30
20
4.0
7.0
20
4.0
7.0
20
50
50
50
35

60
150
16
30
80
16
30
80
200
200
200
1.40

2.0M
20M
20M
20M
10M
1.5M
1.5M

1.SM

6.0

E

11

E

1.5M

28
6.0

E
E

1. 5M

11

E

28

E

1.5M
10M
10M
10M
500*

0.16
0.125
0.125
1.0

10M
10M
10M
10M

T
T
T

T

2N781-2N902

TYPE

...!;1:!is iS
...
::E

REPLACE·
MENT

co

REF.

2N781
2N782
2N783
2N784
2N784A
2N785
2N789
2N790
2N791
2N792
2N793
2N794

G
G
S
S
S
G
S
S
S
S
S
G

2N795
2N796
2N797
2N799
2N800
2N801
2N802
2N803
2N804
2N805
2N806
2N807
2N808
2N809
2N810
2N811
2N812
2N813
2N814
2N815
2N816
2N817
2N818
2N819

G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G

2N820
2N821
2N822
2N823
2N824
2N825
2N826
2N827
2N828
2N828A
2N829
2N834

G
G
G
G
G
G
G
G
G
G
G
S

N
N
N
N

S
S
G
G
S
S
S
S
S
S
S
G
G
G
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

N
N
P

2N834

N
N
N
N
N
N
N

2N2222

2N838
2N2218
2N840
2N840
2N2218
2N2218
2N2895
2N2895
2N960

P

2N960
2N960
2N835
2N834
2N835
2N834
2N835
2N834
2N2906
2N2906
2N2906
2N2906

2N834A
2N835
2N837
2N838
2N839
2N840
2N841
2N842
2N843
2N844
2N845
2N846
2N846A
2N846B
2N847
2N848
2N849
2N850
2N851
2N852
2N858
2N859
2N860
2N861
2N862
2N863
2N864
2N864A
2N865
2N865A
2N866
2N867
2N869
2N869A
2N870
2N871
2N876
thru
2N901
2N902

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

P
P

N
N
N

2N834
2N834
2N834

2N834
2N834
2N834

2N3946
2N3946
2N3946
2N3946
2N3946

2N3946
2N3946
2N3946
2N3946
2N3946

P

N
N
N
N
N
P
P
p

N
P
P
P
P
P
p
P
p
P
P
p
p
p
p
p
p

N
N
N
N
N

p
p
p
p
p

2N827
2N828
2N828A
2N828A
2N834

P

p

N

p

2N2221
2N2222
2N2896
2N2896
2N960

p

P

N
N
N
N
N
N
p
p
p
p
p
P
p

2N2906
2N2906
2N2906
2N2906
2N2906
2N2906
2N2906
2N2906

P

p

P
N
N
p
p
N

2N2895

N

2N960
2N960
2N834
2N834
2N834
2N834
2N834
2N834
2N2904
2N2904
2N2904
2N2904
2N2904
2N2904
2N2904
2N2904
2N2904
2N2904
2N2904
2N2904
2N869
2N869A
2N2895

~

VCE_ ~

TJ

VCB

&1

'C

(volts)

(volts)

A
A
A
A
A
A
A
A
A
A
A

100
100
175
175
200
85
175
175
175
175
175
85

15
12
40
30
40
12
45
45
45
45
45
13

15
12
20
15
20

120M
120M
150M
75M
75M
75M
75M
75M
75M
75M
75M
70M
70M
75M
75M
75M
75M
75M
75M
75M
75M
75M
75M
75M

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

85
85
100
85
85
85
85
85
85
85
85
85
85
85
85
85
85
85
85
85
85
85
85
85

13
13
20
25
25
30
30
30
30
30
30
25
25
30
30
30
30
30
30
25
25
30
30
30

7.0
12
12
18
18
15
15
12
12
14
14
15
15
12
12
10
10
15
15
15
15
20

0
0
0
0
0
0
0
0
0
V
V
0
0
0
0
0
0
0

75M
75M
75M
75M
70M
70M
70M
150M
150M
150M
150M
300M

A
A
A
A
A
A
A
A
A
A
A
A

85
85
85
85
85
85

20
25
25
12
18
20
20
20
15
15
15
30

0
0
0

100
100
100
100
175

30
30
30
25
30
30
30
20
15
15
15
40

SH
SH
SH
SH
AH
AH
AH
AH
AH
AH
AH
SH

360M
0.3W
150M
150M
0.3W
0.3W
0.3W
0.3W
0.3W
0.3W
0.3W
60M

A
A
A
A
A
A
A
A
A
A
A
A

200
175
100
100
175
175
175
175
175
175
175
100

40
25
12
30
45
45
45
45
45
60
100
15

30
20
12
30
45
45
45
45
45
60
80
15

SH
SH
S
S

60M
150M
0.2W
0.2W
300M
300M
300M
300M
150M
150M
150M
150M
150M
150M
150M
300M
150M
300M
500M
500M
360M
360M
500M
500M

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

100
100
175
175
175
175
175
175
140
140
140
140
140
140
140

15
15
15
25
15
15
12

175
175
200
200
200
200

15
15
20
40
25
25
20
20
40
40
25
25
15
15
6.0
6.0
10
10
30
30
25
25
100
100

40
40
25
25
15
15
6.0
6.0
6.0
10
20
20
18
18
80
80

A

175

45

30

Po

USE

@25'C
SH
SH
SH
SH
SH
A
A
A
A
A
A
SH

150M
150M
300M
300M
350M
50M
150M
150M
150M
150M
150M
120M

SH
SH
SH
S
S
S
S
S
S
S
S
S
S
AH
AH
AH
AH
AH
AH
S
S
S
S
S
S
S
S
S
AH
S
S
SH
SH
SH
SH
SH

SH

SH
SH
SH
S
S
S
S
S
S
S
S
S
S
S
S
AH
S
AH
AH

8~

140

12
30
30
30
30
30

12

j

S
S
R
R
R
S
0
0
0
0
0

a
0
0
0

a
0
a
a
S
S
S
S
S
S
0
S
S
0

a
0
0

a
R
R
S
S

S
0
0
0
0

a
0
0
0
0

a
0
0
0
0
0
0
R
R

a
a

R

R

hFE @ Ic
(min) (max)
25
20
20
25
25

-i

=

VCEiSATI@lc
(volts)

i

=

IIJ_

f

'C

200M
200M
300M

T
T
T

1. OM
2.0M
8.0M
2.0M
2.0M
25M

B
B
B
B
B
T

=.. ~

10M
10M
10M
10M
10M

0.16
0.20
0.25
0.19
0.65

10M
10M
10M
10M
100M

30

10M

1.0
1.0
1.0
1.0
1.0
0.3

5.0M
5.0M
5.0M
5.0M
5.0M
10M

30
50
40
30
30
30
30
40
40
60
60
40
40
25
25
45
45
55
55
60
60
20
20
30

10M
10M
10M
12M

0.5
0.5
0.14
0.15
0.15

40M
40M
10M
12M
12M

35M
50M
600M
4.0M
4.0M

T
T
T
B
B

20M
20M
25M
25M
45M
45M
SSM
SSM
30M
30M
50M
50M
50M

0.2
0.2

20M
20M

14M
14M
3.0M
3.0M
5.0M
5.0M
15M
15M

B

0.75
0.75
0.25
0.25
0.25

200M
200M
50M
50M
50M

50M
50M
50M
20M

O. Z5
0.25
0.25
0.15

~g~

10M
10M
10M
10M
10M

0.25
0.2
0.2
0.2
0.25

10M
10M
10M
10M
10M

0.25
0.3
0.25
0.18
2.0
2.0
2.0
1.2
1.2
0.8
0.8
0.18

10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M

0.14
0.14
1.5
1.5
0.6
0.6

10M
10M
10M
10M
10M
10M

0.15
0.15
0.15
0.15
0.15
0.15
0.1
0.1
0.1
0.1
1.5
1.5
1.0
0.15
1.2
1.2

5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
150M
150M
10M
10M
50M
50M

15
30
15
30
20
40
25
25
100
100

E
E
E
E

30
50

.1.0

5.0M

9.0

60
150

E

E
E
E

E
E

60
60
80
80

110
110
180
180
220
220
180
180

30
40
40
40
40
20
100
25
25
50
25

40
9.0
18
18
36
76

30
30
50
50
70
70

E
E

E
E
E

E

50M
12M

4.0M
2.0M
2.5M
2.5M
250M
300M
300M
300M
350M

40
40

25
20
30
30
15
30
60
20
45
40
40
25

50
100
400
55
150
120
120
125

10M
10M
10M
10M
10M
10M
10M
10M
10M
5.0M
5.0M
10M

25
25

125
125

10M
10M

20
40
20
40
10
25
10
25
12
25
20
20
45
45
15
30
20
40
40
100

60
120
60
120
60
100
40
75
48
100
100
250
125
400
45
90
120
120
120
300

10M
10M
10M
10M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
150M
150M
10M
30M
150M
150M

20
40
80
20
40

I

2N2221 I 2N2218I A

I

150M

I

2-13

a

B

B
B
B
B
B

B

B
B
B

B
T

T
T

T
T

5gg~

f

300M
30M
30M
40M
30M
40M
50M
50M
320M

T
T
T

320M
320M

T
T

E
E

600M
600M
300M
300M
5.0M
6.0M
6.5M
7.5M
8.0M
10M
16M
16M
24M
24M
40M
50M
100M
400M.
50M
60M

T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T

E

1. OM

E

E
E
E
E

E
E
E
E
E
E

Thyristors, see Table on Page 2-69
SiN

'S.
!>l

'- :l!!

T

T
T
T

T
T

2N903-2N991

""
::E

...
...

2N903
2N904
2N905
2N906
2N907
2N908
2N909
2N910
2N911
2N912
2N914
2N914A

S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N
N
N

2N915
2N915A
2N916
2N916A
2N916B
2N917
2N917A
2N918
2N919
2N920
2N921
2N922
2N923
2N924

S
S
S
S
S
S
S
S
S
5
S
5
S
5

N
N
N
N
N
N
N
N
N
N
N
N
P
P

2N925
2N926
2N927
2N928
2N929
2N929A
2N930
2N930A
2N930B
2N934
2N935
2N936
2N937

5
S
S
S
S
S
S
S
S
G
S
S
S

P
P

2N938
2N939
2N940
2N941
2N942
2N943
2N944
2N945
2N946
2N947
2N948
thru
2N951
2N955
2N955A
2N956
2N957
2N958
2N959
2N960
2N961
2N962
2N963
2N964

S
S
S
S
S
S
S
S
S
S

G
G
S
S
S
S
G
G
G
G
G

N
N
N
N
N
N
P

2N964A
2N965
2N966
2N967
2N968
2N969
2N970
2N971
2N972
2N973
2N974
2N975
2N976
2N977
2N978
2N979
2N980
2N981
2N982
2N983
2N984
2N985
2N986
2N987
2N988
2N989
2N990
2N991

G
G
G
G
G
G
G
G
G
G
G
G
G
G
S
G
G
S
G
G
G
G
S
G
S
S
G
G

P

TYPE

•

.....
s !i2
~ :s
Q

p

P
N
N
N
N
N
p

P
P
P
p

P
P
P
P
P
P
P
p

N

MAXIMUM RATINGS
REPLACE·
MENT
2N2221
2N2221
2N2221
2N2221
2N2221
2N2221
2N2222
2N2895
2N2369

2N918
2N918
2N918
2N834
2N834
2N834
2N834
2N2906
2N2906

REF.

PD

=

~

TJ

Vea

@25'C

&1

'C

(Volts)

ELECTRICAL CHARACTERISTICS
VCE _

A
A
A
A
A
A
A
A
A
A
SH
SH

150M
150M
150M
150M
0.15W
0.15W
400M
500M
500M
500M
360M
360M

A
A
A
A
A
A
A
A
A
A
A
A

175
175
175
175
175
175
175
200
200
200
200
200

45
45
45
45
45
45
60
100
100
100
40
40

30
30
30
30
30
30
30
80
80
80
20
20

2N915

AH
AHP
AH
AH
AHP
AH
AH
AH
SH
SH
SH
SH
A
A

360M
1.2W
360M
360M
1.2W
200M
200M
200M
0.36W
0.36W
O.36W
0.36W
0.25W
0.25W

A
C
A
A
C
A
A
A
A
A
A
A
A
A

200
200
200
200
200
200
200
200
200
200
200
200
200
200

70
70
45
45
60
30
30
30
25
25
50
50
40
40

50
50
25
25
30
15
15
15
15
15
20
20
25
25

A
A
A
A
A
A
A
A
A
SH
A
A
A

0.25W
0.25W
0.25W
0.25W
600M
0.5W
600M
0.5W
500M
150M
0.25W
0.25W
0.25W

A
A
A
A
C
A
C
A
A
A
A
A
A

200
200
200
200
175
200
175
200
85
160
160
160

50
50
70
70
45
60
45
60
60
l3
50
50
50

40
40
60
60
45
45
45
45
45
12
40
35
30

A
A
A

0.25W
0.25W
0.25W
0.25W
0.25W
0.25W
0.25W
0.25W
0.25W
360M

A
A
A
A
A
A
A
A
A
A

175
175
175
175
175
175
175
175
175
150

40
40
40
25
25
40
40
50
80
20

35
35
35
8
8
18
18
50
80
15

g

2N916
2N918
2N918
2N918
2N918
2N834
2N834
2N834
2N834
2N2904
2N2904

2N2904
2N2904
2N2904
2N2904
2N929
2N929
2N929
2N929
2N930A
2N92.9
2N960
2N965
2N2907A 2N2904
2N2907A 2N2904
2N2907A 2N2904
2N2904
2N2904
2N2904
2N2904
2N2904
2N2904
2N2904
2N2904
2N2904
2N834

sc
sc
sc
sc
sc
sc
S

f

hFE

@ Ic

(volts) '§ (min) (max)

2N2218
2N2218
2N2218
2N2218
2N2218
2N2218
2N2218
2N910
2N910
2N2895
2N914
2N2369

2N2906
2N2906
2N2906
2N2906

2N2907A
2N2907A
2N2907A
2N2907A
2N2907A
2N2907A
2N2907A
2N2907A
2N2907A
2N834

USE

'"0
a
a
a
a
0

R
R
R
R
R
R

a
0
a
a
0
a
a
a
a
a
a
a
a
a
a
a
a
a
0
a
0
0

0

a
a
a
a
a
a
U
U

a
a
a
a

R

20
45
110
75
35
15
30
30

55
150
350

50
50
50
50
50
20
20
20
20
40
20
40

zoo

40
40
100
100
100
40
9.0
18
36

120
120
250
200
200
200
200
200
60
120
60
1Z0

120
120
300
300
300

~

==

10M
10M
50M
10M
10M
10M
10M
10M
10M
5.0M
10M
10M
10M
3.0M
3.0M
3.0M
10M
10M
10M
10M

10"
10*
lO~~

10*
10*
40M

22
44
88

10
10
10
10
10
10
20

VCE/SATI @ Ic

(volts)

~

==

hf _

C

~

==

5.0M
5.0M
5.0M
5.0M

0.5
0.4
0.4
0.4
0.7
0.4

10M
10M
10M
10M
200M
200M

1.0
0.2
0.5
0.5
0.2
0.5
0.4
0.4
0.2
0.2
0.3
0.3
0.5
0.5

10M
10M
10M
10M
10M
3.0M
10M
10M
10M
10M
10M
10M
5.0M
5.0M

50
50
50
50
50

12
24

E
E

0.5
0.5
0.5
0.5
1.0
0.5
1.0
0.5
0.5
0.3
0.3
0.5
0.6

5.0M
5.0M
5.0M
5.0M
10M
10M
10M
10M
10M
40M
5.0M
5.0M
5.0M

10
20
8.0
18
150
60
150
150
150

E
E
E
E
E
E
E
E
E

0.8M
0.8M
0.8M
0.8M
LOM
45M
LOM
45M
45M
35M

0.3
0.3
0.3

5.0M
5.0M
5.0M

9.0
18
36
25
25
25
25
25
25

E
E

LOM
2.0M
2.0M
16M
10M
LOM
1. OM
1. OM
1. OM
200M

B
B

70M
200M
200M
200M
300M
300M
300M
250M
300M

T
T
T
T
T
T
T
T
T

300M
300M
300M
250M
300M
300M
300M
300M
300M
300M
300M
300M
249M
400M
40M
100M
100M
50M

T
T
T
T
T
T
T
T
T
T
T
T

300M

T

300M
300M
44M
44M

T
T
T
T

10M

0.003
0.004
0.005
0.005
0.4

5.0M

30M
30M
150M
10M
10M
10M
10M
10M
10M
10M
10M

O.
0.3
1.5
1.5
0.2
0.2
0.2
0.2
0.2
0.2
0.18

150M
10M
10M
10M
10M
10M
10M
10M
10M

10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
20M
40M
150M
10M
10M
l.OM
10M
10M
10M
10M

0.18
0.18
0.18
0.2
0.25
0.25
0.25
0.25
0.25
. 0.25
0.25
0.25
0.17
0.2
l.5
0.25
0.25
3.0
0.125
0.15
0.18
0.15

10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
20M
40M
150M
10M
10M
10M
10M
10M
10M
10M

E
E
E
E
E
E
E
E
E
E

2.0M
8.0M
2.0M
2.0M
12M
25M
50M
60M
50M
40M
300M
300M

E

250M
600M
300M
300M
500M
500M
600M
600M
200M
200M
200M
200M
0.8M
0.8M

I

1.0
1.0
1.0
1.0

l.OM
LOM

18
18
36
76
19
39
40
76
36
18

I'"

E
E
E
E

E

E
E
E
E
E
E

T
T
T
T
T
T
T
T
T

T
T
T

T
T
T
T
T
T
T
T

B
B
B
B
B
B
E
T
E
T
T
T

B
T
T

B
B
B
B
T

Thyristors, see Table on Page 2-69

2N2501
2N835
2N835

p

P
P
P
P
P
P
P
p

P
P

P
p

P
P
P
P
P
P
P
N
P
P

2N964
2N964

2N720A

2N718A
2N2501
2N834
2N834
2N960
2N960
2N960
2N963
2N960
2N964A
2N960
2N960
2N963
2N963
2N963
2N963
2N963
2N963
2N963
2N963
2N963
2N960
2N960
2N978
2N720A

p

P
N
P
N
N
p
p

2N2895
2N2221
2N2221

2N985
2N2895
2N2218
2N2218

SH
SH
AH
AH
SH
SH
SH
SH
SH
SH
SH

150M
150M
500M
250M
0.25W
0.25W
150M
150M
150M
150M
150M

A
A
A
A
C
C
A
A
A
A
A

100
100
200
150
150
150
100
100
100
100
100

75
40
25
25
15
12
12
12
15

SH
SH
SH
SH
SH
SH
SH
SH
SH
SH
SH
SH
SH
SH
AH
SH
SH
A
SH
SH
SH
SH
AL
AH
AH
AH
AH
AH

150M
150M
150M
150M
150M
150M
15'OM
150M
150M
150M
150M
150M
100M
150M
330M
60M
60M
0.5W
60M
60M
60M
150M
500M
O.lW
0.3W
0.3W
67M
67M

A
A
A
A
A
A
A
A
A

100
100
100
100
100
100
100
100
100
100
100
100
100
100
150
100
100
200
100
100
100
100
200
100
175
175
75
75

15
12
12
12
15
12
12
7.0
15
12
12
7.0
15
15
30
20
20
80
20
15
15
15
100
40
20
20
20
20

A

A
A
A
A

A
A
A

A
A

A
A
A
A
A
A
A
A
A

8.0
8.0
50
20
15
15
15
12
12
12
15

a
a
a
a
a

R

S
S
S
S
S

15
12
12
12
15
12
12
7.0
15
12
12
7.0

S
S
5
5
S
S
S

tg

a
0
a
a
a
a
a

20
15
12
80
15
15
10
7.0
80
40
10
10
20
20

2-14

S

S
S
5
S

a
a
a

~g
100
45
20
40
20
20
20
20
40
40
40
40
40
17
17
17
17
40
40
40
40
30
50
15
30
30
3.6
50
40
50
40

300

60

§g~
50

E

36

E

40

E

40
40

E
E

T
T
T
T
T
B

R

a
a
a

R
R

40
20
20
40
40

250
120
120

1. OM
10M
10M
l.OM
l.OM

0.5
0.5

10M
10M

2N992-2Nl048C
TYPE

~~m

2N994
2N995
2N995A /
2N996 .'
2N997/
2N99S
2N999
2NI000
2N1003
2N1004

g

>-

i

a..

!ii
'" :5
<=>

REPLACE·
MENT

I~ r-~
P

2N3250

N
N
N
N

2N720A

2N1005
2N1006
2NlO07
2NIOOS
2NlO08A
2N1008B
2NlO09
2N1010
2NlOll
2NI012
2N1014
2NlO15
2N1015A
2NI015B
2N1015C
2N1015D
2NI015E
2NI015F
2NI016
2N1016A
2N1016B
2N1016C
2N1016D
2NI016E

S
S
G
G
G
G
G
G
G
G
G
S
S
S
S
S
S
S
S
S
S
S
S
S

N
N

2NI016F
2NlO17
2NI01S
2NI021
2NI021A
2N1022
2NI022A
2NI023
2N1024
2NI025
2N1026
2N1027
2N1028
2N1029
2NI029A
2N1029B
2N1029C
2N1030
2N1030A
2N1030B
2NI030C
2NI031
2NI03lA
2NlO31B

S
G
G
G
G
G
G
G
S
S
S
S
S
G
G
G
G
G
G
G
G
G
G
G

2NI031C
2NI032
2N1032A
2N1032B
2NI032C
2NI034
2Nl035
2NI036
2N1037
2N1038
2NI039
2NI040

G
G
G
G
G
S
S
S
S
G
G
G

P
P
P
P
P
P
P
P
P
P
P
P

G

P
P
P
P
P
P
P
P
N
N
N
N
N
N
N
N

G

G
G
G
G
G
G
S
S
S
S
S
S
S
S

p

2NS69
2N3250
2N996
2N720A
2N99S

p
p

p

2N2242
2N2242

2N2242
2N2242

P
P
P
P
N
P
N
P
N
N
N
N
N
N
N
N
N
N
N
N
N

2N3713
2N3713
2N5758
2N5760
M.J410
M.J411
M.J411
2N3713
2N3713
2N3713
2N5758
2N5760
M.J3430

N

MJ3430 M.J3430

p
p

2N100S
2N1008
2N1008
2N1011

P
P
p
p
p

P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P

2N3713
2N3713
M.J410
MJ410
M.J410
2N3713
2N3713
MJ3430
M.J3430

2N1021
2NI021
2N3323
2N3250
2N3250
2N3250
2N3250

2N3323
2N3250
2N3250
2N3250
2N3250

2N1553
2N1S54
2N1555
2N1556
2N1557
2N1558
2N1559
2N1560
2N1553
2N1554
2N1555

2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539

2N1556

2N1539

2NI038
2N1038
2N1038
2Nl042
2N1042
2NI042
2N1042

USE

Po

l

@25'C ~

g~
S
S
S
S
S
G
G
G

2N1041
2N1042
2N1043
2NI044
2N1045
2NI046
2N1046A
2N1046B
2NI047
2N1047A
2N1047B
2N1047C
2N1048
2Nl048A
2N1048B
2NI048C

REF.

MAXIMUM RATINGS
-.; T
J
Ve• VCE _

2NI038
2NI042
2NI042
2Nl042
2NI042

2N4912
2N4912
2N4912
2N4912
2N5759
2N5759
2N5759
2N5759

'C

(volts)

~~

~g

ig

150
200
200
200
175
200
200
100
100
100

15
20
20
15
75
100
60
40
35
35

6.0
15
15
12
40
60
60
25
20
20

A
A
C
C
C
C
C
A
C
A
C
C

175
175
95
85
85
85
85
55
95
100
100
150

15
15
20
15
35
55
35
10
SO
22
65
30

lSOW
150W
lSOW
150W
150W
150W
150W
150W
150W
lS0W
150W
150W

C
C

150
150
150
150
150
150
150
150
150
150
150
150

15
15
25
20
40
60
35
10
80
40
100
30
60
100
150
200
250
300
30
60
100
150
200
250

60
100
150
200
250
300
30
60
100
150
200
250

150W
150M
200M
150W
SOW
150W
120M
0.2SW
0.2SW
0.2SW
0.2SW
0.25W
90W
90W
90W
90W
90W
90W

C
A
A
C
C
C
C
A
A
A
A
A
A
C
C
C
C
C
C

90W
90W
90W

C
C
C

150
SS
100
95
100
95
100
100
175
175
175
175
175
100
100
100
100
100
100
100
100
100
100
100

300
30
30
100
100
120
120
40
IS
40
40
18
12
50
60
90
100
50
60
90
100
50
60
90

~g~

C
C
C
C
C
A
A
A
A
C
C
C

100
100
100
100
100
160
160
160
160
95
95
95

C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C

95
100
100
100
100
100
100
100
200
200
200
200
200
200
200
200

~~~

A
A

AH
AH
SH
AH
AH
AH
A
AL
AL
S
AH
AH

200M
360M
360M
360M
500M
500M
500M
150M
120M
120M

A
A
A
A
A
A
A
A
A

A
A
AP
A
A
A
A
A
AP
S
AP
SP

150M
150M
35W
0.3W
0.3W
0.3W
0.4W
20M
35W
150M
SOW
150W

SP
SP
SP'
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
S
S
AP
AP
AP
AP
A
A
A
A
A
A
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
A
A
A
A
AP
AP
AP
AP
AP
AP
AP
AP
AHP
AHP
AHP
AP
AP
AP
AP
AP
AP
AP
AP

(voRs)

sow

90W
90W
90W
250M
250M
250M
250M
20W
20W
20W
20W
20W
20W
20W
20W

sow
sow
sow

40W
40W
40W
40W
40W
40W
40W
40W

A

C

C
C
C
C
C

C
C
C
C

ELECTRICAL CHARACTERISTICS

I tg

hFE @ Ic

(min) (max)

R
R

a

0
0
0

a
a
a
a

45
35
35
35
35

140
140
140

40

VCEISAn@lc

~ (volts)

::0

loOM
1. OM
10M
20M
20M
20M
100*
10M

:i
::0

tg
O.lS
0.2
0.2
0.3
1.6
1.2
1.6
0.25

10M
20M
20M
60M
100M
50M
100M
100M

U
U

0

10
25
50

25
150
250

10M
10M
LOA

0.6
0.6
1.0
0.25
0.25
0.25
0.25

10M
10M
2.0A
O.lA
O.lA
O.lA
O.lA

75

V

30
40
20
10

3.0A
100M
4.0A
2.0A

1.5
0.2
0.8
1.5

3.0A
100M
4.0A
2.0A

V
V
V
V
V
V
V
V
V
V
V
V

10
10
10
10
10
10
10
10
10
10
10
10

2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
5.0A
S.OA
5.0A
S.OA
5.0A
S.OA

1.5
1.5
1.5
1.5
1.5
1.5
2.5
2.5
2.5
2.5
2.5
2.5

2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
5.0A
S.OA
S.OA
5.0A
5.0A
S.OA

300
10
6.0
100
50
120
55
40
15
35
35
15
10
20
30
60
70
20
30
60
70
30
40
70

V

10
70
70
23
30
23
30
20

5.0A
20M
70M
LOA
S.OA
S.OA
5.0A
1.SM

2.5
2.6
2.6
1.0
0.5
1.0
0.5

S.OA
200M
200M
5.0A
5.0A
S.OA
5.0A

0
0
0
0
0
0
0
0
S
S
S

20
20
20
20
50
50
50
50
20
20
20

60
60
60
60
100
100
100
100
60
60
60

lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

100
50
60
90
100
50
50
50
50
40
60
80

80
30
40
70
80
40
35
30
35
40
60
80

S
S
S
S
S
0
0
0
0

20
50
50
50
50

60
100
100
100
100

lOA
lOA
lOA
lOA
lOA

V
V
V

20
20
20

60
60
60

100
40
60
80
100
100
130
130
80
80
80
80
120
120
120
120

100
40
60
80
100
50
50
50

V
V
V
V
V

60
60
60
60
60

80
80
80

0
0
0

120
120
120

0
0
0

20
20
20
20
20
40
40
20
12
12
12
12
12
12
12
12

2-15

a
a
R
R
R
R
0
S
0
0

0
0
X

0
X

0
0

50

70
90
70
90
175

'-

~
.c:
!oi

iil

~
::0

E
E

44M

T

100M
100M
100M

T
T
T

7.0M

E

60K

T

1000

E

10
10

E
E

25
40
40
40
40

E
E
E
E
E

20

E

a

7.5K

E

5.0K
3.0M
0.5M

E
E
B

15M
20M

B
B

200K

T

200K

T

B
B
B
B

E
E
E
E
E

1. OM
loOM
2.0M
4.0M
7.2M

lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA

10
10
10

E
E

2.0K
2.0K
2.0K

E
E

lOA
lOA
lOA
lOA
lOA
8.0M
8.0M
8.0M
8.0M
LOA
LOA
l.OA

10
25
25
25
25
9.0
18
34
9.0
18
18
18

2.0K
2.0K
2.0K
2.0K
2.0K
150K
200K
300K
150K
8.0K
8.0K
8.0K

E

LOA
l.OA
LOA

l.0
1.0
1.0
1.0
1.0
0.5
0.4
0.3
0.5
0.25
0.25
0.25

LOA
3.0A
3.0A
3.0A
3.0A
5.0A
5.0A
lOA
500M
500M
500M
500M
500M
500M
500M
500M

0.25
0.75
0.25
0.25
0.25
0.2
0.4
0.4
7.5
7.5
2.0
1.0
7.5
7 :5
2.0
1.0

l.OA
3.0A
LOA
LOA
LOA
500M
5.0A
5.0A
500M
500M
500M
500M
500M
500M
500M
500M

18
2.0

U

36
36
36
36
36
36
36
36

I

9.0
9.0
18
lS
9.0

U
U
U
U

0
0
0

hl_

E
E

E
E
E

E
E

E
E

E
E
E
E

E
E

T

E
E
E
E

E
B
B
B
B
E
E
E

8.0K
250K
250K
250K
250K
15M
15M
15M

E
T
T

75K
125K
125K

E

75K
125K
125K

E
E

T

T
T
T
T

E
E

E

2Nl049-2N1136A

TYPE
2NI049
2NI049A
2NI049B
2NI049C
2NI050
2NI050A
2NI050B
2NI050C
2NI05l
2NI052
2NI054
2N1055
2NI056
2NI057
2NI058
2NI059
2NI060
2NI065
2NI066
2NI067
2NI068
2NI069
2NI070
2NI072
2NI073
2NI073A
2NI073B
2NI074
2NI075
2N1076
2NlO77
2NI078
2NI079
2NI080
2NI081
2N1082
2NI086
2NI086A
2NI087
2NI090
2NI091
2NI092
2NI093
2N1094
2NI097
2NI098
2NI099
2NllOO
2NllOl
2N1102
2Nll03
2Nll04
2N1105
2N1106
2N1107
2Nll08
2Nll09
2N11IO
2Nll11
2Nll14

~i:

~S

MAXIMUM RATINGS
REPLACE·
MENT

REF.

:E

!t

S
S
S
S
S
S
S
S
S
S
S
S
G

N
N
N
N
N
N
N
N
N
N
N
N

2N4912
2N4912
2N4912
2N4912
2N5759
2N5759
2N5759
2N5759
2N2218
2N2218
2N2218
2N2218

2N2218
2N2218
2N2218
2N2218

P
P
N
N
N
P
P
N
N
N
N
N

2N2043
2N1924

2N2042
2Nl924

G

G
G
S
G
G
S
S
S
S
S
G
G
G

S
S
S
S
G
S
S
S
S
G
G
G
G
G

S
G
G
G
G
G
G
G
G
S

P
P
P
N
N
N
N
P
N
N
N
N
N
N
N
N
N
N
P
P
P
P
P
P

2N2501
2N3323
2N4237
2N4237
2N5067
2N5067
2N3766

2N2218
2N2218
2N2218
2N2218
2N5068
2N4914

~~~m

2N4237
2N1414
2N1414

S
G
G
G
G
G
G

N
N
N
N
N
N
P
P
P
P
P
N

2Nll15
2Nll15A
2Nl116
2Nl117
2Nl118
2Nl118A
2Nll19
2N1l20
2Nll21
2NL122
2N1l22A
2N1l23

G
G
S
S
S
S
S
G
G
G
G
G

P
P
N MM3005
N MM3005
P 2N3250
P 2N3250
P 2N3546
P
N
P 2N961
P 2N960
P 2N3427

2N1124
2N1125
2N1l26
2NILP
2N1l28
2N1129
2N1l30
2N1l31
2N1l31A
2N1l32
2N113ZA
2N1l3ZB
2N1135
2N1l35A
2N1136
ZN1l36A

G
G
G
G
G
G
G
S
S
S
S
S
S
S
G
G

P
P
P

S
S

p
P

P
P
P
P
P
P
P
P

P
P
P

2N2221
2N2221
MM3005
MM3007

2N651
2N651
2N651
2N3428
2N1192
2N3427
2N1193

2N2369
2N2369

2N2501
2N3323
2N4237
2114237
2N5067
2N5067
2N3766
2N1073
2N1073
2N1073
2N2218
2N2218
2N2218
2N2218
2N5067
2N4913
2N2218
2N2218

2N4237
2N1413
2N1413,
2N277
2N173

2N2218
2N2218
MM3005
MM3005

MM3005
MM3005
2N3250
2N3250
2N3546
2N1120
2N956
2N956
2N3427
2N650
2N650
2N650
2N3427
2N1l91
2N3427
2N1l91
2N1l31
2N1131
2N722
2N722
2N2369
2N2369

USE
AP
AP
AP
AP
AP
AP
AP
AP
AH
AH
AH
AH
A
A
A
SH
A
AH
SP
SP
SP
SP
SP
AP
AP
AP
A
A
A
A
AP
AHP
AHP
S
AH'
AH
AH
AH
S
S
SP
A
AH
A
A
AP
AP
A
A
A
A
A
A
AH
AH
AH
AH
AH
S
S
S
AH
AH
AH
AH
S
AP
AH
SH
SH
SH
A
SH
S
S
A
A
A
S
S
S
S
S
S

S
AP
AP

~

TJ

Vce

@25°C

li

°C

(volts)

40W
40W

C
C
C
C
C
C
C
C
A
A
A
A
A
A

200
200
200
200
200
200
200
200
150
200
200
200

80
80
80
80
120
120
120
120
40
200
125
100

100
100
75
75
150
85
100
175
175
175
175
150

70
45
20
40
40
40
40
60
60
60
60
75

110
110
110
160
160
160
160
85
200
200
200
200
85
85
85
85
85
175
95
100
100
100
95
95

40
80
120
50
50
50
50
60
60
60
40
25
9.0
9.0
9.0
25
25
60
30
30
18
18
80
100

75
75
150
150
200
200

PD

t}ow

40W
40W
40W
40W
40W
0.5W
6.0M
0.8W
5.5M
240M
240M
50M
180M
0.25W
0.12W
120M
5.0W
lOW
50W
50W
2.0W

~

A
A
A
C
C
C
C
A

250M A
250M A
250M A
250M A
20W C
60W C
60W C
6.0M A
0.2W ,A
65M A
65M A
65M A
120M A
120M A
2.0W A
150M A
0.15W A
200M A
200M A
50W C
50W C
180M
180M
125M A
125M A
800M A
800M A
30M C
30M C
30M C
30M C
30M C
150M

ELECTRICAL CHARACTERISTICS
VCE _ .!"
(volts)

M

(min) (max)

80
80
80

0

120
120
80
40
200
115
100
50
45
20
15
40
20
40
30
30
45
45
30

0
0

40
80
120
40
35
30
35
60
60
60
40
25
9.0
9.0
9.0

R
R
R
0
0
0
0
S
S
S
0
S
0
0
0

30
15
15
18
18
70
65
15
25
35
35
60
100

0
0
0
R
R
S
0
R
R
0
0
0
0

0
0

0
0
V

0
0
R
V

R
R
0
0
0
0

0
0
0
0

100

20
40
45
45
60
100
16
16
16
16
16
25

150M
150M
600M
600M
150M
150M
150M
45W
65M
25M
25M
10M

A
A
C
C
A
A
A
C
C
A
A
C

85
85
200
200
140
140
140
95
85
100
100
100

20
20
60
60
25
25
10
80
15
12
15
45

15
15
60
60
25
25
10
70
15
11
14
40

300M
300M
LOW
LOW
150M
150M
150M
600M
0.6W
600M
0.6W
0.6W
100M
100M

A
A
C
C
A
A
A
A
A

85
85
85
85
85
85
85
175
175
175
175
175
140
140
100
100

40
40
40
40
25
25
30
50
60
50
60
70
12
12
60
90

35
40
35
40
18
25

V
V
V
V

35
40
35
40
45
12
lZ
35
35

0
0
0
0
0
0
0
l\
R

A

A
A
A
A

15

2-16

h'E @ Ic

R
0
0
a
0
U
U
U
S

0
S
S
S

30
30
30
30
30
30
30
30
25
20
20
20
18
34

90
90
90
90
90
90
90
90
80
80
43
90

80
175
75
75
50
50

20
20
20

60
60
60

40
20
20
20
10
17
17
17
30
40
15
50
15
34
25
35
25
25
25
30
45
12
12

40

40
40

L5M
200M
750M
L5A
L5A
0.75A
5.0A
5.0A
5.0A

90
90
70
50

0.5A
LOA
2.0A
0.5A
10M
LOM
LOM
LOM
20M
20M
200M
20M
4.0M
20M
20M
5.0A
5.0A

50
50
65
150
36
36

35M
35M
10M
10M
200M
200M

80
80
100
50
195
195
195
75
150

180

150
150
25

15
20
34
25
25
40

500M
500M
500M
500M
500M
500M
500M
500M
50M
0.2A
0.2A
50M
20M
20M
10M
5.0M

17
20
20
15
15
20
20
20

50

-:;;.

VCEISATI@lc

~ (volts)

::>

~
::>

7.5
7.5
2.0
LO
7.5
7.5
2.0
LO
3.0
5.0

500M
500M
500M
500M
500M
500M
500M
500M
50M
0.2A

2.0

50M
20M
20M

0.13
0.13
0.3
0.25

5.0M
10M

2.0
2.0
L33
0.5
2.0
LO
LO
LO

200M
200M
0.5A
1. 5A
0.75A

LO
LO
3.0
5.0
4.0

0.2
0.3
2.0
0.2

0.7
0.7
0.5
0.5
L5
L5
5.0
5.0

8.0M
LOA
LOA
2.0A
0.5A

20M
200M
200M
20M

12A
12A
100M
100M
10M
10M
200M
200M

0.5A
0.2A
15M
15M
lOA
LOM
10M
10M
100M

0.35
0.35
5.0
4.0

30

E

15

E

10

B

=

::>

~

75K
125K
125K

E

E
E

75K
125K
125K
80M

E
E

8.0M
3.0M

T
T
B
B
B

500K
500K
4.0M
10K

E

T

E

10M

T

0.75M
0.75M
0.5M
0.5M

B
B
B
B

E
E
E
E

200K
250K
300K
200K

B
B
B
B

20
20

E
E

10M
10M

T
T

10

E

17M

T

5.0M
10M
0.75M
5.0M
560M
LOM
LOM

B
B
B
B

40
0.96

20
40

E
B

E

E

15
15

E

30

E

E

0.15
1.0

5.0M
lOA

0.1
0.1
0.2

8.0M
8.0M
10M

35
35

E
E

100M
500M
100M
500M

40

E

40

E

70

E

15
15
25
25
25

E

50

150

500M

200
165
45
45
90
90
90

100M
100M
150M
0.15A
150M
0.15A
o.15A

0.25
0.25
1.5
1.5
1.5
1.5
1.5

100M
100M
150M
0.15A
150M
0.15A
0.15A

50
50

100
100

3.0A
3.0A

1.0
1.0

3.0A
3.0A

500M

,- ~ "§

9.0
18
36
9.0

60M
60M
a.5A
0.2A

S
V

I

20M

100
50
20
20
30
30
30

150

'J::

5.0A
5.0A
S.OA

O.Z
0.3
0.2
0.3

50

hr_

E
E

E
E

T

B
B

10K
10K
10M
20M

E

40M
35M
35M
35M
35M
7.0M

B
B
B
B
B

5.0M
5.0M
6.0M
4.0M
8.0M
8.0M
7.2M
3.0K

B
B

40M
40M
3.0M
400K
LOM
400K
LOM

50M
50M
60M
60M
60M
5.6M
5.6M
4.0K
4.0K

E
B
B

B

T

T
M
M
T

E

B
B
B
B

B

T
T
T
T
T
T
T
E
E

2N1136B-2N1201
;;;!

TYPE

5 i:s....
:E

2N1l36B
2N1l37
2N1l37A
2N1137B
2N1138
2N1138A
2N1l38B
2N1l39
2N1l41
2N1l41l.
2N1l42
2N1l42A
2N1l43
2N1l43A
2N1l44
2N1l45
2N1l46
2N1l46A
2N1l46B
2N1146C
2N1l47
2N1l47A
2N1l47B
2N1l47C
2N1l49
2N1l50
2N1l51
2N1l52
2N1l53
2N1l54
2N1l55
2N1l56
2N1l57
2N1l57A
2N1l58
2N1l58A
2N1l59
2N1160
2N1162
2N1162A
2N1l63
2N1163A
2N1l64
2N1164A
2N1165
2N1165A
2N1166
2N1166A
2N1167
2N1l67A
2N1168
2N1169
2N1l70
2N1171
2Nl172
2N1173
2N1174
2N1175
2N1176
2N1l77
2N1178
2N1179
2N1180
2N1l82
2N1183
2N1183A
2N1183B
2N1l84
2N1l84A
2N1184B

C>

REPLACE·
MENT

P
P
P
P
G P
G P
G P
S N
G P
G P
P
P
G P
G P

2N742

G

P

G

P
P
P
P
P
P
P
P
P
N
N
N
N
N
N
N
N
P
P
P
P
P
P
P
P
P
P
P
P

2N321
2N1414

REf.

g

G
G
G

G
G
G
G

S
S
S
S
S
S
S
S
G
G
G

G
G

G
G

G
G
G
G
G

G
G
G
G
G
G
G
G

G
G
G
G
G

G
G
G

G
G

G
G
G

G
G
G
G
G

2N1141

P
P
P

P
P
P
P

2N3614

N
N
P
P 2112137
N
P
P
P 2N1l89
P 2N2957
P
P
P
P
P
P
P
P
P
P

~~m~

g:

2Nll87
2N1188
2N1189
2N1190
2N1191
2Nl192
2N1193
2N1l94
2N1l95
2N1196
2N1197
2N1l98
2N1199
2N1199A
2N1200
2N1201

G
G
G
G
G

2N2955
2N2956
2N2956
2N2140
2N2140
2N2140
2N2141
2N2144
2N2145
2N2146

P
p

P
P
P
G P
G P
G p
G P
S P
S P
G N
S N
S N
S N
S N

2N742
2N1141

2N1141

2N2221
2N222I
2N2221
2N2221
2N2221
2N2221
2N2221
2N2221
MP501
MP502
2N1l43
2N1l42
2N3616
2N3616

2N835
2N835

USE.

Po
@25'C

G
G
G
G

G

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

2N319
2N1413

2N2218
2N2218
2N22I8
2N22I8
2N2218
2N2218
2N2218
2N2218
MP500
MP500
2N1141
2N1141
2N3615
2N36I5
2N1162
2N1162
2N1162
2N1162
2N1162
2N1162
2N1162
2N1162
2N1l62
2N1162
2N1l62
2N1162
2N3611

2N2137
2N1413
2N1189
2N2955
2N2955
2N2955
2N2955
2N2137
2N2137
2N2137
2N2137
2N2137
2N2137
2N2137
2N1185
2N1185
2N1185
2N1l85
2N1189
2N1189
2N1191
2N1191
2N1191
2N1191
2N1141

2N834
2N834

AP
l.P
AP
AP
AP
AP
AP
SH
AH
AH
AH
AH
AH
AH
A
A
sp
sp
sp
SP
SP
SP
SP
SP
A
A
A
A
A
A
A
A
SP
SP
AH
All
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
AP
S
S
S
SP
S
S
A
A
AH
AH
AH
AH
SP
SP
SP
SP
SP
SP
SP
A
1\
A
A
A
A
A
A
A
A
AH
AH
AH
SH
SH
SH
AH
AH

6.6M
750M
750M

m~
750M

750M
175M

175M
87W
87W
87W
87W
87W
87W
87W
87W
150M
150M
150M
150M
150M
750M

750M
750M
187W
187W
60M
75M

35W
35W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
45W
120M
120M
170M
0.25W
0.25W
200M

0.3W
80M
80M
80M

80M
106W
7.5W
7.5W
7.5W
7.5W
7.5W
7.5W

~gg~
200M
200M
200M
200M
200M
200M
200M
200M
225M

0.35W
0.3SW
65M
150M
150M

100M
100M

~

VCE_ ~

TJ

Vcs

'C

(volts!

(volts!

100
100
100
100
100
100
100
175
100
100

100
60
90
100
60
90
100
15
35
35

75
25
55
65
25
55
65
15

R
0
0
0
0
0
0
0

25

S

100
100
85
85
95
95
95
95
95
G
95
95
C
C
95
A 175
A 175
A 175
A 175
A 175
G 150
G 150
G 150
J
100
J
100
A 100
A 100
G
95
C
95
G 100
G 100
G 100
G 100
C 100
C 100
C 100
C 100
C 100
C 100
C 100
C 100
G
95
A
71
A
71
A
85
95
A 100
A 100
A
85
C
85
A
71

25
30
16
16
40
60
80
100
40
60
80
100
45
45
45
45
45
50
80
120
60
80
20
20
80
80
50
50
50
50
80
80
80
80
100
100
100
100
50
40
40
30
40
35
35
35
10
30

71
71
71
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
200
200
85
150
150
150
150

30
30
30
50
45
60
80
45
60
80
45
60
60
60
45
·45
40
40
40
40
30
70
70
25
20
20
20
20

i

A
G

C
C
C

tgg

C
C
A
A
C
C
C
C
C

A
A
A
C
C
C
G

C
C
C
A
A
A
A
A
A
A
A
A
A
A
1\
A
A
A
A
A
A

~g

j

2, <
25
16
16
20
30
40
50
20
30
40
50

45
50
20
20
60
60
35
35
35
35
60
60
60
60
75
75
75
75
30
20
20
12
30
20
20
25
10

60
20
30
40
20
30
40

t~
45
45
30
30
25
25
25
25
70
70
25
L5
15
15
15

2-17

S

a-

R
0
0
0
0
0
0
0
0

0
0

hFE

@ Ic

(min! (max!
50
75
75
75
100
100
100
20
10
15

100
150
150
150
200
200
200
200

·i::>

VCEISAl)@lc
(voHs!

~

3.0l.
3.0A
3.0A
3.0A
3.0A
3.0l.
3.0A
10M
10M
10M

1.0
1.0
1.0
1.0
1.0
1.0
1.0
0.7
2.0
2.0

t~

}g~

~:g

~g~ ~.~~

10
15
34
25
60
60
60
60
60
60
60
60
9.0
18
18
36
76
9.0
9.0
9.0
38
38

10M
10M

2.0
2.0

50M
50M

90
90
150
150
150
150
150
150
150
150
20
40
90
90
333

50M
50M

S
S
S
S
S
S
S
S
S
S
S
S

15
15

0
0
0
0

20
20
30
30
50
50
70

a-

0
0

aa-

0
0
0
0
0
0
0
R
R

aa-

R

R
R
R
R
R

0
0
0
0
0
0
0

12
0.94

0.98
0.94

20M
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
25M

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

60M
40M

lOA
IDA

0.8
0.8

30
20
20
20
40
40
40

75
50
65
65
65
65
65
65
65
65
65
65
65
65

85
60
60
60
120
120
120

1~~
4S
80
60
100
20
40
70
125
5.0
5.0

17
12
12
7.0
7.0

B

:
B
B

B
B
B
B
B
B
B

80
135
300
600
30
30
90
60
60
200
200

E

tI

100M

T

500M

T

zgg~ ~
480M

B

400M

T

0.15M
0.15M
0.15M
4.0M

B
B
E
E
E
E
E
E
E
E

7.0M

B
B
B
B
B

75K
75K

T
T

5.0M
8.0M
6.0M

B

4gA
4 A
E
E

3.0A
5.0A
25A
25A
25A
25A
25A
25A
25A
25A
25A
25A

1.0
1.0
0.8
0.8
0.8
0.8
0.8
0.8
0.8
0.8
0.8
0.8

3.0A
5.0A
25A
25A
25A
25A
25A
25A
25A
25A
25A
25A

3.0K
loOK
3.0K
loOK
3.0K
loOK
3.0K
loOK
3.0K

25A
25A

0.8
0.8

25A
25A

l.OK
3.0K

E
E

200M
200M

0.3
0.3

200M
200M

4.5M

4.5M
10M

B
B
B

0.075
0.075

10M
10M

50
50

E
E

105M

B

0.3

O.lA

20

E

0.5A
400M
400M
400M
400M
400M
400M
10M
10M
10M
10M

0.9
0.5
0.5
0.5
0.5
0.5
0.5

2.0A
400M
400M
400M
400M
400M
400M

E

10M

0.22
0.22

5.0K
500K
500K
500K
500K
500K
500K
1. 7M
0.75M
1. OM
1. 2M
1. 7M
2.2M

B

400M

T

loOK

30M

90
200
200
140

I

0.15M
0.15M

0.9
.948
.948
.973
.987
0.9
0.9
0.9

25M
25M
25M

~

E

15A
15A
15A
15A
15A
15A
15A
15A

25M

::>

4.0K

'-

100M
100M
0.15M
0.15M
0.15M

5.7
9.0
30
20
15
15
15
15
15
15
15
15
15
15

j

20M

50M
84
84

i!"

3.0l.
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
10M

S
S
0
0

h,_

::>

100M
10M
10M

20M

10M
10M

50M
50M

10M

10M
10M
2.0M

2.0M
8.0M
20M
20M
105M
1.5M

0.25
0.25

190
30
50
100
75
125
30
50
100
190
0.96
0.85
0.85
.952

E
E
E
E
E
E
E
E
E
E

B
B
B
B

10M
10M

5.0M
75M
75M

9.0
9.0

E
E

E
E

E
E
E
E
E
E
E
E

B

B
B
B
B
B
B

B
B
B
B

B
T
T

•

2N1202-2N1291

TYPE

i

!;c
:IE

•

2N1202
2N1203
2N1204
2N1204A
2N1206
2N1207
2N1208
2N1209
2Nl210
2N1211
2N1212
2N1213
2N1214
2N1215
2Nl216
2N1217
2N1218
2N1219
2N1220
2N1221
2N1222
2N1223
2N1224
2N1225
2Nl226
2N1227
2N1228
2N1229
2N1230
2N1231
2N1232
2N1233
2N1234
2N1235
2N1238
2N1239

G
G

G
G

S
S
S
S
S
S
S
G
G

G
G
G
G

S
S
S
S
S
G
G
G
G

S
S
S
S
S
S
S
S
S
S

~
ii:

:s

...
C>

P 2N2145
P 2N2146
P
P
N 2N3020
N 2N3500
N 2N5477
N 2N5477
N 2N4232
N 2N4233
N 2N5477
P
P
P
P
N
N
P 2N3250
P 2N3250
P 2N3250
P 2N3250
p
2N3250
P
P
P
P 2N3611
P 2N2904
P 2N2904
P 2N2904
P 2N2904
P 2N2905A
P 2N2905A
P 2N3495
N 2N5759
P 2N3467
P 2N3467

2N1240
2N1241
2N1242
2N1243
2N1244
2N1245
2N1246
2N1247
2N1248
2N1249
2N1250
2N1251
2N1252
2N1252A
2N1253
2N1253A
2N1254
2N1255
2N1256
2N1257
2N1258
2N1259
2N1260
2N1261

P
P
P
P
P
G P
G P
S N
S N
S N
S N
G N
S N
S N
S N
S N
S P
S P
S P
S P
S P
S P
S N
G P

2N1262
2N1263
2N1264
2N1265
2N1266
2N1267
2N1268
2N1269
2N1270
2N1271
2N1272
2N1273
2Nl274
2N1275
2N1276
2N1277
2N1278
2N1279
2N1280
2N1281
2N1282
2N1284
2N1285
2N1287
2N1287A
2N1288
2N1289
2N1291

G
G
G
G
G

S
S
S
S
S

S
S
S
S
S
S
G
G

S
S
S
S
S
G
G
G
G
G
G
G

G
G
G

MAXIMUM RATINGS
REPLACE·
MENT

P
P
P
P
P
N
N
N
N
N
N
P
P
P
N
N
N
N
P
P
P
P
P
P
P
N
N
P

2N3467
2N3467
2N3763
2N3763

2N2222
2N2222
2N2222
2N4914

REF.
2N2137
2N2137
2N1204
2N1204
2N3019
2N3498
2N5477
2N5477
2N4321
2N4321
2N5477

2N3250
2N3250
2N3250
2N3250
2N3250

2N3611
2N2904
2N2904
2N2904
2N2904
2N2904
2N2904
2N3494
2N3467
2N3467
2N3467
2N3467
2N3763
2N3763

2N2219
2N2219
2N2219
2N4913
2N2537
2N2537
2N2537
2N2537
2N869A
2N869A

MM869B

2N869A

2N5479
2N1531

2N5477
2N1529

2N1531
2N3617
2Nl191
2N1192
2N1191
2N2481
2N2481
2N2481
2N2481
2N2481
2N2481

2N1529
2N3615
2N1191
2N1191
2N1191
2N2481
2N2481
2N2481
2N2481
2N2481
2N2481

2N2501
2N2501
2N2501
2N2501

SP
SP
SH
SH
AH
AH
AP
AHP
AHP
AHP
AHP
SH
SH
SH
SH
SH
AP
A
A
A

A
A
SH
AH
AH
AP
S
S
S
S
S
S
S
AP
S
S
S
S
S
S
S
AP
AP
A
A
A
AP
A
S
S
S
S
SH
SH
SH
SH
SH
SH
AP
SP
SP
SP
AH
AH
AH
AH
AH
AH
AH
AH
AH
A
A
AM
A
A
A
A
S
S
S
S

2N651
2N652
2N1529

Po

@25'C

2N2537
2N2537
2N2537
2N2537
MM869B
MM869B

2N2501
2N2501
2N2501
2N2501

USE

2N650
2N650
2N1529

AH
A
A
SH
SH
AP

34W
34W
200M
200M
3.0W
3.0W
45W
45W
30W
30W
45W
75M
75M
75M
75M
75M
20W
0.25W
0.25W
0.25W
0.25W
0.25W
120M
120M
120M

sow

~

:f

=
J
J
A

A
A
A
C
C
C
C
C

A
A
A
A
A
C

A
A
A
A
A
A
A
A
C

0.4W
0.4W
0.4W
0.4W
0.4W
0.4W
0.4W
85W
l.OW
1.0W

A
A
A
A
A
A
A

l.OW
l.OW
l.OW
l.OW
l.OW
20W
20W
30M
30M
30M
85W
150M
600M
800M
600M
800M
275M
275M
275M
275M
275M
275M
85W
34W

A
A
A
A
A

34W
34W
50M
50M
80M
150M
150M
150M
150M
150M
150M
250M
250M
250M
150M
150M
150M
150M
0.2W
0.2W
0.2W
0.15W
240M
0.3W
0.3W
75M
75M
20W

TJ

C

A
A

C
C

A
A
A
C

A
A
A
A
A
A
A
A
A
A
C
J
J

J
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
C
C

A
A
C

'C

ELECTRICAL CHARACTERISTICS

=
hFE @ Ic
VC'_ .~
(volts) (volts) -g (min) (max)
VCB

95
95
100
100
200
200
200
200
175
175
200
71
71
71
71
85
90
175
175
175
175
175
85
85
85
95
160
160
160
160
160
160
160
200
160
160

80
120
20
20
60
125
60
45
60
80
60
25
25
25
25
20
45
30
30
30
30
40
40
40
60
35
15
15
35
35
60
60
110
120
15
15

60
70
15
15
60
125
60
45
60
80
60

20
15
15
35
35
60
60
110
120
15
15

160
160
160
160
160
85
85
150
150
150
200
85
175
300
175
300
200
200
200
200
200
200
200
95

35
35
60
60
110
30
30
6.0
6.0
6.0
60
20
30
60
30
60
30
30
40
40
30
50
120
80

35
35
60
60
110
25
25
6.0
6.0
6.0
60
15
20
30
20
30
30
30
40
40
30
50
120
45

95
95
75
85
85
150
150
150
150
150
150
100
100
160
150
150
150
150
85
85
85
85
71
85
85
100
85
85

80
80
20
12
10
20
20
20
20
20
20
15
25
100
40
40
40
40
16
16
16
20
40
25
25
15
20
35

45
45

20
45
25
25
25
25
40

'"0
0
0
0
0
0
0
0
0
V

0

0
R

0
0
0
0
0

120
75

40
30
18
9.0

100
120

=

VCE/SAn@ Ic
(volts)

hf _

~

=

500M
2.0A
400M
200M

0.3
0.6
0.5
0.4

500M
2.0A
200M
200M

2.0A
2.0A
2.0A
2.0A
1. OA

5.0
5.0
2.0
2.0
5.0

2.0A
2.0A
2.0A
2.0A
l.OA

l.0

l. OA

80
80
80
75
75
36

25
25

500*
l.OA
5.0M
5.0M

0.5A

E
E

E
E

25
14
28
14
28
14
28
14

0
0

l.5A
10M
10M
10M
10M
10M
10M
10M
l. OA
10M
10M

0
0
0
0
0

0.2
0.2
0.2
0.2
0.2

10M
10M
10M
10M
10M

14
28
14
28
14

E
E
E

5.0
0.5
l.5
l.5
l.5
l.5
0.3
0.3
0.3
0.3
0.6
0.3
10
0.6
0.6
0.6

2.0A
100M
150M
150M
150M
150M
10M
10M
10M
10M
10M
10M
l. OA
2.0A
2.0A
2.0A

70

E

R

12

R
R

0
0
0
0

60

50
50
15
15
20
15

l.OA

0.5A
0.5A
5.0"
20*
30*
2.0A

R
R

0
R

0
0
0
0
0
0
0
R

0
0

10

R

0
0
0
0
0
0
R
R
0
0
0
0
0
0
0
0
0

v
R
R
R
0
S

15
15
30
30
25
40
25
40
75
25
12
20
30
45

45
45
90
90
50
80
50
80
150
100
60
50
75
113

150M
150M
150M
150M
10M
10M
10M
10M
10M
10M
l.OA
2.0A
2.0A
2.0A

0.5
4.0
7.0
20
4.0
7.0
20
27
27
9.0

40
60
70
30
30
50
50
40

16
30
80
16
30
80
165
165
25

150
100
300
300

l.5M
l.5M
l.5M
l.5M
l.5M
l.5M
50M
50M
l.OM

20M
20M
20M
10M
l.5M
10M
10M
0.5A

l.0
l.0
0.3
l.0
l.0
l.0
l.0

14
28

100M

100M
100M
5.0M
5.0M
5.0M
5.0M
5.0M

0.2

10M

0.5
0.5
0.3
0.3
l.0

20M
20M
10M
10M
l. OA

,

L

~

= '"~
~

200K
200K
220M
220M
10M
10M

T
T
T
T
T
T

3.0M
3.0M
3.0M
3.0M

T
T
T
T

6.0M
7.0K
5.0M
2.0M
5.0M
2.0M

B
E

B
B
B
B

E

0.8
0.2
0.2
0.2
0.2
0.2
0.2
0.2
5.0
0.2
0.2

50

350

·f-g.
'"

18
9.0
6.0

0
0
0
0
0
0
0
0

15
15
15
15
15
15
15
25
80
30
30
30
30
16
12
6.0
15
40
25
25
10
15
30

2-18

40
25
15
25
20
20
15
20
15
15
12

os

E
E
E
E

3.0K

E

50K

E

E
E
E

E
E
E

E
E

15
25
10
6.0
11
28
6.0
11
28
20
20

E
E
E
E

9.0
18
37
76

E
E
E
E

40
60

E
E

7.5K

E

40M
40M
50M
50M
30M
50M
30M
50M
50M
40M
50K
200K
200K
200K

T
T
T
T
T
T
T
T
T
T

600K

B

lOOK
15M
15M
15M
15M
5.0M
7.0M
10M
5.0M

B
B
B
B
B
B
B
B
B

40M

B

E
T
T
T

E

E
E
E
E
E
E

2N1292-2N1382

TYPE

...g

~
i2
~

i ...
C>

2N1292
2N1293
2N1294
2N1295
2N1296
2N1297
2N1298
2N1299
2N1300
2N1301
2N1302
2N1303
2N1304
2N1305
2N1306
2N1307
2N1308
2N1309
2N1309A
2N1310
2N1311
2N1312
2N1313
2N1314
2N1315
2N1316
2N1317
2N1318
2N1319
2N1320
2N1321
2N1322
2N1323
2N1324
2N1325
2N1326
2N1327
2N1328
2N1329
2N1330
2N1331
2N1332
2N1333
2N1334
2N1335
2N1336
2N1337
2N1338
2N1339
2N1340
2N1341
2N1342
2N1343
2N1344
2N1345
2N1346
2N1347
2N1352
2N1353
2N1354
2N1355
2N1356
2N1357
2N1358
2N1358A
2N1359
2N1360
2N1361
2N1361A
2N1362
2N1363
2N1364
2N1365
2N1366
2N1367
2N1370
2N1371
2N1372
2N1373
2N1374
2N1375
2N1376
2N1377
2N1378
2N1379
2N1380
2N1381
2N1382

N
P
N
P
N
P
N
N
P
P
N
P
N
G P
G N
G P
G N
G P
G P
G N
S N
G N
G P
G P
G P
G P
G P
G P
G P
G P
G N
G P
G N
G P
G N
G P

G
G
G
G
G
G
G
G
G
G
G
G
G

G

G
G

G
G

G
G
G
S
S
S
S
S
S
S
S
G
G
G
G
G
G
G
G

N
P
N
N
P
N
P
N
N
N
N
N
N
N
N
N
P
P
P
P
P
P
P
P

G P
G P
G P
G P
G P
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G

G
G
G
G
G

p

P
P
P
p

P
P
P
N
N
P
P
P
P
P
P
P
P
P
P
P
P
P

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS
REPLACE·
MENT

REF.

2N1529

2N1532

2N1529

2N1533

2N1529

2N3611
2N3611

2N3019
2N3019
2N3019
2N2193
2N3019
2N3019
2N3019
2N3019

2N651

Po
@25'C

2N1531

2N1192

USE

2N1l91

2N3611
2N3611

2N3019
2N3019
2N3019
2N2192
2N3019
2N3019
2N3019
2N3019

2N650

2N174
2N174
2N375
2N375
2N375
2N375
2N375
2N375

25W
20W
25W
20W
25W
20W
25W
150M
150M
150M
150M
150M
150M
150M
150M
150M
150M
150M
0.15W
120M
120M
120M
0.18W
125W

i

.''Ii:."

TJ

VCB

VCE _

&i

'C

(Yolts)

(yolts)

!

C
C
C
C
C
C
C

100
85
100
85
100
85
100
100
85
85
85
85
85
85
85
85
85
85
85
85
85
85
100
90
90
85
85
85
71
85
100
85
100
85
100
85

35
60
60
80
80
100
100
40
13
13
25
30
25
30
25
30
25
30
35
90
75
50
30
40
32
30
20
10
20
35
35
60
60
80
80
100

30
60
45
80
60
100
80
20
12
12

S
S
S
S
S
S
S
R
0
0

AP
AP
AP
AP
AP
AP
AP
S
SH
SH
S
S
S
S
S
S
S
S
S
AL
AL
S
S
AP
AP
S
S
S
S
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
S
S
S
S
S
A
S
S

125W
0.2W
0.2W
0.2W
120M
20W
25W
20W
25W
20W
25W
20W

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
C
C
A
A
A
A
C
C
C
C
C
C
C

25W
20W
25W
25W
20W
25W
20W
25W
0.8W
0.8W
0.8W
0.8W
0.8W
0.8W
0.8W
0.8W
0.15W
0.15W
0.15W
0.15W
0.15W
0.15W
0.2W
0.2W

C
C
C
C
C
C
C
C
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

100
85
100
100
85
100
85
100
175
175
175
175
175
175
175
175
85
85
85
85
85
85
85
85

100
35
35
60
80
80
100
100
120
120
120
80
120
120
120
150
20
15
10
12
20
30
15
30

S
S
S
SP
SP
AP
AP
S
S
AP
AP
AP
AP
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

0.2W
0.2W
0.2W
90W
l50W
90W
90W
0.15W
0.2W
90W
90W
90W
90W
100M
100M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M
250M

A
A
A

85
100
85
95
100
100
100
100
100
100
100
100
100
85
85
100
100
100
100
100
100
100
100
100
100
100
100
100

30
30
30
80
100
50
50
25
25
100
100
120
120
18
18
25
45
25
45
25
45
25
45
12
25
12
25
25

C
J
J
A
A
J
J
J
J
A
A
A
A
A
A
A
A
A
A
A
A
A
A

A

15
75
50
15
40
16
15
12
6.0
20
30
30
60
45
80
60
100

0

0
R

hFE

30
40
30
40
30
40
30
35
30
30
20
20
40
40
60
60
80
80
80
20
15
20
40
20

S
S
S
S
S
S
S

45
50
45
40
15
40
30
40
30
40
30
40

80
30
30
45
80
60
100
80
45
45
45
25
50
50
50
65
16
10
8.0
10
12
20
10
15

S
S
S
S
S
S
S
S
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

30
40
30
30
40
30
40
30
10
10
10
10
10
10
10
10
15
60
30
40
30
40
25
25

20
20
15
40
60
40
40
20
20
75
75
100
100
18
18
25
45
25
45
25
45
25
45
12
25
12
25
25

0
0
0
0
0
S
S
0
0
S
S
S
S
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R

30
40
40
40
40
35
60
40
40
35
60
35
60
20
10
45
45
27
27
45
45
67
67
85
85
27
27
45

2-19

0
0
0
V

@

(min) (max)

110

200
200
300
300

125
55
135
200
180
150

150
150
150
150
150
150
150
150
100
250
100
150
150
150
140
150
80
80
90
140
100
100
90
140
90
140
165
165
105
105
165
165
165
165
330
330
330
330
165

Ic

..·i

0.5A
O.SA
0.5A
0.5A
0.5A
0.5A
0.5A
50M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
10M
5.0M
5.0M
20M

.. :I i!.. I

VCEISATI @Ic

(Yolts)

i! 14-

1.0
1.0
1.0
1.0
1.0
1.0
1.0
0.17

l.OA
l.OA
l.OA
l.OA
l.OA
l.OA
l.OA
15M

0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2

0.28

L

~

~

10M
10M
10M
10M
10M
10M
10M
10M
10M

4.0M
25M
35M
3.0M
3.0M
5.0M
5.0M
10M
10M
15M
15M
15M

B
T
T
B
B
B
B
B

20M
0.3A

6.0M

B

10M
10M
10M
3.0M

B
B
B
T

T
T
T
T
T
T
T
T
B
B
B
B
B

B
B
B
B

l.OA
LOA

0.4A
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A

0.3
1.0
1.0
1.0
1.0
1.0
1.0
1.0

0.4A
LOA
LOA
LOA
LOA
LOA
LOA
LOA

0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
30M
30M
30M
30M
30M
30M
30M
30M
50M
20M
0.4A
0.35M
10M

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

l.OA
LOA
LOA
LOA
l.OA
l.OA
LOA
l.OA

0.13
0.2

12M
10M

70M
70M
70M
70M
70M
70M
70M
70M
4.0M
7.0M
10M
10M
5.0M

10M
10M

0.2
0.2

50M
50M

l.5M
3.0M

B
B

10M
10M
10M
1.2A
1.2A
LOA
LOA
25M
25M
LOA
LOA
1.0A
LOA
100M
100M
50M
50M
50M
50M
50M
50M
50M
50M
50M
50M
50M
SOM
SOM

0.2
0.2
0.2
0.7
0.7
0.1
1.0

50M
50M
50M
12A
12A
2.0A
2.0A

l.0
1.0
l.0
1.0

2.0A
2.0A
2.0A
2.0A

5.0M
5.0M
10M
lOOK
lOOK
5.0K
5.0K
4.0M
4.0M
5.0K
5.0K
5.0K
5.0K
5.0M
2.5M

B
B
B
B
B
E
E
B
B
E
E
E
E
T
T

1.0
l.0
1.0
l.0
1.0
1.0
l.0
1.0
1.0
l.0
1.0
1.0
1.0

100M
100M
100M
100M
100M
100M
100M
100M
100M
100M
100M
100M
100M

10
5.0
40
40
20
20
40
40
60
60
75
75
20
20
40

E
E
E
E
E
E
E
E
E
E
E
E
E
E
E

•

2N1383-2N1470

TYPE

i...
:IE

•

2N1383
2N1384
2N1385
2N1386
2N1387
2N1388
2N1389
2N1390
2N1391
2N1392
2N1393
2N1394
2N1395
2N1396
2N1397
2N1398
2N1399
2N1400
2N1401
2N1402
2N1403
2N1404
2N1404A
2N1405
2N1406
2N1407
2N1408
2N1409
2N1409A
2N1410
2N1410A
2N1411
2N1412
2N1413
2N1414
2N 415
2N1416
2N1417
2N1418
2N1419
2N1420
2N1420A
2N1421
2N1422
2N1423
2N1424
2N1425
2N1426
2N1427
2N1428
2N1429
2N1430
2N1431
2N1432
2N1433
2N1434
2N1435
2N1436
2N1437
2N1438
2N1439
2N1440
2N1441
2N1442
2N1443
2N1444
2N1445
2N1446
2N1447
2N1448
2N1449
2N1450
2N1451
2N1452
2N1453
2N1454
2N1455
2N1456
2N1457
2N1458
2N1461
2N1462
2N1463
2N1464
2N1465
2N1466
2N1469
2N1470

~

=< :s
...
G
G

G
S
S
S
S
S
G
G
G
G

C>

P
P
P
N
N
N
N
N
N
P
P
P

G

P
P
P
P
P
P
P
P
P
P
P
P

G
G

P
P

G
G

G
G
G

G
G
G
G

G

G

G
S
S
S
S

G
G
G
G
G
G

S

S
G
S

S
S
S
S
S
G
G

G
S

s

G

G
G
G
G
G
G

G
G

s
S
S
S
S
S
S
G
G
G
G
G
G
G

G

MAXIMUM RATINGS
REPLACE·

MENT

2N2222
2N2222
2N2222
2N2222
2N2222

2N2955
2N3323
2N3323

2N2537
2N2537
2N2537
ZN2537
2N962

P
P
P
P
N
P
P
P
P
P
P
P

P
P

p
P

P
N
N
p

P
P
P
P
P
P
P

G

P

G

P

G
G

P

2N1193
2N1164

2N2218
2N2218
2N2218
2N2218
2N2218

2N2955
2N3323
2N3323

2N1408
2N2537
2N2537
2N2537
2N2537
2N956
2N1412
2N1413
2N1413
2N1413
2N1191

2N2219
2N5477
2N5477
2N5477
2N5477

2N1l62
2N718
2N2218
2N5477
2N5477
2N5477
2N5477

2N962
2N869
2N869

2N956
2N869
2N869

s

S

P
N

2N2904
2N5067

(volts)

25
30
25
25
30
45
50
20
25
20
20
10

25
30
10
25
30
25
50
20
18
20
10
6.0

I

(min) (max)

·il=>

40
40
40
30
30
30
30
30
15
25
25
30

40
40
40
20
20
20
20
20
12

0

50
50
50
10
3.5
5.0
5.0
3.5
25

15
20

0
0

30
10

200
200

12M
2.0M

75M
75M
150M
600M
800M
600M
800M
25M
70W
200M
200M
200M
100M
0.15W
0.15W
87W
600M
800M
30W
30W
60W
60W
80M
80M

A
A
A
A
A
A
A
A
C
A
A
A
A
A
A
C
A
A
C
C
C
C
A
A

100
100
100
200
200
200
ZOO
85
95
85
85
85
65

30
30
50
30
30
45
30
5.0
100
35
35
35

0

10
10
10
15
15
39
30
20
25
25
34
53

200
200

2.0M
2.0M

45
45
90
90

150M
150M
150M
150M
50M
5.0A
20M
20M
20M

150
150
95
175
200
200
ZOO
200
200
85
85

15
30
80
60
60
60
60
60
60
24
24

20
20
50
25
25
30
30
5.0
65
25
25
25
18
15
30
40
30
40
60
60
60
60

SH
S
S
SP
A
A
AP
AP
AP
SH
AP
AP

25M
100M
100M
70W
180M
100M

A
A
A

50M
23W
23W

A
C

100
140
140
110
75
100
95
95
95
100
95
95

6.0
6.0
120
20
45
80
80
80
15
100
100

0.4W
0.4W
0.4W
0.4W
0.4W
0.5W
4.0W
0.2W
0.2W
0.2W
0.2W
0.12W

A
A
A
A
A
A
C
A
A
A
A
A

200
200
200
200
200
1SCi
200
85
85
85
85
85

50
60
50
50
50
60
120
45
45
45
45
30

50
50
35
30
15
20
120
25
25
25
25
20

0
0

0.2W
0.2W

A
A

85
85
90
90
90
90
90
90
90
90
90
90
85
85
175
200

45
45
30
30
60
60
80
80
30
30
60
60
120
120
40
60

20
20
20
20
40
40
60
60
20
20
40
40
70
70
35
60

0
0
0

A
A
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
A
AP

2N2906
2N5068

(volts)

@ Ic

100
100
100
85
85
85
85
85
100
85
85
100

2N464
2N1l91

P
P
P

'C

hFE

A

2N464
2N1l91

P

VCE _

A
A
A
A
A
A
A
A
A
A
A
A

2N2904
2N2904
2N2904
2N2904
2N2904
2N2910
2N3498
2Nl191
2Nll91
2N1l91
2N1l89
2N2955

p

'"A

VCB

120M
120M
120M
50M
50M
50M
50M
50M
250M
150M
150M
75M

AH
AH
S
SH
SH
SH
SH
S
SP
S
S
S
A
A
A
SP
AH
AH
AHP
AHP
AHP
AHP
A
A

250M
240M
750M
300M
300M
300M
300M
300M
150M
50M
50M
50M

,.~

ELECTRICAL CHARACTERISTICS

TJ
100
85
100
175
175
175
175
175
100
85
85
85

2N2907A
2N2907A
2N2907A
2N2907A
2N2907
2N2410
2N3500
2N1191
2N1l91
2N1192
2N1l89
2N2955

G P
G P
G
G
G

A
SH
SH
S
S
AH
AH
AH
A
AL
AL
AL
AH
AH
AH
AH
AH
AH
AH
AH
AH
S

A
A
A
A
A
SH
AP
A
A
A
A
S

G P
G

Po
@25'C

AH

P
P
N
N
P
N
N
N
N
N
N
P
P

USE

S

P
N
N
N
N
P
P
P
P

REF.

20W
20W
0.25W
55W

A
A
A
A
A
A
A
A
A
A

C
A
A

C

C
C
A
C

6.0

6.0
6.0
6.0
100
15
45
50
50
50
12
90
90

2-20

R
0
0
0
0
0
0
0
0
R
R

27
20
10
30
20
15

165
90
40
55

50M
200M
10M
10M
10M
10M

30
40

150
160

10M
20M

175
175
175

12
250

105M
1.5M
1.5M
0.5M
0.5M
0.5M
0.5M
1.5M
7.0M

VcElsAn@lc
(volts)

~
=>

1.0

100M

0.6
0.5

5.0M
5.0M

0.8

5.0M

hf_

I
en

20

L

·f

:a=>'" ~

E

30

E

10
35

E

E

20M
250M

T
T

50M
24M
20M
3.0M

B
T
B

30M
30M
120M
140M
140M
100M
120M
100M
200M
4.0M
3.0M
250M

B
B
B

E

R

0
0

0
0
0
0
0

0

0

S
0
0

0
0

S
0

R
R
R

12

50
42
65
90

0.75
0.75
0.75
0.75
0.75
0.75
0.15
0.15

10M
10M
10M
10M
10M
10M
12M
12M
10
10
10
10

0.45
0.7

S
S

S
0
0
0

R
R
0
0
0
S

S
S

40
100
100
20
20
20
20

20
12
12
30
75

300
300
80
80
80
80

25A
150M
150M
1. OA
LOA
2.0A
2.0A

0.7
1.5
1.5
3.0
3.0
5.0
5.0

90
150

50M
5.0M
5.0M
5.0A
35M

0.2
0.1
0.1
0.4

2.0A
2.0A
2.0A
10M
0.5A
0.5A

1.5
1.0

100

50
115
75

0
0
0
0
0
0
0
0

0

0

0
0
0
0

0

0
0

0
0
0
0
U

S

25A
150M
150M
1. OA
LOA

2.0A
2.0A

50M
5.0M
5.0M
lOA

20
30
44
39
30
30

E

20
20
20
20

E
E
E
E

17

E

40
25
25

E
E

30
20
45
30
20
20
20

20
20
16
35
50
70
20
20
30
40
70
40
70
40
70
40
70
40
70
20
20

80
45
65
90
125
65
90
90
150
90
150
90
150
90
150
90
150

0.25A
200M
20M
20M
20M
20M
10M

0.2
l.0
1.0

Z.OA
2.0A
2.0A
10M
1. OA
1. OA

0.25
0.25
0.25
0.25
0.25
1.5
4.0

5.0M
5.0M
5.0M
5.0M
5. OM
0.25A
200M

0.6

0.25

10M

20M
20M
1. OA
1. OA
LOA
1. OA
LOA
l.OA
l.OA
l.OA
LOA
l.OA
0.5A
O.SA

1.0
1.0
l.0
1.0
1.0
1.0
1.0
1.0
1.0
l.0
0.75
0.75

3.0A
3.0A
3.0A
3.0A

l.OA

3.0

LOA

250M
200M

T
T
T

200M
200M
130M
130M
25M

T
T
T

E
E

T
T

800K
1. OM
l.3M

B

50M
60M
10M
10M
10M
10M
10M
10M

T
T
T

B

B

E

E
E

E

50M
16M
16M
0.6M
10K

T

T
T
B
B
T

r

T

T
E

E

5.0K
5.0K
5.0K

E
E
E

4.0K
4.0K

E
E

B
B

9.0
9.0
18
30
50

E
E
E
E
E

O.SM
1. OM
1. OM
1. OM
1. OM

16
30
45
60

E
E
E
E

75K
0.8M
1.5M
2.0M
2.5M

E

5.0K
5.0K
5.0K
5.0K
5.0K
5.0K
5.0K
5.0K
S.OK
5.CK
4.0K
4.0K
2.0M

3.0A
3.0A

3.0A
3.0A
3.0A
3.0A
1. OA
1.0A
36

15

E

T
T
T
T
B
T

E

10M
i2A

U
0
0

0
R
R
S
S

E
E

T
T

B
B
B
E

B
8
B

B

E
E
E

E
E
E
E
E
E

E
E
E
B

2N1471-2N1544A

...s Ii:s

MAXIMUM RATINGS

~

TYPE
2N1471
2N1472
2N1473
2N1474
2N1474A
2N1475
2N1476
2N1477
2N1478
2N1479
2N1480
2N1481
2N1482
2N1483
2N14B4

2N1485
2N1486
2N14B7
2N14B8

2N1489
2N1490
2N1491
2N1492
2N1493
2N1494
2N1494A
2N1495
2N1495A
2N1496
2N1499
2N1499A
2N1499B
2N1500
2N1501
2N1502
2N1504
2N1505
2N1506
2N1506A
2N1507
2N1508
2N1509
2N151O
2N1511
2N1512
2N1513
2N1514
2N1516
2N151B

2N1519
2N1520
2N1521
2N1522
2N1523
2N1524
2N1525
2N1526
2N1527
2N1528
2N1529

~ '"a..
G
S
G
S
S
S
S
S
G
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
G

P
N
N
P
P
P
P
P
P
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N

REPLACE·
MENT

2N834
2N2906A
2N2906A
2N2906A
2N4928
2N4928
2N3427
2N4237
2N4238
2N4237
2N4238
2N4231
2N4232
2N4231
2N4232
2N4913
2N4914
2N4913
2N4914
2N2218
2N2192
2N3500

P

REf.

2N834
2N2904
2N2904
2N2904
2N4928
2N4928
2N3427
2N4237
2N4237
2N4237
2N4237
2N4231
2N4231
2N4231
2N4231
2N4913
2N4913
2N4913
2N4913
2N2218
2N2192
2N3498

G
G
G

P

2N1204
2N1204
2N1204

P
p

G

P
P

2N1204

G P

G

G
G
G
G
G
S
S
S
S

S
S

G
S
S
S
S
G
G
G
G
G
G
G
G
G

G
G
S
G

2N1529A
2N1530
2N1530A
2N1531
2N1531A
2N1532
2N1532A
2N1533
2N1534
2N1534A
2N1535
2N1535A
2N1536
2N1536A
2N1537
2N1537A

G
G
G
G
G
G

2N153B

G

2N1539
2N1539A
2N1540
2N1540A
2N1541
2N1541A
2N1542
2N1542A
2N1543
2N1544
2N1544A

G

G
G
G
G
G
G

G
G
G

G

G
G
G

G
G
G

P
P
P

P
P
N
N
N
N
N
N
N
N
N
N
N
P

2N2219A
2N2219A
2N3444
2N2219
2N3019
2N3019

P

MP943
MP943A

P
P

G
G

2N4913
2N4914
2N4913
2N4914

2N2137
2N2137
2N221B
2N221B

2N3252
2N221B

2N3019
2N3019
2N4913
2N4913
2N4913
2N4913

P

P
P

P
P
P
P

N
P
P
P

P
P

P
P
P
P
P
P
P
P
P
P

P
P

P
P
P
P
P
P
P
P

G P
G

2N2144
2N2143

P
P

P

2N3325
2N3325
2N3325
2N3325
2N2218

2N3323
2N3323
2N3323
2N3323
2N221B

2N1529
2N1529
2N1529
2N1529
2N1529
2N1529
2N1529
2N1529
2N1529
2N1529
2N1529
2N1529
2N1529
2N1529
2N1529
2N1529
2N1529
2N1529
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539

'E

;e

TJ

@25'C

&l

'C

S
SH
S
A
A
A
A
A
S
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
AH
AH
AH

200M
150M
167M
0.25W
0.25W
0.25W
0.25W
0.25W
250M
5.0W
5.0W
5.0W
5.0W
25W
25W
25W
25W
75W
75W
75W
75W
3.0W
3.0W
3.0W

A
A
A
A
A
A
A
A

SH
SH
SH
SH
SH
SH
SH
SH
SH
SP
SP
AP

400M
400M
300M
0.25W
500M
25M
60M
75M
60M
34W
34W
25W

AHP
AHP
AHP
S
S
S
AL
SP
SP
SP
SP
AH
SP
SP
SP
SP
SP
SP
AH
AH
AH
AH
AH
AP

O.BW
O.BW
O.BW

AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP

90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W
90W

USE

AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP

Po

600M
LOW
LOW
75M
75W
75W
75W
75W
B3M

SOW
50W
SOW
50W
SOW
50W
80M
BOM
BOM
BOM

150M
90W

ELECTRICAL CHARACTERISTICS
VCE _

Vca

I

(volts)

(volts)

85
150
75
175
175
175
175
175
100
200
200
200
200
200
200
200
200
200
200
200
200
175
175
175

12
25
40
60
60
60
100
100
30
60
100
60
100
60
100
60
100
60
100
60
100
30
60
100

15
25
20
60
60
60
100
100
20
60
100
60
100
60
100
60
100
60
100
60
100

A
A
A
A
A
A
A
A
A
J
J
C

100
100
100
100
100

20
20
40
40
40
20
20
30
15
60
40
80

15
15
25
25
25
15
20
20
12
40
40
60

0
0
0
0
0
S
S
0
S

A
A
A
A
A
A
A
C
C
C
C
A

175
175
200
175
175
175

50
60

20
20
50
30
55
35
70
60
100
60
100

0
0
0

A
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C

C
C
C
C
C
C
A
A
A
A
A
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C

B5

100
100
100
95
95
95

B5

200
200
200
200
75

BO

60
100
60
75
60
100
60
100
25

95
95
95
95
95
95

50
80
50
80
50

B5

24
24
24
24
25
40

85
B5

85
175
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100

BO

40
60
60
80
80
100
100
120
40
40
60
60
BO

80
100
100
120
40
40
60
60
BO

80
100
100
120
40
40

hFE @ Ic
(min) (max)

:i
:::.

VCEISATI@lc
(volts)

:i
:::.

R

0
0

20
25

50

10M
400M

0.25

40
20
20
35
35
20
20
35
35
15
15
25
25

60
60
100
100
60
60
100
100
45
45
75
75

100M
200M
200M
200M
200M
750M
750M
750M
750M
200M
200M
1. SA
1.5A

0.2
1.4
1.4
1.4
1.4
2.0
2.0
0.75
0.75
3.0
3.0
1.0
1.0

0

0
0

7.0
10
10
100
20
20

R

B.O

V
V

4.0
7.0
7.0

R

V

100
100
100
100
100
300
60
60
90

400M
200M
200M
0.2A
200M
10M
10M
10M
10M
2.0A
2.0A
0.5A

O.lA
O.lA
O.lA
150M
600M
600M
1. OM
6.0A
6.0A
6.0A

12
18
36
12
30

E
E
E
E
E

0.5
0.4
0.3
0.3
0.3
0.2
0.2
0.2
0.2
0.6
0.6
0.75
2.0
1.5
0.6
1.5
3.6
3.6

200M
200M
200M
0.2A
200M
10M
10M
10M
10M
2.0A
1.0A
0.15A
0.15A

O.B

7.2
6.0
6.0

6.0A
6.0A
6.0A

B
B

15
15
17
17
25
25

=
:::.

~

3.0M
75M
4.0M
1. OM
2.0M
1. OM
1. OM
1. OM
3.0M

B

220M
220M
150M
150M
150M

T
T
T

100M
150M
120M
200K
200K
4.0K

2.0A

0.15A
150M
600M
600M
4.0M

'- :!:! i-!A
T

B
B
B
B
B
B
B

E
E
E

V

T
T
T

T
T

T
T
E

70M
140M
140M
50M
50M
50M

T
T
T
T

0.3M
0.3M
0.3M
0.3M

T
T
T
T

5.0K

E

5.0K

E

5.0K

E

5.0K

E

5.0K

E

T
T

1. OM
60
60
68
68
100
100

15A
15A
15A
15A
15A
15A

0.7
0.7
0.6
0.6
0.5
0.5

25A
25A
35A

35A
50A
50A
17
17
27
27
10

25
30

S
S

20

40

3.0A

20
45
30
60
40
75
50
90
30
20
45
30
60
40
75
50
90
30
20
45
30
60
40
75
50
90
30
30

0
S
0
S
0
S
0
S
S
0
S
0

20
20
20
20
20
20
20
20
35
35
35
35

40
40
40
40
40
40
40
40
70
70
70
70

3.0A
3.0A

S
0
S
0
S
S
0
S
0
S
0
S
0
S
S
S

35
35
35
35
35
50
50
50
50
50
50
50
50
50
75
75

70
70
70
70
70
100
100
100
100
100
100
100
100
100
150

2-21

E

15
15
15

20
40
60
40
60
40
60

100

10M
200M
200M
200M
200M
750M
750M
750M
750M
1.5A
1. SA
1.5A
1.5A

4.0
15
25
25
25
25
20
30
40
20
25
25
21

I

10M

U
U
U
U
U

S
V
V
V
V
V
V
V
V
V
V
V
V

:;.

hf _

3.0A

3.0A

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.2
1.2
1.2
1.2

3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A

1.2
1.2
1.2
1.2
1.2
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.2
0.2

3.0A

3.0A
3.0A

3.0A
3.0A
3.0A
3.0A

3.0A
3.0A
3.0A

3.ciA
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A

E
E

E
E
E

5.0K

E

3.0A
3.0A

5.0K

E

3.0A

5.0K

E

3.0K

E

3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A

3.0K

E

3.0K

E

3.0K

E

1. OK
3.0K

E
E

2N1545-2N1641

~
!C
:IE

...
:5
...

2N1545
2N1545A
2N1546
2Nl546A
2N1547
2N1547A
2N1548
2N1549
2N1549A
2N1550
2N1550A
2N155l

G
G
G
G
G
G
G
G
G
G
G
G

P
P
P
P
P
P
P
P
P
P
P
P

2N1551A
2N1552
2N1552A
2N1553
2N1553A
2N1554
2N1554A
2N1555
2N1555A
2N1556
2N1556A
2N1557
2N1557A
2N1558
2N1558A
2N1559
2N1559A
2N1560
2N1560A
2N156l
2N1562
2N1564
2N1565
2N1566

G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
S
S
S

P
P
P
P
P
P
P
P
P

2N1566A
2N1572
2N1573
2N1574
2N1585
2N1586
2N1587
2N1588
2N1589
2N1590
2N159l
2N1592
2N1593
2N1594
2N1595
thru
2N1604
2N1605
2N1605A
2N1606
2N1607
2N1608
2N1609
2N"i6l0

TYPE

•

2N1611
2N16l2
2N16l3
2N1613A
2N1613B
2N1614
2N16l5
2N16l6
2N1616A
2N1617
2N16l7A
2N1618
2N16l8A
2N1620
2N1622
2N1623
2N1624
2N163l
2N1632
2N1633
2N1634
2N1635
2N1636
2N1637
2N1638
2N1639
2N1640
2N164l

li2
c:>

MAXIMUM RATINGS
REPLACE·
MENT

REF.

USE

VCE _

@25'C ~

'C

(volts)

(volts)

100
100
100
100
100
100
100
100
100
100
100
100

60
60
80
80
100
100
120
40
40
60
60
80

45
45
60
60
75
75
90
30
30
45
45
60

l

C
C
C
C
C

9011
9011
9011
9011
9011
9011
9011
9011
9011
9011
9011
9011
9011
9011
9011
9011
9011
9011
9011
250M
250M
600M
600M
600M

C

C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
A
A
A
A
A

100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
175
175
175

80
100
100
40
40
60
60
80
80
100
100
40
40
60
60
80
80
100
100
25
25
80
80
80

60
75
75
30
20
45
30
60
40
75
50
30
20
45
30
60
40
75
50
15
15
60
60
60

600M
600M
600M
600M
750M
125M
125M
125M
125M
125M
125M
125M

A
A
A
A
A
A
A
A
A
A
A
A

175
175
175
175
100
85
85
85
85
85
85
85

80
125
125
125
25
15
30
60
15
30
60
15

60
80
80
80
10
10
20
40
10
20
40
10

125M
125M

A
A

85
85

30
60

20
40

150M A
0.21-1 A
100M A
100M A
100M A

100
100
140
140
140
95
95

25
40
10
10
10
80
80

24
40
10
10
10
60
60

95
95
200
200
200
100
200
175
200
175
200
175
200
175
85
160
100
85
85
85
85
85
85
85
85
85
160
160

60
60
75
75
120
65
100
60
60
80
80
100
100
100
90
50
25
34
34
34
34
34
34
34
34
34
30
30

40
40
50
50
55
40
100
60
60
80
70
100
80
100
90
20
20

2N2218
2N22l8
2N22l9

S
S
S
S
G
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N
N
N

2N22l9
2N3020
2N3020
2N3019
2N706A
2N2501
2N2221
2N835
2N2501
2N2221
2N2222

2N706
2N2501
2N2218
2N834
2N2501
2N2218
2N2218

A
A
A
A
A
A
A
A
A
A
A
A

S
S

N
N

2N2222
2N2222

2N2218
2N2218

A
A

P

VCB

9011
9011
9011
9011
9011
9011
9011
9011
9011
9011
9011
9011

N
N
N

P
P
P
P
P
P
P
P
P

TJ

AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AH
AH
A
A
A

2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1539
2N1561
2N1561
2N2218
2N2218
2N2218
2N2218
2N3019
2N3019
2N3019

p
P

ELECTRICAL CHARACTERISTICS

=

PD

C
C
C
C

C
C
C

-:;.

!
S

hFE

@Ic

(min) (max)
75
75
75
75
75
75
75
10
10
10
10
10

150

:l

'2
:::>

3.0A

VCE(SATI

(volts)

@Ic

~
:::>

hl_

0.2
0.2
0.2
0.2
0.2
0.2
0.2
LO
LO
LO
LO
1.0

3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
lOA
lOA
lOA
lOA
lOA

LO
LO
LO
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.4
0.5
0.4
0.5
0.4
0.5
0.4
0.5
3.0
4.0
LO
1.0
LO

lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
200M
200M
10M
10M
10M

20
40
80

150
30
30
30
30
30

10
10
10
30
30
30
30
30
30
30
30
50
50
50
50
50
50
50
50

30
30
30
60
60
60
60
60
60
60
60
100
100
100
100
100
100
100
100

lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA
lOA

15
30
60

50
100
200

5.0M
5.0M
5.0M

60
15
30
60
20
5.0
5.0
5.0
20
20
20
40

200
50
100
200

0.95
1.0
LO
LO

10M
10M
10M
10M

80
20
40
80

27
27
27
75
75
75
210

5.0M
5.0M
5.0M
5.0M
10M
l.OM
LOM
LOM
LOM
LOM
1. OM
LOM

L5
1.5
L5
1.5
1.5
L5
1.5

5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M

9.0
9.0
9.0
25
25
25
70

0

40
40

210
210

LOM
LOM

L5
1.5

5.0M
5.0M

70
70

0

40
40
6.0
6.0
6.0
30
50

30
30
30
75
125

20M
20M
15M
15M
15M
100M
100M

0.15
0.15
0.15
0.15
0.15
1.0
0.6

12M
12M
5.0M
5.0M
5.0M
500M
500M

100M
100M
150M
150M
150M
20M
5.0M
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
5.0M
1. OM
30M

1.0
0.6
1.5
1.0
0.2
0.13
5.0
2.0
1.0
2.0
1.0
2.0
1.0
2.0

500M
500M
150M
150M
150M
20M
50M
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
5.0M
5.0M

S
S
S
S
S
S
S
S
S
S
S

S
0

S
0
S
0

S
0
S

o·
S

a
S
0
S
0
0
0
0
0
0
0
0

0
0

a
0
0

a
0
0
0

a
a

150
150

j

L

:i:::>

LOK
3. OK
LOK
3.0K
LOK
3.0K
LOK

3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
lOA
lOA
lOA
lOA
lOA

S

S
S

~

-!-lil
-§

'"E
E
E
E

E
E

E

5.0K

E

5.0K

E

5.0K

E

5.0K
LOK
3.0K
LOK
3.0K
LOK
3. OK
LOK
3.0K
LOK
3.0K
LOK
3.0K
1. OK
3.0K
1. OK
3.0K

E
E
E
E
E

E
E
E
E

E
E
E
E
E
E
E
E

E
E

E

100M

T

B
B
B
B
B
B

E

5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M

E
E

5.0M
5.0M

B
B

4.0M
4.0M
7.2M
10M
25M

B
B
T
T
T

60M
60M
60M
500K
2.0M
3.0M
3.0M
3.0M
3.0M
3.0M
3.0M
3.0M

T
T
T
B
T

T
T

lOOK
5.0M

B
B

E
E
E

E
E
E

E
E
E

E

B

Thyristors, see Table on Page 2·69
G
G
S
S
S
G
G
G
G
S
S
S
G
S
S
S
S
S
S
S
S
G
S
G
G
G
G
G

G
G
G
G
G
S
S

N
N
P
P
P
P
P

P

p

N
N
N
P
N
N
N
N

N
N
N
N
N
P
N
P
P
P
P
P
P
P
P
P
P
P

2N3546
2N3546
2N3546
2N2l40
2N2l45

2N3546
2N3546
2N3546
2N2137
2N2137

2N2138
2N2l43

2N2137
2N2137
2N718A
2N2218
2N3019
2N1924
2N3498
2N5477
2N5477
2N5477
2N5477
2N5477
2N5477

2N22l8
2N3019
2Nl924
2N3500
2N5477
2N5477
2N5477
2N5477
2N5477
2N5477
2N5458
2N2906

2N2904

2N3325
2N3325

2N3323
2N3323

2N3325
2N3325
2N3325
2N5230
2N5230

2N3323
2N3323
2N3323
2N5229
2N5229

S
S
SH
SH
SH
AP
AP
AP
AP
S
S
S
S
AH
AHP
AP
AHP
AP
AHP
AP
AHP
A
A
S
AH
AH
AH
AH
AH
AH
AH
AH
AH

se
se

800M
LOW
1.011
240M
5.5M
60W
8511
60W
85W
60W
85W
6011
0.12W
250M
0.15W
80M
80M
80M
80M
80M
80M
80M
80M
80M
250M
250M

A
A
A
A
A

e
e
e
e

C

e
e
A
A
A
A
A
A
A
A
A
A
A
A
A
A

S
S
S
0
0
0
0
R
R
R
R
0
0
0
V

a
V
0
V
S
0
R

30
50
40
40
40
18
25
15
20
15
20
15
20
15
40
9.0
60

75
125
120
120
120
43
75
60
75
60
75
60
75
40
180

0.3

30
30
30

40
40
27
27
40
40
40
70
40
20
10

2-22

U
U

6.0
10

lOO~'<

100"

E
E

E

E
E

E
E

E
E
E
E
E

T
T
T
T
T

,
2N1642-2N1731

...

3l !;
TYPE

~

~

::IE ~

2N1642
2N1643
2N1644
2N1645
2N1646
2N1647
2N1648
2N1649
2N1650
2N1651
2N1652
2N1653
2N1654
2N1655
2N1656
2N1657
2N1660
2N1661
2N1662
2N1663
2NL664
2NL665
2N1666
2N1667
2N1668
2N1669
2N1670
2N1671
2N1672
2N1672A
2N1673
2N1674
2N1675
2N1676
2N1677
2N1678
2N1679
2N1680
2N1681
2N1682
2N1683
2N1684
2N1685
2N1686
thru
2N1689
2N1690
2N1691
2N1692
2N1693
2N1694
2N1699
2N1700
2N1701
2N1702
2N1703
2N1704
2N1705
2N1706
2N1707
2N1708
2N1708A
2N1709
2Nl710
2N1711
2Nl711A
2Nl711B
2Nl713
2Nl714
2Nl715
2Nl716
2Nl717
2Nl718
2Nl719
2Nl720
2Nl721
2Nl722
2Nl722A
2Nl723
2Nl724
2Nl724A
2N1l25
2Nl726
2Nl727
2Nl728
2Nl729
2N1730
2N1731

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS
REPLACE·
MENT

REF.

USE

Po
@2S"C

sc
250M
P
A
250M
P
2.0W
2N2218 S.
N 2N2218
AHP
LOW
G P
SH
150M
G N
2N5477 AHP
40W
S N 2N5477
2N5477 AHP
40W
S N 2N5479
40W
2N5477 AHP
S N 2N5477
40W
2N5477 AHP
S N 2N5477
2N1651 AP
100W
G P
2N1651 AP
100W
G P
2N1651 AP
100W
G P
250M
S N MM3006
MM3005 AP
250M
S N MM3007
MM3005 AP
250M
S N MM3007
MM3005 AP
S N MM3005
MM3005 AP
AP
85W
S N
AP
85W
S N
AP
85W
S N
SH
150M
S N
A
0.2W
G P
AH
150M
G P
30W
2N3615 SP
G P 2N3616
30W
2N3615 SP
G P 2N3618
SP
30W
2N3615
G P 2N3616
30W
G P 2N3616
2N3615 SP
0.12W
G P
S
Unijunction Transistor, see Table
120M
G N
AL
120M
G N
AL
80M
G P
AH
0.2W
A
S N
50W
S N
SHP
100M
sc
S P
SC
100M
S P
120M
S
G P
LOW
S N
2N5335 2N5334 S
LOW
S N 2N5334 2N5334 S
0.18W
S
G P
S
500M
S N
150M
G P
SH
100M
G P
S
100M
S
G P
S
S
S

~

...

';;

TJ
"C

Vca

VCE _

(vults) (vults)

A
A

160
30
160
25
60
175
A 100
35
A 100
15
C 175
80
120
C 175
80
C 175
C 175
120
60
C 110
100
C 110
120
C 110
A 160
100
A 160
125
A 160
125
C 200
60
60
C 200
C 200
80
100
C 200
A 150
20
A 100
45
15
A
85
C
90
80
60
C
90
60
C
90
C
80
90
A
85
100
on Page 2·87
A
40
85
40
A
85
A
85
35
A 200
45
100
C 150
A 140
4.5
A 140
4.5
60
A
85
A 175
100
A 175
60
C 100
30
A 175
25
A
85
13
A 100
25
A 100
25
C

6.0
25
40
20
12
80
80
80
80
60
100
120
80
100
100
60
60
80
100
15
40
12
60
48

I
U
U

R

0
S
V

0
V

0
S
S
S
0
0
0
S
R
R
R

0
R

0
V
X

hFE @ Ic
(min) (max)
15
10
40
20
20
15
15
30
30
35
35
35
20
10
20
7.5
45
45
45
30
45
5.0
L5
35

48
60

X
X

20
20
15

40
40

X
X

20
20

0
S

25

45
100
4.5
4.5
60

25
120
45
45
90
140
140
140
45
22
45
30
135
135
135
150
120
100
30
80
45
65

i

::::0

100*
100*
150M
0.2A
10M
0.5A
0.5A
0.5A
0.5A
lOA
lOA
lOA
l.OM
LOM
LOM
0.85A
LOA
LOA
LOA
20M
10M
10M
6.0A
6.0A
6.0A
6.0A
10M

w

:a

100

LOA

1.5
4.0

150M
0.2A

3.0
3.0
3.0
3.0
0.65
0.65
0.65
0.3
0.3
0.3
4.5
4.0
4.0
4.0
0.25
0.5

LOA
l.OA
l.OA
l.OA
25A
25A
25A
5.0M
5.0M
5.0M
0.85A
LOA
l.OA
LOA
10M
O.lA

0.5
0.5
0.5
0.5

120
120
120

0

40
40
30
20
50

20M
600M
600M
10M
10M
40M

25

55
35
15
20
12
25
20

R
X
R

60

180

30M

20
20

60
60

500M
500M

17
20
20
20
15
15
50

50
175
80
80
60
60
200

LOM
l.5M
100M
300M
800M
800M
l.OM

R
R

60
30

120
150

20M
10M

0

20
30
7.5
4.0
100
100
100
20
20
20
40
40
20
20
40
40
20
30
50
20
30
50
50
20
20
30
30
40

L5
2.5
0.1
0.1
3.6
3.6
0.1
0.6
12
0.15

h,_

::::0

25

I
E

'-

:i
::::0

50M T
450M T
3.0M
2.0M
3.0M
2.OM

20
20
20

t~
T
T
T
T

E
E
E
B
B
B
B

6.0A
6.0A

lOOK
lOOK
lOOK
2.0M
25M
25M
25M
100M
O.lM
300M
2.0K
2.0K

6.0A
6.0A

2.0K
2.0K

E
E

2.0M
2.0M

B
B

20M
120M
16M
16M
25M
50M
50M
5.0M
200M
50M
4.0M
8.0M

T
T
T
T

LOM
LOM

U
U

S
0
0
0

VCEISAT!@lc
(vults)

5.0M
5.0A
5.0M
5.0M
20M
600M
600M
10M
10M
LOA
12M
100M

50

E

15
15
20
50

E
E
E
E

25

E

T
T
T
T
B

T
E
E

B

T
T
B

T
T
B
B

Thyristors, see Table on Page 2·69
S
S

N
N

G
G

P
P
N
P
N
N
N
N
N
P
P
P

G
G

S
S
S
S
S
G

G
G

S
S
S
S
S
S
S
G

S
S
S
S
S
S
S
S
S
S
S
S
S
S
G
G
G

G
G
G

N
N
N
N
N
N
N
P
N
N
N
N
N
N
N
N
N
N
N
N
N
N
P
P
P
P
N
P

2N4912
2N5050

2N4237
2N4910
2N5067
2N5067
2N2218

2N4910
2N5050
2N1561
2N1561
2N4237
2N4910
2N5067
2N5067
2N2218
2N1705
2N1705
2N1705
2N1708
2N718A

2N2219A 2N2218A
2N2219A 2N2218A
2N4237
2N5681
2N4237

2N4237
2N5681
2N4237

2N3766
2N3767
2N3766
2N3767
2N5427

2N3766
2N3766
2N3766
2N3766
2N5427

2N5428

2N5427
2NI724
2Nl724
2Nl724
2N3323
2N3323
2N3323

2Nl724A
2N3323
2N3324
2N3324

AP
AP
AH
AH
S
AH
S
S
S
S
S
A
A
A
SH
SH
AHP
AHP
S
AH
AH
AP
AP
AP
AP

A.P
AP
AP
AP
AP
AP
AP
AP
AP
AP
AH
AH
AH
S
S
A

40W
40W

C

350M
350M
75M
100M
5.0W
25W
75W
75W
3.3M
0.2W
0.2W
0.2W

A
A
A
A
C
C

LOW
300M
15W
15W
800M
LOW
LOW
80M
20W
20W
20W
20W
20W
20W
20W
20W
50W
50W
50W
SOW
SOW
50W
60M
60M
60M
150M
150M
150M

C

C

C
J
A
A
A
C

A
C
C

A
A
A
A
C
C
C
C

C
C
C
C
C
C
C
C
C
C
A
A
A
A
A
A

200
200

80
120

80
120

0
0

100
100
85
100
200
200
200
200
175
100
100
100

25
25
20
40
60
60
60
60
45
18
25
30

15
25
20
40
60
60
60
60
45
12
18
25

0
S
0

175
175
175
175
200
200
200
85
175
175
175
175
175
175
175
175
175
175
175
175
175
175
100
100
100
85
85
85

25
40
75
60
75
75
120
30
90
150
90
150
90
150
90
150
120
180
120
120
180
120
20
20
20
25
20
30

12
20
30
30
50
50
55
12
60
100
60
100
60
100
60
100
80
120
80
80
120
80
20
20
20
15
15
30

2-23

X
V
V
V
V

0

7.5
7.5

500M

3.0
4.0

200M
200M

12.5
20
20

2.5A
5.0A
5.0A

l.0

10M

0.22
0.22
5.0
5.0
1.5
LO
0.2

10M
10M
LOA
l.OA
150M
150M
150M

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
LO
0.6
LO
1.0
0.6
LO

200M
200M
200M
200M
200M
200M
200M
200M
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A

20

R

R

0
0
R
R
R

0
0
0
0
0
0
0
0
0
0
0
0

0
0
0
S
S
S
X
X
X

120
75
100
300
300
300
60
60
120
120
60
60
120
120
90
90
150
90
90
150

10M
10M
0.35A
0.35A
L50M
150M
150M
L5M
200M
200M
200M
200M
200M
200M
200M
200M
2.0A
2.OA
LOA
2.0A
2.0A
2.0A
LOM
LOM
LOM
100M
100M
10M

0.35
0.35

40
70
50
40

50
50
50
40

90K
90K

E
E

3.0M

B

0.4M
0.35M
0.3M
0.3M
5.0M

B
B
B
B
B

200M
300M
175M
140M
70M
70M
70M
100M
16M
16M
16M
16M
16M
16M
16M
16M
10M
10M
10M
10M
10M
10M
100M
100M
100M

T
T
T
T
T
T
T

M
M
M

5.0M

B

E

E
E
E
E

E
E
E
E

B

T
T

T
T
T
T

T
T
T
T

T
T

T
T

200M
200M

•

2N1732-2N1868

...

TYPE

....
s !ii
~ =s

. ....

::E

•

2N1732
2N1742
2N1743
2N1744
2Nl745
2N1746
2N1747
2N1748
2N1748A
2N1749
2N1750
2Nl751
2Nl752
2Nl753
2N1754
2N1755
2N1756
2N1757
2N1758
2N1759
2N1760
2N1761
2N1762
2Nl763
2N1764
2N1765
2N1768
2N1769
2Nl770
thru

2Nl778
2Nl779
2N1780
2N1781
2N1782
2N1783
2N1784
2N1785
2N1786
2N1787
2N1788
2N1789
2N1790
2N1792
thru

0

I

I

ELECTRICAL CHARACTERISTICS

TJ

Vca

VCE _

·C

(yoItS)

(yolts)

C
A
A

100
85
85
95
95
95
95
95
95
95
95
175
175

30
20
20
20
20
20
20
25
25
40
14
80
12
30
13
40
60
80
100
40
60
80
100
40
20

30
20
20
20
20
20
20
25
25
40
6.0
80
12
18
13
35
50
65
75
35
50
65
75
25
15

C
C

200
200

60
100

40
55

100M
100M
100M
100M
100M

A
A
A
A
A

100
100
100
100
100

25
25
25
30
30

20
25
25
20
15

100M
45M
45M
45M
50M
60M
60M

A
A
A
A
A
A
A

100
85
85
85
100
100
100

30
10
10
15
35
35
35

A
C

85
175
175
175
175
175
175
175
175
175
175
175
175
175

PD

'E

~
@2S·C 'lU

N
P
P
p
p
p
P
P
P
P
P
P

I

'"A
A
A
A
A
A
A
A
A
A
A
A
A
A
C
C
C
C
C
C
C

85
125
125
125
100
100
100
100
100
100
75
110

I'"
X

S
S
S
S
S
S
S
S
S
S
S
S
0
S
S
S
S
S
S
S
S
S
0
0

hFE @ Ic
(min) (max)
40
10
10
10
10
10
10

18
30

~

VCEISAT\ @Ic

=> (Yolts)

·i=>

hf_

10M
2.0M
2.0M
2.0M
2.0M
loOM
loOM

40
90

500"
20A

0.5

20A

30
50
30

E
E
E

20

E
E

50
50
20
30
30
30
30
60
60
60
60

220

lOO~'(

75
75
75
75
150
150
150
150

10M
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A

0.2
0.7
0.7
0.7
0.7
0.5
0.5
0.5
0.5
1.5
1.5

10M
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
10M
10M

0
0

35
35

100
100

750M

750M

0.75
0.75

R
R

20
30
40
30
20

60
110

X
X
0

30M
30M
20M
10M
10M

20
10
10
15
35
35
35

0
S
S
S
S
S
S

20
40
15
25
50
20
40

10M
1. OM
1. OM
1. OM
1. OM
loOM
loOM

25
50
100
150
200
250
300
50
100
150
200
250
300
50

50
100
150
200
250
300
50
100
150
200
250
300
50

V
V
V
V
V
V
V
V
V
V
V
V
V

10

10
10
10
10
10
10
10
10
10

lOA
lOA
lOA
lOA
LOA
lOA
lSA
15A
15A
15A
15A
15A
20A

0.15
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

12M
lOA
lOA
lOA
LOA
lOA
lOA
lSA
15A
15A
15A
15A
15A
20A

100
150
200
250
300
50
100
150
200
250
300
80

100
150
200
250
300
50
100
150
200
250
300
30

V
V
V
V
V
V
V
V
V
V
V
0

10
10
10
10
10
10
10
10
10
10
10
40

120

20A
20A
20A
20A
20A
25A
25A
25A
25A
25A
25A
150M

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
0.8

120
120
150
50
100
50

0.15A
0.15A
O.lA
O.lA
0.15A
15M

6.0M
20M
1. OM
loOM
1. OM
1. OM
2.0M

I'"

e

:i

=>

5.0M

I'"
B

100M

M

80M
100M
80M
30M
1.5M

T
T
T

50M

M

M
B

15K

E

15K
15K
15K
15K
15K
15K

E
E
E
E
E

750M
750M

600K
600K

B
B

0.15
0.20
0.32

12M
50M
200M

4.0M
4.0M
5.0M
5.0M

B
B

0.32

200M

E

Thyristors, see Table on Page 2·69
G

S

P
P

G
G
G

P

G

P
P
P
P
P

G
G
G
G

G
G

2N3798

2N3798

P
P

p

P

2N3324
2N3323
2N3324
2N3324
2N3325
2N3323

2N3323
2N3323
2N3323
2N3323
2N3323
2N3323

S
S
S
S
S
S
AH
AH
AH
AH
AH
AH

150

30

E

B
B

10M
50M
50M
50M
100M
100M
100M

M
M
M
M
M
M

4.0M

B

20A
20A
20A
20A
20A
25A
25A
25A
25A
25A
25A
150M

140M

T

0.8
0.8
1.4
1.4
1.4
1.0

0.15A
0.15A
O.lA
0.15A
0.15A
1. OA

140M
140M
90M
90M
90M
60M

T
T
T
T
T
E

0.2
0.2

6.0M
20M

B

Thyristors, see Table on Page 2-69
G
S
S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N

2N1824
2N1825
2N1826
2N1827
2N1828
2N1830
2N1831
2N1832
2N1833
2N1834
2N183S
2N1837

S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N
N
N

2N1837A
2N1837B
2N1838
2N1839
2N1840
2N1841
2N1842

S
S
S
S
S
S

N
N
N
N
N

2N1850
2N1853
2N1854
2N1864
2N1865
2N1866
2N1867
2N1868

REF.

USE

A
150M
60M
2N499
AH
2N3284
G
60M
2N3283 AH
2N3284
60M
G
2N3283 AH
G
2N3285
60M
2N3283 AH
2N3323
60M
G
2N3323 AH
2N3324
60M
G
2N3323 AH
G
2N3324
60M
2N3323 AH
G
2N3323
60M
2N3323 AH
G
2N3323
2N3323 AH
75M
A
G
15M
G
2N2832 AP
2N3323 A
G P 2N3325
60M
G P
30M
AH
G p
SH
50M
28W
G p 2N2137
2N2137 SP
G P 2N2138
28W
2N2137 SP
28W
G p 2N2139
2N2137 SP
28W
G p 2N2140
2N2137 SP
G p
2N2142
2N2137 SP
28W
G P 2N2143
2N2137 SP
28W
28W
G P 2N2144
2N2137 SP
G P 2N2145
2N2137 SP
28W
S N
S
0.3W
2N2369A
S
S N 2N2369A
0.3W
Thyristors, see Table on Page 2·69
40W
SIN 2N4231
2N42311 S
40W
S N 2N4233
2N4231 S
G
G

2N1807
2N1808
2N1809
2N1810
2N1811
2N1812
2N1813
2N1814
2N1816
2N1817
2N1818
2N1819
2N1820
2N1821
2N1823

thru

MAXIMUM RATINGS
REPLACE·
MENT

N
N
N
N

2N5885
2N5629
2N5629

2N5883
2N5629
2N5629

N

N

SP
SP
SP
SP
SP
SP
SP
SP

150M
250W
250W
250W
2501>1
2501>1
250W
2S0W
250W
250W
250W
250W
250W
250W

SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SH

250W
250W
250W
2501>1
250W
250W
250W
250W
250W
250W
250W
800M

C
C
C
C
C
C
C
C
A

175
175
175
175
175
175
175
l75
175
175
175
300

SH
SH
SH
SH
SH
AHP

0.8W
0.8W
0.61>1
0.61>1
0.6W
2.0W

A
A
A
A
A
A

175
200
175
175
175
150

80
80
45
45
25
100

30
30
20
20
15
50

0
0
0
0
0
0

40
40
40
12
10
15

A
A
A
A
A
A

85
85
100
100
100
100
100

18
18
20
20
35
35
20

6.0
6.0
20
20
35
35
20

0
0
S
S
S
S
S

30
40
10
40
40
10
10

S
SP
SP
SP
SP
sp'

2N5302
2N5303

2N5301
2N5301

2N5685

2N5685

2N5686

2N5685

2N5685
2N5686

2N2218
2N2218
2N2218
2N2218
2N2218
2N2218
2N5334

2N5685
2N5685

2N2218
2N2218
2N2218
2N2218
2N2218
2N2218
2N5334

C
C
C
C
C

C
C

C
C
C
C

C
C
C
C

10
10

10

Thyristors, see Table on Page 2-69
G

P

G
G

P

G
G
G

G

P
P
P

P
P

2N3324
2N3325
2N3323
2N3324
2N3325

2N3323
2N3323
2N3323
2N3323
2N3323

SH
SH
AH
AH
AH
AH
AH

150M
150M
60M
60M
60H
60M
60M

A

2-24

20

E

40M
50M

T

M

2N1869-2N1983
2~

TYPE

ilia;

=:::5
.~

2N1869
thru
2N1885
2N1886
2N1889
2N1890
2N1891
2N1892
2N1893
2N1893A
2N1894
2N1895
2N1896
2N1897
2N1898
2N1899
2N1900
2N1901
2N1902
2N1903
2N1904
2N1905
2N1906

S
S
S
G
G
S
S
S
S
S
S
S
S
S
S
S
S
S
G
G

illm~A

19

2N1908
2N1908A
2N19.o9
thru
2N1916
2Nl917
2N1918
2N1919
2N1920
2N1921
2N1922
2Nl923
2N1924
2Nl925
2Nl926
2Nl929
thru
2N1935
2N1936
2N1937
2N1940
2NI941
2N1942
2N1943
2N1944
2N1945
2N1946
2N1947
2N1948
2N1949
2N1950
2N1951
2N1952
2N1953
2N1954
2N1955
2N1956
2N1957
2N1958
2N1958A
2N1959
2N1959A
2N1960
2N1961
2N1962
2N1963
2N1964
2N1965
2N1966
2N1967
2N1968
2N1969
2N1970
2N1971
2N1972
2N1973
2N1974
2N1975
2N1978
2N1980
2N1981
2N1982
2N1983

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS
REPLACE·
MENT

REF.

USE

Po
@25·C

:E

TJ

&i

·C

C

175
200
200
85
85
200
200
200
200
200
200
200
150
150
150
150
150
150
100
100
100

Ii!

Vce

VCE _

(volts) (volts)

:e.

I

hFE @ Ic

(min) (max)

·i:::>

VCEISATI@lc
(volts)

:I

·c

~-

I I

30
50

E
E

30
30
30

E
E
E

:::>

L

i!:::>

Thyristors, see Table on Page 2·69

N
N
N
N
P
N
N
N
N
N
N
N
N
N
N
N
N
N
P
P
P
P
G P
G P

2N4911
2N3498
2N3499

2N4910
2N3498
2N3498

2N3498
2N4238
2N4239
2N5336
2N5336
2N5338

2N1893
2N3498
2N4237
2N4237
2N5336
2N5336
2N5336

2N2832
2N2832
MP1907

2N2832
2N2832

MP1910

AHP
AH
AH
SH
S
AH
AHP
AP
AP
AP
AP
AP
SHP
AHP
SHP
SHP
AHP
SHP
AP
AP
AHP
AHP
AHP
AHP

20W
800M
800M
150M
150M
800M
0.8W

125W
125W
125W
125W
125W
125W
30W
30W
60W
60W
60W
60W

A
A
A
A
A
A

C

e
e
e
e
e
C

C
C
C
C 100
C

60
100
100
25
30
120
140
60
80
60
80
100
140
140
140
140
140
140
100
130
100
100
130
130

60
80
80
15
15
100
80
60
80
60
80
100
50
50
50
50
50
50
50
60
40
40
50
50

25
25
40
40
50
80
85
60
60
60

8.0
8.0
18
18
50
80
85
40
40
40

125
125
30
45
20
60
20
30
40
20
30
40
20
30
40
20
60
60
60
60
60
60
60
60
15
12
40
30
60
60
35
35
35
30
100
80
60
100
100
100
60
50
70
90
50

60
80
15
30
10
60

0
R
R

0
0
R

0
R
R
R

R
R
0
0
0
0
0
0
0
0
0
0
0
0

20
40
100
25
40
40
40
12
12
45
45
45
10
8.0
20
10
8.0
20
50
75

80
120
300

60
150
250

0.5A
150M
150M
100M
10M
150M
0.15A
1.0A
1.0A
1.0A
LOA
LOA
lOA
lOA
IDA
lOA
lOA
lOA
LOA
LOA

30

170

lOA

30

200
120
120
60
60
l35
135
135
30
60
30

170

lOA

5.0
5.0
5.0
0.15
0.2
5.0
2.0
5.0
10
4.0
4.0
4.0
1.0
2.0
1.0
1.0
2.0
1.0
1.0
5.0
1.0
0.7
1.0
0.7

1.0A
150M
150M
100M
10M
150M
0.15A
1.0A
1.0A
LOA
LOA
LOA
lOA
lOA
lOA
lOA
lOA
lOA
5.0A
5.0A
15A
lOA
15A
lOA

30
50

E
E

2.0

E

2.0

E

25
25

E
E

T
T
T

2.0M
50M
60M
5.OM
5.0M
50M
100M

T
T

25M
25M
25M
50M
50M
50M
50M
50M
50M

T
T
T
T
T
T
T
T
T

B
B

10M

T

10M

T

16M
10M
l.OM
1. OM
1. OM
1. OM

B
B
B
B

Thyristors, see Table on Page 2·69
S
S
S
S
S
S
S
G
G
G

P
P
P
P
P
P
N
P
P
P

2N3498

2N3498
2N1924
2N1924
2N1924

se
se
se
se
se
se

A
A
A
A

0.25W
0.25W
0.25W
0.25W
0.25W
0.25W
750M
225M
225M
225M

A

175

A 175
A
A
A
A
A
A
A
A

175
175
175
175
150
100
100
100

C

175
175
100
175
85
200

0
0
0
0
0
0
0

4.0

90

R
R
R

34
53
72

65
90
121

0
0
0
R
0
0
R
R
R

7.0
7.0
5.0
30
20
30
150
150
150
500
500
500
250
250
250
15
30
50
30
30
20
20
40
40
25
20
20
25
20
4a

50
50

T
T

0.003
0.004
0.005
0.005
7.0

20M

28

E

20M
20M
20M

0.11
0.11
0.11

20M
20M
20M

30
44
60

E
E
E

1. OM
1. 3M
1.5M

B
B
B

lOA
lOA
40M
10M
0.2A
200M

0.75
0.75
1.8
1.5

lOA
lOA
200M
5.OM

15
15

E
E

4.OM
4.0M

T
T

40

E

60M
5.0M

T

5.0

200M

12
100
100
100
100
100
100
75
75
75
28

E

60M
60M
60M
60M
60M
60M
60M
60M
60M
40M

T
T
T
T
T
T
T
T
T
T

100M
100M

T
T

1.0

E

100M

T

200M
200M
100M
100M

T
T
T
T

10M
S.OK
15K
50M
60M
50M
40M
40M
3.0K
3.0K
3.0K
40M

B
E
E

Thyristors, see Table on Page 2·09

S
S
G
S
G
S
S
S
S
8
S
8
S
S

S
8
G
G
G
G
S
S
S
S
G
G
S
S
S
S
G

G
G
G
G
G
S
S
S
S
S
G
G
G
S

N
N
P
N
P
N
N
N
N
N
N
N
N
N
N
N
P
P
P
P
N
N
N
N
P
P
N
N
N
N
P
P
P
P
P
P
N
N
N
N
N
P
P
P
N

MJ7000
MJ7000

MJ7000
MJ7000

2N2219A

2N2218

2N3020
2N2219A
2N2219A
2N2219A

2N3019
2N2218
2N2218
2N2218

AP
AP
AHP
A
S
A
S
S
8
8
8
8
S

2N2218
2N651
2N1190
2N651
2N1187
2N2537
2N2537
2N2537

2N2218
2N650
2N1l89
2N650
2N1l75
2N2537
2N2537
2N1959
2N2537

S
S
A
S
S
S
S
SH
SH
8H
SH
SH
SH
SH
8H
SH
SH

2N2537
2N2537
2N2539
2N2539

2N2537
2N2537
2N2537
2N2537

2N2140
2N2219
2N2219
2N3498
2N3498

AL
AL
AL
S
2N1970 AP
2NZ137 AP
2N2218 AH
2N2218 A
2N3498 A
2N3498 A
AHP
2N1970 AP
2N1970 AP
2N1970 AP
2N1983 A

2N2218

150W
150W
3.5W
0.6W
0.2W
800M
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
0.6W
200M
200M
200M
200M
600M
600M
600M
600M
150M
150M
400M
400M
400M
0.4W
0.12W
0.12W
0.12W
150M
150W
600M
800M
800M
800M
30W
l70W
170W
l70W
600M

C
C

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
C
A
A
A
A

C
C
C

e

A

175
175
175
175
175
175
175
175
175
175
100
100
100
100
175
175
175
175
100
100
175
175
175
175
75
75
75
85
100
100
175
200
200
200
200
100
100
100
150

20
30
40
20
30
40
20
30
40
20
20
18
16
14
40
40
40
40
15
12
20
15
40
40
15
15
18
15
50
40
30
80
80
80
40
30
40
50
25

2-25

R

R
R

R
R
R
S
0
0
0
0
R
R

R
R

S
R
R
R
R
R
R
R
0
B

0
R
R
R
R
R

0
0
0
0

50
17
25
110
75
35
15
20
50
50
50

150
60
90
450
450
450
800
800
800
500
500
500
150
120
200
120
120
60
60
120
120
60
60
120

200
40
60
350

100
100
100

1. OM
1. OM
1. OM
O.lA
O.lA
O.lA
O.lA
O.lA
O.lA
10M
20M
20M
20M
20M
150M
150M
150M
150M
10M
10M
10M
10M
150M
0.15A

200*
5.0A
0.5A
50M
10M
10M
10M
500M
5.0A
S.OA
5.0A

0.3
0.175
0.175
0.175
0.45
0.45
0.45
0.45
0.16
0.20
0.25
0.16
0.45
0.45

20M
20M
20M
20M
150M
150M
150M
150M
10M
10M
10M
10M
150M
0.15A

1.0
0.9
2.0
1.2
1.2
1.2

12A
3.0A
50M
50M
SOM
SOM
l.OA
S.OA
5.0A
5.0A

1.5
0.5
0.5
0.5

40
76
36
18

70

E
E
E
E
E
E
E
E
E
E

E
E
E
E

E

B

T
T
T
T
T
E
E
E

T

2N1984-2N2079

g
TYPE

...
:IE

•

2N1984
2N1985
2N1986
2N1987
2N1988
2N1989
2N1990
2N1991
2N1992
2N1993
2N1994
2N1995
2N1996
2N1997
2N1998
2N1999
2N2000
2N2001
2N2002
2N2003
2N2004
2N2005
2N2006
2N2007
2N2008
2N2009
thru

2N2014
2N2015
2N2016
2N2017
2N2018
2NZ019
2N2020
2N2021
2N2022
2N2023
thru

2N2031
2N2032
2N2033
2N2034
2N2035
2N2036
2N2038
2N2039
2N2040
2N2041
2N2042,A
2N2043,A
2N2044
thru
2N2047
2N2048
2N2048A
2N2049
2N2059
2N.2060
2N2060A
2N2060B
2N2061
2N2061A
2N2062
2N2062A
2N2063
2N2063A
2N2064
2N2064A
2N206s
2N2065A
2N2066
2N2066A
2N2067
2N2068
2N2069
2N2070
2N2071
2N2072
2N2074
2N2075
2N207 SA
2N2076
2N2076A
2N2077
2N2077A
2N2078
2N2078A
2N2079

~
....

~ S
S
S
S
S
S
S
S
S
S
G
G
G
G
G
G
G
G
G

S
S
S
S
S
S
S

C)

N
N
N

N
N
N
N
P
N
N
N
N
N
P
P
P
P
P
P
P
P
P
P
P
N

MAXIMUM RATINGS
REPLACE·
MENT

REf.

USE

Po
@2S'C

2N1983
2N2218
2N2218
2N2218
2N2219
2N2218
2N2218
2N2218A 2N2218A
2N2218A 2N2218A
2N1990
2N1131
2N2221 2N2218

A
A
AH
AH
AH
AH
SP
AH
SH
S
S
S
S
S
S
S
S
S

2N5230
2Ns230
2N5231
2Ns231

2Ns229
2N5229
2N5229
2N5229

se
se
se
se
se
se

2N3500

2N3498

A

600M
600M
600M
600M
600M
600M
600M
600M
0.35W
150M
150M
150M
150M
250M
250M
250M
300M
300M
0.2sw
0.2sw
0.25W
0.2SW
0.25W
0.2sw
800M

ELECTRICAL CHARACTERISTICS

:5

TJ

Vce

VCE _

=

'C

(volts)

(volts)

~

-f (min) (max)
hFE

"§
on

A 150
A 150
A 150
A 150
A 150
A 150
A 150
A 150
A 200
A 100
A
85
A
85
A
85
A 100
A 100
A 100
A 100
A 100
A 200
A 200
A 175
A 200
A 200
A 200
A 200

50
50
50
50
100
100
100
30
15
30
30
25
20
45
35
30
50
30
30
30
50
50
60
60
175

20
15
18
15
15
15
15
15
15
15
15
5.0
5.0
15
15
35
35
110

e
e

200
200
200
175
175
175
175
100

100
130
60
150
200
150
200
15

50
65
60
150
200
125
140
12

45
80
80
80
80
45
75
45
75
105
105

45
60
60
60
60
45
75
45
75
105
105

0
0
0
0

A
A
A
A
A
A

200
200
200
200
200
200
200
200
200
100
100

15
20
50
8.0
80
60

0
0

25
25
25
25
45
45

@Ic

0
0
0
0
0
0
0
0
0

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

60
20
35
20
20
15
30
50
15
25
35
40
70
100
50
100

240
80
120
60
60
120
300

200
225
350
300

12

~

'iii

:::>

150M
150M
30M
30M
30M
150M
l.OM
10M
10M
10M
10M
100M
100M
100M
100M
100M

VCElsAn @ Ic

(volts)

l.5
l.5
2.0
2.0
0.5
l.5
0.25
0.2
0.25
0.25
0.25
0.2
0.2
0.2
0.35
0.2

~
:::>
150M
150M
30M
30M
2. OM
150M
10M
10M
200M
200M
200M
10M
10M
10M
500M
100M

l.OM

hi_

~

li
oil

35
15

E
E

20
10

E
E

L

:i
:::>

t
oil

40M
40M
40M
40M
40M
40M

T
T
T
T
T
T

40M
300M
3.0M
3.0M
5. OM
8.0M
3.0M
5.6M
10M
2.0M
6.0M

T
T

B
B
B
B
B
T
T

B

B

15

E

0.5M

B

30

90

10M

2.5

25M

20

E

40M

T

15
15
50
20
20
40
40
25

50
50
200
60
60
90
90
150

5.0A
5.0A
200M
0.5A
0.5A
0.5A
0.5A
10M

l. 25
l. 25

5.0A
5.0A

12
12
30

E
E
E

12K
12K

E
E

6.0
6.0
6.0
6.0
l.2

l.OA
l.OA
l.OA
LOA
50M

2.OM
2.0M
3.0M
3.0M
250M

T
T
T
T
T

60
60
60
60
36
36
90
90

2.0A
500M
l.OA
l.5A
2.0A
0.2A
0.2A
0.2A
0.2A

5.0
0.4
0.3
0.45
l.0
6.0
6.0
6.0
6.0

2.0A
500M
l.OA
l.5A
2.0A
0.2A
0.2A
0.2A
0.2A

3.0M
l.OM
l.OM
l.OM
l.OM
2.0M
2.0M
2.0M
2.0M

T
T
T
T
T
T
T
T
T

50
100

5.0M
5.OM

0.75
0.75

100M
100M

20
45

E
E

0.5M
0.75M

B

300
300
300

0.14
0.14
0.4
0.2
1.2
0.6

10M
10M
10M
10M
sOM
50M

E

150
150

10M
10M
150M
10M
10M
10M

75

0

50
50
100
20
50
50

50
50

E
E

150M
7.5K
50M
50M
60M
60M

T
E
T
T
T
T

10
15
10
15
15
20
15
20
25
40
25
40
25
55
30
60
30
60

0
0
0
0
0
0
0
0
0
0
0
0
0
0
S
S
S
S

10
20
20
50
10
20
20
50
10
20
20
50
20
20
30
30
30
30

60
60
200
140
200
60
200
140
200
60
200
140
100
100
200
200
200
200

0.5A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
0.5A
0.5A
5.0A
5.0A
5.0A
5.0A

2.0
l.0
l.0
0.7
2.0
l.0
l.0
0.7
2.0
l.0
l.0
0.7
0.7
0.7
l.5
l.5
1.5
l.5

0.5A
5.0A
2.0A
5.0A
2.0A
5.0A
2.0A
5.0A
2.0A
5.0A
2.0A
5.0A
LOA
l.OA
12A
12A
12A
12A

2.0K
5.0K
2.0K
l.OK
2.0K
5.0K
2.0K
l.OK
2.0K
5.0K
2.0K
l.OK
7.0K
7.0K
l.5K
l.5K
l.5K
l.5K

E
E
E
E

80
80
70
70
50
50
40
40
80

S
S
S
S
S

20
20
20
20
20
20
20
20
35

40
40
40
40
40
40
40
40
70

5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A

0.7
0.7
0.7
0.7
0.9
0.9
0.9
0.9
0.7

12A
12A
12A
12A
12A
12A
12A
12A
12A

5.0K
5.0K
5.0K
5.0K
5.0K
5.0K
5.0K
5.0K
s.OK

Thyristors> see Table on Page 2·69

S
S
S
S
S
S
S
G

N
N
N
N
N
N
N
P

2N5881
2Ns882
2N2405
2N5051
2Ns052
2Ns050
2N5051

2N5879
2Ns879
2N1893
2N5050
2N50s0
2N5050
2N5050

A
A
A
AHP
AHP
AHP
AHP
SH

150W
150W
LOW
20W
20W
20W
20W
0.15W

A

C

e
e
e

A

0
0
0
V
V
0

0
S

Thyris tors) see Table on Page 2·69

S
S
S
S
S
S
S
S
S
G
G

N
N
N
N
N
N
N
N
N
P
P

2N4232
2N3020
2N4238
2N4232
2N4232
2N2218
2N3020
2N30s3
2N3020

2N4231
2N3019
2N4237
2N4231
2N4231
2N2218
2N3019
2N30s3
2N3019
2N2042
2N2042

AHP
SP
SP
SP
SP
AH
AH
AH
AH
A
A

45W
5.0W
14W
17W
17.sw
0.6W
0.6W
0.6W
0.6W
200M
200M

e
e
e
e
e

0

0
0
0
0
S
S

20
20
20
20
20
12
12
30
30
20
40

B

Thyristors) see Table on Page 2-69

P 2N2955
2N2955
P
2N29ss
N 2N2219A 2N2218A
G P
S N
2N2060
S N
2N2060
S N
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
G P
2N1529
G P 2N1536
G P 2N1531
2N1529
2N1539
G P 2N1539
2N1539
G P 2N1541
G P MP1539
G P MP1541
Thyristors, see Table
G P
2N2075
G P
2N207s
G P
2N2075
G P
2N2075
G P
2N2075
G P
2N2075
G P
2N2075
G P
2N207s
G P
2N2075
G
G

S

SH
SH
A
S
AM
AM

150M
150M
800M
60M
500M
0.5W

A
A
A
A
A.
A

100
100
200
100
200
200

S
SP
S
SP
S
SP
S
SP
S
SP
S
SP
S
S
S
S
S
S

40W
90W
40W
90W
35W
90W
35W
90W
35W
90W
35W
90W
28W
28W
70W
70W
70W
70W

e
e
e
e
c
C
c
c
c
e
e
e
c
e
e
c
e
e

85
100
85
100
95
100
95
100
95
100
95
100
95
95
95
95
95
95

20
30
75
10
100
100
100
20
20
20
'0
40
40
40
40
80
20
80
80
40
80
40
80
40
80

C

110
110
110
110
110
110
110
110
110

80
80
70
70
50
50
40
40
80

on Page 2-69

AP
AP
AP
AP
AP
AP
AP
AP
AP

170W
l70W
l70W
l70W
l70W
l70W
l70W
l70W
l70W

e
e
c
e
C
e
e
C

2-26

R

S
R

s
s

S
S

E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E

E
E
E

E
E

2N2079A-2N2155A
>-

§ '"

!::

TYPE

""

2N2079A
2N2080
2N2080A
2N2081
2N2081A
2N2082
2N2082A
2N2083
2N2084
2N2085
2N2086
2N2087
2N2089
2N2090
2N2091
2N2092
2N2093
2N2095
2N2096
2N2097
2N2098
2N2099
2N2100
2N2100A
2N2101
2N2102
2N2102A
2N2104
2N2105
2N2106
2N2107
2N2108
2N2109
2N2110
2N2111
2N2112
2N2113
2N2114
2N2116
2N2117
2N2118
2N2119
2N2120
2N2121
2N2123
2N2124
2N2125
2N2126
2N2127
2N2128
2N2130
2N2131
2N2132
2N2133
2N2134
2N2135
2N2137
2N2137A
2N2138
2N2138A
2N2139
2N2139A
2Nn40
2N2140A
2N2141
2N2141A
2N2142
2N2142A
2N2143
2N2143A
2N2144
2N2144A
2N2145
2N2145A
2N2146
2N2146A
2N2147
2N2148
2N2150
2N2151
2N2152
2N2152A
2N2153
2N2153A
2N2154
2N2154A
2N2155
2N215SA

:3

:E

~

G
G
G
G
G
G
G
G
G
G

P
P
P
P
P
P
P
P
P
N
N
N
P
P
P
P
P
P
P
P
P
P
P
P
N
N
N
P
P
N
N
N
N
N
N
N
N
N
N
N
N

S
S
G
G
G
G
G

G
G

G
G
G
G
G

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
G

G
G
G
G
G

G
G

G
G
G
G
G
G
G
G
G
G
G
G
G

G

S
S
G

G
G
G

G
G
G
G

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS
REPLACE·
MENT

REF.

2N30l9
2N30l9

2N1204
2N1204

2N5477

2N1204
2N1204
2N1204
2N5477

2N3052
2N3052
2N3020
2N3020
2N3020
2N5885
2N5629
2N5631

2N3052
2N3052
2N2019
2N3019
2N3019
2N5883
2N5629
2N5629

2N5302

2N5301

N

N
N
N
N
N
N
N
N
N
N
N
N
N
N
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P 2N2832
P 2N2832
N 2N5477
N 2NS477
P
P
P
P
P
P
P
P

Po
@25·C

2N2075
2N2075
2N2075
2N2075
2N2075
2N2075
2N2075

2N3020
2N30Z0

USE

2N2137
2N2137
2N2137
2N2137
2N2137
2N2137
2N2137
2N2l37
2N2137
2N2137
2N2137
2N2137
2N2137
2N2137
2N2137
2N2137
2N2137
2N2137
2N2137
2N2137
2N2832
2N2800
2N5477
2N5477
2N21.37
2N2137
2N2137

AP
AP
AP
AP
AP
AP
AP
A
AH
A
SH
SH
AH
AH
AH
AH
AH
AHP
SH
SH
AH
SH
SH
SH
AP
SH

~n

170W
l70W
170W
170W
l70W
l70W
170W
60M
125M
150M
600M
600M
O.lW
O.lW
O.lW
O.lW
O.lW
l.OW
250M
250M
l.OW
250M
250M
300M
75W
5.0W
LOW
3.5W
3.5W
l.OW
l.OW
1.0W
250W
250W
250W
250W
250W
250W
250W
250W
250W
250W
250W
250W
250W
250W
250W
250W
250W

SH
A
A
A
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
250W
SP
250W
250W
SP
SP
250W
SP
250W
SP
250W
SP
250W
62.5W
AP
62.5W
AP
62.5W
AP
AP 62.5W
AP 62.5W
AP 62.5W
AP 62.5W
AP 62.SW
AP 62.5W
AP 62.5W
AP 62.5W
AP 62.5W
AP 62.5W
AP 62.5W
AP 62.5W
AP .62.5W
AP 62.5W
AP 62.5W
AP 62.5W
AP 62.5W
AP 12.5W
AP 12.5W
AHP
30W
AHP
30W
AP
170W
AP
170W
AP
171lW
AP
170W
AP
l70W
AP
170W
AP
l70W
AP
l70W

I

TJ

YCB

YCE-

&

·C

C
C
C
C
C
C

110
110
110
110
110
110
110
85
100
100
175
175
85
85
85
85
85
100
100
100
100
100
100
100
200
200
200
200
200
150
150
150
175
175
175
175
175
175
175
175
175
175
175
175
175
175
175
175
175

80
70
70
50
50
40
40
30
40
33
120
120
20
20
20
20
25
30
25
40
30
25
40
40
60
120
120
50
50
60
60
60
50
100
150
200
250
300
50
100
150
200
250
300
50
100
150
200
250

20
23
80
80
20
20
20
20
25
15
12
20
15
12
20
20
40
80
65
35
35
60
60
60
50
100
150
200
250
300
50
100
150
200
250
300
50
100
150
200
250

175
175
175
175
175
175
175
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
100
'100
175
175
110
110
110
110
110
110
110
110

300
50
100
150
200
250
300
30
30
45
45
60
60
75
7S
90
90
30
30
45
45
60
60
7S
7S
90
90
75
60
125
125
45
45
60
60
75
75
90
90

300
50
100
150
200
250
300
30
20
45
30
60
45
7S
60
90
6S
30
20
45
30
60
45
75
60
90
65
50
40
80
80
45
30
60
45
75
60
90
6S

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
C

c

A
C
C

A
A
A
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C

c

C
C
C
C
C
C
C
C
C
C
C
C
C

(yolls) (volts)
80
70
70
50
50
40
40

2-27

f
S
S
S
S
S
S
S

0
X
R
R

R
R
R
R
R
0
0
0
0
0
0
0
0
R

0
0
0

R

R
R
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V

S
0

S
0
S
0

S
0

S
0

S
0
S
0
S
0

S
0

S
0
0
0

0
0

S
0
S
0
S
0
S
0

@

hFE

(min) (max)

Ic

YCElsAn@1e

~ (volls)

=

=i

5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
l.OM
l.OM
10M
150M
150M
l.OM
l.OM
l.OM
l.OM
l.OM

0.7
0.7
0.7
0.9
o 9
0.9
0.9

12A
12A
12A
12A
12A
12A
12A

0.7
0.5

10M
150M
150M

15
20

400M
400M

0.6
0.5

200M
200M

15
20
20
15
35
40
25
15
12
30
75
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10

400M
400M
400M
LOA
10M
150M
150M
150M
200M
200M
200M
lOA
lOA
lOA
lOA
lOA
lOA
15A
15A
15A
15A
15A
15A
20A
20A
20A
20A
20A

0.6
0.5
o 5
5.0
0.5
0.3
L5
l.5
5.0
2.0
2.0
L5
1.5
1.5
1.5
L5
l.5
l.5
l.5
l.5
l.5
l.5
l.5
l.5
l.5
l.5
l.5
l.5

200M
200M
200M
LOA
150M
150M
150M
150M
200M
200M
200M
lOA
lOA
lOA
lOA
lOA
lOA
15A
15A
15A
15A
15A
15A
20A
20A
20A
20A
20A

20A
25A
25A
25A
25A
25A
25A
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
O.SA
O.SA
O.SA
O.SA
O.SA
0.5A'
0.5A
0.5A
0.5A
O.SA
0.5A
0.5A
0.5A
l.OA
l.OA
l.OA
l.OA
5.0A
5.0A
5.0A
5.0A
S.OA
S.OA

l.5
l.5
l.5
l.5
l.5
l.5
l.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
D.5
0.5
l.0
l.0
l.0
l.0
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1

20A
25A
25A
25A
25A
25A
25A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
4.0A
4.0A
l.OA
1.0A
5.0A
5.0A
5.0A
S.OA
S.OA
5.0A
5.0A
S.OA

35
35
35
35
35
35
35
25
40
50
20
40
40
40
40
40
40

10
10
10
10
10
10
10
30
30
30
30
30
30
30
30
30
30
50
50
50
50
50
50
50
50
50
50
100
40
20
40
50
50
50
50
50
50
50
50

70
70
70
70
70
70
70
250
300
120

60
120
80
40
36
90
200

60
60
60
60
60
60
60
60
60
60
100
100
100
100
100
100
100
100
100
100
300
160
60
120
100
100
100
100
100
100
100
100

5.DA

5.0A

h,_

f I
'-

~

=

=

40
20

E
E

40
40
40
40
40

E
E
E
E
E

35
30

75
30
20
40

E
E

E
E
E

E

5.0K
5.0K
5.0K
5.0K
5.0K
5.0K
5.0K
30M

E
E
E
E
E
E
E
T

6.OM
150M
150M
44M
44M
44M
44M
30M
500M

B

500M

T

25K

T

60M
50M

T
T

12K
12K
12K
12K
12K
12K
12K
12K
12K
12K
12K
12K
12K
12K
12K
12K
12K
12K
12K
12K
3.0M
2.OM
10M
10M
2.0K
2.0K
2.0K
2.0K
2.0K
2.0K
2.0K
2.0K

E
E
E

T
T
T
T
T
T
T
T

E
E
E
E

E
E
E
E

E
E
E
E
E

E
E
E

E
T
T
T
T

E
E
E
E
E

E
E
E

2N2156-2N2229
:;!

TYPE

•

2N2156
2N2156A
2N2157
2N2157A
2N2158
2N2158A
2N2159
2N2159A
2N2160
2N2161
2N2162
2N2163
2N2164
2N2165
2N2166
2N2167
2N216B
2N2169
2N2170
2N2171
2N2172
2N2173
2N2175
2N2176

fii

!C

il::5

IE

2

G
G
G
G
G
G
G
G

P
P
P
P
P
P
P
P

S
S
S
S
S
S
S
G
G
G
G
G
G

N
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P

REF.

S

S
S
S
G
S
S
S
S
S
S
S
G
G

2N2190
2N2191
2N2192
2N2192A
2N2192B
2N2193
2N2193A
2N2193B
2N2194
2N2194A
2N2194B
2N2195
2N2195A
2N2195B
2N2196
2N2197
2N2198
2N2199
2N2200
2N2201
2N2202
2N2203

G
G
S
S
S

2N2206
2N2207
2N220B
2N2209
2N2210
2N2211
2N2212

S
S
S
S
S
S
S
S
S
S
S
G
G
S
S
S
S
S
S
G
G
G
G
G
G

P
P
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
P
P
N
N
N
N
N
N
P
P
P
P
P
P

2N2214
2N2216
2N2217
2N221B

S
S
S
S

N
P
N
N

2N221BA
2N2219
2N2219A
2N2220
2N2221
2N2221A
2N2222
2N2222A
2N2222B
2N2223
2N2223A
2N2224
2N2225
2N2226
2N2227
2N2228
2N2229

S N
S N
S N
S N
S N
S N
S N
S .N
S N
S N
S N
S N
G P
S N
S N
S N
S N

S

USE

Po
@25·C

2N2137
2N2137
2N2137
2N2137
2N2137

AP
AP
AP
AP
AP
AP
AP

!oJ!

Un~junction

2N2177
2N2178
2N2180
2N21B1
2N21B2
2N21B3
2N21B4
2N2185
2N2186
2N2187
2N2188
2N2189

I;~m~

MAXIMUM RATINGS
REPLACE·
MENT

17011
17011
1701/
1701/
1701/
1701/
1701/
1701/

Transistors, see Table
2N221B SH
200M
150M
2N2944 se
2N2944 se
150M
2N2944 se
150M
150M
2N2944 se
150M
2N2944
se
2N2944 se
150M
Sil
60M
Sil
60M
Sil
60M
2N3B1
A
0.21'1
200M
S
240M
Sll
A
0.11'1
A
0.11'1
A
0.11'1
A
0.11'1
50M
Sil
2N2945
2N2944 se
150M
2N2945
150M
2N2944
se
2N2944
2N2944 se
150M
150M
2N2944
2N2944 se
150M
2N2946
2N2944 se
150M
2N2946
2N2944 se
150M
2N2946
2N2944 se
2N3323
2N3323 All
125M
2N3323
125M
2N3323 All
2N3323 All
2N3323
125M
2N3323 All
2N3323
125M
2N2192 Sll
800M
2N2192 Sil
BOOM
2N2192 Sll
BOOM
2N2192 Sil
800M
2N2192 Sil
800M
2N2192 SH
800M
800M
2N2192 Sil
2N2192 SH
BOOM
BOOM
2N2192 Sil
BOOM
2N2192 Sil
2N2192 SH
BOOM
2N2192 Sil
BOOM
2N3766 AP
2.01/
2N3766
2.01'1
2N3766
2N3766 AP
5.5M
AH
75M
All
75M
All
2N56B1
2N56B1 A
1.01/
2N5681 A
2N56B1
LOW
2N56B1
2N56B1 A
LOW
2N56B1 A
LOll
2NB34
Sil
LOll
2NB35
1.01/
2N834
Sil
0.261'1
All
120M
All
150M
S
2N2075
2N2075 AP
7SW
901/
AP
1001'1
2N2212 AIlP
2N2222
2N2946
2N2945
2N2944
2N2946
2N2945
2N2944

I~~~W

2NB35
2N3498
2N221B

2N2222

2N222A

2N6057
2N6057

2NB34
2N349B
2N221B
2N221B

SH
SH
SH
Sil

0.251'1
3.01'1
O.BW
0.81'1

2N221B
2N221B
2N221B
2N221B
2N221B
2N221B
2N221B
2N221B
2N2218
2N2060
2N2060
2N2224

SH
SH
Sil
Sil
Sil
Sil
Sil

O.BW
0.8W
0.8W
0.51'1
O.SW
O.SW
0.51'1
0.51'1
1.BW
500M
500M
0.8W
200M
1501'1
15011
1501/
1501/

2N6057
2N6057

Sil
SH
AM
AM
SH
S
AP
AP
AP
AP

~

TJ

";;

·C

e
e
e
e
e
e
e

110
110
110
110
110
110
110
110

""e

on Page
150
140
140
140
140
140
140
100
100
100
100
85
100
175
175
160
160
100
140
140
140
140
140
140
140
85
85
A
85
85
A
A 200
A 200
A 200
A 200
A 200
A 200
A 200
A 200
A 200
A 200
A 200
A 200
A 175
A 175
A 200
A 100
A 100
e 175
e 175
e 175
e 175
e 175
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

e 1~~

Vca

ELECTRICAL CHARACTERISTICS
Va_

(Yolts) (yolts)
45
45
60
60
75
75
90
90

2-87
55
30
15
12
30
15
12
20
15
15
50
20
25
6.0
6.0
6.0
6.0
15
25
25
15
15
30
30
30
40
40
60
60
60
60
60
BO
80
80
60
60
60
45
45
45
BO
BO
BO
15
15
120
120
120
120
25

~g

45
30
60
45
75
60
90
65
35
30
15
B.O
30
15
B.O
15
15
10
25
15
15
6.0
6.0
6.0
6.0
6.0
25
25
10
10
30
30
30
25
25

I

bFE @ Ic
(min) (max)
160
160
160
160
160
160
160
160

5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A
5.0A

0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1

5.0A
5.0A
5.0A
5.0A
5.0A
S.OA
5.0A
5.0A

0
0
0
0
0
0
0
0
0
0

60

160

10M

1.5

10M

R

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

50
40
20
110
30
30
30
30
15
15
100
10
10
10
10

40
60

25
25
40
40
40
50
50
50
40
40
40
25
25
25
60
60
80
10
10
100
100
100
100
12

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

40
60
100
100
100
40
40
40
20
20
20
20
20
20
30
75
35
9.0
9.0
25
25
25
25
20

~6

R
R

e
e
e
e

2-28

0
0
V
V
V
V

5.OM

B

T
T
B
B
T
T
T
T
T
T
T
T
T

E
E

60M
102M

T
T

30
30

E
E

20

E

4.OM
120M
120M

T
T
T

0.35
0.25
0.18
0.35
0.25
0.18
0.35
0.25
0.18
0.35
0.25
0.18
2.0
2.0
6.0

150M
150M
150M
150M
150M
150M
150M
150M
150M
150M
150M
150M
0.2A
0.2A
0.2A

0.15A
150M
0.15A
150M
150M
0.15A
150M
0.15A
150M
10M
10M
10M
100M
9.0A
9.0A
9.0A
9.0A

0.3
0.4
0.3
9.4
0.4
0.3
0.4
0.3
0.3
1.2
1.2
0.4
0.2
3.5
3.5
3.5
3.5

0.15A
150M
0.15A
150M
150M
0.15A
150M
0.15A
150M
50M
50M
150M
50M
9.0A
9.0A
9.0A
9.0A

0
0
0
0
0
0
0
0
0

E
B

40
60

120
300
200
60
120
120
300
300
300
200
200
115
300
500
500
500
500

40
30
40
30
30
40
30
40
40
BO
BO
40
4.0
50
100
150
200

T
T
T
T
T
T

1.5M
1.5M
150M
150M
150M
150M
150M
150M
150M
150M
150M
150M
150M
150M
0.2A
0.2A
O.lA
3.OM
3.OM
200M
200M
200M
200M
10M

40
100
100
20
40
40
100
100
100
50
50
35
100
100
100
100
100

75
60
75
60
60
75
60
75
75
100
100
65
15
50
100
150
200

14M
14M
24M
10M
10M
16M

E

E
E

120
60
120

175
175
175
175
175
175
175
175

E
E
E
E
E
E
E
E

40
40

10M
50M
150M
150M

A
A
A
A
A
A
A
A

120
0.97

::0

2.0K
2.0K
2.0K
2.0K
2.0K
2.0K
2.OK
2.OK

1.5M
1.5M

0.2
5.0
0.4
0.4

A
A

75

I .

E
E
E

10M

10M
50M
150M
150M

0
0
0
0

"'-

e i
&! J
a

50
50
120

O.OB

25
25
20
40

R

15
100
30
30

li-

10M
10M
B.OM
8.OM
60M
6.OM
6.OM
6.OM
6.OM
6.5M
6.5M
6.5M
60M
102M

50
140
120

25
150
60
60

R
R

10M
200M

12M
12A
2.0A
5.0A

150
200
175
175

90
90
90
90

0.2
0.4

0.15
0.6
0.8
1..0

15
50
25
60
50

200
200
175
100
150
150
150
150

90
200
55

10M
10M
10M

U.ll

j~

0
0

e

160
180
160
180
300
300
300
120
120
120
60
60
60

0.125
0.15
O.lB

1.5M
24M
5.0A
LOA
5.0A

I~
S

250
150

10M
10M
10M
20M
10M
200M
20*
20*
5.0*
5.0*
10M
5.OM
5.OM
5.OM
5.OM

200M
200M
200M
200M
10M
lUM

10
12
65
60
120

A
A
A
A

::0

80
80
80
80
80
80
80
80

40
30
100
BO
120

e
e
e
e
e

.

VCEISAn@lc
&!

(Yolts)

S
0
S
0
S
0
S
0

85
85
100
100
110

A
A
A

..

&!

::0

m t:

1.7
1.7
1.7
1.7
0.22

30 E
30 E
30 E
30 E
2.0 E
2.0 IE
30

30

T

140M

B

6.OM
5.0K
5.0K
0.45M

B
E
E
T

200M
50M
250M
250M

T

250M
250M
300M
250M
250M
250M
250M
300M
300M
50M
50M
250M
25M
7.0K
7.OK
7.0K
7.0K

T
T
T
T
T

E

E

30

E

50

E

30

E

50
50
40
40

E
E
E
E

50
50
50
50

E
E
E
E

T

T
T

T

T
T
T
T
T

T
B
E
E
E
E

2N2230-2N2330
~

::!i

TYPE
2N2230
2N2231
ZN2232
2N2233
2N2Z34
2N2235
2N2236
2N2237
2N2238
2N2239
2N2240
2N2241
2N2242
2N2243
2N2243A
2N2244
2N2245
2N2246
ZN2247
2N2248
2N2249
2N2250
2N2251
2N2252
2N2253
2N2254
2N2255
2N2256
2N2257
2N2258
2N2259
2N2260
thru

2N2262
2N2266
2N2267
ZN2268
2N2269
2N2270
2N2271
2N2272
2N2273
2N2274
2N2275
2N2276
2N2277
2N2278
2N2279
2N2280
2N2281
2N2282
2N2283
2N2284
2N2285
2N2286
2N2287
2N2288
2N2289
2N2290
2N2291
2N2292
2N2293
2N2294
2N2295
2N2296
2N2297
2N2303
2N2304
2N2305
2N2306
2N2307
2N2308
2NZ309
2N2310
2N2311
2N2312
2N2313

2N2314
2NZ315
2N2316
2N2317
2N2318
2N2319
2N2320
2N2322
thru
2N2329
2N2330

ffi
=<
::e

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

1:

REPLACE·
MENT

:s'"

...
Q

REF.

Po

USE

@25'C

~

...·c-:u

'"C

TJ

Vca

VCE _

'C

(volts)

(volts)

C
C
C
C
C
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

150
150
150
150
150
150
150
150
100
150
ZOO
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
175
175
100
100

40
40
40
40
30
60
25
25
40
120
120
20
20
20
45
45
45
25
25
25
45
45
45
7.0
7.0
7.0
7.0

50
100
150
200
ZO
ZO
ZO
20
30
50
20
20
15
80
80
20
20
20
45
45
45
20
20
20
50
50
50
7.0
7.0
7.0
7.0

SOW
SOW

J
J

125
125

100
120

55
55

SOW
SOW
5.0W
0.25W
360M
100M
150M
150M
150M
150M
150M
150M
150M
150M
5. ow
5.0W
5. OW
100W
100W
100W
60W
60W
60W
60W

J
J
C
A
A
A
A
A
A
A
A
A
A
A

125
1Z5
200
100
200
100
140
140
140
140
140
140
140
140
110
110
110
110
110
110
110
110
110
110

100
120
60
20
40
25
25
25
15
15
15
15
10
10
60
100
200
60
100
120
40
80
120
40

55
55
45
15
20
15
25
25
10
10
15
15
6.0
6.0
30
60
100
30
60
80
40
80
120
30

2N2291 AP
60W C 110
P
60W C 110
P
2N2291 AP
SP
P
70W C 110
SP
70W C 110
P
SP
P
70W C 110
S N
AH
800M A 200
2N702
AH
600M A 175
S P
2N4910 AP
25W C 200
S N 2N4910
2N5067 AP
S N 2N5068
75W C 200
SHP
S N
13W C 175
Unijunction Transistors, see Table on Page
25W C 200
S N 2N4912
2N4910 AP
A
2N2218
600M A 200
S N 2N2218
2N3019
A
350M A 200
S N 2N3020
A
S N
350M A 200
2N3019
A
350M A 200
S N 2N3020
S N
A
350M A 200
A
350M A 200
S N 2N2221A 2N2218
350M A 200
S N 2N2221A 2N2218
A
2N3019
A
350M A 200
S N 2N3020
2N2192
A
S N 2N2193
350M A 200
2N929
SH
360M A 200
S N 2N929
2N929
SH
300M A 200
S N 2N929
600M A 200
S N 2N929
2N929
SH

80
120
40
80
120
80
50
60
60
75

70
70
30
50
70
35
50
60
60
50

S
S
S
S
S
S
S
S
G

S
S
S
S
S
S
S

S
S
S

s
S
S
S
S
S
S
S
S
S
G

G

N
N
N
N
N
N
N
N
P
N
N
N

MJ4033
MJ4035

N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
P
P

2N2218
2N2218

2N2218
2N2218

2N4232
2N2218
2N2219A

2N4231
2N2218
2N2218
2N2242
2N3019
2N3019

2N3019
2N3019

2N2256
2N2256
2N2256
2N2256

AP
AP
AP
AP
SHP
SHP
SH
SH
AH
A
SH
SH
SH
SH
SH
A
A
A
A
A
A
A
A
A
A
A
A
SH
SH
SH
SH

150W
150W
150W
150W
12.5W
1Z.5W
575M
575M
0.3W
l.OW
0.6W
0.6W
360M
0.8W
0.8W
0.5W
0.5W
0.5W
0.5W
0.5W
0.5W
0.5W
0.5W
0.5W
0.5W
0.5W
0.5W
300M
300M
150M
150M

50
100
150

zoo

f

'"V

V
V

v

0
0
0
0
S
R

0
0
0
0
0
0
0
0
0
0
0
0
0

0

a
0
0
S
S
S
S

hFE @ Ic
(min) (max)
350
350
350
350
15
40
15
40
10
30
40
100
40
40
40
5.0
10
5.0
5.0
10
20
5.0
10
20
5.0
10
20
17
40
17
40

60
125
60
125
200
100
200
120
120
120
15
30
15
15
30
60
15
30
60
15
30
60

~
.;;;

VCE/SATI @Ic
(volts)

~

hf_

=

=

9.0A
9.0A
9.0A
9.0A
100M
100M
100M
100M
10M
200M
l.OM
l.OM
10M
0.15A
0.15A
2.0"
2.0"
2.0"
2.0*

3.5
3.5
3.5
3.5
0.25
0.25
0.25
0.25

9.0A
9.0A
9.0A
9.0A
100M
100M
100M
100M

100
100
100
100

3.0
l.0
l.0
0.7
0.35
0.25
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
O. Z
0.2
0.2

200M
50M
50M
100M
0.15A
0.15A
l.OM
l.OM
l.OM
l.OM
l.OM
l. OM
l.OM
l.OM
l.OM
l.OM
l.OM
l.OM

2.0-'\-

2.0"
2.0*
2.0*
2.0"
2.0i'
2.0)'(
2. Q)'c'

25

40
80
40
40
80
150
40
80
150
40
80
150

I

L

~

!

= tl
4.0K
4.0K
4.0K
4.0K
50M
100M
50M

E

E

400M

T

E
E
E
E
E
E
E

50M
50M
250M
50M
50M
60M
60M
60M
60M
60M
60M
60M
60M
60M
60M
60M
60M

T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T

200K
200K

T
T

200K
200K

T

10K

E

6.0M
6.0M
6.0M
6.0M
7.6M
7.6M
16M
16M
20M
20M
20M
0.6M
0.6M
0.6M
0.45M
0.45M
0.45M
0.45M

T
T
T
T
T

E
E
E
E

E

E
E
E
E

E
E
E

T
T
T

10M
10M
10M
10M

Thyristors) see Table on Page 2·69
G
G

P
P

2N2145
2N2145

2N2137
2N2137

SP
SP

G
G

P
P
N
P
N
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P

2N2145
2N2145

2N2137
2N2137

SP
SP
A
A
SH
AH
SC
SC
SC
SC
SC
SC
SC
SC
AHP
AHP
AHP
AP
AP
AP
AP
AP
AP
AP

S
G

S
G

S
S
S
S
S
S

S
S
G
G

G
G

G
G
G
G
G
G

2N929
2N2946
2N2946
2N2944
2N2944
2N2945
2N2945
2N2944
2N2944

2N929
2N2273
2N2944
2N2944
2N2944
2N2944
2N2944
2N2944
2N2944
2N2944

2N1651
2N1651
2N1651
2N2288
2N2288
2N2288
2N2291

C

C

c

C
C
C
C
C
C
C

G

G

G
G
G

0
R
R

0
0
0
0
0
0
0
0
0
0
0
0

40
40

120
120

500M
500M

0.75
0.75

5.0A
5.0A

40
40
30
50
80
ZO
10
10
10
10

120
120

500M
500M
l.OM
35M
10M
LOM
5.0M
5. OM
5.0M
5.0M

0.75
0.75
0.9

5.0A
5.0A
150M

50

E

0.7

200M

3.0

E

100
240
150

0.1
0.1
0.4
0.4
0.4
0.65
0.65
0.65
l.0
1.0
l.0
1.0

5. OM
5.0M
LOA
LOA
LOA
25A
25A
25A
5.0A
500A
5.0A
5.0A

15
15
15
35
35
35
20
20
20
50

140
140
140
60
60
60
120

3.0A
3.0A
3.0A
lOA
lOA
lOA
5.0A
5.0A
5.0A
5.0A

50
50
50
50
50
40
75
20
15
12

120
120
120
120
120
120
200
80
60
75

5.0A
5.0A
5.0A
5.0A
5.0A
150M
150M
300M
800M
0.35A

1.0
l.0
l.0
LO
1.0
0.2
l.5
0.9
l.2
2.0

60
125
36
36
90
90
60
120
120
120

S

20
25
12
12
30
30
20
40
40
40
15
15
15

LOA
0.2M
200M
200M
200M
200M
150M
150M
150M
150M
O.lM
O.lM
O.lM

0

50

0

0
0
R
R
R

0
0
0
0
0
0
0
R

V
V

0

40
40
40

E
E
E

25
25
25
50

E
E
E
E

5.0A
5.0A
5.0A
5.0A
5.0A
150M
150M
300M
600M
1. OA

50
50
50
50
50

E
E
E
E
E

75

E

LO

LOA

15
40

E

5.0
5.0
5.0
5.0
5.0
l.5
5.0
l.5
0.35
0.35
0.35

200M
200M
200M
200M
150M
150M
150M
150M
20M
20M
20M

15
25
30
30

E
E
E
E

0.45M
0.45M
0.45M
0.45M
0.45M
60M
60M

T

T

T
T
T
T
T
T

T
T
T
T

T
T
T

T
T
T
T
T

T

175M

T

30K

E

2·87

100
30
60
100
60
100
60
60
120
75
30
30
30

80
30
60
100
60
100
40
40
80
50
25
25
25

30

20

0
0
0
0
0
0
R
R
R
R

S
S

E

40M
50M
50M
60M
300M
300M
300M

T

T
T
T

T
T
T

Thyristors) see Table on Page 2.. (volts)

'c

hf_

=>
170M
60M
10M
30
10M
30
10M
100
10M 1500
10M 5000
10M 1500
0.2A
30
0.2A
75
50A
10M
25A
25A
25A
25A
25A
25A
25A
25A
25A
lOA
lOA
lOA
lOA
lOA
lOA
15A
15A
15A
15A
15A
15A
20A
20A
20A

~

I
E
E
E
E
E
E
E
E

L

=i

=>

~
tl

~

150M
200M
80M
80M
100M
100M
100M
100M
15M
15M
3.0K
600M
200K
200K
200K
200K
200K
200K
200K
200K
200K

T
T
T
T
T
T
T
T
T
T

T
T
T
T
T

E
T
T
T
T
T
T
T
T
T
T

20A
20A
20A
lOA
lOA
lOA
lOA
70A
lOA
15A
15A
15A
15A
15A
15A
20A
20A
20A
20A
20A
20A
25A
25A
25A
25A
25A
25A
LOA
LOA
LOA
3.0M
15M

600

E

75M
75M
75M
LOG
10M

150M
150M
150M
150M
150M
150M
50A

IS
30
80
IS
30
80
20

E
E
E
E
E
E
E

250M
250M
250M
250M
250E
250E
2.0K

T
T

0.4
0.4
0.4
0.4
0.45
0.125
0.25
0.2
0.25
0.25
0.4
0.4
0.5
0.5
0.5

50M
50M
50M
50M
50M
150M
150M
10M
10M
10M

T

E
E
E

300M
300M
150M
120M
120M
120M
120M
60M
60M
60M

0.4
0.4

20
20
20

T
T
T
T

E
T

T
T
T
T
T

T
T

T

2N2805-2N2892
:;!

TYPE
2N2805
2N2806
2N2807
2N2808
2N2808A
2N2809
2N2809A
2N2810
2N2810A
2N2811
2N2812
2N2813
2N2814
2N2815
2N2816
2N2817
2N2818
2N2819
2N2820
2N2821
2N2822
2N2823
2N2824
2N2825
2N2826
2N2827
2N2828
2N2829
2N2831
2N2832
2N2833
2N2834
2N2835
2N2836
2N2837
2N2838
2N2840
2N2841

thru
2N2844
2N2845
2N2846
2N2847
2N2848
2N2849
2N2850
2N2851
2N2852
2N2853
2N2854
2N2855
2N2856
2N2857
2N2858
2N2859
2N2860
2N2861
2N2862
2N2863
2N2864
2N2865
2N2866
2N2867
2N2868
2N2869
2N2870
2N2871
2N2872
2N2873
2N2874
2N2875
2N2876
2N2877
2N2878
2N2879
2N2880
2N2881
2N2882
2N2883
2N2884
2N2885
2N2886
2N2887
2N2888
2N2889
2N2890
. 2N2891
2N2892

..

S
:3

lE

....

S
S
S
S
S
S
S
S
S
S
S
S

P
P
P
N
N
N
N
N
N
N
N
N

iii
~

S
S
S
S
S
S
S
S
S
S
S
S
G
G
S
S
S
G
G
G
G
G
S
S

C>

N
N
N
N
N
N
N
N
N
N
N
N
P
P
N
N
N
P
P
P
P
P
P
P

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS
REPLACE·
MENT

REF.

2N5477
2N5477
2N5477
2N5477
MJ7000
MJ7000

MJ7000
MJ7000

MJ7000
MJ7000

MJ7000
MJ7000

MJ7000
MJ7000

2N3612

Po
@25'C

2N5477
2N5478
2N5477
2N5478
MJ7000
MJ7000

2N5477
2N2221

USE

2N5477
2N2218
2N2832
2N3832
2N2832
2N3611
2N2800
2N2800

AM
AM
AP
AH
AH
AH
AH
AM
AH
AP
AP
AP
AP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
AP
AP
SP
SP
AM
SP
SP
SP
A
AP
SH
SH

250M
250M
250M
300M
200M
200M
200M
200M
200M
70W
70W
70W
70W
200W
200W
200W
200W
200W
200W
200W
200W
200W
200W
200W
4.5W
4.5W
40W
40W
360M
85W
85W
85W
16W
37.5W
0.5W
0.5W

I
i

A
A
A
A
A
A
A
A
A
J
J
J
J
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
A
C
C
C
C
C
A
A

TJ

YeB

VeE _

'C

(yolts)

(yolts)

25
25
25
30
30
30
30
24
24
80
80
120

20
20
20
10
10
15
15
10
10
60
60
80

200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
95
95
200
200
200
110
110
110
90
100
200
200

120
80
100
150
200
80
100
150
200
80
100
150
80
80
40
80
120
140
32
55
50
50

80
80
100
150
200
80
100
150
200
80
100
150
15
30
60
60
12
50
75
100
32
55
35
35

I
0
0
0
0
0
0
0
0
0
0
0
0

h"" @ Ie
(min) (max)
40
40
40
20
20
20
20
20
20
20
40
20

120
120
120
120
120
120
120
120
120
60
120
60
120
50
50
50
50
50
50
50
50
40
40
40
200
200
60
60

0
0

40
10
10
10
10
10
10
10
10
10
10
10
75
75
20
20
25
25
25
25
30
30
30
75

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

30
30
40
40
100
40
40
20
40
100
40
20
30
20
20
40
30
12
30
20

120
120
140
140
300
120
120
60

0
0
0
0
0
0
0
0

120
45
80

150
90

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
R
R

100
100
100
100
100
90
225

=i:::>

100"
100*
100*
2.0M
2.0M
2.0M
2.0M
2.0M
2.0M
5.0A
5.0A
5.0A

VeElsAn@le
(Yolts)
0.5
0.5
0.5
0.25
0.25
0.25
0.25
0.25
0.25
0.5
0.5
0.5

~

hl _

:!!i
10M
10M
10M
4.0M
4.0M
4.0M
4.0M
4.0M
4.0M
5.0A
5.0A
5.0A

5.0A
lOA
lOA
lOA
lOA
15A
15A
15A
15A
20A
20A
20A
100M
100M
0.5A
l.OA
10M
lOA
lOA
lOA
l.OA

0.5
l.5
l.5
1.5
l.5
l.5
1.5
l.5
l.5
l.1
l.1
l.1
l.0
l.0
0.4
0.3
0.25
0.5
0.5
0.5
0.4

5.0A
lOA
lOA
lOA
lOA
15A
15A
15A
15A
20A
20A
20A
500M
500M
0.5A
l.OA
10M
20A
20A
20A
l.OA

150M
150M

0.4
0.4

150M
150M

150M
150M
150M
150M
l.OA
l.OA
l.OA
l.OA
l.OA
l.OA
l.OA
l.OA
3.0M
l.OA
l.OA
40M
10*
10*
200M
200M

0.4
0.4
0.4
0.4
0.4
0.25
0.4
0.4
l.5
0.4
0.4
0.4

150M
150M
150M
150M
1.0A
l.OA
l.OA
l.OA
5.0A
l.OA
l.OA
l.OA

0.3
0.3
0.4
0.2
0.2
l.0
l.0

l.OA
l.OA
36M
10M
10M
500M
500M

40
40
40
20
7.0
20
20
20
20
20
40
20
40

40

:e.

~

L

~
:::>

j

E
E
E
E
E
E
E
E
E
E

60M
60M
60M
l.OG
l.5G
600M
l.OG
600M
1.0G
15M
15M
15M

T
T
T
T
T
T
T
T
T
T

15M
0.6M
0.6M
0.6M
0.6M
0.6M
0.6M
0.6M
0.6M
0.6M
0.6M
0.6M

T
T
T
T
T
T
T

j

E
E
E

E

T
T

T
T
T
T

T

l.OM
1. OM
250M
10M
10M

T
T
T

0.3M
250K
120M
120M

B
B
T
T

250M
250M
250M
250M
30M
30M
30M
30M
30M
30M
30M
30M
l.OG
l.OM
l.OM
250M
60M
45M
150M
150M

T

T
T

Unijunction Transistors, see Table on Page 2·87
Field-Effect Transistors, see Table on Pa$e 2-80
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
G
S
S
S
S

N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
P
P
P
N
N

S
S
S
S
G
G
S
S
G
S
S
S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
P
P

2N5335
2N5338

2N2845
2N2845
2N2845
2N2845
2N5336
2N5336
2N5336
2N5334
2N5336
2N5336
2N5336
2N5334
2N2857
2N5334
2N5336

2N3798
2N3798
2N2219
2N2219

2N3798
2N3798
2N2218
2N2218

2N5337
2N5336
2N5336
2N5335
2N5336
2N5337
2N5336
2N5334

2N5477
2N5478
2N3252
MP2015
MP2016

2N5477
2N5477
2N3252

P
P

N
N
N
N
N
P
P
N
N
N
N
N

AH
AHP
AHP
SH
AP
AP

se
se

P

P
N

S
S
S
S
SH
SH
SH
SH
SH
SH
SH
SH
AH
SP
SP
SH
A
A
AH
AH

2N6182

2N6182

2N5477
2N5478
2N5477
2N5478
2N4235
2N4236
2N3553
2N3553

2N5477
2N5477
2N5477
2N5477
2N4234
2N4234
2N3375
2N3375

2N2219

2N2218

AH
AHP
AHP
AH
AHP
AHP
AHP
AHP
AP
AP
AHP
AHP
SH
A
AHP

360M
800M
360M
800M
850M
850M
850M
850M
850M
850M
850M
850M
200M
0.6W
0.6W
150M
300M
300M
800M
800M

A
A
A
A
A
A
A
A
A
A
A
A
A
C
C
A
A
A
A
A

200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
100
200
200
200
200

60
60
60
60
100
100
100
100
60
60
60
60
30
100
120
18
25
25
60
60

30
30
20
20
80
80
80
80
40
40
40
40
15
80
100
7.0
20
20
25
25

200M
40W
40W
0.8W
30W
30W
0.4W
0.4W
115M
2.0W
20W
17.5W
53W
53W
53W
53W
8.5W
8.5W
800M
800M
0.15W
800M
25W

A
C
C
A
C
C
A
A
A
A

200
175
175
200
100
100
200
200
100
175
200
200
200
200
200
200
200
200
200
200
175
200
200

25
120
120
60
60
80
60
110
35
75
60
80
80
80
100
100
60
100
40
40
40
50
100

13
80
80
40
50
50
60
110
35
40
50
60
60
60
80
80
60
100
20
20
15
40
80

0
0
0
0
0
0
0
0
0
0
0
0
0
0

20
20
40
40
50
50
15
15
40
7.5
15
5.0
20
40
20
40
20
20
20
20
30
22
15

200
200
200

100
100
100

80
80
80

0
0
0

30
50
30

e
e
e
e
e
e
e
e

A
A
A
A

e

V

300
120
60
150
60
60
120
120
200
200
200
60
120
120
165
165

75
60
60
120
60
120
60
60

4.0M
0.5A
0.5A
0.15A
l.OA
l.OA
l.OM
l.OM
l.OM
0.35A
l.5A
2.5A
l.OA
l.OA
l.OA
l. OA
0.5A
0.5A
100M
100M
10M
5.0M
0.35A

0.4
0.75
0.75
0.25
0.75
0.5

10M
l.OA
l.OA
0.15A
lOA
lOA

5.0
l.5
1.0
0.25
0.25
0.25
0.25
0.4
0.4
0.5
0.5
0.4
l.2
l.2

l.OA
0.5A
2.5A
l.OA
l.OA
l.OA
l.OA
0.5A
0.5A
100M
100M
10M
8.0M
l.OA

l.OA
1.0A
l.OA

0.5
0.5
0.5

l.OA
l.OA
l.OA

50

E

50
25

E
E

20

E

40

E

20

E

20
40
20
40
10
10

E
E
E
E
E
E

T

T
T
T
T
T
T

T
T
T
T
T
T
T
T
T
T

T
T

600M T
10M T
10M T
50M T
200K T
200K T
0.2M T
0.2M T
300M T
140M T
25M ·E
150M T
30M T
50M T
30M T
50M T
25K E
25K E
400M T
400M T
300M T
140M

T

30M
30M
30M

T

Thyristors, see Table on Page 2-69
siN 12N3507
S N 2N3507
S N 2N5477

12N350~J
AP
2N3506 SP
2N5477

SP

1- 800M
800M
30W

A
A

e

2-35

9~

30
50
30

E
E
E

T

T

2N2893-2N2967

TYPE

31=

.. :iS2

$~

:I!~
2N2893
2N2894
2N2894A
2N2895

REF.,

2N2897
2N2898
2N2899
2N2900
2N2901
2N2902
2N2903

N 2N5478
2N5477
P
2N2894
P MM2894A MM2894A
N
2N2895
N
2N2895
N
2N2895
N
N
N
N
2N5427
N 2N5430
N
2N2903

2N2903A
2N2904
2N2904A
2N2905
2N2'905A
2N2906
2N2906A
2N2907
2N2907A
2N2908
2N2909
2N2910
2N2911

S
S
S
S
S
S
S
S
S
S
S
S
S.

N
P
P
P
P
P
P
P
P
N
N
N
N

2N2912
2N2913
2N2914
2N2915
2N2915A
2N2916
2N2916A
2N2917
2N2918
2N2919
2N2919A
2N2920

G

2N2920A
2N2921
2N2922
2N2923
2N2924
2N2925
2N2926
2N2927
2N2928
2N2929
2N2930
2N2931
2N2932
2N2933
2N2934
2N2935
2N2936
2N2937
2N2938
2N2939
2N2940
2N2941
2N2942
2N2943
2N2944
2N2944A
2N2945
2N2945A
2N2946
2N2946A
2N2947
2N2948
2N2949
2N2950
2N2951
2N2952
2N2953
2N2954
2N2955
2N2956
2N2957
2N2958
2N2959
2N2960

~~m

2N2963
2N2964
2N2965
2N2966
2N2967

P
S N
S N
S N
S N
S N
S N
S N
S N
S N
S N
S N
S N
S N
S N
S N
S N
S N
S N
S P
G P
G P
G P
S N

2N2903
2N2904
2N2904

~~~~8t
2N2 04

2N2904

2N5069
2N2221A
2N3409
2N3766

2N2913
2N2913
2N2913
2N2913
2N2913

MPS6512
MPS2923
MPS2924
MPS2925
MPS2926

MPS6512
MPS2923
MPS2923
MPS2923
MPS2926
2N2696

2N3427

2N2929
2N3427

N
N
N
N
N 2N930A
N 2N930A
N 2N2369A
N 2N2193
N 2N3019
N 2N3501
P
P
P
P
P
P
P
P
N
N
N
N
N
N
P 2N1194
N 2N834
P
P
P
N
N
N 2N2219A
N 2N2219A

G
G
G
G
G
S

P
P
P

s

P
P
N

m~8t

2N5067
2N2218
2N3409
2N3766
2N2912
2N2913
2N2913
2N2213

S
S
S
S
S
S
S
S
S
S
G
G
S
S
S
S
S
S
S
S
S
S
S
S
G
S
G
G
G
S
S
S

2N3283
2N929

USE

Po
@25·C

S
S
S
S
S
S
S
S
S
S
S
S

2N289~

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS
REPLACE·
MENT

2N929
2N929
2N2369A
2N2192
2N3019
2N3498

2N2944
2N2944
2N2944
2N2947
2N2947
2N2949
2N2949
2N2951
2N2951
2N1l91
2N834
2N2955
2N2955
2N2955
2N2958
2N2958
2N2218
2N2218

2N3283
2N929

SP
S
SP
S
S
S
S
S
S

se

AP
AM
AM
SH
SH
SH
SH
SH
SH
SH
SH
AP
SH
AM
SP
SP
AM
AM
AM
A
AM
A
AM
AM
AM
A
AM
A
A
A
A
A
A
A
S
AH
AH
S
A
A
A
A
A
A
A
SH
AHP
AHP
AHP
SH
SH

30W
360M
360M
500M
500M
500M
500M
500M
500M
0.36W
40W
600M
600M
3.0W
3.0W
3.0W
3.0W
1.8W
l.8W
l.8W
l.8W
75W
0.4W
0.3W
5.0W
75W
300M
300M
300M
300M
300M
300M
0.3W
0.3W
0.3W
300M
0.3W

~

';;

'"e

·C

VCB

VCE _ ~

(voRs) (volts)

I

hFE

80
12
12
65
90
45
65
90
45
10
120
30

0
0
S
0
0
0
0
0
0
0
0
0

50
40
40
40
60
50
40
60
50
30
30
125

200
200
209

30
40
60
40
60
40
60
40
60
80
40
25
125

0
0
0
0
0

A
A
C

60
60
60
60
60
60
60
60
60
80
60
45
150

125
40
40
100
100
40
40
100
100
12
40
70
20

e

110
200
200
200
200
200
200
200
200
200
200
200

15
45
45
45
45
45
45
45
45
60
60
60

6.0
45
45
45
45
45
45
45
45
60
60
60

200
125
125
125
125
125
125
200
100
100
100
125

60
25
25
25
25
25
18
25
15
25
30
5.0

60
25
25
25
25
25
18
25

125
125
125
125
175
175
200
300
300
200
100
100
175
200
175
200
1.75
2'00
175
175
175
175
175
175

5.0
5.0
45
45
60
60
25
75
120
150
50
30
15
15
25
25
40
40
60
40
60
60
60
60

100
200
100
100
100
200
200
200
200
100
100
100
100
100
200

30
30
40
40
40
60
60
60
60
40
40
30
30
20
12

e
e
e 200
e 200
e 200
e 200
e 200
e 200
e
e ~8g,
e 200
e 200

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

AP
AP
AP
AP
AP
AP

50M
50M
50M
50M
300M
300M
300M
0.8W
0.8W
0.8W
150M
150M
400M
400M
400M
400M
400M
400M
25W
25W
6.0W
6.0W
3.0W
l.8W

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
C
C

A
SH
SH
SH
SH
SH
SH
SH
SH
AP
AP
AP
AHP
AH
SH

120M
200M
0.15W
0.15W
0.15W
3.0W
3.0W
3.0W
3.0W
350M
350M
350M
350M
60M
300M

A
A
A
A
A

e

A
A

e
e
e
e

e
e
e
e
A
A
A
A
A
A

13

10
12
5.0
5.0
5.0
30
30
55
55

13
60
80
100
25
15
10
10
20
20
35
35
60
40
60
60
60
60
25
20

20
20
30
30
18
18
15
15
20
6.0

2-36

a
0
a
a

R

0
0
Q

0
0

a
0
0
0
0
0
0
0
0
0

..
M

VCElsAn@lc

.1::

100
12
12
120
140
60
120
140
60
20
120
60

A
A
A
A
A
A
A
A
A

@Ic

(min) (max)

200
200
200
200
200
200
200
200
200
200
200
200

300M
0.2W
0.2W
0.2W
0.2W
0.2W
0.2W
800M
150M
750M
250M
50M

se
se
se
se
se
se

TJ

75
60
150
60

150
150

::0

(volts)

~
::0

LOA
30M
30M
150M
150M
150M
150M
150M
150M
10M
500M
100M

0.5
0.15

LOA
10M

0.6
0.6
1.0
0.6
0.6
1.0
0.15
7.5
1.0

150M
150M
150M
150M
150M
150M
10M
500M
5.0M

60

100M
150M
150M
150M
150M
150M
150M
150M
150M
l.OA
0.15A
O.lM
l.OA

1.0
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
10
0.25
l.0
0.3

5.0M
150M
150M
150M
150M
150M
1501{
150M
150M
l.OA
0.15A
10M
l.OA

240
600
240

lOA
10*
10*
10*

0.5
0.35
0.35
0.35

25A
l.OM
100M
1.0M

120
200
200
120
200
200
90
625
625
120
120
300
300
120
120
300
300
60
120

150

600

10*

0.35

l.OM

60
150
60

240
600
240

10*
10*
10*

0.35
0.35
0.35

l.OM
100M
l.OM

150

600

10*

0.35

l.OM

0
0

a
a
a
a
0
a
0
0
0

a
0

a
a
0
0
0
0
0
0
0
0

a
0
0
0
0
0
0
S
S
S
S
S
S

R

0

a
a
a
a
a
a
a
a
0
0

30
0.8
10
60
50
70
50
50
70
100
100
30
60
60
60
50
30
80
100
40
100
30
50
2.5
2.5
5.0
5.0
20
20
100
25
20
40
60
40
100
100
100

8.0
20

130
200
100
420

300
300
240
240
240

50M
2.0M
10M
10M
50M

0.25

50M

0.5
0.25
0.45

50M
100M
50M

50M
50M
50M
50M
10*
10*
50M
0.15A
0.15A
0.15A
10M
10M
100M
100M
100M
100M
100M
l.OM
0.4A
0.4A
40M
40M
10M
10M

0.45
0.45
0.45
0.45
0.3
0.3
0.4
0.75
0.75

50M
50M
50M
50M
2.0M
2.0M
50M
0.15A
0.15A

0.15
0.2

LOA
l.OA
0.4A
0.4A
0.15A
0.15A

120
300
300
300

50M
2.0M
50M
50M
10M
150M
150M
150M
150M

0.2
0.18
0.15
0.5
0.5
0.5
0.5

10M
10M
10M
150M
150M
150M
150M

100
120

3.0M
10M

0.3

3.0M

300
60
120

50

II

~
E

50
50
50
50
50
50

E
E
E
E

30
150

E

E

150

E

E
E

10

E

50

E

240

E

600

E

240

E

600
35
55
90
150
235
35
25
0.10
10

E
E
E

30

E

70
45
30
70
150
150

E
E
E
E
E
E

200
25

L

~

::0

30M
400M
800M
120M
120M
120M
120M
120M
120M
300M
2.0M
.60M

i
T

T
T

T
T·
T
T
T
T

T
T
T

60M
200M
200M
200M
200M
200M
200M
200M
200M
1 .. 0M
50M
11M
l.OM

1:

20M
60M
60M
60M
60M
60M
60M
60M
60M
60M
60M.
60M

T
T

T

T
T

T

T
T

T
T
E
T
T

T

T
T
T
T
T
T
T
T
T
T

60M

T

100M
400M
800M
4.0M
20M

T
T

20M
20M
20M
20M
30M
30M
500M
150M
150M
150M
150M
120M
10M
15M
5.0M
10M
3.OM
5.0M
100M
100M
100M
100M
200M
200M

T
T
T

E

E
E
E
E
E

10M
10M

0.5
0.5
0.5
0.5
0.5
0.5

55
100
100
100
150
150

"'-

~

E
E

300M
200M
250M
300M
250M
250M
250M
250M
660M
660M
660M
660M
500M
400M

T
B

T

T
T
T

T
T

T
T
T
T

T
T

T
T

T
T

T

T
T
T
T

T
T

T
T

T
T
T

T
T
T
T
T

T
T
T

2N2968-2N3064
:$ ~

TYPE

""
~

;;;;

::::5
c

::IE a..

REPLACE·
MENT

REF.

S
S
S
S
S
S
S
S
S
S
S
S

P
P
P
P
N
N
N
N
N
N
N
N

2N3250
2N3250
2N3250
2N3250

2N2980
2N2981
2N2982
2N2983
ZN2984
2N2985
2N2986
2N2987
2N2988
2N2989
2N2990
2NZ991

S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N
N
N

2N2060A
2N2223
2N2223A
2N5335
2N5682
2N5338

2N3250
2N3250
2N3250
2N3250
2N2913
2N2913
2N2913
2N2913
2N2913
2N2913
2N2913
2N2913
2N2060
2N2060
2N2060
2N5334
ZN5681
2N5336

2N5335
2N5681
2N5337
2N5339
2N5447

2N5334
2N5681
2N5336
2N5336
2N5447

2N2992
2N2993
2N2994
2N2995
2N2996
2N2997
2N2998
2N2999
2N3001

S
S
S
S
G
G
G
G

N
N
N
N
P
P
P
P

2N5479
2N5478
2N5480

2N5477
2N5477
2N5477

2N3283

USE

PD
@25°C

2N2968
2N2969
2N2970
2N2971
2N2972
2N2973
2N2974
2N2975
2N2976
2N2977
2N297S
2N2979

thru

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

~

2N3283

S
S
S

S
AM
AM
AM
AM
AM
AM
AM
AM
AM
AM
AM
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AHP
AH
AH
AH
AH

150M
150M
150M

150M
0.Z5W
0.25W
0.Z5W

0.25W
250M
250M
250M

0.25W
0.25W
0.25W
0.25W
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW
l.OW

2.0W
2.0W
2.0W
2.0W
l.5W
75M
75M
75M
75M

~ TJ

VCB

VCE _

°C

(volts)

(volts)
10
10
20
20
45
45
45
45
45
45
60
60

a..

...
~

A
A
A
A
A
A
A
A
A
A
A
A

140
ZOO
200
200
200
200
200
200
200

30
30
30
30
45
45
45
45
45
45
60
60

A
A
A
A
A
A
A
A
A
A
A
A

200
200
200
175
175
175
175
200
200
ZOO
ZOO
200

100
100
100
155
lS5
155
185
95
155
95
155
95

A
A
A
A
A
A
A
A

200
200
200
175
100
100
100
100

155
95
155
120
15
30
15
15

140
140

140

f
'"

hFE @ Ic
(min) (max)

VCElSATI@lc

~ (volts)

:::>

hf _

lOQ-Jr

0.8

Z40
600
240
600
240
600
240
600

100*
10"
10"
10*
10*
LOok
10*
10*
10*

0.8
0.35
0.35
0.35
0.35
0.35
0.35
0.35
0.35

10M
10M
10M
10M
l.OM
l.OM
l.OM
l.OM
l.OM
l.OM
l.OM
l.OM

25
50
50
20
20
40
40
25
25
60
60
25

75
200
200
60
60
120
120
75
75
120
120
75

lO"'~

10M
10M
500M
500M
500M
500M
200M
200M
200M
200M
200M

1.2
l.2
l.2
0.6
0.8
0.8
0.8
0.8
0.8
0.8
0.8
0.8

50M
50M
50M
l.OA
200M
200M
200M
200M
200M
200M
200M
200M

50
40
40
20
20
40
40
25
25
50
50
25

120
120
90
500
500
300

0.8
O.S
0.8
l.7

200M
200M
200M

0

20
60
60
25
25
40
15
10

25
50
50
30
35
50
20
15

0
0

0
0
0
0
0
0
0
0
0

15
15
10
10
60
150
60
150
60
150
60
150

60
60
60
80
120
80
120
80
100
80
100
80

0
0
0
0
0
0
0
0
0
0
0
0

100
SO
100
100
10
15
12

0
0
0
0
0
0
0

10

0

100"
100*

l.OM
200M
200M

0.2A

0.6
0.6

~

:::>

0.2A

4.0M
4.0M
3.0M
3.0M

f
'"

E
E
E
E
E

E
E

E
E
E
E
E
E
E
E
E
E
E
E
E

L

:i
:::>

8.0M
8.0M
4.0M
4.0M
60M
60M
60M
60M
60M
60M
60M
60M

f

'"T
T
T
T
T
T
T
T
T
T
T
T

60M
50M
50M
60M
60M
60M
60M
30M
30M
30M
30M
30M

T
T
T
T
T
T
T
T
T
T
T
T

30M
30M
30M
10M
400M
400M
600M
l.4G

T
T
T
T
T
T
T
T

Thyristors) see Table on Page 2-69

2N3008
2N3009

S

N

2N3009

SH

360M

A

200

40

15

0

30

120

30M

0.18

30M

350M

T

12N3010
2N3011
2N3013
2N3014
2N3015
2N3016
2N3017
2N3018
ZN3019
2N3020
2N3021

S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N
P

2N3010
2N3011
2N3009
2N3009
2N3015

300M
360M
360M
360M
800M

A
A 1 200
200
A 200
A 200
A 200
C 150
C 150
C 150
A 200
A 200
C 175

15
30
40
40
60
100
100
100
140
140
30

6.0
12
15
20
30
50
50
50
SO
80
30

0
0
0
0
0
0
0

125
120
120
120
120
150
150
150
300
120
60

l.OA

0.25
0.2
0.18
0.18
0.4
0.75
0.75
0.75
0.2
0.2
l.5

10M
10M
30M
10M
150M
l.OA

0
0
0

25
30
30
30
30
60
60
60
100
40
20

10M
10M
30M
30M
150M
l.OA
l.OA
l.OA

2N3019
2N3019
2N3021

SH
SH
SH
SH
SH
AHP
AHP
AHP
AH
AH
SHP

600M
400M
350M
350M
250M
200M
200M
200M
100M
80M
60M

T
T
T
T
T
T
T
T
T
T
T

2N3022
2N3023
2N3024
2N3025
2N3026
2N3027

S
S
S
S
S

P
P
P
P
P

2N3021
2N3021
2N3021
2N3021
2N3021

SHP
SHP
SHP
SHP
SHP

C
C
C
C
C

175
175
175
175
175

45
60
30
45
60

45
60
30
45
60

0
0
0
0
0

20
20
50
50
50

60
60
180
180
180

l.OA
l.OA
l.OA
l.OA
l.OA

1.5
l.5
1.0
l.0
l.0

3.0A

60M
60M
60M
60M
60M

T
T
T
T
T

300M
300M
300M
800M
360M
360M
360M
360M
250M
250M
250M
250M
250M
250M
250M
250M

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

175
175
175
200
175
175
175
175
200
200
200
200
200
200
200
200

100
70
50
120
120
100
50
40
45
45
45
45
45
45
25
25

R
R
R

1.0
l.0
1.0
0.25
0.2
0.2

100M
100M
100M
150M
10M
10M

0.2
1.0
l.0
l.0
1.0
1.0
1.0
0.2
0.2

10M
10M
10M
10M
10M
10M
10M
10M
10M

50M
50M
50M
50M
50M
30M
30M
30M
30M
30M
30M
GOM
60M

T
T
T
T
T
T
T
T
T
T
T
T
T

250M
250M
5.0W

A

175
175
200

25
35
GO
80
90
90
100
100
140
100
140
6.0
10
70
70
90
90
110

100
70
50
80
70
60
35
30
45
45
45
45
45
45
20
20
ZO
15
40
GO
60
60
70
60
80
60
SO
6.0
10
60
60
80
80
100

0.2
0.25
l.4
0.3
1.0
1.0
1.1
0.25
0.2
0.25
0.2

10M
10M

60M
200M
100M
100M
30K
30K
20K
80M
80M
100M
100M

T
T
T
T

thru

2N3032
2N3033
2N3034
2N3035
2N3036
2N3037
2N3038
2N3039
2N3040
2N3043
2N3044
2N3045
2N3046
2N3047
2N3048
2N3049
2N3050
2N3051
2N3052
2N3053
2N3053A
2N3054
2N3054A
2N3055
2N3056
2N3056A
2N3057
2N3057A
2N3058
2N3059
2N3060
2N3061
2N3062
2N3063
2N3064

3.33W
3.33W
25W
0.8W
0.8W
25W
25W
25W
25W
25W
25W

0

0.15A
0.15A

5.0A
5.0A
0.15A

0.15A
3.0A

80
30

E
E

3.0A
3.0A
3.0A
3.0A

Thyristors, see Table on Page 2-69
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
P
P
N
N
N
N
N
N
P
P

S
S
S
S
S
S

P
N
N
N
N
N
N
N
N
N
N

s
s

S
S

S
S
S

S
S
S
S
S

p

P
P
P
P

AL
AL
AL
A
2N3036
2N3036 A
A
A
A
2N3043 AM
2N3043 AM
2N3043 A
2N3043 AM
2N3043 AM
2N3043 A
MD3250AF AM
MD3250AF AM
MD3250AF A
SH
2N3053 SH
SP
2N3054A 2N3054 AP
2N3054A
AP
2N30 55 AP
AH
AH
AH
AH
A
A
A
A

A

5.0W

C
C

25W
75W

C

115W

C

0.4W
0.4W
0.4W
0.4W
400H
400M
400M
400M

C
A
A
A
A
A
A
A
A

p

A

400M
400M

A

P

A

400H

A

A

A

200
200
200
ZOO
200
200
200
200
200
200
200
ZOO
200
200

2-37

0
0
0
0
0
0
0
0
0
0
0
0

0

0
0
0
0
R
R

R

0
0
0
0
0
0
0
0
0
0
0

50
40
80
20
40
100
100
100
50
50
50
20
20

150
120
240
80
160
300
300
300
200
200
200
120
120

150M
150M
150M
150M
150M
10*

20
25
50
50
25
25
20
40
40
100
100
40
100
30
60
20
50
15

120
130
250
250
100
100
70
120
120
300
300
120
300
90
180
80
150
45

10"

10~'(

10*
10"

lO"'~

10"
10*
10*
10M

0.15A
150M
0.5A

0.5A
4.0A

0.15A
0.15A
0.15A
0.15A
lOON
10"
l.OM
l. OM
1. OM
l.OM
l.OM

40
30
60
20
40
130
130
130
65
65
65
30
30
30

E
E
E
E
E
E
E

E
E
E
E
E

E
E

0.15A
150M

0.5A
0.5A
4.0A
0.15A
0.15A
0.15A
0.15A

25
25
25
15
30
30
80
80
40
100
30
60
20
50
15

E

E
E
E
E

E
E

E
E
E
E
E
E
E
E

E

E
E
T
T
T
T

•

2N3065 - 2N3173
MAXIMUM RATINGS
REPLACE·
MENT

TYPE

•

2N3065
2N3066,P
thru
2N3071
2N3072
2N3073
2N3074
2N3075
2N3076
2N3077
2N3078
2N3079
2N3080
2N3081
2N3082
2N3083
2N3084

S

REF.

USE
A

P

PD
400M

A

200

ELECTRICAL CHARACTERISTICS

VeB

VCE _

~

(volts)

(volts)

j

110

100

0

30

90

1. OM

60
60
25
25
50
60
60

0
0
S
S

30
30
25
20
30
100
40
7.0

130
130
300
250
90
400
120
40

50M
50M
3.5M
3.0M
7.0A
10*
10*
5.0A

0.25
0.25

7.0
20
100
LOO

40

5.0A

100
40
100
40

300
120
300
120

30
40
100
250
50
50
30
30
25
100
50
30
LO
20
50
100
60
30
40
40
100
40
100

hFE @ Ie

(min) (max)

f

VeEISATI @ Ie

~ (volts)

=0

:i

=0

30

'"E

50M
50M

25
25

E
E

130M
130M

T
T

1.0
0.35
0.35
0.7

lOA
1. OM
1. OM
5.0A

60
120
50

E
E

50M
15M
15M
30K

T
T

E

0.7
0.3

5.0A
150M

30K
150M
LOOM
LOOM

E
T
T
T

0.15A
150M
0.15A
150M

1.0
0.25
l.0
0.25

1.0A
150M
1.0A
150M

60

E

60

E

120
120
300
500
275
200
130
130

30M
0.15A
0.L5A

50M
0.15A
0.L5A
1. OM

25

E

10*

1.0
0.5
0.5
0.35

400

E

25M
100M
50M
50M

0.5
0.25
0.25

100M
50M
50M

25
25

E
E

100
300
100
75
30
75
L50
300
180
120
200
120
300
120
300

300M
0.15A
lOA
3.0A
lOA
3.0M
O.lM
ION
LON
10M
2.0A
0.15A
0.15A
0.L5A
0.15A

1.5
0.4
0.5
1.5
1.0
0.3
0.25
0.25
0.25
0.25
1.5
0.6
0.6
0.6
0.6
0.3

300M
0.L5A
lOA
3.0A
LOA
5.0M
l.OM
1. OM
1.0M
10M

20
10
LO
20
75
160
110

E
E

Field-Effect Transistors, see Table on Page 2·KO
S

P

2N3072
2N3072

S

P

G
G
S
S

P
P
N
N

S
S

N
N

2N5241

2N5241

S
S

N
P

2N5241
2N2193

2N5241
2N2192

S

N

S

N

MJ7000

MJ7000

S
S
AH
AH
SHP
A
A
SP

800M
360M
0.L4W
0.L4W
L25W
0.36W
0.36W
L78W

A
A
A
A
C
A
A
C

200
200
85
85
L75
200
200
L50

60
60
30
35
140
80
80
200

200

0
0
0
0

SP
SH

178W
600M
0.5W
0.5W

C
A
A
A

150
200
200
200

300
70
25
25

300
50
7.0
7.0

0
0
0

SC
SC

2~~~~9,A Field-Effect Transistors, see Table on Page 2-80

0

500M
0.25M
0.25M

T
E

I

2N3091

thru
2N3106
2N3107
2N3108
2N3109
2N3110
2N3112
2N31l3
2N3114
2N3115
2N3116
2N3117
2N3118
2N3119
2N3120
2N3121
2N3122
2N3123
2N3124
2N3125
2N3126
2N3127
2N3128 I
2N3129 "
2N3130
2N3131
2N3132
2N3133
2N3134
2N3135
2N3136
2N3137
2N3138
2N3139
2N3140
2N3141
2N3142
2N3143
2N3144
2N3145
2N3146
2N3147
2N3148
2N3149
2N3150
2N3151
2N3152
2N3153
2N3154
2N3155
2N3156
2N3157
2N3158
2N3159
2N3160
2N3161
2N3162
2N3163
2N3164
2N3165
2N3166
2N3167
2N3168
2N3169
2N3170
2N3171
2N3172
2N3173

Thyristors, see Table on Page 2-69

JU I g6g~ I~ Ii~g I tgg

~ I~ I
S
S

N
N

S

N

S

N

S

N

S
S

N
P

S

P

S
S

N
N

2N930A

2N3114
2N2958
2N2958
2N929

2N3501

2N3498

2N2219A
2N2219A

G P
G P
N

S
S

N
N

S

N

P
P
P

S

P

S
S
S

N
N
N

S

N

S

N

S N

2N5477
2N5477

N

S

N

G
G

P
P
N

S
S
S

N
N
N

S
G
G
G

N
P
P
P

2N5477
2N5477

2N5477

2N5477

2N3616
2N3616

G P
S

2N3132
2N3133
2N3133
2N3133
2N3133
2N3137
2N5477

2N5477

S N
S

2N3615
2N3615

P

S
S
S
S
S
S
S

P
P
P
P
P
P
P

S

P

50
60
40
80
LOO
30
20
45
60
40
100
50
SO
50
50
40
65
140
65
140
65
140
65
140
150
180
11
80
100
L50
L20
15
40
60
80
LOO

30
30
30
80
75
20
20
45
60
L5
70
35
35
35
35
20
65
L40
65
L40
65
L40
65
L40
L40
L60
6.0
80
LOO
150
L20
L5
25
40
55
65

SP
SP
SP
SP
AM
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP

37.5W
37.5W
37.5W
37.5W
300M
85W
85W
85W
85W
85W
SSW
85W
85W
75W
75W
75W

C
C

40
60
80
100
45
40
60
80
100
40
60
80
100
40
60
80

25
40
55
65
25
40
60
80
LOO
40
60
80
LOO
40
60
80

A
SH
SP
SH
SH
SH
SH
AH
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP

AP
AP

SC

G P
G P
S N 2N3411
P
P

A 200
A 175
C 100
C 100
C 100
A 100
ALSO
ALSO
ALSO
ALSO
C 100
A 200
A 200
A 200
A 200
A 200
C
200
C 200
C 200
C 200
C 200
C 200
C 200
C 200
C 100
C 100
A 100
C 200
C 200
C 200
C 200
A 200
C 100
C 100
C 100
C 100

SP
SP
SP
SH

G P
G P

S

SP
SP
SP
SP

800M
0.8W
90W
90W
90W
O.lW
0.15W
0.15W
0.15W
0.15W
90W
0.6W
0.6W
0.4W
0.4W
600M
20W
20W
20W
20W
25W
25W
25W
25W
150W
150W
0.45M
300W
300W
300W
25M
0.3W
37.5W
37.5W
37.5W
37.5W

A
SH
AP
AP
AP
AH
A

S

G P

S
S

150
20
20
60
60
80
45
45

A

G P
S
S
S

2N2218
2N2218
2N3124
2N3124
2N3124
2N3127

2N4901
2N4902
2N4903
2N6226

2N3409
2N4182
2N4182
2N4182
2N4184
2N4901
2N4901
2N4901

A
A
A

0
0

L50
60
60
60
85
LOO
45
45

S

800M
0.4W
0.4W
360M
1.0W
l.OW
800M
360M

0
0

200
200
200
200
200
200
200
200

S

G P
G P
S

AH
SH
SH
A
AH
SH

60
60
40
40

A

A
A
A
A

C

C
A
C
C
C
C

C
C
C
C
C
C
C

100
100
100
100
200
200
200
200
200
200
200
200
200
200
200
200

2-38

0

0

0
0

0
0
0
0
0
0
S
S
S
0
0

0
0
0
S
0
0
0
0
0
0

0
0

0
0

10
10
10
10
LO

10

1.0A

0

LO
10

1. OA
1.0A

0
0

0
0
0

E
E
E

E

5.0A
0.L5A
0.15A
0.15A
0.15A
50M

1.0A
1.0A
1.0A
1.0A
1. OA

0
0
V
V

E

30
30
70
10
10
10
40

90
90

5.0A
5.0A
20M
50A
50A
50A
30M

0.4
0.4
0.2
1.5
1.5
1.5

5.0A
5.0A
50M

60
60
60
60

180
180
180
180

0.5A
0.5A
0.5A
0.5A

1.1
1.L
l.1
1.1

3.0A
3.0A

30
30
30
30
SO
L2
L2
L2
L2
12
L2
12
12
L2
L2
L2

75
75
75
75
200
36
36
36
36
36
36
36
36
36
36
36

0.5A
0.5A
0.5A
0.5A
10M
1.0A
1.0A
1.0A
1.0A,
1.0A
1.0A
1.0A
1.0A
1.0A
1.0A
1.0A

20
20
80

E
E
E

20

E

50A
50A
50A

0
0

0
0
0
0
0
0
0
0
0
0
0

0
0
0
0

0
0
0
0

l.4
1.4
l.4
1.4
0.5
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75

3.0A
3.0A
3.0A

3.0A
3.0A
3.0A
10M
l.OA
1.0A
1.0A
l.OA
l.OA

1.0A
1.0A
1.0A
l.OA
l.OA
l.OA

10
10
10
LO
10
10

E
E

E
E

E
E

10

E

10
10
10
LO

E
E

E
E

70M
60M
70M
60M
40M
250M
250M
60M
250M
250M
130M
L30M

T
T
T

T

T
T
T
T
T
T
T
T

60M
400M
2.5K
5.0K
6.0K
400M
60M
60M
60M
250M
3.0K
200M
200M
200M
200M
500M
100M
LOOM
100M
100M
100M
LOOM
LOOM
100M

T

200K
200K
25M
O.lM
O.lM
O.lM
200M
30M
15K
15K
15K
15K

T

10K
10K
10K
LOK
300M
l.OM
l.OM
l.OM
1.0M
1.0M
l.OM
l.OM
l.OM
1.0M
1.0M
l.OM

E
E

T
E
E
E
T
T

T
T
T
E
T
T
T
T

T
T
T

T
T
T
T
T
T
T
T
T
T
T
T
T
E
E
E

E

E
E

T
T
T

T
T
T
T

T
T
T

T
T

2N3174-2N3261
TYPE

3

~
c

:IE

i:5

2N3174
2N3175
2N3176
2N3177
2N3178
2N3179
2N3180
2N3181
2N3182
2N3183
2N3184
2N3185
2N3186
2N3187
2N3188
2N3189
2N3190
2N3191
2N3192
2N3193
2N3194
2N3195
2N3196
2N3197

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

2N3198
2N3199
2N3200
2N3201
2N3202
2N3203
2N3204
2N3205
2N3206
2N3207
2N3208
2N3209

S
S
S
S
S
S
S
S
S
S
S
S

2N3210
2N3211
2N3212
2N3213
2N3214
2N3215
2N3216
2N3217
2N321S
2N3219
2N3220
2N3221
2N3222
2N3223
2N3224
2N3225
2N3226
2N3227
2N322S
2N3229
2N3230
2N3231
2N3232
2N3233

N
N
P
P
G P
G P
G P
S P
S P
S P
S N
S N
S N
S N
S P
S P
S N
S N

2N3234
2N3235
2N3236
2N3237
2N3238
2N3239
2N3240
2N3241
2N3241A
2N3242
2N3242A
2N3244
2N3245
2N3246
2N3247
2N3248
2N3249
2N3250
2N3250A
2N3251
2N3251A
2N3252
2N3253
2N3254

thru
2N3259
2N3260
2N3261

REPLACE·
MENT

...'"
P
P
P
P
P
P
P

P
P
P
P

P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P

P
P
P

2N61S2
2N61S2
2N61S2
2N61S4
2N4901
2N4902
2N4903
2N6226
2N3183
2N3184
2N3185
2N6226
2N6182
2N6182
2N61S2
2N6184
2N4901
2N4902
2N4903
2N6226
2N3195
2N3196
2N3197
2N319S
2N6192
2N6192
2N6192
2N3202
2N3203
2N3204
2N61S2
2N61S2
2N3207
2N320S

REF.

2N61S2
2N6182
2N6182
2N6182
2N4901
2N4901
2N4901

2N6182
2N6182
2N61S2
2N61S2
2N4901
2N4901
2N4901
2N6226

2N6190
2N6190
2N6190

2N6182
2N6182
2N3209
2N3210
2N3211

S
S
G
G

2N2944
2N2945
2N2945
2N5477
2N5477
2N5477
2N5479
2N3498
2N349S
2N5873

2N2944
2N2944
2N2944
2N5477
2N5477
2N5477
2N5477
2N3498
2N349S
2N5871
2N2369
Thyristors, see Table
S N
S N
S N
S N
2N3232
S N 2N3233
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N
N
P
P
N
N
P
P
P
P
P
P
N
N

2N5760
2N3235
2N3236
2N3237
2N3239
2N5882
2N5631
2N2219

2N930A
2N930A

2N3232

2N5879
2N5629
2N221S

2N3244
2N3244
2N929
2N929
2N3248
2N3248
2N3250
2N3250
2N3250
2N3250
2N3252
2N3252

USE

MAXIMUM RATINGS
;5 TJ
PD
Vca VCE _
/!
@25"C &i "C (Yolts) (yolts)

ELECTRICAL CHARACTERISTICS

f

200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200

100
40
60
SO
100
40
60
SO
100
40
60
80
100
40
60
80
100
40
60
SO
100
40
60
80

100
40
60
80
100
40
60
80
100
40
60
80
100
40
60
80
100
40
60
80
100
40
60
80

100
40
60
SO
40
60
SO
40
60
100
40
20

100
40
60
SO
40
60
SO
40
60
100
40
20

0
0
0
0

C
C
A

200
200
200
200
200
200
200
200
200
200
200
200

0.36W
0.36W
14W
14W
14W
14W
150M
400M
400M
sc
400M
sc
6.0W
AHP
AHP
6.0W
AHP
6.0W
AHP
6.0W
AH
0.7W
AH
0.7W
AP
75W
SH
0.36W
on Page 2-69
AHP 17.5W
25W
SHP
25W
SHP
117W
AHP
117W
AHP

A
A
C
C
C
C
A
A
A
A
C
C
C
C
A
A
C
A

200
200
110
110
110
110
100
200
200
200
175
175
175
175
200
200
200
200

40
40
100
80
60
40
20
15
25
40
100
100
SO
SO
100
100
35
40

15
15
SO
60
40
30
10
10
20
35
80
80
60
60
100
100
35
20

0

C
C
C
C
C

200
200
200
200
200

105
80
100
80
110

60
60
SO
60
100

0
0

117W
117W
150W
200W
150W
150W
150W
0.5W
500M
0.5W
500M
l.OW
l.OW
0.35W
0.15W
0.36W
0.36W
0.36W
0.36W
0.36W
0.36W
1. OW
1. OW

C
C
C

200
200
200
200
200
200
200
175
175
175
175
200
200
200

160
55
90
75
80
80
160
25
25
25
40
40
50
45
45

200
200
200
200
200
200
200
200

160
65
90
90
80
SO
160
30
30
30
40
40
50
60
60
15
15
50
60
50
60
60
75

200
175

200
40

AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
S

75W
85W
85W
85W
85W
85W
85W
85W
S5W
75W
75W
75W
75W
85W
85W
85W
85W
85W
85W
85W
85W
75W
75W
75W
75W
40W
40W
40W
S.8W
8.SW
S.SW
40W
40W
40W
8.8W
0.36W

SH
SH
AP
AP
AP
AP
S
SC

AHP
AHP
AHP
AHP
AHP
AHP
AHP
A
A
A
A
SH
SH
SH
SH
SH
SH
SH
SH
SH
SH
SH
SH

C
C
C
C
C
C
C

C
C

C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C

C
C
C
C

C
C
C

C
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

150

0
0
0
0
0
0

0
0

0
0

0
0
0
0
0
0
0
0
0
0
0

0
0

0

0

0
0
0
0
0
0
0

0
0
0
0

0
0
0
0

hFE

@

(min) (max)
12
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10
10

36
30
30
30
30
30
30
30
30
30
30
30
30
30
30
30
30
30
30
30
30
30
30
30

10
20
20
20
20
20
20
20
20
20
20
30

30
60
60
60
60
60
60
60
60
60
60
120

30
50
30
30
30
25
60

120
150
90
90
90
100

Ic

~

YCEISATI@1e

:5 (Yolts)

..
=

:E!

j
a

l.OA
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
1.0A
l.OA
l.OA
1.0A
l.OA
1.0A
0.5A
0.5A
0.5A
0.5A
30M

0.75
l.0
l.0
l.0
l.0
l.0
l.0
l.0
l.0
l.0
l.0
l.0
l.0
0.9
0.9
0.9
0.9
0.9
0.9
0.9
0.9
0.9
0.9
0.9

l.OA
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A

10
10
10
10
10
10
10
10
10
10
10
10
10
.10
10
10
10
10
10
10
10
10
10
10

E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E

0.9
0.3
0.3
0.3
0.3
0.3
0.3
0.4
0.4
0.4
0.4
0.2

3.0A
l.OA
1.0A
l.OA
l.OA
1.0A
l.OA
0.5A
0.5A
0.5A
0.5A
30M

10
10
10
10
10
10
10
10

E
E
E
E
E
E
E
E
E
E
E

10M
10M
3.0A
3.0A
3.0A
3.0A
200M

0.75

0.2A
10M
5.0A
5.0A
5.0A
5.0A
200M

0.2
0.5
0.5
0.5
0.5
0.22

10
10
10

3.0
3.0
3.0

3.0

60
120
60
120
60

l.25
l.25
l.25
1.25

l.OA
l.OA
l.OA
1.0A

50
300

1.2
0.25

2.7A
10M

5.0
2K
2K
IS
18

20K
20K
55
55

2.5A
2.0A
2.0A
3.0A
3.0A

1.0
1.4
1.4
2.5
2.5

2.5A
2.0A
2.0A
3.0A
3.0A

18
20
17
12
8.5
S.5
8.5
50

55
70
60
36
25
25
25
300

3.0A
4.0A
5.0A
lOA
lOA
lOA
lOA
10M

2.5
1.1
1.1
2.0
3.0
1.0
1.0

3.0A
4.0A
5.0A
lOA
lOA
lOA
lOA

12
40
60
40
60
30
40

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

50
30
200
200
50
100
50
50
100
100
30
25

150
90
600
600
150
300
150
150
300
300
90
75

0.5A
0.5A
10*
10*
O.lM
O.lM
10M
10M
10M
10M
0.5A
375M

0.3
0.35
0.5
0.5
0.125
0.125
0.25
0.25
0.25
0.25
0.3
0.35

0.15A
0.15A
5.0M
5.0M
10M
10M
10M
10M
10M
10M
0.15A
0.15A

200
15

0
0

10
40

40
150

20A
10M

1.5
0.35

20A
O.LA

0

0
0
0

0
0
0
0

0
0
0

20
40
20
40
20
40
20
100

120

75

10M

20
40
20
40
20
40
20

10
10

L

.= i-j

:E!

l.OM
l.OM
l.OM
l.OM
l.OM
l.OM
l.OM
l.OM
l.OM
l.OM
l.OM
l.OM
1. OM
l.OM
l.OM
1. OM
l.OM
l.OM
l.OM
l.OM
l.OM
1. OM
1. OM
l.OM
l.OM
l.OM
1. OM
1. OM
l.OM
1. OM
1. OM
l.OM
l.OM
l.OM
l.OM
400M

T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T

300M
350M

T

T

T
T
T
T
T
T
T
T

T
T
T
T
T
T

E
E
E
E

0
1.0A
l.OA
l.OA
l.OA
50M
50M
2.0A
10M

12

'S.

hl_

E
E

E
E
E

E
E

E
E

10
10
10
10
10
10
10
70
175
100
200

E
E

200
200

E
E

50
50
100
100

E
E
E
E

E
E
E
E

E
E
E
E
E

10M

T

1. OM
1. OM

T
T
T

1.0M
10M
10M
10M
10M
60M
80M
30K
500M

T

T
T
T
T
T
E

T

150M
40M
40M
1. OM
1. OM

T

1. OM
1. OM
1. OM
1. OM
1. OM
l.OM
1. OM
50M
100M
50M
100M
175M
150M
60M
60M
250M
300M
250M
250M
300M
300M
200M
175M

E

T
T
E

E
E
E

E
E
E
E

T
T
T

T
T

T
T
T
T

T
T

T
T
T
T
T

Thyris tors, see Table on Page 2-69

~ I~ I

I

I I
SP
SH

200W
0.3W

C
A

2-39

0.6M
600M

T
T

2N3262 - 2N3371

....

TYPE

...

:s Ii!
~ ::5

""::&E a..'"

2N3262
2N3263
2N3264
2N3265
2N3266
2N3267
2N3268
2N3269
thru
2N3276
2N3277
2N3278
2N3279
2N3280
2N3281
2N3282
2N3283
2N3284
2N3285
2N3286
2N3287
2N3288

i~~m
2N3291
2N3292
2N3293
2N3294
2N3295
2N3296
2N3297
2N3298
2N3299
2N3300
2N3301
2N3302
2N3303
2N3304
2N3305
2N3306
2N3307
2N3308
2N3309
2N3309A
2N3310
2N3311
2N3312
2N3313
2N3314
2N3315
2N3316
2N3317
2N3318
2N3319
2N3320
2N3321
2N3322
2N3323
2N3324
2N3325
2N3326
2N3327
2N3328
thru
2N3336
2N3337
2N3338
2N3339
2N3340
2N3341
2N3342
2N3343
2N3344
2N3345
2N3346
2N3347
2N3348
2N3349
2N3350
2N3351
2N3352
2N3353
thru
2N3364
2N3365
thru
2N3370
2N3371

MAXIMUM RATINGS
REPLACE·
MENT

REF.

USE
SH
SP
SP
SP
SP
AH
A

S
S
S
S
S

N
N
N
N
N
G P
S N

1;

ELECTRICAL CHARACTERISTICS

TJ

VCB

VCE _

:Ii

'C

(volts)

(volts)

C
C

200
200
200
200
200
100
200

100
150
120
150
120
15
45

80
90
60
90
60
8.0
45

Po

;f

@25'C
8.75W
75W
75W
125W
125W
75M
0.15W

C

C
C

A
A

f
'"

hFE

@ Ic

(min) (max)

0
0
0
0
0
0
0

40
20
20
20
20
10
12

0
0
0
0
S
S
S
S
0
0
0
0
S
S
S
S
S
S
S
0
0
0
0
0

10
10
10
10
10
10
5.0
5.0
15
15
10
10
10
10
10
10
20
5.0
6.0
80
40
100
40
100

0
0
0
0
0
0
S
S
0
S
S
S
S
S
S
0
0

30
30
40
100
40
25
5.0
8.0
10
60
60
60
100
100
100

55
80
55
80
500
80

VCE/SATI

~ (volts)

:=

.~

@Ic

~
:=

0.5A
15A
15A
15A
15A
3.0M
10M

0.6
1.0
1.6
l.0
1.6

l.OA
20A
20A
20A
20A

1.0

5.0M

3.0M
3.0M
3.0M
3.0M
3.0M
3.0M
3.0M
3.0M
2.0M
2.0M
2.0M
2.0M
2.0M
2.0M
2.0M
2.0M
10M
40M
0.4A
10M
0.15A
0.15A
0.15A
0.15A

0.3
0.3
0.5
0.5

5.0M
5.0M
5.0M
5.0M

0.3
0.3
0.4
0.4

5.0M
5.0M
5.0M
5.0M

0.5
0.5
0.5

0.15A
0.4A
LOA

0.22
0.22
0.22
0.22

0.15A
0.15A
0.15A
0.15A

0.3A
10M
0.1M
O.lM
2.0M
2.0M
30M
50M
20M
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A

0.33
0.16
0.2
0.2
0.4
0.4
0.5
0.5
0.5
0.1
0.1
0.1
0.1
0.1
0.1

0.3A
10M
10M
10M
3.0M
3.0M
0.25A
0.25A
20M
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A

hf_

~
Jl

15
40

E
E

10
10
10
10
10
10
5.0
5.0
15
15
10
10
10
10
10
10

E
E
E
E
E
E
E
E

40
70
40
25

E
E
E
E

30
30
30
40
40
40

E
E
E

L

~

:=

150M
20M
20M
20M
20M
900M
2.5M

I
T
T
T
T
T
T
B

Thyristors, see Table on Page 2·69
Field-Effect Transistors, see Table on Page 2·80
G

G
G
G
G

G
G
G

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
G
G
G
G
G
G

S
S
S
G
G
G
G

G
G

S
S

2N3279
P
2N3279
P
2N3279
P
2N3279
P
P
2N3283
P
2N3283
P
2N3283
P
2N3283
N
2N3287
N
2N3287
2N3287
N
2N3287
N
2N3291
N
2N3291
N
N
2N3291
2N3291
N
N
2N3295
N
2N3296
N
2N3297
N
2N3298
N
2N3299
N
2N3299
N
2N3299
N
2N3299
2N3303
N
P
2N3304
P
P
P
2N3307
P
2N3307
N 2N3553
2N3375
N 2N3553
2N3375
N
P
2N3311
P
2N3311
P
2N3311
P
2N3311
2N3311
P
2N3311
P
P
P
P
P
P
P
2N3323
P
2N3323
P
2N3323
P
N 2N2218A 2N2218
N

AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
AHP
AHP
AHP
AHP
SH
SH
SH
SH
SH
S
A
A
AH
AH
AHP
AHP
AHP
AP
AP
AP
AP
AP
AP

sc

SC
SC
SH
SH
SH
AH
AH
AH
SH
AHP

O.lW
O.lW
O.lW
O.lW
O.lW
O.lW
O.lW
O.lW
0.2W
0.2W
0.2W
0.2W
0.2W
0.2W
0.2W
0.2W
800M
700M
25W
LOW
0.8W
0.8W
0.36W
0.36W

A 100
A 100
A 100
A 100
A 100
A 100
A 100
A 100
A 200
A 200
A 200
A 200
A 200
A 200
A 200
A 200
A 175
A 175
C 175
C 175
A 200
A 200
A 200
A 200

30
30
30
30
25
25
20
20
40
40
30
30
25
25
20
20
60
60
60
25
60
60
60
60

0.6W
0.3W
0.6W
0.6W
0.2W
0.2W
3.5W
5.0W
0.3W
170W
17 OW
170W
170W
170W
170W
0.15W
0.15W
0.15W
60M
60M
60M
0.15W
0.15W
0.15W
0.8W
20W

A 200
A 200
A 200
A 200
A 200
A 200
C 175
C 200
A 200
C 110
C 110
C 110
C 110
C 110
C 110
A 140
A 140
A \.40
A 100
A 100
A 100
A 100
A 100
A 100
A 175
C 200

25
6.0
50
50
40
30
50
60
35
30
45
60
30
45
60
30
15
10
15
12
12
35
35
35
60
65

20
20
15
15
25
25
20
20
20
20
15
15
25
25
20
20
60
60
60
15
30
30
30
30
12
6.0
40
40
35
25
50
60
15
30
45
60
30
45
60
30
15
6.0
10
7.0
7.0
35
35
35
45
65

70
70
100
100

100
100
150
150

60
50
60
240
120
300
120
300
120
120
120
300
250
250
100
80
120
120
120
200
200
200

E

E
E
E
E
E
E
E

E

E
E

0

0
0
0

S
S
S
0
0

50
100
30
30
30
30
40
10

200
200
200
120

20M
10M
40M
3.0M
3.0M
3.0M
0.15A
0.5A

0.19
0.12
0.25

0.4

40M
10M
20M
30
30
30

E
E
E

30
30
30

E
E
E

O.15A

400M
400M
300M
300M
250M
250M
250M
250M
350M
350M
300M
300M
250M
250M
250M
250M
200M
100M
50M
200M
250M
250M
250M
250M

T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T

450M
500M
20M
20M
300M
300M
300M
300M
300M
l.OK
loOK
l.OK
l.OK
l.OK
l.OK
6.4M
7.6M
12M
600M
600M
600M
200M
200M
200M
250M
100M

T
T
T
T
T
T
T
T
T

400M
400M
400M
70M
50M

T
T
T
T
T
T

T

E
E
E
E
E
E
T
T
T
T
T
T
T
T
T
T
T

Field-Effect Transis tors, see Table on Page 2·80

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
P

2N3287
2N3289
2N3288

2N3287
2N3287
2N3287

P

.p

AH
AH
AH
S
S
S
SC

sc

P
P
P
P
P
P
P
P
P

SC
SC
AM
AM
AM
AM
AM
AM

0.3W
0.3W
0.3W
0.4W
0.4W
0.25W
0.25W
0.25W
0.25W
0.25W
300M
300M
300M
300M
300M
300M

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

200
200
200
175
175
175
175
175
175
175
175
175
175
175
175
175

40
40
40
30
30
20
25
30
50
50
60
60
60
60
60
60

40
40
40
20
20
8.0
8.0
30
50
50
45
45
45
45
45
45'

0
0
0
0
0
0
0
0
0
0
0
0

300
300
300

0
0

30
30
30
40
40
30
20
25
15
25
40
40
40
100
100
100

300

10"
10"

10

0

20

300

12M

0
0

4.0M
4.0M
4.0M
10"
10~'(

300
300
300
300
300

5.0M
0.25M
l.OM
l.OM
l.OM
10*
10"
10'"
10"(

0.2
0.25
0.1

0.5
0.5
0.5
0.5
0.5
0.5

10*
10''<-

5.0M

10M
10M
10M
10M
10M
10M

60
60
60
150
150
150

E
E
E
E
E
E

2.0M
2.0M
2.0M
2.0M
60M
60M
60M
60M
60M
60M

25

E

320M

T
T
T
T
T
T
T
T
T

Thyristors, see Table on Page 2"69<
Field-Effect Transistors, see Table on Page 2·80
G

I pi

I

I AH I

15 OM

I A I 100 I

25

I

2-40

2N3374-2N3475
TYPE
2N3374
2N3375
2N3376
thru
2N3387
2N3388
2N3389
2N3390
2N3391
2N3391A
2N~392

2N3393
2N3394
2N3395
2N3396
2N3397
2N3398
2N3399
2N3400
2N3401
2N3402
2N3403
2N3404
2N3405
2N3406
2N3407
2N3408
2N3409
2N3410
2N3411
2N3412
2N3413
2N3414
2N3415
2N3416
2N3417
2N3418
2N3419
2N3420
2N3421
2N3422
2N3423
2N3424
2N3425
2N3426
2N3427
2N3428
2N3429
2N3430
2N3431
2N3432
2N3433
2N3434
2N3435
2N3436
thru
2N3438
2N3439
2N3440
2N3441
2N3442
2N3443
2N3444
2N3445
2N3446
2N3447
2N3448
2N3449
2N3450
2N3451
2N3452
thru
2N3460
2N3461
2N3462
2N3463
2N3464
2N3465
2N3466
2N3467
2N3468
2N3469
2N3470
2N3471
2N3472
2N3473
2N3474
2N3475

~ Ili...::5

i

c:>

S
S

N
N

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS
REPLACE·
MENT2N3500

REF.
2N3498
2N3375

USE
AHP
AHP

Po
@25'C
5.0W
1l. 6W

~

VCE _ ~

h.E

TJ

VCB

:i!

'C

(volts)

(volts)

j

e
e

200
200

80
65

80
40

0
0

10
10

60
60
400
250
250
150
90
55
150
90
55
55
10
50

@ Ic

(min) (max)

:!

=

VCElsAn@le
(volts)

=..
~

100

0.17A
0.25A

0.3
l.0

0.15A
0.25A

2.5M
7.0M
2.0M
2.0M
2.0M
2.0M
2.0M
2.0M
2.0M
2.0M
2.0M
2.0M
l.5M
10M

l.0
l.0

2.5M
7.0M

800
500
500
300
180
110
500
500
500
800

hl _

I
.::;

L

~

i

=T

230M
400M

T

Field-Effect Transistors, see Table on Page 2-80

S
0.6W
S N
S
0.6W
S N
0.2W
S N MPS6521 MPS6512 A
0.2W
S N MPS6515 MPS6512 A
0.2W
S N MPS6520 MPS6512 A
0.2W
S N MPS3392 MPS3392 A
0.2W
S N MPS3393 MPS3392 A
0.2W
S N MPS3394 MPS3392 A
0.2W
S N MPS3395 MPS3392 A
0.2W
S N MPS3396
A
A
0.2W
S N MPS3397
A
0.2W
S N MPS3398
AH
80M
G P
SH 0.15W
G P
se 0.25W
S P
0.56W
S N MPS6513 MPS6512 A
0.56W
S N MPS6515 MPS6512 A
A
0.56W
S N
A
0.56W
S N
Unijunction Transistors, see Table
0.2W
S N
AH
4.0W
S P
AH
0.5W
S N
M03409 AM
0.5W
S N
M03409 AM
AM
0.5W
S N
60M
G P
A
A
0.4W
S P
0.36W
S N MPS6513 MPS6512 A
0.36W
S N MPS6515 MPS6512 A
0.36W
S N MPS6515 MPS6512 A
0.36W
S N MPS6515 MPS6512 A
2N5334 SP
0.8W
S N 2N5334
SP
2N5334
S N 2N5335
0.8W
2N5336 SP
S N 2N5336
0.8W
2N5336 SP
S N 2N5336
0.8W
Thyristors, see Table on P~ge 2-69
S N
0.3W
AM
S N
AM
0.3W

S N
S N
G P
G P
S N
S N
S N
S N
S N
S N
S N

2N3425

2N5877
2N5632
2N5634

2N3427
2N3427
2N5875
2N5632
2N5632

AHP
SH
A
A
SP
SP
SP
SP
SP
SP
AHP

0.3W
0.6W
0.2W
0.2W
150W
150W
15 OW
150W
150W
150W
l.OW

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
on
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

175
125
175
195
125
25
125
25
25
125
125
25
125
25
125
25
25
125
125
25
25
125
25
125
20
100
100
20
150
25
150
25
150
25
150
50
150
50
Page 2-87
200
35
200
40
200
60
200
60
60
200
100
20
200
150
160
25
160
25
160
50
160
50
85
175
175
125
175
85
175
125

100
160
25
25
25
25
25
25
25
25
25
25

0
0
0
0
0
0
0
0
0
0
0
0

20
25
25
25
50
50

0
0
0
0
0
0

18
25
30
30
30
20
150
25
25
50
50
60
80
60
80

A
A
A
A
A
A

200
200
200
200
100
100
175

30
30
40
25
45
45
50

175
175
175
175
175
200

100
150
200
250
300
80

15
15
15
12
30
30
50
100
150
200
250
300
60

e
e
e
e
e
e

A

75
180
75
180

225
540
225
540

2.0M
2.0M
2.0M
2.0M

0.15
0.25
0.3
0.3
0.3
0.3

0
0
0
0
0
S
0
0
0
0
0

10
10
30
20
20
30
10
75
180
75
180

100
100
120
100
100
200
45
225
540
225
540

10M
40M
O.lM
10*
10"
10M
50M
2.0M
2.0M
2.0M
2.0M

0.15
0.15
0.15
0.2
1.2
0.3
0.3
0.3
0.3

10M
10M
10M
10M
O.lA
50M
50M
50M
50M

0
0
0
0

20
20
40
40

60
60
120
120

1.0A
l.OA
l.OA
l.OA

0.25
0.25
0.25
0.25

l.OA
l.OA
l.OA
l.OA

0
0
0
0

200
200
120
120
350
400
35

3.0M
3.0M
10M
0.3A
O.LA
O.LA
5.0A

0.4
0.4
0.4
0.33
0.2
0.19
1.0

10M
10M
10M
0.3A
O.lA
O.lA
5.0A

0
0
0
0
0
0

20
20
30
30
100
150
10
10
10
10
10
10
50

35
35
35
35
35
200

5.0A
5.0A
5.0A
5.0A
5.0A
10M

1.0
l.0
1.0
l.0
l.0

5.0A
5.0A
5.0A
5.0A
5.0A

350
250
140
140
15
50
60
80
60
80
6.0
60
6.0

0
0
0
0
0
0
0
0
0
0
0
0
0

40
40
20
20
20
20
20
20
40
40
20
40
30

160
160
80
70
150
60
60
60
120
120

6.0
5.0

2.7A
lOA

120
120

20M
20M
0.5A
3.0A
10M
0.5A
3.0A
3.0A
5.0A
5.0A
10M
0.15A
10M

0.35
l.5
l.5
l.5
l.5
0.2
0.5
0.16

0.15A
3.0A
3.0A
5.0A
5.0A
2.0M
0.15A
10M

30
35
45
40

0
0
0
0

90
100
120
35

150
300
360
100

0.5A
10*
10*
0.2A

0.4
0.35
0.35
l.0

40
50
25
50
100
150
200
50
100

0
0
0
0
0
0
0
0
0

40
25
100
100
100
100
100
700
700

120
75
350
500
500
50Q
500
10K
10K

O.SA
0.5A
0.5A
9.0A
9.0A
9.0A
9.0A
4.0A
4.0A

0.3
0.35
0.5
3.5
3.5
3.5
3.5
3.5
3.5

R
R

0

300

10M
5.0M
50M
50M
50M
50M

400
250
250
150
90
55
150
90
55
55

E
E
E
E
E

4.0
75
180
75
100

E
E
E
E
E

10
10

E
E

25

E

75
180
75
100

E
E
E
E

36M
36M

T
T

400M
150M
O.lM

T
T
B

300M
200M
250M
250M
250M
100M
0.25M

T

40M
40M
40M
40M

T
T
T
T

600M
600M
300M
450M
4.0M
5.0M
20K

T
T
T
T
T
T
E

20K
20K
20K
20K

E
E
E
E

140M

T

15M
15M
0.2M
80K
750M
150M
10M
10M
10M
10M
300M
100M
500M

T

E
E
E
E
E

20

E

200
350

E
E

T
T
T
T
T
T

Field-Effect Transistors, see Table on Page 2-80

S
S
S
S

N
N
N
N
G P
S N
S N
S N
S N
S N
G P
S N
S P

2N3439
2N3440
2N3441
2N3442
2N3445
2N3446
2N3447
2N3448

2N3439
2N3439

2N3252
2N3445
2N3445
2N3445
2N3445

AH
AH
AP
AP
AH
SH
AP
AP
AP
AP
SH
SH
SH

LOW
l.OW
25W
100W
0.3W
l.OW
115W
115W
115W
115W
150M
0.6W
0.3W

A
A

e
e

A
A

e
e
e
e

A
A
A

200
200
200
200
100
200
200
200
200
200
100
200
200

450
300
160
160
20
80
86
100
80
100
15
120
6.0

25
25
15
12
20

E
E
E
E
E

20
20
40
40

E
E
E
E

l.OA
5.0M
l.OM
0.2A

40
150
150
30

E
E
E
E

10K
10M
45M
50M

E
T
T
T

0.15A
0.15A
1.0A
9.0A
9.0A
9.0A
9.0A
9.0A
9.0A

100
50
50
50
50
100
100

E
E
E
E
E
E
E

175M
150M
20M
7.0K
7.0K
7.0K
7.0K
4.0K
4.0K

T
T
T
E
E
E
E
E
E

T
T
T
T
T
T

T
T
T
T
T
T

Field-Effect Transistors, see Table on Page 2·80

II ~

12N5334
Field-Effect
S P
S P
S N 2N5337
S N 2N6057
S N 2N6059
S N
S N
S N 2N6055
S N

110
0.3W Ie
A 1200
5.0W
I
0.3W A 200
5.0W
e
200
1
Transistors, see Table on Page
2N3467
SH
l.OW A 200
2N3467
SH
l.OW A 200
2N5336 AHP 1.25W A 200
AP
150W e 150
AP
150W e 150
AP
150W e 150
AP
15 OW e 150
AP
150W e 150
AP
1S0W e 150

111

60
50
60
60
2-80

40
50
35
50
100
150
200
50
100

2-41

2N3476-2N3S81
~ >t::

TYPE

'"
~ :3
'"

;!

•

2N3476
2N3477
2N3478
2N3479
thru
2N3484
2N3485
2N3485A
2N3486
2N3486A
2N3487
2N3488
2N3489
2N3490
2N3491
2N3492
2N3493
2N3494
2N3495
2N3496
2N3497
2N3498
2N3499
2N3500
2N3501
2N3502
2N3503
2N3504
2N3S0S
2N3S06
2N3507
2N3508
2N3509
2N3510
2N3511
2N3512
2N3513
2N3514
2N3515
2N3516
2N3517
2N3518
2N3519
2N3520
2N3521
2N3522
2N3523
2N3524
2N3525
2N3526
2N3527
2N3528
thru
2N3541
2N3543
2N3544
2N3545
2N3546
2N3547
2N3548
2N3549
2N3550
2N3551
2N3552
2N3553
2N3554
2N3555
thru
2N3562
2N3563
2N3564
2N3565
2N3566
2N3567
2N356B
2N3569
2N3570
2N3571
2N3572
2N3573
thru
2N35 7 5
2N3576
2N3577
2N3578
2N3579
2N3580
2N3S81

S
S
S

...

C>

REPLACE·
MENT

REF.

N
N

Po

USE

l

ELECTRICAL CHARACTERISTICS

!t:

hFE

@

Ic

:s
=>

VCEISATI @ Ic

@25"C

li

"C

(yolts)

(yolts)

150W
150W
O.2W

C
C

150
150
200

150
200
30

150
200
15

0
0
0

700
700
25

10K
10K
150

4.0A
4.0A
2.0N

3.5
3.5

AP
AP
AH

N

MAXIMUM RATINGS
= TJ
Vea VCE _

A

(min) (max)

(yolts)

=i=>
9.0A
9.0A

hf _

I'"

'-

~

~

~
'"E

E

=>
4.0K
4.0K
750N

20
20

E
E

200M
200M
200M
200M
10M
10M

T
T
T
T
T
T

20
40
40
40

E
E
E
E

10M
10M
10M
10M

40
40
40
40
50
75
50
75
135
135
135
135

E
E
E
E
E
E
E

T
T
T
T
T
T
T
T
T
T
T
T

20
20

E
E

E
E
E
E
E
E
E

100
100
25

E
E

E
T

Unijunction Transistors, see Table on Page 2-87

S
S
S
S
S
S

P
P
P
P
N
N

2N3487
2N3488

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N

2N3489
2N3490
2N3491
2N3492

2N2904
2N2904
2N2904
2N2904
2N3487
2N3487
2N3487
2N3487
2N3487
2N3487

N

SH
SH
SH
SH
AP
AP

2.0W
2.0W
2.0W
2.0W
115W
115W

C
C
C
C
C
C

200
200
200
2UO
200
200

60
60
60
60
80
100

40
60
40
60
60
80

0
0
0
0
0
0

40
40
100
100
20
20

120
120
300
300
60
60

0.15A
0.15A
0.15A
0.15A
3.0A
3.0A

0.4
0.4
0.4
0.4
0.3
0.3

o.15A

AP
AP
AP
AP
SH
AH
AH
AH
AH
AH
AH
AH
AH
SH
SH
SH
SH
SH
SH
SH
SH
SH
SH
SH

115W
115W
115W
115W
0.15W
0.6W
0.6W
0.4W
0.4W
l.OW
l.OW
LOW
l.OW
0.7W
0.7W
0.4W
0.4W
LOW
LOW
0.4W
0.4W
0.36W
0.36W
O.BW

C
C

200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200

120
80
100
120
12
80
120
80
120
100
100
150
150
45
60
45
60
60
BO
40
40
40
40
60

100
60
80
100
8.0
80
120
80
120
100
100
150
150
45
60
45
60
40
50
20
20
10
15
35

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

15
40
40
30
40
35
35
35
35
40
100
40
100
115
115
115
115
40
30
40
100
25
30
10

45
120
120
90
120

3.0A
5.0A
5.0A
5.0A
0.5M
O.lA
O.lM
O.lA
O.lM
0.15A
0.15A
0.15A
a .15A
50M
50M
50M
SOM
l. 5A
l. SA
10M
10N
0.15A
0.15A
0.5A

0.3
0.3
0.3
0.3
0.15
0.3
0.35
0.3
0.35
0.2
0.2
0.2
0.2
0.25
0.25
0.25
0.25
LO
l.0
0.25
0.25
0.25
0.25
LO

LOA
LOA
LOA
LOA
10"
10N
10N
10N
10M
10N
10N
10N
10M
50M
SOM
SOM
L5A
L5A
10M
10M
10M
10M
0.5A

200
175
175
200
175
175
175
175
200
200
175
175

BO
80
BO
100
100
100
60
60
70
70
70
70

40
40
40
60
60
60
30
30
55
55
55
55

0

0
0
0
0
0

50
50
50
50
50
50
150
150
100
100
100
100

200
200
200
200
200
200
600
600
300
300
300
300

LOM
l.OM
LOM
l.OM
LON
l.OM
LOM
LOM
10"
10"
10"
10"

l.2
L2
l.2
l.2
l.2
l.2
LO
LO
l.0
l.0
LO
LO

50M
50M
50M
50M
SON
50N
5.0N
5.0M
10M
10M
10M
10M

50
50
50
50
50
50
150
150

200
200

130
30

120
30

0
0

30
25

120
75

30M

l.0

O.lN

50M
O.lN

25
100

65
25
20
15
60
60
60
60
115
140
65
60

60
25
20
12
60
45
60
45
60
80
40
30

0
S
0
0
0
0

10
25
40
30
100
100
100
200
20
20
10
25

l.0

4.5A

120
120
500
300
500
600
90
90
100
100

4. SA
10M
10M
10M
LOM
10*

0.2
0.15
LO
LO

10N
10M
10M
10M

A

200
175
200
200
200
200
200
200
175
175
200
200

10"
10*
lOA
lOA
0.25A
0.75A

La
0.9
LO
l.0
l.0
0.7

10N
5.0M
lOA
lOA
0.25A
0.75A

A
A
A
A
A
A
A
A
A
A

125
125
125
125
125
125
125
200
200
200

30
30
30
40
80
80
80
30
25
25

12
15
25
30
40
60
40
15
15
13

0
0
0
0

200
500
600
600
120
120
300
150
200
300

S.ON
15M
l.OM
10M
0.15A
0.15A
0.15A
5.0M
5.0M
5.0M

0.3

20M

0
0
0
0
0

20
20
150
150
40
40
100
20
20
20

l.0
0.25
0.25
0.25

O.lA
0.15A
0.15A
0.15A

I O. 85W
36W I A I 200 I 20
C 175 100

15
80

0
0

40
12

120
60

10M
l.OA

0.15
5.25

Talr on Page
0.4W ~ /20:1
0.4W A 200
0.4W A 200

60
60
40

0
0
0

30
60
50

120
240
150

l.OM
l. OM
O.lN

0.5
0.5
0.5

P
2N3494
P
2N3494
P
2N3494
P
2N3494
N
2N3498
N
2N3498
N
2N3498
N
2N3498
P 2N2905
2N2904
P 2N2905A 2N2904
P 2N2907
2N2904
P 2N2907A 2N2904
N
2N3506
N
2N3506
N
2N3508
N
2N3508
N
2N3510
N
2N3510
N 2N2537
2N2537
S N 2N24BOA 2N2060
0.25W
AM
S N 2N2480A 2N2060
0.25W
AM
S N
0.25W
AM
S N
AM
0.25W
s N
0.25W
AM
S N
0.25W
AM
S N
0.25W
AM
S N
0.25W
AM
S N
AM
0.3W
S N
0.25W
AM
S N
AM
0.25W
S N
0.25W
AM
Thyristors, see Table on Page 2-69
0.8W
~H
0.4W

~I ~I

I

I I

C

c

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

A
A

A

0
0
0

0
0
0

120
300
120
300
300
300
300
300
200
150
120
300
150
120

0.15A
0.15A
0.15A
LOA
LOA

SOM

400M

E
E
E
E

E

E

E
E

200N
150N
200M
150N
150N
150N
150M
150M
200N
200M
200M
200M
60M
60M
500N
500M
350M
450M
250M

T
T
T
T
T
T
T
T
T
T
T
T

50M
50M
50M
60M
60M
60M
60M
60M
30M
30M
30N
30M

T
T
T
T
T
T

40M
5.0M

T
T

150M
600M
250M
700M
45M
60M

T
T
T
T
T
T

60M
60N
40M
40H
400N
150M

T
T
T
T
T

T
T
T
T
T
T

Thyristors, see Table on Page 2-69
S
S
S
S
S
S
S
S
S
S

S
S

N
N
P 2N3798
P
P 2N3799
P
P
P
N
N
N 2N3553
N

AHP
AH
SH
SH
A
A
A
A
SHP
SHP
AHP
SH

2N3544
2N3798
2N3546
2N3799

2N3375

60W
0.3W
0.36W
0.36W
C.36W
0.4W
0.4W
0.4W
40W
40W
7.0W
0.8W

C

A
A
A
A
A
A
A
C
C

c

0

0
0

0
0
0

80

120
150
150
300

E
E
E

E

T

Thyristors, see Table on Page 2-69
S
S
S
S
S
S
S
S
S

S

N
N
N
N
N
N
N
N
N
N

AH
AH
A

MPS6514 MPS6512
MPS6514 NPS6512
MPS6S30 MPS6530

A
A
A
A
AH
AH
AH

MPS6531 MPS6530

O.2W
0.2W
0.2W
0.3W
0.3W
0.3W
0.3W
O.2W
0.2W
0.2W

Field-Effect Transistors, see Table on Page

~I

~I

2N3251 12N3250

I

SH
AHP

lTEffect rnsisTS'
S P 2N3799
2N3798
AH
S P 2N3799
2N3798
AH
S P 2N3799
2N379S
AH

r

0

20
20
120

E

E
E

600M
400N
40N

T
T
T
T
T
T
T
T

T
T

20
20
20

E

E

40N
60M
60M
60M
l. 5G
l. 5G
LOG

10M
LOA

12

E

400M
10M

T
T

5.0M
S.ON
5.0M

30
60
50

E
E
E

SON
80M
30M

T

E

2-RO

280
60
60
50

2-42

T
T

2N3582-2N3673
TYPE

i!:iii S'"~
:IE

2N3582
2N3583
2N3584
2N3585
2N3586
2N3587
2N3588
2N3589
2N3590
2N3591
2N3592
2N3593
2N3594
2N3595
2N3596
2N3597
2N3598
2N3599
2N3600
2N3601
2N3602
2N3603
2N3604
2N3605
2N3605A
2N3606
2N3606A
2N3607
2N3608
thru

2N361O
2N3611
2N3612
2N3613
2N3614
2N3615
2N3616
2N3617
2N3618
2N3619
2N3620
2N3621
2N3622
2N3623
2N3624
2N3625
2N3626
2N3627
2N3628
2N3629
2N3630
2N3631
2N3632
2N3633
2N3634
2N3635
2N3636
2N3637
2N3638
2N3638A
2N3639
2N3640
2N3641
2N3642
2N3643
2N3644
2N3645
2N3646
2N3647
2N3548
2N3649 }
thru
2N3658

~:m6

2N3661
2N3662
2N3663
2N3664
2N3665
2N3666
2N3667
2N3668
thru
2N3670
2N3671
2N3672
2N3673

S
S
S
S
S
S
G

S
S
S
S
8
S
S
S
S
S
S
S
G
G
G
G

S
S
S
S
S

CI

REPLACE·
MENT

REF.

p

2N3799
2N3583
2N3584
2N3585

@25"C
2N3798

~

i

AH
AHP
SP
SP
se

O.~~. A

AM
AM

O.~~ A

AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
MJ7000 MJ7000 SHP
MJ7000 MJ7000 SHP
MJ7000 MJ7000 SHP
AH
SP
SP
SP
SP
MPS3646 MPS3646 S
2N3738
2N6233

Po

USE

Do

P
N
N
N
P
N
P
N
N
N
N
N
N
N
N
N
N
N
N

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

j~~

3~~ e
125M A

~:~ ~

2N3738
2N6233

P
P
P
N
N
S
N MPS3646 MPS3646 S
N
S
N MPS3646 MPS3646 S

g

2.2~ A
1.2~ A

1.0\01 A
LOW A

t:~~ ~

1.5W A
100W e

igg~

g

0.2W A
0.286we
0.286W e
0.286W C
0.286W e
0.2W A
322~ A

gZ2; ~
0.2_ A

TJ

VCO

VCE_

"C

(volts)

(volts)

200
200
200
200
200
200
85
175
175
175
175
175
175
175
175
200
200
200
200
100
100
100
100
150
120
150
120
150

50
250
330
440
45
60
25
200
200
200
200
200
200
200
200
60
80
100
30
100
100
130
130
18
40
18
40
18

40
175
250
300
45
45
200
200
200
200
200
200
200
200
40
60
80
15
40
40
55
55
14
15
14
15
14

I
0
0
0
0
0
0

R
R
R
R
R
R
R
R

0
0
0
0
0
0
0
0
0
0

0
0
0

hFE @ Ic

(min) (max)

:i

'"

VCEISAn@lc
(volts)

i

'"

100
40
8.0
8.0

300
200
140
140

O.lM
0.5A
LOA
LOA

0.5
5.0
0.75
0.75

80
20
30
75
30
75
30
75
30
75
40
40
40
20
60
60
60
60
30
30
30
30
30

500

loOM
l.OM
0.2A
0.2A
0.2A
0.2A
0.2A
0.2A
0.2A
0.2A
lOA
lOA
lOA
3.0M
l.OA
l.OA
l.OA
l.OA
10M
10M
10M
10M
10M

1.0

10M

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
0.5
0.5
0.5

0.2A
0.2A
0.2A
0.2A
0.2A
0.2A
0.2A
0.2A
lOA
lOA
lOA

0.2
0.2
0.2
0.2
0.25

O.lA
O.lA
O.lA
O.lA
10M

0.25
0.25
0.25
0.25

10M
10M
10M
10M

35
35
60
60
30
30
45
45
40
40
40
40
40
40
40
40
40
40
40
40

70
70
120
120
60
60
90
90

3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
l.OA
l.OA
l.OA
l.OA
1.0A
l.OA
l.OA
LOA
l.OA
l.OA
LOA
LOA,

0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.75
l.0
l.25
l. 25
0.75
1.0
1.25
1.25
0.75
1.0
l.25
1.25

3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
l.OA
3.0A
5.0A
5.0A
l.OA
3.0A
5.0A
5.0A
l.OA
3.0A
5.0A
5.0A

10
50
50
100
50
100
30
100
30
30
40
40
100
80
80
30
25
'30

150
150
150
300
150
300

0.25A
10M
50M
50M
50M
50M
50M
50M
10M
10M
0.15A
0.15A
0.15A
50M
50M
30M
0.15A
0.15A

1.0
0.21

l.OA
3.0M

90
150
90
150
90
150
90
150
120
120
120
150
180
180
180
180
120
120

5.0M
LOA
LOA
l. OA

hI_
100
25

30
75
30
75
30
75
30
75
75
75
75
40
50
50
50
50

i-

S
E
E

E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E

L

~

'"

30M
10M
10M
10M
O.lM
80M
200M
15M
15M
15M
15M
15M
15M
15M
15M
30M
30M
30M
850M
20M
20M
20M
20M
300M
300M
300M
300M
300M

I
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T

T
T
T
T
T

T
T
T

Field-Effect Transistors, see Table on Page 2-80
p

AP
85W C 110
AP
85We 110
AP
110
~;~
AP
110
G
AP
G P
85We 110
G P
AP
110
G P
AP
110
G P
AP
110
7 ~;~
S N
AHP
175
AHP
S N
175
7.~\ol e
S N
AHP
175
175
S N
AHP
S N
AHP
7.5W e 175
AHP
S N
7.5We 175
S N
AHP
175
S N
175
AHP
S N
7.5W e 175
AHP
AHP
S N
175
7 3Q~
AHP
175
S N
AHP
S N
30\0l C 175
Field-Effect Transistors, see Table on Page
S N 2N3632
2N3375 AHP
23\01 e 200
S N
SH
O. ~W A 200
S P
2N3634 AH
l. ~~ A 200
S P
2N3634 AH
l. 0 A 200
S P
2N3634 AH
l.2~ A 200
G
G

G

2N3611
2N3611
2N3611
2N3611
2N3615
2N3615
2N3615
2N3615

P
P
P

g

~~~

g

g

j~~

g

j:

g
g

~ ~

S
S
S
S
S
S
S
S
S
S
S

P
P
P
N
N
N
P
P
N
N
N

2N3634
MPS3639
MPS3640
MPS6530
MPS6530
MPS6531

MPS3639
MPS3640
MPS6530
MPS6530
MPS6530

MPS3646 MPS3646
2N3510
2N3510

~~

SH
SH
8H
AHP
AHP
AHP
SH
SH
SH
SH
SH

U~ ~

0.3\01 A
O.~~ A
O.~~ A
0.35\0) A
0.3~~ A
A
A
O'~!I A
O.~~ A
O'~!I A
0.4\ol A

°ci~~~

m

40
60
40
60
80
100
80
100
75
75
75
75
75
75
75
75
100
100
100
100

30
45
30
45
60
75
60
75
40
40
40
40
40
40
40
40
50
50
50
50

S
S
S
S
S
S
S
S
0
0
0

40
6.0
140
140
175
175
25
25
6.0
12
30
45
30
45
60
15
10
15

0
0

0
0
0
0

0
0

0
0
0

40
40
60
60
40
40
60
60

E
E
E
E
E
E
E
E

0.3M
0.3M
0.3M
0.3M
0.3M
0.3M
0.3M
0.3M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M

T

T
T

t

T
T

T
T
T

T
T

T
T
T
T
T

T
T
T
T

2-80

65
15
140
140
175

1~;

125
125
125
125
125
125
125
125
125
200
200

25
6.0
12
60
60
60
45
60
40
40
40

~gg

0
0
0
0

0
0

0
0
0
0
0
0

0
0
0
0

120
120
120
120
300
240
240
120
150
120

0.25
0.25
0.16
0.2
0.22
0.22
0.22
0.25
0.25
0.2
0.25
0.25

50M
50M
10M
10M
0.15A
0.15A
0.15A
50M
50M
30M
10M
10M

l.2
l.2

0.5A
0.5A

E
E
E
E
E
E

250M
l.3G
150M
200M
150M
200M
100M
150M

20
20

E
E

SOOM
500M
250M
250M
250M
200M
200M
350M
350M
450M

20

E

40
80
40
80
25
100

T
T
T

T
T
T
T
T
T

T
T
T

T
T

T
T
T
T

Thyris tors, see Table on Page 2-69
S
S
S
S
S
S
S
S
S

N
P 2N3719
P 2N3720
N
N
N
N 2N5335
N
N 2N5881

2N3664
2N5334
2N5879

AH
AHP
AHP
AH
AH
AHP
S
S
SP

e
e
A
A
e
e
e
e

220
40
60
18
30
60
120
120
50

L;g

200
125
125
200
200
200
200

50
12
12
60
80
80
50

0
0
0

A
0.6,
0.4, A
0.35, A

200
200
200

60
60
60

50
50
50

4.~ e

;:~

O. ~~

U,
5.0,
5.0,
117,

0
0

20
25
25
20
20
8.0
40
100
15

0
0
0

75
75
75

0

0

S
0

80
120
300
60

10M
0.5A
0.5A
8.0M
8.0M
50M
0.15A
0.15A
8.0A

0.75
0.5
0.5
l.5

0.25A
O.HA
0.15A
8.0A

50M
25M
25M
700M
700M
300M
60M
60M
0.5M

226
225
225

0.15A
0.15A
0.15A

0.4
0.4
0.4

0.15A
0.15A
0.15A

200M
200M
200M

100
100

T
T
T

T
T
T
T
T

T

Thyristors J see Table on Page 2.69

~ I! I

I Ii I

2-43

T
T

T

2N3675-2N3765
TYPE

I
lIE

2N3675
2N3676
2N3677
2N3678
2N3679
2N3680
2N3681
2N3682
2N3683
2N3684,A
thru
2N3687 A
2N3688
2N3689
2N3690
2N3691
2N3692
2N3693
2N3694
2N3695

,..
is'"
""
!::

MAXIMUM RATINGS
REPLACE·
MENT

REF.

USE

PD
@25'C

S N 2N4238
S N 2N4239
S P
S N 2N3019
Unij unction

II~I

2N4237
2N4237
2N3019

SP
SP

se

SH

8.8W
8.8W
0.4W
0.8W

I

TJ

VCB

:i

'C

(volts)

C
C
A
A

200
200
200
200

A
A

200
200

(volts) Ol (min) (max)
0
0
0

12
12

60
60

·i=>

VCEISATI

(volts)

~

hl_

:§

40

120

0.15A

0.4

0.15A

150
20
40
20

600
220
120
150

lO"'~

2.0M
10M
8.0M

0.7
0.37

10M
4.0M

40
30

0
0
0
0

40
40
40
20
20
45
45

0
0
0
0
0
0

a

30
30
30
40
100
40
100

160
400
160
400

4.0M
4.0M
4.0M
10M
10M
10M
10M

l.OA
l.OA

0.8
0.8

@Ic

0

l.OA
LOA

300
20
45
30

I
E

E
E

E

L

"I>.

~
=>

loOM
l.OM
5.0M
250M

'C:

1i
Ol
T
T
T

T

60M
1. OG
600M
1. OG

T
T
T
T

400M
400M
400M
200M
200M
200M
200M

T
T

100M
80M

T
T

100M
100M
100M
100M
100M

T

Field"'Effect Transistors, see Table on Page 2·80
S
S
S
S
S
S
S

N
N
N
N
N
N
N

MPS6512 MPS6512
MPS6513 MPS6512

AH
AH
AH
A
A
AH
AH

0.2W
0.2W
0.2W
0.2W
0.211
0.2W
0.2W

A
A
A
A
A
A
A

125
125
125
125
125
125
125

40
40
40
35
35
45
45

Field-Effect Transistors, see Table on Page 2-80
S

2N3744
2N3745
2N3746
2N3747
2N3748
2N3749
2N3750
2N3751
2N3 7 52
2N3753
thru
2N3761
2N3762
2N3763
2N3764
2N3765

j

@ Ic

50
7.0
15
12

thru

2N3725
2N3725A
2N3726
2N3727
2N3728
2N3729
2N3730
2N3731
2N3732
2N3733
2N3734
2N3734A
ZN3735
2N3735A
2N3736
2N3736A
2N3737
2N3737A
2N3738
2N3739
2N3740,A
2N3741,A
2N3742
2N3743

hFE

55
90
20
55

2N3698
2N3700
2N3701
2N3702
2N3703
2N3704
2N3705
2N3706
2N3707
2N3708
2N3709
2N3710
2N3711
2N3712
2N3713
2N3714
2N3715
2N3716
2N3717
2N3718
2N3719
2N3720
2N3721
2N3722
2N3723
2N3724
2N3724A

"I>.

90
90
30
75

n
, f~eel '''60
~~~Jel x
A 200
10

TrSistTAMsr
AH
0.2\,
AH
0.36W
AH
O. 2W

ELECTRICAL CHARACTERISTICS
VCE _

S
S
S

S
S
S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
G
G
G
S
S
S
S

S
S
S
S
S
S
S
S
S
S

s

S
S
S

S
S
S
S
S

S

N
N

2N3019
2N3019

2N3019
2N3019

P

2N3250
2N3251
2NZ222A
2N2222A
2N930

2N3250
2N32S0
2N2218
2N2218
2N929

P
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
P
P
N
N
N
N
N
N
N
P
P

2N3713
2N3714
2N3715
2N3716
2N3719
2N3720
MP3731

A
A

200
200

140
140

80
80

0
0

100
40

300
120

0.15A
0.15A

0.2
0.2

0.15A
0.15A

0.3W
0.3W
0.36W
0.36W
0.36W
0.25W
0.25W
0.25W
0.25W
0.2511
0.8W
150W

A
A
A
A
A
A
A
A
A
A
A
C

150
150
150
150
150
150
150
150
150
150
200
200

40
50
50
50
40
30
30
30
30
30
150
80

25
30
30
30
20
30
30
30
30
30
150
60

0
0
0
0
0
0
0
0
0
0
0
0

60
30
100
50
30
100
45
45
90
180
30
25

300
150
300
150
600
400
660
165
330
660
150
75

SOM
50M
50M
50M
50M
O.lM
l.OM
1. OM
l.OM
1. OM
30M
LOA

0.25
0.25
0.6
0.8
l.0
1.0
l.0
l.0
1.0
1.0
2.0
l.0

50M
50M
O.lA
O.lA
O.lA
10M
10M
10M
10M
10M
50M
5.0A

150W
150W
150W
7.5W
lOW
6.0W
6.0W
0.2W
0.8W
0.8W
0.8W
lW

C
C
C
C
C
C
C
A
A
A
A
A

200
200
200
200
200
200
200
125
200
200
200

100
80
LOO
60
60
40
60
18
80
100
50
50

80
60
80
60
60
40
60
18
60
80
30
30

0

25
50
50
2.0

75
150

25
25

100
100
180
180

l.OA
LOA
1. OA
O. SA
0.5A
l.OA
LOA

1.0
0.8
0.8
1.0
1.0
1.5
1.5

5.0A
5.0A
5.0A
O. SA
0.5A
0.3A
3.0A

40
40
60
60

150
150
150
150

O.lA
O.lA
O.lA
100M

0.22
0.25
0.2
0.2

O.lA
10M
O.lA
100M

0.8W
lW
0.4W
0.4W
0.45W
0.45W
lOW
5.0W
3.0W
23W
l.OW
1.0W
LOW
LOW
0.5W
0.5W
0.5W
0.5W
20W
20W
25W
25W
l.OW
LOW

A
A
A
A
A
A
A
A
A
C
A
A
A
A
A
A
A
A
C
C
C
C
A
A

200

50
50
45
45
30
30
200
320
100
40
30
30
50
50
30
30
50
50
225
300
60
80
300
300

60
60
135
135
80
80

150
150
350
350
280
280

O.lA
100M
100M
1. OM
0.15A
0.15A

0.26
0.26
0.25
0.25
0.22

0.22

O.lA
100M
50M
50M
0.15A
0.15A

175
175
200
200
200
200

80
80
45
45
60
60
200
320
100
65
50
50
75
75
50
50
75
75
250
325
60
80
300
300

0
0
0
0
0
0
S

2N3738
2N3738
2N3740
2N3740
2N3742
2N3743

SH
SH
AM
AM
AM
AM
AP
AP
AP
AHP
SH
SH
SH
SH
SH
SH
SH
SH
AP
AP
AP
AP
AH
AH

250

l.OA
l.OA
LOA
l. OA
l.OA
1. OA
l.OA
O.lA
O.lA
0.25A
0.25A
30M
30M

1.0
0.2
0.9
0.2
0.9
0.2
0.9
0.2
0.9
2.5
2.5
0.6
0.6
l.0
5.0

LOA
10M
LOA
10M
LOA
10M
1. OA
10M
l.OA
0.25A
0.25A
LOA
LOA
10M
10M

2N5346
2N5346
2N5346
2N5346
2N5346
2N5346
2N5346
2N5346
2N5346

AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AHP

30W
30W
30W
30W
30W
30W
30W
30W
30W

C
C
C

60
80
100
60
80
100
60
80
100

l.OA
l.OA
l.OA
LOA
LOA

C

200
200
200
200
200
200
200
200
200

A
A
A
A

200
200
200
200

40
60
40
60

2N3712
2N3713
2N3713
2N3713
2N3713
2N3719
2N3719

MM3724

2N3722
2N3722
MM3724

MM3725

MM3724

2N5334

N
N
N
P
P

2N3734
2N3738
2N3739
2N3740,A
2N3741,A

N

P
N
N
N
N
N
N
N
N
N

2N3734
2N5.334
2N3734
2N3734

N

2N5346
2N5346
2N5346
2N5347
2N5347
2N5348
2N5348
2N5348
2N5348

10M
10M

0.5W
0.5W

N

N
P
P
P
N
N
N
N
N
N
N

0.7
0.7

AH
AH
A
A
A
A
A
A
A
A
A
A
AH
AHP
AHP
AHP
AHP
AHP
AHP
SHP
SHP
A

s

SH
SH
SH

C
C
C

C
C

ZOO
200
200
200
100
100
100
200
200
200
200
200

0

0
S
S
0
0
0
0

a

0
0

s

2.0

150

80
30

0

40
60
80
40
60
80
40
60
80

0
0
0
0
0
0
0
0
0

20
20
20
40
40
40
100
100
100

60
60
60
120
120
120
300
300
300

l.OA
LOA
l.OA
1. OA
1. OA
l.OA
LOA
l.OA
LOA

0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25

40
60
40
60

0
0
0
0

30
20
30
20

120

l.OA
l.OA
l.OA
LOA

0.1
0.1
0.1
0.1

500
150
120
120
80
80
120
120
80
80
200
200
100
100

200

6.0A
0.7A
0.25A

LOA

LOA
LOA
l.OA
l.OA

E
E

E
E

100
45
45
90
180
25
25

E
E
E
E

25
25
25

E
E
E

60

15
35
10
30
30
20
20
30
30
20
20
40
40
30
30
20
25

S
0
0
0
0
0
0
0
0
0
0
0
0
0
0

40
100

3
135
135
50
50

T
T
T

40M
30K

T
E

30K
30K
30K
250M
250M
60M
60M

E
E
E

300M
300M
300M

T
T
T

300M

T

200M
200M
250M
250M

T
T
T

T
T

T
T

E

E

E
E
E
E

2.5

E

20
20
20
40
40
40
100
100
100

T

E

E

2.5
35
35
25
25
20
30

T

T
T

E
E

2.5

2.5

T
T

T

1. OM
250M
300M

T

250M

T

300M

T

T
T

E
250M

T

15M
15M
4.0M
4.0M
30M
30M

T
T
T

30M
30M
30M
40M
40M
40M
50M
50M
50M

T
T
T
T
T
T
T

E
E
E
E
E
E

E
E
E
E
E
E
E
E

E
E

T

T
T

T
T

Thyristors, see Table on Page 2·69

iii'

12N3762I
SH 11.
OW
SH
1.0W
2N3762
2N3762
SH
0.5W
2N3762
SH
0.5W

2-44

80

120
80

10M

10M
10M
10M

180M
150M
180M
150M

T
T
T

T

2N3766-2N38SSA
TYPE

I

>-

i

::5

::Ii ~

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS
REPLACE·
MENT

REF.

USE

Po
@25·C

AP
AP
AH
2N3771 AP
2N3771 AP
AP
2N4234 SP
2N4234 SP
2N4234 SP
2N5679 SP
2N4234 SP
2N4234 SP
P 2N4236
2N4234 SP
P 2N5679
2N5679 SP
P 2N4234
2N4234 SP
P
2N3783 AH
P
2N3783 AH
P
2N3783 AH
AP
N
2N3789 AP
P
2N3789 AP
P
2N3789 AP
P
2N3789 AP
P
N MPS6530 MPS6530 A
N MPS6531 MPS6530 A
SP
P

!

TJ

VCB

&!

·C

(yolts)

C
C

175
175
100
200
200
200
200
200
200
200
200
200
200
200
200
100
100
100
200
200
200
200
200
125
125
200

VCE_ ~

hFE @ Ic

-=

(yolts) til (min) (max)

:i=

80
100
40
30
30
15
400
60
60
60
80
40
40
120

60
80
6.0
40
60
140
40
60
80
100
40
60
80
100
40
20
20
12
325
60
80
60
80
20
20
120

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

40
40
10
15
15
15
20
20
20
20
10
10
10
10
10
20
20
15
20
25
25
50
50
20
100
12

160
160
200
60
60
60
60
60
60
60
40
40
40
40
60
200
200
200
180
90
90
180
180
120
600
36

0.5A
0.5A
LOM
15A
lOA
8.0A
0.2A
0.2A
0.2A
0.2A
0.2A
0.2A
0.2A
0.2A
LOA
3.0M
3.0M
3.0M
0.50A
LOA
LOA
LOA
LOA
10M
10M
10M

Field-Effect Transistors, see Table on Page 2-80
60
0.36W A 200
S P
2N3798 A
0.36W A 200
60
S P
2N3798 A
0.25W A 200
60
S P
2N3800 A
0.2SW A 200
60
S P
2N3800 A
0.2SW A 200
60
S P
2N3800 AM
0.25W A 200
60
S P
2N3800 AM
O.?W A 200
60
S P
2N3800 AM
S P
0.2SW e
60
2N3800 AM
0.2SW A 200
60
S P
2N3800 AM
S P
0.2SW e
60
2N3800 AM

60
60
60
60
60
60
60
60
60
60

0
0
0
0
0
0
0
0
0
0

150
300
150
300
ISO
300
150
ISO
300
300

450
900
450
900
4S0
900
450
450
900
900

0.5M
0.5M
O.lM
0.1M
O.lM
O.lM
O.lM
100*
O.lM
100*

60
60
60
60
60
60
60
60
60
60
60
60
60
60
60
60

60
60
60
60
60
60
60
60
60
60
60
60
60
60
60
60

0
0
0
0
0
0
0
0
0
0
0
0
0
0

150
300
150
300
ISO
ISO
300
300
150
300
. 150
i 300
150
ISO
300
300

4S0
900
450
900
450
4S0
900
900
450
900
4S0
900
4S0
4S0
900
900

60

60

S

5.0

50

15
45
45
40
20
50
40
6.0
15
15
15
60
80
40
15
50
100
120
30
30
30
30
40
30
200
300
300
300
80
80
40
40
18
30
18
30

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

20
40
100
30
30
30
35
25
20
20
20
2K
2K
100
30
30
15
to
20
20
35
35
60
60
10
10
10

2N3766
2N3767
2N3770
2N3771
2N3772
2N3773
2N3774
2N3775
2N3776
2N3777
2N3778
2N3779
2N3780
2N3781
2N3782
2N3783
2N3784
2N3785
2N3788
2N3789
2N3790
2N3791
2N3792
2N3793
2N3794
2N3795
2N3796
2N3797
2N3798,A
2N3799,A
2N3800
2N3801
2N3802
2N3803
2N3801,
2N3804A
2N3805
2N3805A

S
S
G
S
S
S
S
S
S
S
S
S
S
S
S
G
G

2N3806
2N3807
2N3808
2N3809
2N3810
2N3810A
2N3811
2N3811A
2N3812
2N3813
2N3814
2N3815
2N3816
2N3816A
2N3817
2N3817A

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P

2N3800
2N3800
2N3800
2N3800
2N3800
2N3800
2N3800 2N3800
2N3800
2N3800
2N3800
2N3800
2N3800
2N3800
2N3800
2N3800

2N3818
2N3819
thru
2N3824
2N3825
2N3826
2N3827
2N3828
2N3829
2N3830
2N3831
2N3832
2N3833
2N3834
2N3835
2N3836
2N3837
2N3838
2N3839
2N3840
2N3841
2N3842
2N3843
2N3843A
2N3844
2N3844A
2N3845
2N3845A
2N3846
2N3847
2N3848
2N3849
2N3850
2N3851
2N3S52
2N3853
2N3854
2N3S54A
2N3855
2N3855A

S

N

2N3818

G

S
S
S
S
S
S
S
S

N 2N3766
N 2N3767
P
N 2N3771
N 2N3772
N 2N3773
P 2N4234
P 2N4235
P 2N4236
P 2N5679
P 2N4234
P 2N4235

2N3766
2N3766

A
A
AM
AM
AM

AM
AM
AM

AM
AM

AM
AM
AM

AM
AM
AM

AHP

20W
20W
50M
150W
150W
150W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
0.15W
0.15W
0.15W
100W
150W
15 OW
15 OW
150W
0.25W
0.25W
5.0W

A
C
C

e
e
e
e
e
e
C

e
e
e

A
A
A

e
e
e
e
e

A
A

e

O.SW
0.5W
0.5W
O.SW
0.5W
0.50W
O.SW
0.50W
350M
350M
350M
350M
350M
0.2SW
3S0M
0.25W

A 200
A 200
A 200
A 200
A 200
A
A 200
A
A 200
A 200
A 200
A 200
A 200

25W

175

e

A

e
e

200

80
100
10
50
100
160
40
60
80
100
40
60

o
o

I

VCEISATI@lc

~

(volts)

=

2.5
2.5

LOA
LOA

2.0
L4
1.4
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.75
0.25
0.25
0.35

15A
lOA
8.0A
0.2A
0.2A
0.2A
0.2A
0.2A
0.2A
0.2A
0.2A
LOA
5.0M
5.0M
5.0M

1.0
1.0
LO
1.0
0.4
0.4
0.2

hl _
40
40
10
40
40
40

I I
L

E
E
E
E
E
E

i=

15M
15M
100M
0.2M
0.2M
0.2M
LOM
LOM
1. OM
1. OM
1. OM
1.0M
LOM
LOM
LOM
0.8G
0.7G
0.7G
50K
30K
30K
30K
30K
100M
100M
0.5M

T
T
T
T
T
T
T
T
T
T
T
T

T
T
T
T
T
T
E
E
E
E
E
T

20
20
15

E
E
E

5.0A
5.0A
5.0A
5.0A
10M
10M
10M

25
25
25
25

E
E
E
E

0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2

O.lM
O.lM
O.lM
O.lM
O.lM
O.lM
100*
O.lM
100*

150
300
150
300
ISO
300
ISO
ISO
300
300

E
E
E
E
E
E
E
E
E
E

30M T
30M T
100M T
100M T
100M T
100M T
100M T
30M T
100M T
30M T

O.lM
O.lM
0.1M
O.lM
O.lM
100*
O.lM
100*
O.lM
O.lM
0.1M
0.1M
O.lM
100*
O.lM
100*

0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2

O.lM
O.lM
O.lM
O.lM
O.lM
100*
O.lM
100*
O.lM
O.lM
O.lM
O.lM
O.lM
100*
0.1M
100*

ISO
300
150
300
150
ISO
300
300
150
300
150
300
ISO
150
300
300

E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E

100M T
100M T
100M T
100M T
100M T
30M T
100M T
30M T
100M T
100M T
100M T
100M T
100M T
30M T
100M T
30M T

400M

0.5

LOA

3.0

E

2.0M
10M
10M
12M
30M
0.15A
0.15A
2.0M
30M
30M
30M
2.0A
2.0A
0.15A
3.0M
0.2M
0.2M
l.OM
2.0M
2.0M
2.0M
2.0M
2.0M
2.0M
lOA
lOA
15A
15A
LOA
l.OA
l.OA
l.OA
2.0M
2.0M
2.0M
2.0M

0.25

2.0M

0.18
0.3
0.3
0.4

10M
0.15A
0.15A
10M

T

t

Field-Effect Transistors, see Table on Page 2-80
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
P
N
N
N
N
N
N
N
N

~>
N
P
P
P
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N

N
N
N

MPS3398
AH
MPS3826
AH
MPS3827
AH
MPS6565 MPS6565 AH
2N3250
2N3250 SH
2N2192 SH
2N2193
2N2193
2N2192 SH
SH
AHP
AHP
AHP
SHP
SHP
2N3838 SH
AH
2N2857

MPS6512MPS6512
MPS6512
MPS6512
MPS6512
MPS6513

MPS6512
MPS6512
MPS6512
MPS6512

MPS6512
MPS6512
MPS6512
MPS6512
MPS6512
MPS6512

MPS6512
MPS6512
MPS6512
MPS6512

se
se
se

AH
AH
AH
AH
AH
AH
AP
AP
AP
AP
SHP
SHP
SHP
SHP
AH

AH
AH
AH

0.25W
0.2W
0.2W
0.3W
0.36W
l.OW
l.OW
0.2W

l.OW
l.OW
0.25W
200M
0.4W
0.3W
0.3W
0.2W
0.2W
0.2W
0.2W
0.2W
0.2W
4.0W
4.0W
4.0W
4.0W
30W
30W
30W
30W
0.2W
0.2W
0.2W
0.2W

A
A
A
A
A
A
A
A

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

e
e
e
e

A
A
A
A

150
150
150
150
200
200
200
200

200
200
200
200
200
200
200
125
125
125
125
125
125
175
175
175
175
200
200
200
200
150
150
150
150

30
60
60
40
35
80
70
15
25
25
25
80
100
60
30
50
100
120
30
30
30
30
30
30
300
400
300
400
100
100
60
60
18
30
18
30

2-45

10
50
30
50
30
35
35
60
60

160
400
200
120
125

20K
20K
300

40
40
70
70
120
120
60
60
60
60
150
90
150
90
70
70
120
120

1.8
1.8
0.4

5.0A
5.0A
0.15A

0.1
0.12

5.0M
5.0M
5.0M

0.75
0.75
l.0
1.0
0.25
0.25
0.25
0.25

lOA
lOA
15A
15A
LOA
LOA
1.0A
l.OA

2.5
2.5
2.5

E
E
E

60

E

50
50
50
50

E
E
E
E

200M
200M
200M
360M
350M
200M
200M
800M

T
T
T
T
T
T
T
T

40M
40M
200M
2.0G
6.0M
L5M
1.0M
60M
60M
90M
90M
126M
126M
10M
10M
10M
10M
20M
20M
20M
20M
100M
100M
130M
130M

T
T
T

T
T
T
T
T
T
T

T
T

T
T
T

T
T
T
T

T
T
T

T
T
T

•

2N3856-2N3961

TYPE

•

2N3856
2N3856A
ZN3857
2N3858
2N3858A
2N3859
2N3859A
2N3860
2N3861
2N3862
2N3863
2N3864
2N3865
2N3R66
2N3866A
2N3867
2N3868
2N3869
2N3870

thru
2N3873
2N3876
2N3877
2N3877A
2N3878
2N3879
2N3880
2N3881
2N3882
2N3883
2N3884

thru
2N3899
2N3900
2N3900A
2N3901
2N3902

II
8
8
8
8
8
8
8
8
8
8
8
8
8

s

REPlACE·
MENT

N. MP86513
N MP86513
P
N MP86512
N MP86566
N MP86513
N MP86566
N MP86514
N
N 2N930
N 2N3715
N 2N5758
N 2N3760
N

8 IN
P
8 P
8 N

2N3867
2N3868

REF.

Po

USE

8
8
S

8
8
8

N
N
N
N
N
N
N

2N6274
2N4410
2N441O
2N5428

I

'Ii
@25'C III:
MP86512 A\I
MP86512 AH
A
MP86512 AH
MP8Ji565 AM
MP86512 AH
MP86565 A
MP86512 AH
AP
2N930
8
2N3713 8P
2N5758 8P
SP
2N3866 AHP
2N3866 A
SH
SH
AHP

0.2W
0.2W
0.6W
0.2W
200M
0.2W
200M
0.2W
2.0W
0.36W
117W
117W
117W
5.0W
5.0W
LOW
LOW
2.5W

Thyristors, see Table on Page
S

MAXIMUM RATINGS
TJ
Vea YCE-

2N4409
2N4409
2N5427

AP
A
A
AHP
8P
AH
AH

A
A
A
A
A
A
A·
A
A
A
C
C
C
C
C
A
A
C

'c
150
150
200
125
100
125
100
125
175
200
200
200
200
200

ELECTRICAL CHARACTERISTICS

t

hFE @

(yolts) (Yolts) .l!I (min) (max)

a

Ie

YCElSAn@lc

~ (yolts)

::>

!!
:5

100
100
50
60
45
100
75
150
30
50
30
30
30
10
25
40
30
20

300
200
150
'90
90
90
200
200
200
150
150

2.0M
2.0M
100M
2.0M
100M
2.0M
100M
2.0M
.25M
10M
3.0A
3.0A
3.0A
50M
0.05A
1.5A
1.5A
30M

0
0
0
0
0
0
0

25
20
20
40
12
30

'150
250
250
200
100
200

lOA
2.0M
2.0M
0.5A
4.0A
3.0M

15

0

30

18
18
18
400

0
0
0
0

250
250
350
20

500
500
700
100

2.0M
2.0M
2.0M
1.0A

2.5

2.5A

40
40
40
40
45
60

0
0
0
0
0
0

50
100
50
100
60
100

150
300
150
300
300
500

10M
10M
10M
10M
10*
10*

0.2
0.2
0.25
0.25
0.35
0.35

10M
10M
10M
10M
100M
100M

50
40
30
50
40
30
150
40
40
60
60

0
0
0
0
0
0
0
0
0
0
0

40
60
90
40
60
90
40

160
240

0.3
0.3
0.3
0.3
0.3
0.3
5.0
1.2
1.2
1.2
1.2

10M
10M
10M
10M
10M
10M
0.15A
LOA

150
18
18
18
18
40
40
180
180
20
30

0
0
0
0
0
0
0
0
0
0
0

45
45
45
45
50
40
40
20
35
12

0
0
0
0
0
0
0
0
0
0

400
400
400
400
40
50
100
15
30

360

2.0M

12
12
40

0

0
0

40
40

200
200

10M
10M

18
30
.45
30
60
30
60
30
530
20

200
200
175

18
30
45
30
60
30
60
30
530
50
70
110
160
55
55
40
60
40

150
30
30
40
60
20

0
0
0

175
150
150
200
C 200
A 200
A 200

140
70
85
120
120
30
60

50
70
85
50
75
15
35

~g

0
0
0
0
0
0
0
0
V
0
0
0
0
0
0

200
200
200
120
200

~-

t

'"
45 ·E

L

i::>

~

j

14'OM
140M
4.0M
90M
90M
90M
90M
90M
50M
600M
0.5M
0.5M
0.5M
500
800M
60M
60M
0.4G

T
T
T
T
T
T
T
T
T
T
T
.T
T
T
T
T
T
T

0.1

10M

1.5
0.25
1.0
1.0
1.0
1.0
1.0
0.75
0.75
0.7

25M
. 10M
3.0A
3.OA
3.0A
O.lA
O.lA
1.5A
1.5A
0.45A

20

E

1~0

lOA

80

E

50M

T

2.0
1.2

4.0A
4.0A

40

E

1.5

0.15A

50
50

E
E

40M
40M
1.2G
70M

T
T
T
T

0.5

0.2A

100M

T

2-li9

15 OW
0.2W
0.2W
35W
35W
0.2W
0.6W

C
A
A
C

Field-Effect Transistors, see Table on Page 2-80
25
12N38831 8H 1 0.3W 1 A 100
G1P 1

0.2A

Thyris·tors, see Table on Page 2-6"6

0.2W A 125
18
A
0.2W A 125
18
A
18
0.2W A 125
A
S
lOOW C 150
400
8P
2N3903
8 N
O.31W A 135
60
2N3903 8H
2N3904
8 N
0.31W A 135
60
2N3903 8H
2N3905
8 P
0.31W A 135
40
2N3905 8H
2N3906. S P
0.31W A 135
2N3905 SH
40
2N3907
8 N 2N2915
0.3W A 200
60
2N2913 AM
2N3908
8 N 2N2916
0.3W A 200
60
2N2913 AM
2N3909,A Field-Effect Transistors, see Table on Page 2-80
60
2N3910
0.5W A 200
8C
8 P
2N3911
8 P
0.5W A 200
60
8C
60
2N3912
S P
0.5W A 200
SC
2N3913
8 P
0.4W A 200
60
8C
2N3914
8 P
8C
0.4W 'A 200
60
2N3915
8 P
0.4W A 200
60
8C
2N3916
8 N
AP
5.0W C 150
150
AP
2N3917
8 N
20W C 150
80
2N3918
AP
8 N
20W C 150
80
2N3919
8 N
8P
15W C 150
120
2N3920
8 N
8P
15W C 150
120
2N3921
Field-Effect Transis tors, see Table on Page 2-80
2N3922
2N3923
0.8W A 200
150
8 N
AH
2N3924
7.0W C 200
8 II
2N3924 AHP
36
lOW C 200
2N3925
36
2N3924 AHP
8 N
2N3926
36
2N3924 AHP 11.6W C 200
8 N
2N3924 AHP 23.2W C 200
2N3921
36
8 N
2N3928
8 N
SHP
7.5W C 175
80
2N3929
8 N
8HP
30W C 175
80
2N3930
8 P
A
0.4W A 200
180.
2N3931
8 .p
A
.0.7W A 200
180
2N3932
8 N
0.2W A 200
30
AH
2N3933
8 N
0.2W A 200
40
AH
"ZN3934
Field-Effect
Transistors,
see
Table
on
Page
2-80
2N3935
2N3936
thru
Thyristors, see Table on, Page 2..69
2N3940
2N3941
8 N
AM
0;75W C 200
60
2N3942
8 N
0.75W c 200
60
AM
2N3943
8 N
0.5W C 200
60
AM
2N3944
8 N
0.5W .C 200
AM
60
2N3945
8 II 2N5334
2N5334 8
5.0W C 200
70
2N3946
8 N
360M A 200
60
2N3946 8H
2N3947
8 N
360M A 200
60
2N3946 8H
2N3948
S N
LOW A 200
2N3948 AHP
36
2N3950
8 N
70W C. 200
65
2N3950 AMP
2N3953
8 N
0.2W A 200
15
AH
2N3954
8
8
8

N
N
N
N

2N5088
2N5088
2N5088
2N3902

2N5088
2N5088
2N5088
2N3902

170
170
350

100
40
100

200
120
300
120
300

100M
100M
100M
1.0M
1.0M
1.0M
0.15A
LOA
1.0A
2.0A
2.0A

30

120

25M

1.0

25M

20
20
80
80
40
60

300
300
300
300
150
200

1.5A
1.5A
10M
10M
2.0M
2.0M

5.0
5.0
0.25
0.25

1.5A
1.5A
10M
10M

31l

160
240

250
150
300

10*
10*
10*
10*
0.15A
10M
10M
50M

0.5
0.3
0.3

1i8*
lOA

0.15A
50M
50M

E
E
E

50 E
100 E
50 ..E
100 E

30
15
30

E
E
E

20

E

100
100
50
60

E
E
E
E

300
300
300
300

E
E
E

50
100

E

40

E

13
16

E
E

E
E

40K

E

250M
300M
200M
250M
60M
60M

T
T
T
T
T
T

4.0M
8.0M
10M
4.0M
8.0M
10M
~OM
OM
50M
80M
80M

T
T
T
T
T
T
T
T
T
T
T

40M
250M
250M
250M
200M
200M
200M
40M
40M
750M
750M

T
T
T
T
T
T
T
T
T
T
T

200M
200M
200M
200M
60M
250M
300M
700M
150M
1.3G

T
T
T
T
T
T
T
T
T
T

1.3G
1.6G
400M

T
T
T

2N3954:~
2N3955,
2N3956
Field-Effect Transistors, see Table on Page 2-80

thru

2N3958
2N3959
2N3960
2N3961

!I: I

12N39591SH
2N3959 8H
" 2N3375 AHP

I I
400M
lOW

A rOO
200
G 200

I I
20
65

0.3
0.3

30M
30M

2N3962-2N4059

TYPE
2N3962
2N3963
2N3964
2N3965
2N3966

thru
2N3972
2N3973
2N3974
2N3975
2N3976
2N3977
2N3978
2N3979
2N3980
2N3981
2N3982
2N3983
2N3984
2N3985
2N3986

thru

j ii
:::5

!C

:Ii

2

S
S
S
S

P
P
P
P

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS
REPLACE·
MENT

REf.

~
@25"C 'Ii;
'"
0.36W A
Po

USE
A
A
A
A

0.36W
0.36W
0.36W

A
A
A

TJ
"C

Yca

YCE-

(volts) (yolts)

200
200
200
200

60
80
45
60

~

.=

60
80
45
60

~
0
0
0
0

hFt; @ Ic
(min) (max)

·i
::0

VCEISATI

(yolts)

@Ic

:!2

1Jj100
100
250
250

=
::0

100
100
250
250

300
300
500
500

10*
10*
10*
10*

0.25
0.25
0.25
0.25

10M
10M
10M
10M

100
200
100
200

10M
10M
10M
10M
5.OM

0.3
0.3
0.3
0.3
0.1

5.0M
5.0M

-

'-

'&

J I
i!
::0

40M
40M
50M
50M

T
T
T
T

0.15A
0.15A
0.15A
0.15A
5.0M

200M
200M
200M
200M
1. OM

T
T
T
T
T

0.15
0.15

5.0M
5.0M

1. OM
1. OM

T

0.4
0.4

0.15A
0.15A

250M
250M
500M
400M
300M

T

0.25
0.25
0.25
0.25
0.3

1.0A
1.0A
1.0A
1.0A
0.5A
0.5A

E
E
E
E

Field-Effect Transistors, see Table on Page 2-80
S
S
S
S
S

N
N
N
N
P

2N4400
2N4401
2N4400
2N4401

2N4400
2N4400
2N4400
2N4400

S
S
S
S
SC

Tt;f,~rm

P
P
P
N

REF.

S
S

2N3716

S
AHP

2N3713

:Eo

;f

TJ

VCB

VCE _

.~

@25·C

:i

·C

(volts)

(volts)

~

(min) (max)

0.3W
0.35W
0.3W
150W

A
A
A

200

45

C

200

100

12
45
18
80

0
0
0
0

40
115
40
50

40
40

0
0

50
25

120
120
120
80
120

0
0
0
0
0

50
50
50
10
10

150
150
150
40
40

0.5A
0.5A
0.5A
70A
70A

0.2
0.2
0.2
1.5
1.5

0.5A
0.5A
0.5A
50A
50A

50
50
50

E
E
E

12
15
20
25
30
60
60
55
45

0
0
0
0
0
0
0
0
0

50
110

120
150

10M
10M

0.13
0.2

l.OM
10M

9.0
7.0
200
200
200

E
E
E
E
E

20
200
150
80

100
600
600
800

150
150

150
150

0
0

40
80

60

40

0

50

E

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

PD

USE

1;;

hFE

@ Ic

300
150

~

=>

30M
SOM
30M
l.OA

VCE/SATI

@Ic

(volts)

~
=>

0.25
0.4
0.25
0.8

30M
15M
30M
S.OA

hf _

~

tl
135

E

25

E

'-

-:;.

.c:

~ 1:
=>
tl

400M
200M
400M
30K

E

200M
200M

T
T

50M
SOM
50M
10M
10M

T
T
T
T
T

900M
800M
650M
4.0M
200M
150M
150M

T
T
T
T
T
T
T

30M
40M

T
T

3.0M
3.0M
3.0M
4.0M
4.0M
4.0M
4.0M
4.0M
4.0M
4.0M
4.0M
4.0M
3.0M
3.0M
3.0M
4.0M
4.0M
4.0M
400M
450M
3.0M
3.0M
3.0M
3.0M
3.0M
3.0M
100M
100M
300M

T
T
T

T
T
T

Unijunction Transistors, see Table on Page H7

I I

I

Complementary Pair

ISH
SH

I I
I
Field-Effect Transistors,
S
S
S
S
S

N
N
N
N
N

60
300M I A
A 1 200
300M
200 I 60
I
see Table on Page 2-80

AP
AP
AP
SP
SP

350W
350W

C
C

200
200
200
200
200

140
140
140
100
140

Field-Effect Transis tors, see Table on Page

I I

I

I

I I

I

Unij une tion Transistors, see Table on Page

S
S
S
S
S
S
S
S
S

P
N
N
N
N
N
N
N
N

2N4877

2N4877

2N5401

SH
SH
AH
AH
AH
AP
AM
AM
AM

700M
360M
720M
120M
120M
lOW
300M
300M
300M

C

A
A
A
A
C
C
C
C

P
P
P

A

300M
300M

S

l.OW

A
2N4890

A
A
A

2·80

I 2·87

200
200
175
175
175
ZOO

12
40
30
40
40
60
55
45

Field-Effect Transistors, see Table on Page

S
S
S

125
1Z5

l.OM
l.OM

4.0A
10"
10*
10*

l.0
0.35
0.35
0.35

4.0A
l.OM
l.OM
l.OM

400
300

10M
10M

0.5
0.5

10M
10M

250

150M

l.4

150M

5.0

40
100
40
20
20
20
20
20
20
25
25
25
20
20
20
20
20
20
25
25
25
70
150
20
20
20
20
20
20
40
40
20

120
300
120
100
100
100
80
80
80
100
100
100
80
80
80
100
100
100
100
100
100
200
300
100
100
100
100
100
100
200
200
200

2.0A
2.0A
2.0A
0.5A
0.5A
0.5A
l.OA
l.OA
l.OA
2.5A
2. SA
2.5A
4.0A
4.0A
4.0A
0.5A
0.5A
0.5A
2.5A
2.5A
2.5A
10M
10M
0.5A
0.5A
0.5A
0.5A
0.5A
0.5A
150M
150M
30M

1.0
1.0
l.0
0.6
0.6
0.6
0.4
0.4
0.4
1.0
1.0
1.0
0.75
0.75
0.75
0.6
0.6
0.6
l.0
l.0
l.0
0.14
0.14
0.6
0.6
0.6
0.6
0.6
0.6
0.4
0.4
2.0

5.0A
5.0A
S.OA
l.OA
l.OA
l.OA
l.OA
l.OA
l.OA
2.5A
2.5A
2.5A
4.0A
4.0A
4.0A
l.OA
l.OA
l.OA
2.5A
2. SA
2.5A
10M
10M
l.OA
LOA
l.OA
l.OA
l.OA
l.OA
50M
50M
30M

2.5
4.0
2.5
25
25
25
20
20
20
40
40
40

25

E

20
25
25
ZO
20
10
10
40
60
60
50
50
50
50
50
50

200
200
200
200
200
100
100
170
200
250
250
250
250
250
250
250

30M
10M
10M
10M
10M
l.OA
LOA
2.0M
2.0M
2.0M
LOM
l.OM
l.OM
l.OM
l.OM
l.OM

2.0
0.5
0.5
5.0
5.0

30M
10M
10M
10M
10M

25

E

2-80

Unij unction Transistors, see Table on Page 2·87

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

s

S
S
S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S
S

S
S
S
S
S
S
S
S

N
N
N
P
P
P
P
P
P
P
P
P
P
P
P
N
N
N
N
N
N
P
P
P
P
P
N
N
N
N
N
N
N
P
P
P
P
N
N
N
N
N
P
P
P
P
P
P

2N4337
2N4898
2N4899
2N4900
2N4901
2N4902
2N4903
2N4904
2N4905
2N4906
ZN4907
2N4908
2N4909
2N4910
2N4911
2N4912
2N4913
2N4914
2N4915

2N4898
2N4898
2N4898
2N4901
2N4901
2N4901
2N4904
2N4904
2N4904

2N4918
2N4919
2N4920
2N4921
2N4922
2N4923

2N4918
2N4918
2N4918
2N4921
2N4921
2N4921
2N4924
2N4924
2N4926
2N4926
2N4928
2N4928
2N4928
2N4928
2N5477
2NS477

2N5477
2NS477

2N4910
2N49 10
2N4910
2N4913
2N4913
2N4913

2N4937
2N4937
2N4937
2N4937
2N4937
2N4937

SP
SP
SP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
SH
SH
AP
AP
AP
AP
AP
AP
AH
AH
AH

4.0W
4.0W
4.0W
25W
25W
25W
87.5W
87.5W
87.5W
87.5W
87.5W
87.5W
150W
150W
150W
25W
25W
25W
87.5W
87.5W
87.5W
500M
500M
30W
30W
30W
30W
30W
30W
l.OW
l.OW
l.OW

AH
A
A
A
A
AP
AP
AH
AH
AH
AM
AM
AM
AM
AM
AM

l.OW
3.0W
5.0W
5.0W
5.0W
70W
70W
200M
200M
200M
600M
600M
600M
600M
600M
600M

c

120
120
150
40
60
80
40
60
80
40
60
80

A
A
A

200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
125
125
150
150
150
150
150
150
175
175
175

40
60
80
40
60
80
30
30
40
60
80
40
60
80
100
150
200

60
60
80
40
60
80
40
60
80
40
60
80
40
60
80
40
60
80
40
60
80
30
30
40
60
80
40
60
80
100
150
200

A

175

250
100
150
200
250
50
70
40
50
50
50
50
50
50
50
50

250
100
150
200
250
25
35
30
40
40
40
40
40
40
40
40

C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C

C
C
C
C
C
C
A

A
A
A

A
A
A
A
A

200
200
200
200
200
200

2-51

E

E
E
E
E
E
E
E
E
E
E
E

25
25
25
20
20
ZO

E
E
E
E
E

25
25
25
25
25
25

E
E
E
E
E
E

70
50
50
50
50
50
50

E

E
E

E
E
E
E
E

300M
100M
100M
20M
20M
100M
100M
700M
700M
700M
300M
300M
300M
300M
300M
300M

T
T

T
T

T
T
T

T
T
T
T
T

T
T

T
T
T
T
T

T
T
T

T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T

T
T
T

•

2N4943·2N5041
TYPE

~

~

.

::'5

S
S
S
S

N
N
N
N

::IE ~

•

2N4943
2N4944
2N4945
2N4946
2N4947
2N4948
2N4949
2N4950
2N4951
2N4952
2N4953
2N4954

N
N
N
N
N

2N495S

S

2N4956
2N4957
2N4958
2N4959
2N4960
2N4961
2N4962
2N4963
2N4964
2N4965
2N4966
2N4967
2N4968
2N4969
2N4970
2N4971
2N4972
2N4973
2N4974
2N4975
2N4976
2N4977

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

N
N
P
P
P
N
N
N
N
P
P
N
N
N
N
N
P
P
P

thru
2N4979

MJ7000

ELECTRICAL CHARACTERISTICS

TJ

Vca

Vc~_

(volts)

(volts)

120
80
80
80

80
40
60
40

80
60
60
60
40
30
30
30
30
30
60
80
60
80
50
50
50
50
30
50
50
50
50
20
40
40
55

;e
&l

'C

800M
600M
600M
600M

A
C
C
C

125
125
125

SP
A
A
A
A

300W
360M
360M
360M
360M

C
A
A
A
A

AL
AM
A
A
A
A
A
A

750M
750M
200M
200M
200M
800M
500M
800M
500M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
800M
800M
S.OW

C
C
A

A

p

2N4974
2N4974

P
N

A
A
A
A
A
SH
SH
SH
SH
AH
AL
AL
AHP

Field-Effect Transistrrs)
S
S
S

lee

Isc I

P
P
P

SC
SC

f
'"

hFE @ Ic
(min) (max)

:i
;0

VCEISATJ @ Ic
(volts)

0
0
0
0

100

300
100
100
300

150M
150M
150M
150M

0.25

40
40
100

60
30
30
30
30

0
0
0
0
0

10
60
100
200
60

200
300
600
600

SOA
150M
150M
150M
150M

1.5
0.3
0.3

25
25
30
30
30
60
80
60
80
40
40
40
40
25
30
30
40
40
15
30
30
30

0
60 600
0
60 600
0
20
40
0
20
40
20
0
40
0 100 300
0 100 300
0 100 300
0 100 300
0
30 120
0
80 400
0
40 200
0 100 600
40 200
0
40 120
0
0 10(1'0 350
0
40 120
0 100 300
0
20
0 SOOO 9000
0 000 4000
0
20 250

0.25
0.25
0.25

i=>

h,_

~

j

I_

:!:!

t

jg

:!5 01

150M
150M
150M
150M

45M
60M
60M
60M

T
T

lOOK
250M
250M
250M
250M

T
T
T
T

T

T

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
C

150
150
150
150
125
125
200
200
200
200
200

200
200

200
200

10"
10~\'

2.0M
2.0M
2.0M
150M
150M
150M
150M
10*

10"(
10*
lO~'(

10"
150M
150M
150M
150M
3.0M
1.0*

0.3

50A
150M
lS0M
150M
150M

0.35
0.35

100M
1.0M

0.7

10M
10M
10M
10M
10M
10M
10M
10M
10M
150M
150M
150M
150M
10M

0.3

0.7
0.7
0.7
0.4
0.4
0.4
0.4
0.4

0.4
0.4
0.4

150
150

40
100
40

100
40

E
E

60M
60M
1200M
1000M
1000M
250M
250M
250M
250M

:r
T
T
T

:r
T

:r
T
T
T

E
E
E
E
E

150M

T

50M

175M
175M
1000M

T

100M
100M
100M

10M
5.OM
3.0M

T
T
T

0.4
O. S

25000

1.0*

15000

E
E

T
T

Table on Page 2·80
A
A
A

30
50
70

30
50
70

0
0
0

200M
200M
200M
200M
35W

A
A
A
A
C

60
60
30
30

0
0
0
0
0

35W
35W
35W
58W
58W
58W
58W
118W
118W
118W
118W
2.0W

C
C
C

0
0
0
0
0

2.0W
2.0W
2.0W
200W
200W

C

400M
400M
400M

60
40
30

300

200
150

Thyristors, see Table on Page 2-69

2N4999
2N5000
2N5001
2NS002
2N5003
2N5004
2N5005
2N5006
2N5007
2N5008
2N5009
2N5010

S
S
S
S
S
S
S
S
S
S
S
S

P
N
P
N
P
N
P
N
P
N
P
N

2N5011
2N5012
2NS013
2N5014
2N5015
2N5016
2N5017
2N5018

S
S
S
S
S
S
S

N
N
N
N
N
N 2N5016
N

2N5024
2N502S
2N5026
2N5027
2N5028
2N5029
2N5030
2N5031
2N5032
2NS033
2N5034
2N5035
2N5036
2N5037
2N5038
2N5039
2N5040
2N5041

MJ7000

2N4957
2N4957
2N4957

N
N
N
N
N

thru

'!;;

@25'C

USE
AP
A
A
A

S
S
S
S
S

2N5021
2N5022
2N5023

REF.

PD

Unij unction Transis tors, see Table on Page 2·87
S
S
S
S
S

2N4980
2N4981
2N4982
2N4983
thru
2N4993
2N4994
2N4995
2N4996
2N4997
2N4998

MAXIMUM RATINGS
REPLACE·
MENT

2N5347

2N5346

2N6186
2N5348
2N6187
2NS347
2N6186
2N5348
2N6187

2N6186
2N5346
2N6186
2N5346
2N6186
2N5346
2N6186

2N5016

AH
AH
AH
AP
AP
AP
'AP
AP
AP
AP
AP
A!'
AP
AP
AP
A
A
A
A
A
A
AHP
AHP

C
C
C

C
C

200

100

45
45
18
18
80

200

100
100
100
100
100
100
100
100
100
100
100
500

80
80
80
80
80
80
80
80
80
80
80
500

600
700
800
900
1000
65
6S

600

200

200
200
200
200
200
200

C

200
200

C

200

C
C
C

C
C
C

0
0
0
0
0
0

100

160
400

50
30
30

150
90

40

30
70
70
30
30
70
70
30
30
70
70
30

90

200
200
90
90
200
200
90
90
200
200

180
180

900

R
R
R
R
R

1000
30
30

R
0
0

30
30
30
30
30
10
10

50
30

50
30

0
0

25
40

20
75
90

10
75
90
30
30
15
12
10
10

0
0
0
0
0
0
0
0
0

25
20
20
SO
100
40
30
25
25

150
300
120

45
45
60
60
150
120
25
40

R

20
20
20
20
20
20
30
40

70
70
70.
70
100
100
600
150

700
800

180
180
180
180
200
200

10M
10M
2M
2M
LOA

5.0

3.0A

20

E

50M

LOA
LOA
1.0A
2.5A
2.5A
2.5A
2.5A
5.0A
5.0A
5.0A
5.0A
25M

5.0
5.0
5.0
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.4

3.0A
3.0A
3.0A

20
50
50
20
20
50
50
20
20
50
50

E
E
E
E
E
E
E
E
E
E
E

SOM
60M
60M
60M
60M
70M
70M
30M
30M
40M
40M

25M
25M
20M
20M
20M
0.5A
0.5A

1.5
1.6
1.6
1.6
1.8

25M
25M
20M
20M
20M

5.0A
5.0A
5.0A
5.0A
lOA
lOA
lOA
lOA
25M

T

T

T
T
T
T

T
T
T
T
T
T

500M
500M

T
T

1300M

T

100M
80M

T

Field-Effect Transistors, see Table on Page 2-80
S
S

P
P

S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N

SH
SH
SR
AlIP
AHP
S
S
2N5031
2N5031

AR
AH

LOW
LOW
200M
45W
45W
320M
320M
320M
320M
200M
200M

A

A
A
C
C

A
A
A
A
A

300
300
120
120
120
120

15
15

A

Field-Effect Transistor?, see Table on Page 2-80
S N 2N5877
83W C 150
55
2N5875 AP
S N 2N5877
83W C 150
55
2N5875 AP
S N 2N5877
83W C 150
70
2N5875 AP
S
S

S
S

s

N
N
N
P
P

2N5877

2N5875

AP
SP
SP
A
A

83W
140W
140W
300M
300M

C

C
C
A

A

150
200
200
125
125

70
150
120
25
40

2-52

R

R
R
V
V
0
0

100

100

300
300

500M
500M

0.2

0.17

100M
100M

100
1.0
0.45
0.45
0.25
0.25

2.0A
2.0A
lS0M
150M
10M
10M

2.5A
3.0A
2.5A
3.0A

2.5
3.0
2. S

6.0A

12A

2.5
2.5
1.0

10M
2.0A
2.0A
150M
150M
10M
10M
100M
100M

lOA
150M
150M

3.0

0.5

8.0A
6.0A
8.0A
20A
20A
O.SA
0.5A

13

E

15
15
15
15

E
E
E

E

T

2N5042·2N5140
MAXIMUM RATINGS

:;;!

TYPE

... i::5
iii!

=- =

:E a.
2N5042
2N5043
2N5044
2N5045
thru
2N5047
2N5048
2N5049
2N5050
2N5051
2N5052
2N5053

S
G
G

REf.

USE
A
A
A

P
P
P

~

Po
@25'C 'ID
*@75'C
800M
30M
30M

'"A
A
A

~

hFE @ Ic

.i-

I

TJ

Yca

YCE-

'C

(yolls)

(volts)

j

200
125
125

40
15
15

40
7.0
7.0

0
0
0

40

150
150
150

150M
3.0M
3.0M

1.1

0.5A

100M

0
0
0
0
0
0

15
15
35
35
35
25

60
60
105
105
105
150

lOA
lOA
0.5A
0.5A
0.5A
2.0M

2.0
2.5
0.9
0.9
0.9

lOA
lOA
0.5A
0.5A
0.5A

10M
10M
20M
20M
20M
l300M

T
T

l300M

T

0.13
0.13
0.13

100M
100M
l.OM

550M
5.OM
4.0M

T

4.0M
4.0M
100M
100M
40M
40M
40M
40M
40M
40M

T
T
T
T
T
T
T

(min) (max)

:!
::>

YCEISATI@lc
(volls)

=!

hi_

::>

j

L

:!::>

T

Field-Effect Transistors, see Table on Page 2-80
S
S
S
S
S
S

N
N
N
N
N
N

2N5054
2N5055
2N5056
2N5057
2N5058
2N5059
2N50M
thru
2N5064
2N5065
2N5066
2N5067
2N5068
2N5069
2N5070
2N5071
2N5072
2N5073
2N5074
2N5075
2N5076
2N5077
2N5078
2N5079
2N5080
2N5081
2N5082
2N5083
2N5084
2N5085
2N5086
2N5087
2N5088
2N5089
2N5090
2N5091

S
S
S
S
S
S

N
P

2N5092
2N5093
2N5094
2N5095
2N5096
2N5097
2N5098
2N5099
2N5100
2N5101
2N5102
2N5103
thru
2N5105
2N5106
2N5107
2N5108
2N5109
2N5l10
2N5l11
2N5l12
2N5113
2N5114
thru
2N5116
2N5117
2N5118
2N5119
2N5120
2N5121
2N5122
2N5123
2N5124
2N5125
2N5126
2N5127
2N5128
2N5129
2N5130
2N5131
2N5132
2N5133
2N5134
2N5135
2N5136
2N5137
2N5138
2N5139
2N5140

REPLACE·
MENT

ELECTRICAL CHARACTERISTICS

2N5050
2N5051
2N5052

2N5050
2N5050
2N5050

p

P
N
N

SP
SP
AP
AP
AP
AH
AH
SH
SH
SH
A
A

100W
100W
40W
40W
40W
200M

C
C
C
C
C
A

175
175
200
200
200
200

120
60

30

100
50
120
150
200
15

200M
200M
360M
360M
l.OW
LOW

A
A
A
A

200
125
200
200
200
200

30
12
15
15
300
250

15
12
15
15
300
250

0
0
0
0
0
0

25
30
30
40
35
30

150
100
100
100
150
150

2.0M
30M
30M
30M
30M
30M

2.5W
400M
87.5W
87.5W
87.5W
70W
70W
125W
600M
70W
70W
70W
70W

C
A
C

200

15
20
40
60
80
30
30
100
120
200
200
250
250

0
0
0

50

120

300M

0.23

100M

20

20

LOA
l.OA

20
20

E
E
E

0
0
0
0
0

20
20
10
10
15
30
30
90
30
90

1.0A
1.0A
LOA
3.0A
3.0A
3.0A
200M
0.5A
0.5A
0.5A
0.5A

1.0A

0
0
0
0

80
80
80
100
100
60
120
l10
250
l10
250

0.4
0.4
0.4

200
200
200
200

25
30
40
60
80
65
65
100
180
200
200
250
250

30
30
30
30

E
E
E
E

l.2W
1.2W
35W
35W
35W
310M
310M
310M
310M
4.0W

C
C
C
C
C
A
A
A
A

30
30
50
30
60
60
80
50
50
30
25
30
300

0
0
0
0
0
0
0
0
0
0
0
0
0

100
200
100
100
40
100
40
150
250
300
400
10
40

300
500
400
400
120
300
120
500
800
900
200
200
250

100
100

E
E

200
200
200
135
135
135
135
200
175

70
60
120
120
150
50
50
35
30
55
350

400
400
450
500
500
600
700
800
450
500
90

350
350
400
400
450
450
500
550
400
400
50

0
0
0
0
0
0
0
0
0
0
R

50
40
40
50
40
50
50
50
40
50
10

0
0

c
c

T

T
T
T

Thyris tors, see Table on Page 2-69
S
S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N
N
N
N

S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
P
P
N
N
N
P

S
S
S
S
S
S
S
S
S
S
S

N
P
P
N
P
N
N
N
P
N
N

2N5067

2N5067

2N5068
2N5069

2N5067
2N5067
2N5070

2N5071

SH
SC
AP
AP
AP
AHP
AHP
AHP
AH
AP
AP
AP
AP

C
C
C
C
C
A
C
C
C
C

200
200
200

Field-Effect Transistors, see Table on Page 2-80
S N
1.8W C
60
A
l.8W C
60
S N
A

2N3586
2N3586
2N5088
2N5088
2N5090

AH
AH
SP
SP
SP
A
A
A
A
AHP
A
A
A
A
A
A
A
A
A
A
A
AHP

175
175
175
175
175
175
175
175
175
175
70W

C

T

T

1.0

lOA

2.0
2.0
2.0
2.0

3.0A
3.0A
3.0A
3.0A

150M
150M
100M
l.OM
2.0A
2.0A
2.0A
0.1M
0.1M
0.1M
0.1M
50M
25M

0.2
0.2
0.2
0.2
1.0
1.0
1.0
0.3
0.3
0.5
0.5
1.0
3.0

150M
150M
10M
10M
lOA
lOA
lOA
10M
10M
10M
10M
100M
25M

300
250
250
300
250
300
300
300
250
300
100

25M
25M
25M
25M
25M
25M
25M
25M
25M
25M
500M

0.5
3.0
3.0
0.5
3.0
0.5
0.5
0.5
3.0
0.5

25M
25M
25M
25M
25M
25M
25M
25M
25M
25M

50M
20M
20M
50M
20M
50M
50M
50M
20M
50M
150M

T
T
T
T

100
100

300
300

150M
150M

0.22
0.22

150M
150M

T
T

0
0
0
0
0

70
15
15
15
15

210
60
60
60
60

50M
500M
500M
500M
500M

0.9
0.9
0.9
0.9

500M
500M
500M
500M

10
10
10
10

E
E
E

250M
250M
1.2G
1.2G
1.0M
100M
1. OM
1.OM

0.010M
0.010M
O.O.OM
0.010M
0.010M
0.010M
0.010M
0.010M
O.OlOM
2.0
0.3
0.25
0.25
0.6
1.0
2.0
0.4
0.2
1.0
0.25
0.25
0.3
0.15
0.2

10M
10M
150M
150M
10M
10M
10M
1.OM
10M
100M
150M
150M
10M
100M
10M

15
12

E

150M
150M

T

R

150
250
350
450

E

E
E
E

400M
500M
600M
600M
50M
80M
50M
40M
40M
50M
50M
500M
20M

T

T
T
T

T
T
T

T
T

T
T
T
T

T
T
T

T

T
T
T
T
T
T

Field-Effect Transistors, see Table on Page 2-80
S N
S N
S N
S N
S P
S P
S P
S .p

2N5108
2N5109

A
A
AHP
AHP
AP
AP
AP
AP

800M
360M
3.5W
*2.5W
5.0W
5.0W
34W
34W

A 200
A 200
C
C
C 175
C 175
C 175
C "175

30
30
55
20
40
80
40
80

60
60
55
40
40
80
40
80

R

E

T

T
T

T
T

T

Field-Effect Transistors, see Table on Page 2·80
S
S
S
S
S
S
S
S
S

P
P
P
P
P
P
P
P
P

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N

N
N
N
N
N
N
P
P
P

MPS6539
MPS918
2N5220
2N5220
MPS3563
2N5223
MPS6539
MPS2714
2N5224
2N5225
MPS3706
MPS6560
MPS6516
MPS6516
MPS6518

AM
AM
AM
AM
AM
AM
AM
AM
AM

400M
400M
400M
300M
300M
300M
400M
400M
400M

C
C
C
C
C
C
C
C

A
A
A
A
A
A
A
A
SH
A
A
A
A
SH
SH

200M
200M
200M
300M
200M
200M
200M
200M
200M
300M·
220M
300M
200M
200M
200M

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

C

125
125
125
125
125
125
125
125
125
125
125
125
125
125
125

45
45
45
45
45
45
45
45
45

45
45
45
45
45
45
45
45
45

0
0
0
0
0
0
0
0
0

100
100
50
100
100
50
100
100
50

300
300
800
300
300
800
300
300
800

20
20
15
15
30
20
20
20
20
30
30
30
30
20
5.0

20
12
12
12
12
15
20
18
10
25
20
20
30
20
5.0

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

20
15
35
35
15
30
30
60
20
50
20
20
50
40
20

350
300
350
350
250
500
400
000
150
600
400
400
800

2-53

140

4M

2M
50M
50M
8M
10M
10M
100M
10M
10M
150M
150M
100*
100M
10M

E

12
25
20
50

E
E
E

40

E

E

T

2NS14].2NS243
TYPE
2N5141
2N5142
2N5143
2N5144
2N5145
2N5146
2N5147
2N5148
2N5149
2N5150
2N5151
2N5152
2N5153
2N5154
2N5155
2N5156
2N5157
2N5158
2N5159
2N5160
2N5161
2N5162
2N5163
2N5164,R
thru
2N5171,R
2N5172
2N5174
2N5175
2N5176
2N5177
2N5178
2N5179
2N5180
2N5181
2N5182
2N5183
2N5184
2N5185
2N5186
2N5187
2N5188
2N5189
2N5190
2N5191
2N5192
2N5193
2N5194
2N5195
2N5196
thru

2N5199
2N5200
2N5201
2N5202
2N5204
thru

2N5207
2N5208
2N5209
2N5210
2N5211
2N5212
2N5213
2N:s214
2N521S
2N5216
2N5217
2N5218
2N5219
2N5220
2N5221
2N5222
2N5223
2N5224
2N5225
2N5226
2N5227
2N5228
2N5229
2N52~0

2N5231
2N5232
2N5233
2N5234
2N5235
2N5236
2N5237
2N5238
2N5239
2N5240
2N5241
2N5242
2N5243

MAXIMUM RATINGS

;;! ~

iii '"
lC :s
:IE =
Do

REPLACE·
MENT

REF.

Po

USE

@25·C

I

&i

TJ

YCB

(yolts) (yolts)

·C

S P
SH
200M A 125
S P
SH
300M A 125
S P
SH
200M A 125
S N
SH
360M A 200
SH
S N
800M A 200
S P
2N5146 A
400M A
S P 2N6190
LOW A 200
2N6190 AP
S N 2N5336
1.0W A 200
2N5335 AP
S P 2N6191
LOW A 200
2N6190 AP
S N 2N5337
2N5335 AP
1.0W A 200
S P 2N6190
2N6190 AP
LOW A 200
S N 2N5336
2N5335 AP
1.0W A 200
2N6190 AP
S P 2N6191
1.0W A 200
2N5335 AP
S N 2N5337
LOW A 200
G P
2N5155 SP
110'
G P
93W C 100
SP
G P 2N5157
150
2N3902 SP
Field .. Effect Transistors, see Table on Page

I I

! I! I

II I

6.0
20
20
50
50
40
100
100
100
100
100
100
100
100
140
100
700

I

I

t

ji

6.0 0
20 0
20 0
30 0
30 0
40 0
80 0
80 '0
80 0
80 0
80 0
80 O.
80 0
80 0
120 0
60 0
500 0

hFE @ Ic
(min) (max)
30
30
30
60
60
20
30
30
70
70
30
30
70
70
25
25
30

150
150
90
90
200
200
90
90
200
200
100
60
90

i

:::0

30M
50M
50M
100M
100M
l.OA
LOA
1.0A
1.0A
LOA
2.5A
2.5A
2.5A
2.5A
8.0A
5.0A
LOA

YCEISAn@lc
(Yolts)
0.2
0.5
0.5
0.2
0.2
1.0
5.0
5.0
5.0
5.0
1.5
1.5
1.5
1.5
0.9
1.0
2.5

:fa

=

hI_

10M
50M
50M
100M
100M
1.0A
3.0A
3.0A
3.0A
3.0A
5.0A
5.0A
5.0A
5.0A
25A
lOA
3.5A

i

li

.;:

:::0

20
20
50
50
20
20
50
50

E
E

E
E

E
E

E
E

'-

:!
:::0

I

300M
300M
150M
50M
50M
60M
60M
60M
60M
70M
70M
100G
150G
2.8M

T

500M

T

200M
200M
900M
650M
400M
400M
62.5M

T
T
T
T
T

50M

T

900M
noOM
60M

T
T
T

200M
200M
350M
150M
40011
350M
350M
40M

T
T
T
T

T
T
T
T
T
T
T
T
T
T
T
T'
T

2-80

60
12N5160
AHP
5.0W
2N5161 AHP
20W c
C
60
2N5161 AHP
50W C
60
Field-Effect Transistors" see Table on Page 2-80
I

ELECTRICAL CHARACTERISTICS
YCE-

40
40
40

0
0
0

10
10
10

100
100
35
35
12
15

~~

0
0
0
0
0
0
0
0

500
600
160
300
150
150
250
200

18
120
120
5.0
25
55
55
40
60
80
40
60
80

0
0
0
S
S
S
S
0
0
0
0
0
0

100
40
55
140
10
10
25
20
27
27
75
10
10
25
30
25
15
25
25
20
25
25
20

20
20
75

0
0
V

50
75
10

150
150
100

50M
250M
2.0A

I

I

Thyristors, see Table on Page 2-69
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
P
P
P

I~

2N5179

2N5190
2N5191
2N5192
2N5193
2N5194
2N5195

2N5190
2N5190
2N5190
2N5193
2N5193
2N5193

A
A
AHP
AHP
AH
AH
AH
AH
AH
AH
AH
SH
SH
SH
SH
AP
AP
AP
AP
AP
AP

200M
200M
200M
200M
40W
70W
200M
180M
180M
180M
500M
500M
LOW
300M
LOW
800M
1.0W
40W
40W
40W
40W
40W
40W

A
A
A
A
C
C
A
A
A
A
A.
A
A
A
A
A
A
C
C
C
C
C
C

200
200

150
150
150
150
150
150

25
90
130
130
60
60
20
30
45
35
18
10
25
60
60
40
60
80
40
60
80

10M
10M
10M
10M
100M
200M
3.0M
2.OM
. 1.0M
100M
10M
50M
50M
10M
10M
150M
100M
100
1.5A
100
1.5A
80
1.5A
100
1.5A
100
1.5A
80
1.5A

0.25
0.95
0.95
0.95

10M
10M
10M
10M

100
40
55
140

E

0.4

10M

25

E

70

E

E
E
E

0.3
0.25
0.5
1.0
1.4
1.4
1.4
1.4
1.4
1.4

10M
10M
150M
LOA
4.0A
4.0A
4.0A
4.0A
4.0A
4.0A

0.5
0.5
1.2

50M
50M
4.0A

0.7
0.7
0.5
0.5
0.5
1.5
0.5
1.2
0.5
0.6
0.4
0.5
0.5
1.0
0.7
0.35
0.8
1.0
0.4
0.4

10M
10M
0.54A
o.54A
0.5A
4.5A
LOA
1.5A
0.5A
5.0A
10M
150M
150M
4.0M
10M
10M
100M
100M
10M
10M

150
250

0.125
0.125
0.125
0.125
0.2
0.6
0.6
5~0
5.0
2.5
0.38
0.38

10M
10M
10M
10M
50M
5.0A
5;OA
4.5A
4.5A
5.0A
500M
50 OM

250
100
250
400

E
E
E

2.5
2.5
20
20

E
E
E

20
20
20
20
20
20

T
T

E
E
E
E
E
E

Field ... Effect Transistors, see Table on Page 2-80
I

I

S N
S rN. 2N5427
S

J

2N5427

IA -'
A
SP

1.2W
35W
l,2W

C
C
C

250
250
200'

20
20
100

10M
10M
4.0A
"

Thyristors, see Table on Page 2-69
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

P
N
N
N
N
N
N
N
N
N
N
N
N
P
N
N
N
N

P
P
P
P
P
P
N
N
N
N
N
N 2N5337
N
N
N
N 2N5241
P
P

2N5208
2N5209
2N5209

2N5219
2N5220
2N5221
2N5222
2N5223
2N5224
2N5225
2N5226
2N5227
2N5228

2N5335

2N5241

AH
A
A
AHP
AHP
AHP
AHP
AHP
AHP
AHP
AP
A
A
A
A
A
SH
A
A
A
SH
SC
SC
SC
A
A
A
A
AH
SP
SP
AP
AP
SP
SH
SH

310M
310M
310M
3.0W
7.5W
7.5W
60W
23W
25W
7.5W
310M
310M
310M
310M
310M
310M
310M
310M
310M
310M
2.0W
2.0W
2.0W
330M
330M
330M
330M
LOW
lOOW
lOOW
0.5W
·0.5W

A
A
A
C 200
C 200
C 200
C 200
C 200
C 200
C 200
200
A
A
A
A
A
A
A
A
A
A
C

C
C
A
A
A
A
C
C.
C
A
A

200
200
200
200
200
150

30
50
50
80
80
70
95
70
80
80
220
20
15
15
20
25
25
25
25
30
5.0
15
30
50
70
80
80
80
40
150
200
300
375
400

25
50
50
80
80
40
95
70
80
80
200
15
15
15
15
20
12
25
25
30
5.0
10
20
30
50
60
60
60
20
120
170
400
20
30

2-54

0
o·
0
0
0
0
S
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

0
0

20
100
200
10
10
10
10
10
10
10
15
35
30
30
20
'50
40
30
30
50
30
50
50
50
250
100
250
400
30
40
40
20
20
15
25
25

120
300
600
60
60
80
75
80
60
80
120
500
600
600

~gg

400
600
600
700

500
300
500
800
120
120
120
80
80
35
100
100

2.OM
0.1M
0.1M
0.2A
0.2A
0.2A
l.OA
0.5A
0.5A
0.2A
5.0A
2.OM
50M
50M
4.OM
2.OM
10M
50M
50M
2.0M
10M
100*
100*
100*
2.0M
10M
10M
10M
50M
5.0A
5.0A
2.0A
2.0A
2.5A
500M
500M

40
35
30
30
20
50
30
30
50

E
E

E

T

T
T
T

E

E
E
E

E
E
E
E

E

E

500M T
25M T
25M T
5.OM T
5.0M T
2.5M T
170M T
170M T

2N5244·2N5346
TYPE

~ ~

1i:5

.2
2N5244
2N5245
thru
2N5248
2N5249
2N5249A
2N5252
2N5253
2N5254
2N5255
2N5256
2N5257

2~~261
~m~l

S

MAXIMUM RATINGS

REPLACE·
MENT

REF.

P

ELECTRICAL CHARACTERISTICS

Po

I

TJ

@25"C

i

"C

(volts) (volts)

j

(min) (max)

C 200

40

0

150 300

50
50
300
300
40
40
40

0
0
0
0
0
0
0

400
400
40
80
50
150
150

50
180

0
0

40

15

USE
SH

1.0W

Ycs

YCE-

~

hFE @ Ic

=i

:::>

YCEISATI@lc

(volts)

€
:::>

10M

0.12

10M

800
800
120
250
750
750
750

2.0M
2.OM
100M
100M
O.lM
0.10M
O.lOM

0.125
0.125
1.0
1.0
0.25
0.25
0.25

10M
10M
200M
200M
10M
10M
10M

35
30

300

100M
LOA

0.8
1.25

S

100

400

10M

0

30

90

40 160
40 160
150 0
20 200
300 0
20 200
80 0
30
90
80 0
70 200
100 0
30
90
100 0
70 200
100 0
30
90
100 0
70 200
100 0
30
90
100 0
70 200
12 S
40 100
75 R
30 120
75 R
30 120
50 R
30 120
50 R
30 120
70 R
20
80
20
80
70 R
40 0
15
60
60 0
15
60
15
60
80 0
25 0
2K 20K
25 0
7K 70K
25 0
7K 70K
40 0
2K 20K
40 0
7K 70K
40 0
7K 70K
50 0
60 120
50 0 100 300
50 0 250 500
8003090
8003090
100 0
30
90
30
90
100 0
30
90
80 0
80 0
30
90
30
90
100 0
30
90
100 0
30 130
75 0
40 250
50 0
75 0
30 130
50 0
40 250
20
60
150 0
200 0
20
60
80 0
50 150
80 0 100 300
80 0 100 300
90 0
40 120
90 0
40 120
90 0
40 120
12 0
20
80
80 0
30 120
60 0
30 150
80 0
30 150
80 0
30 120
80 0
60 240
100 0
30 120
100 0
60 240
250 0
25 100
300 0
25 100
80 0
30 120

~-

J
450M

T

30M
30M
40M
40M
40M

T
T
T
T
T

LOA
7.0A

50M

T

0.25

10M

500M

T

1.0M

0.2

20M

600M

T

20M
20M
100M
100M
2.5A
2.5A
2.5A
2.5A
5.0A
5.0A
5.0A
5.0A
30M
0.5A
0.5A
1.0A
LOA
1..5A
1.5A
15A
15A

0.5
0.5
2.0
2.0
0.75
0.75
0.75
0.75
0.9
0.9
0.9
0.9
0.12
2.0
2.0
2.0
2.0
2.0
2.0
0.75
0.75
1.0
1.4
1.4
1.4
1.4
1.4
1.4
0.125
0.125
0.125
1.5
1.5
1.5
1.5
0.6
0.6
0.6
0.6
0.5
0.8
0.7
1.2
0.5
0.5
1.0
0.3
0.6
1.8
0.6
0.6
0.2
1.0
0.7
0.7
0.7
0.7
0.7
0.7
3.0
3.0
0.7

50M
50M
10M
10M
2.5A
2.5A
2.5A
2.5A
5.0A
5.0A
5.0A
5.0A
10M
3.6A
3.6A
3.6A
3.6A
3.6A
3.6A
IDA
IDA
lOA
200M
200M
200M
200M
200M
200M
10M
10M
10M
IDA

15M
15M
20M
20M
60M
70M
60M
70M
30M
40M
30M
40M
800M

T
T
T
T

60M
60M

T
T

60M
60M

T
T

30M
30M
30M
30M
30M
30M
30M
30M
50M
50M
50M
50M
2.0M
2.OM

T
T
T
T
T

600M
30M
40M
40M
30M
30M
30M
30M
60M
60M
30M

T
T
T
T
T
T
T
T
T
T
T

Field-Effect Transistors, see Table on Page 2·80
S

S
S
S

S
S
S

N
N
N
N
P
P
P

A
A
A
A

AL
AM
AM

330M
330M
7.0W
7.0W
0.8W
0.8W
0.8W

A
A
C
C

C
C

C

125
125
125

70
70
300
300
40
40
40

400
400

E
E

70

E

Thyristors, see Table ,on Pare 2-66,

2N5265
thru
2N5270
2N5271
2N5272
2N5273
thru
2N5275
2N5276
SiN I
S
360M A
2N5277
Field-Effect Transistors, see Table on Page
2N5278
2N5279
S N
AH
5.0W C
2N5280
S N
AH
15W C 175
2N5281
SPA
175
2N5282
SPA
175
S N 2N5346
2N5346 AP
200
2N5284
2N5285
S N 2N5347
2N5346 AP
200
2N5286
S P
2N6188
2N6186 AP
200
2N5287
S P
~
2N5288
S N 2N5349
2N5346 AP
200
AP
2N5289
S N
200
AP
2N5290
S P
200
AP
2N5291
S P
200
SH
2N5292
S P
LOW C 200
S N 2N4922 2N4921 AP
36W C 150
2N5293
S N 2N4922 2N4921 AP
36W C 150
2N5294
2N5295
S N 2N5190 2N5190 AP
36W C 150
S N 2N5190 2N5190 AP
36W C 150
2N5296
S N 2N5190 2N5190 AP
36W C 150
2N5297
S N 2N5190 2N5190 AP
2N5298
36W C 150
S N 2N5301 2N5301 AP
200W C 200
2N5301
2N5302
S N
2N5303 2N5301 AP
200W C 200
2N5301 AP
S N 2N5303
200W C 200
2N5303
2N5305
S N
AL
450M A
2N5306
S N
400M A
AL
400M A
2N5306A S N
A
S N
AL
400M A
2N5307
400M A
2N5308
AL
S N
2N5308A S N
A
400M A
330M A
2N5309
A
S N
330M A
2N5310
S N
A
330M A
A
S N
2N5311
50W C 200
S P
AP
2N5312
50W C 200
2N5313
S N
AP
50W C 200
2N5314
S P
AP
AP
S N
50W C 200
2N5315
AP
50W C 200
S P
2N5316
AP
S N
50W C 200
2N5317
AP
S P
50W C 200
2N5318
AP
50W C 200
S N
2N5319
lOW C 200
2N5320
S N
SP
lOW C 200
2N5321
S N
SP
lOW C 200
2N5322
SP
S P
S p
lOW C 200
2N5323
SP
2N5324
56W C 110
2N5324
SP
G P
2N5324
56W C 110
2N5325
SP
G P
400W* C 200
S N
SP
2N5326
SP
S N
450W* C 200
2N5327
SP
450W* C 200
S N
2N5328
SP 1000W* C 200
2N5329
S N
SP 1000W* C 200
2N5330
S N
S N
2N5331
SP 1000W* C 200
360M A
S P
2N5332
SH
S P
LOW A 200
2N5333
AP
S N 2N5334 2N5334 SP
6.0W C 200
2N5334
6.0W C 200
S N 2N5335 2N5334 SP
2N5335
6.0W C 200
S N 2N5336 2N5336 SP
2N5336
6.0W C 200
2N5337
S N 2N5337 2N5336 SP
6.0W C 200
S N 2N5338 2N5336 SP
2N5338
6.0W C 200
S N 2N5339 2N5336 SP
2N5339
40W C 200
2N5344 SP
2N5344
S P
2N5344
40W C 200
S P 2N5345 2N5344 SP
2N5345
60W C 200
2N5346
S N 2N5346 2N5346 SP

.1

J J

=

25
2-80

400
400
175
325
120
120
100
100
120
120
100
100
80
80
60
60
80
80
40
60
80
25
25
25
40
40
40
70
70
70
80
80
100
100
80
80
100
100
100
75
100
75
250
325
100
100
100
150
150
150
20
100
60
80
80
80
100
100
250
300
80

*Pulsed

2-55

lOA

2.OM
2.0M
2.OM
2.OM
2.OM
2.0M
10*
10*
10*
lOA
lOA
IDA
lOA
5.0A
5.0A
5.0A
5.0A
500M
500M
500M
500M
5.0A
5.0A
LOA
1.0A
LOA
IDA
IDA
IDA
100M
LOA
1.0A
1.0A
2.0A
2.0A
2.0A
2.0A
500M
500M
2.0A

lOA

IDA
IDA
5.0A
5.0A
5.0A
5.0A
500M
500M
500M
500M

20
50
20
50
20
50
20
50
15
15
20
20
25
25
40
40
40
2000
7000
7K
2000
7000
7K
66
110
30
30
30
30
30
30
30
30

E
E
E
E

E
E
E
E

T

T
T
T

T
T

T
T
T

E
E

E
E
E
E
E
E
E
E
E
E
E
E
E
E

E
E
E
E

E
E

E
E
E

lOA

IDA
5.0A
3.0A
5.0A
20A

T

T
T
T
T
T
T
T
T

lOA

IDA
20M
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
LOA
LOA
2.0A

30

E

•

2N5347 ·2N5454
~

TYPE
2N5347
2N5348
2N5349
2N5350
2N5351
2N5354
2N5355
2N5356
2N5357
2N5358
thru

2N5364
2N5365
2N5366
2N5367
2N5368
2N5369
2N5370
2N5371
2N5372
2N5373
2N5374
2N5375
2N5376
2N5377
2N5378
2N5379
2N5380
2N5381
2N5382
2N5383
2N5384
2N5385
2N5386
2N5387
2N5388
2N5389
2N5390
2N5391
thru
2N5398
2N5399
2N5400
2N5401
2N5404
2N5405
2N5406
2N5407
2N5408
2N5409
2N5410
2N5411
2N5412
2N5413
2N5414
2N5415
2N5416
2N5417
2N5418
2N5419
2N5420
2N5421
2N5422
2N5423
2N5424
2N5424A
2N5425
2N5426
2N5427
2N5428
2N5429
2N5430
2N5431
2N5432
2N5433
2N5434
2N5435
2N5436
2N5437
2N5438
2N5439
2N5440
2N5447
2N5448
2N5449
2N5450
2N5451
2N5452
thru

2N5454

MAXIMUM RATINGS

>-

...!C~

!::

REPLACE·
MENT

'"
S
c:::>

:&

...

S
S
S
S
S
S
S
S
S

N
N
N
N
N
P
P
P
P

REF.

Po

USE

2N5346
2N5346
2N5346

2N5345

2N5357

':;;

'C

'"C

60W
60W
60W
350W
350W
360M
360M
360M
30W

SP
SP
SP
SP
SP
A
A
A
SP

TJ

;f

@25'C
2N5347
2N5348
2N5349

~

YCB

(volts) (volts)

200
200
200
200
200

C
C
C
C

80
100
100
125
180
25
25
25
300

A
A
A
200

C

ELECTRICAL CHARACTERISTICS
YCE-

-;;:.

j
t:

hFE @ Ic
(min) (max)

YCE(sAn@lc

~ (volts)

:::>

80
100
100
100
150
25
25
25
300

0
0
0
0
0
0
0
0
0

30
60
60
10
10
40
100
250
25

40
40
40
30
30
30
30
30
30
30
30
30
30
30
30
40
40
40
40
80
80
80
200
250
300
80

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

40 120
50M
100 300
50M
250 500
50M
60 200
150M
100 300
150M
200 600
150M
60 600
150M
40 120 150M
100 300
150M
200 400
150M
40 400 150M
100 500 0.010M
40 200 0.010M
100 500 o .CI0M
40 200 0.010M
50
150 10
!1
100 300 10
M
150 150 10
M
100 300 10
M
20
80
2.0A
20
80
2.0A
20
80
6.0A
25 100
2.0A
25 100
2.0A
25 100
2.0A
2K 20K
2.0A

15
120
150
80
100
80
100
80
100
80
100
60
40
50

0
0
0
0
0
0
0

120
240
240
40
40
120
300
500
100

2.0A
2.0A
2.0A
70A
70A
50M
50M
50M
500M

:i
:::>

hf _

0.7
0.7
0.7
5.0
5.0
0.25
0.25
0.25
0.3

2.0A
2.0A
2.0A
90A
90A
50M
50M
50M
100M

0.25
0.25
0.25
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.2
0.2
0.2
0.2
0.2
0.2
0.25
0.25
1.4
1.4
1.4
2.2
2.2
2.2
2.5

50M
50M
50M
150M
150M
150M
150M
150M
150M
150M
150M
10M
10M
10M
10M
10 M
10 M
10 M
10 M
5.0A
5.0A
12A
7.0A
7.0A
7.0A
5.0A

0.2
0.25
0.25
0.6
0.6
0.6
0.6
0.6
0.6
0.6
0.6
1.0
0.25
0.25

20M
50M
50M
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
lOA
150M
150M

0.55
0.25
0.25
0.25
0.5
0.5
0.5
0.5
0.5
2.51
2.2
0.7
0.7
0.7
0.7

150M
50M
25
50M
70
50M
150
0.2A
0.5A
l.OA
2.0A
2.0A
5.0A I 500
5.0A 1000
2.0A
2.0A
2.0A
Z.OA

32
80
200

-!- '-

~
'"

-;;:.

~

~
:::;>

t:

jg~
30M
10M
10M

T
T
T
T
T

50M

T

250M
250M
250M
250M
150M
150M
150M
150M
300M

T
T
T
T
T
T
T
T
T

300M
200M
200M
250 M
300 M
200 M
250 M
30M
30M
30M
15M
15M
15M
40M

T
T
T
T
T
T

600M
100M
100M
40M
40M
40M
40M
40M
40M
40M
40M

T

15M
15M
250M

T
T
T

300M
300M
300M
250M
250M

T
T
T
T

E
E
E

Field-Effect Transistors, see Table on Page 2-80

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
·S
S
S
S
S
S
S
S
S
S

P
P
P
N
N
N
N
P
P
P
P
N
N
P
P
P
P
P
N
P
P
P
N
N
N
N

2N6186
2N6186

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
AP
AP
AP
AP
A
A
A
A
A
A
AL

2N6182
2N6182

360M
360M
360M
360M
360M
360M
360M
360M
360M
360M
360M
360M
360M
360M
360M
360M
360M
360M
360M
2.0W
2.0W
3.5W
3.5W
3.5W
3.5W
1. OW

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

40
40
40
60
60
60
40
60
60
60
40
60
60
40
40
60
60
40
40
100
100
100
200
250
300
120

150
150
150
150
150
150
150
150
150
150
150
150
150
150
150
150
200
200
200
200
200
200
200

C

0

0
0
0
0
0

32
80
200

120
100
120
100
50
100
50
100
20
20
20
20
20
20

E
E
E

E
E
E
E
E
E

E
E
E
E
E
E
E
E

T

T
T
T
T
T

T
T

Field-Effect Transistors, see Table on Page 2-80

SH
N
P
2N5400 A
P
2N5400 A
P 2N6190
2N6190 AP
2N6190 AP
P 2N6192
2N6190 AP
P 2N6191
2N6190 AP
P 2N6193
2N6182 AP
P 2N6186
2N6182 AP
P 2N6188
2N6182 AP
P 2N6187
2N6182 AP
P 2N6189
AP
N
SH
N
SH
N
AH
P
P
AH
N
SH
N
A
N
A
N
A
N
AHP
N
AHP
N
AHP
N
AHP
N
AH
N
AH
N
AH
2N5427 SP
S N 2N5427
2N5427 SP
S N 2N5428
2N5427 SP
S N 2N5429
2N5427 SP
S N 2N5430
Unijunction Transistors, see

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

I

I

I

I

360M
310M
310M
1. OW
1. OW
1. OW
1. OW
30W
30W
30W
30W
100W
1. OW
1. OW
lOW
lOW
500M
360M
360M
360M
3.0W
5.0W
12W
20W
20W

A
A
A
A
A
A
A
C

25
130
160
80
100
80
100
80
100
80
100
80
60
80
200
350
40
25
25
25
36
36
36
36
36
60
60
80
80
100
100

200
200
200
200
200
200
200
200
175

C
C

C
C

A
A
C
C

A
A
A
A
C
C
C
C
C

I
35W
35W
35W
35W
Table

C
C
on Page 2-87

I

I

200
200
200
200

C
C

I

35 0
25 0
25 0
25 0
18 0
18 0
18 0
18 0
18 0
60 I 0
60 o
80 0
80 0
100 0
100 0

30
40
60
20
20
40
40
20
20
40
40
10
25
25
30
30
80
40
100
250
10
10
20
20
20
I 500
1000
30
60
30
60

90
180
240
60
60
120
120
60
60
120
120
160
100
100
150
120
250
120
300
500
60
60
70
100
10v
120
240
120
240

1. OM
10M
10M
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
50M
50M
150M
50M
50M
50M
O.lA
0.5A
1.0A
2.0A
2.0A
5.0A
5.0A
2.0A
2.0A
2.0A
2.0A

(j

0
0
0
0
0
0

I

I

Field-Effect Transistors, see Table on Page 2·80

S
S
S
S
S

SP
SP
SP
SP
SP
SP
A
A
A
A
A

2N5435
2N5435
2N5435
2N5435
2N5435
2N5435

P
P
P
P
P
P
N
N
N
N
N

G
G
G
G
G
G

120W
120W
120W
120W
120W
120W

110
110
110
110
110
110

C
C
C
C

C
C

360M
360M
360M
360M
360M

A
A
A
A
A

Field-Effect Transistors, see Table on Page

I

I

I

I

I

I

I

80
110
140
80
110
140

60
90
120
60
90
120

0
0
0
0
0
0

20
20
20
40
40
40

60
60
60
120
120
120

25A
25A
25A
25A
25A
25A

0.75
0.75
0.75
0.50
0.50
0.50

60A
60A
60A
60A
60A
60A

40
50
50
50
40

25
30
30
30
20

0
0
0
0
0

60
30
100
50
30

300
150
300
150
600

50M
50M
50M
50M
50M

0.25
0.25
0.6
0.8
1.0

50M
50M
100M
100M
100M

2-80

I

2·56

30
40
20
20
40
40
20
20
40
40
20

E
E
E
E
E
E
E
E
E

E

T
T
T
T
T
T
T
T
T
T

E

E
E

E

EI

I

E

30M
30M
30M
30M

I
T

T
T
T

2N5455 2N5594
0

....

TYPE

MAXIMUM RATINGS

~ :!e~

REPLACE·
MENT

:EO

2N5455
2N5456
2N5457
thru
2N5465
2N5466
2N5467
2N5468
2N5469
2N5470
2N5471
thru
2N5476
2N5477
2N5478
2N5479
2N5480
2N5481
2N5482
2N5483
2N5484
thru
2N5486
2N5487
2N5488
2N5489
2N5490
2N5491
2N5492
2N5493
2N5494
2N5495
2N5496
2N5497
2N5498
2N5515
thru
2N5524
2N5525
2N5526
2N5527
2N5528
2N5529
2N5530
2N5531
2N5532
2N5533
2N5534
2N5535
2N5536
2N5537
2N5538
2N5539
2N5540
2N5541
2N5542

:sl!

Po

TJ

...

YCB

YCE-

'C

(volts)

(volts)

SH
SH

340M
340M

A
A

200
200

15
25

:e.

J

hFE @ Ie

~

YCElsAn@lc

i!

:S (volts)

(min) (max)

hl _

:::0

15
25

0
0

30
30

120
120

30M
30M

0.50
0.55

300M'
300M

400
400
400
400
55

0
0
0
0

15
15
15
15

60
60
60
60

3.0A
3.0A
3.0A
3.0A

R

0.5
0.5
0.5
0.5

3.0A
3.0A
3.0A
3.0A

80
80
100
100
30
30
30

0
0
0
0
0
0
0

30
60
30
60
20
20
20

120
240
120
240

2.0A
2.0A
2.0A
2.0A
50M
50M
100M

0.7
0.7
0.7
0.7

2.0A
2.0A
2.0A
2.0A

300
120
50
100
100
100
100
100
100
100
100
50

1.0A
LOA
40A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
2.0A
15A

0.25
0.25
1.5
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
1.5

LOA
1.0A
40A
6.5A
6.5A
6.5A
6.5A
6.5A
6.5A
7.0A
7.0A
15A

1.0
1.0
1.25
1.25
1.25
1.25
1.25
1.25
1.25
1.25
1.25
1.25
1.25
1.25
3.0
2.5
2.5
2.5

50M
50M
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
5.0A
5.0A
5.0A
5.0A
20A
lOA
lOA
lOA

"So
·c

I

'-

i!
'"

450M
450M

J
T
T

Field-Effect Transistors, see Table on Page '-80
S
S
S
S
S

I

N
N
N
N
N

I

AP
AP
AP
AP
AH

I

200 700
112001500
200 500
200 700
3.5W C
55

I

I

25
25
25
25

E
E
E
E

I

Field-Effect Transistors ~ see Table on Page 2.g0

S
S
S
S
S
S
S

N
N
N
N
N
N
N

2N5477
2N5478
2N5479
2N5480

2N5477
2N5477
2N5477
2N5477

SP
SP
SP
SP
AHP
AHP
AHP

60W
60W
60W
60W
5.0W
lOW
20W

C
C
C
C
C
C
C

200
200
200
200

80
80
100
100
50
50
45

Field-Effect Transistors) see Table on Page
S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N
N
N

MJ7201
MJE5978
MJE5978
MJE5979
MJE5979
MJE5977
MJE5977
MJE5979
MJE5979

SP
SP
MJ7200 AP
2N5977 AP
2N5977 AP
2N5977 AP
2N5977 AP
2N5977 AP
2N5977 AP
2N5977 AP
2N5977 AP
AP

15W
15W

200
C 200
200
50W C 150
50W C 150
50W C 150
SOW C 150
50W C 150
SOW C 150
50W C 150
50W C 150
200W C 200
C

30M
30M
30M
30M

T
T
T
T

2·80

120
150
100
60
60
75
75
60
60
90
90
150

80
100
100

0
0
0

130

0

100
40
15
20
20
20
20
20
20
20
20
10

30
30
40
40
40
40
75
75
75
75
50
50
75
75
l30
300
l30
130

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

5000
1000
40
40
40
40
30
30
30
30
30
30
20
20
25
20
30
30

200
200
200
200
150
150
150
150
150
150
150
150
75
60
90
90

10M
10M
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
3.0A
lOA
lOA
lOA
lOA
lOA
5.0A
5.0A
5.0A

a

0
0

60
BO
50

250
250
150

10M
10M
5.0A

0.25
0.20
0.5

50M
50M
5.0A

X
X

20
30

60
90

4.0A
15A

0.75
O.B

4.0A
15A

a
a
a
a

40
100
25
40
5.0
5.0
5.0

120
300
100
120

150M
150M
100M
lOA
100M
250M
200M

0.3
0.3
0.8
1.8

150M
150M
100M
20A

10
20
20
25
25
30
30
30
30
25

E
E
E
E
E
E
E
E
E
E

5000
1000
20
20
20
20
20
15
15
15
25
25
20
20

E
E

0.8M
O.BM
O.BM
O.BM
O.BM
0.8M
O.BM
O.BM

T
T
T
T
T
T
T
T

200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
150M
150M
150M
150M
20M
20M
20M
20M

T
T
T
T
T
T
T

Field-Effect Transistors, see Table on Page 2-80
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N

MJ7000

MJ7000

AL
AL
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
SP
SP
SP
SP

360M
360M
5.0W
35W
35W
35W
5.0W
35W
35W
35W
SOW
SOW
50W
50W

A
A
C
C
C
C
C
C
C
C
C
C
C
C

200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200

40
40
60
60
60
60
90
90
90
90
60
60
90
90
175
325
175
175

E
E
E

E
E

E
E
E
E
E
E
E

T

T
T
T

T
T
T
T

T
T
T

Field-Effect Transistors, see Table on Page 2·80

2N5550
2N5551
2N5552
2N5555
thru
2N5558

S
S
S

N
N
N

2N5559
2N5560

S
S

N
N

2N5561
thru
2N5566

2N5592
thru
2N5594

USE

@25'C "ll

S P
S p

2N5543
thru
2N5549

2N5567
thru
2N5574
2N55B1
2N5582
2N5583
2N5584
2N5589
2N5590
2N5591

REF.

ELECTRICAL CHARACTERISTICS

2N5550
2N5550

A
A
SP

310M
310M
15W

A
A
C

180
160
140
160
120
BO
1
1
Field-Effect Transistors, see Table on Page 2-80
2N5633

2N5632

SP

200

200
200

150
175

120
120

100M
100M

Field ... Efject TrarSistrrs, see Table on Page 2·80

Thyristors, See Table on Page 2·69
S
S
S
S
S
S
S

N
N
N
N
N
N
N

2N55B1
2N55B1
2N5583

2N5589
2N5590
2N5591

SH
SH
SH
SP
AP
AP
AP

2.0W
2.0W
5.0W

C
C
C

15W
30W
70W

C
C
C

200

Fie1d ETct TrriSTs. sej
o

75
75
30
225
36
36
36

Tr
1e

40
40
30
180
18
18
18

pagi2.80

2-57

0

0
0

250M
300M
1.3G
200M
200M
200M

T
T

2N5595-2N5664
REPlACE·
MENT

TYPE

2N5s9s
2N5596
2N5s97
2N5598
2N5599
2N5600
2N5601
2Ns602
2N5603
2Ns604

2N5605
2N5606
2Ns607
2Ns608
2N5609
2N5610
2N5611
2N5612
2Ns613
2N5614
2N5615
2N5616
2N5617
2Ns618
2Ns619
2N5620
2N5621
2N5622
2N5623
2Ns624

S

N

S

P

S

P

S

P

S

P

25W
25W
25W
2'SW
25W
25W
25W
25W
58W
S8W

C
C
C
C

AP
AP
AP
AP
AP
AP
AP
AP
AP
AP

S8W
58W
58W
58W
58W
58W
116W
116W
116W
116W

C
C

2N5629
2N5629
2N5629
2N5632
2N5632
2N5632

AP
AP
AP
AP
AP
AP
AP
AP
AP
AP

116W
116W
116W
116W
200W
200W
200W
150W
lS0W
lS0W

'2NS635
2N5635
2NS635

A
A
A

7.sW
lsW
30W

S N
S N
S P
S N
S

P

S

N

S

P

S

P

S

N

S

P

S

N

S

P

S

N

S N
S

P

S

N

S

P

N

2Ns62s
2N5626
2Ns627
2N5628
2NS629
2NS630
2N5631
2N5632
2N5633
2N5634

S

P

S

P_

S

N
N
N
N
N
N

2N563s
2Ns636
2Ns637
2N5638
thru
2N5640
2Ns641
2NS642
2N5643
2NS(>44

S
S
S

N
N
N

2Ns645
2Ns646
2Ns647

S
S

N
N

S
S
S

N
N
N

S N
S N
S

S
S
S
S

2Ns626
2N5630
2N5631
2Ns632
2N5633
2N5634

;.

hFE @ Ic

C

C
C
C

C
C
C
C
C

C

C
C
C

C
C

C

C
C
C
C

C
C
C

C
C
C
C

C
C
C
C

C
C

~-

I

SOM
50M
LOA
LOA
LOA
LOA
LOA
LOA
1.0A
LOA

0.46
0.46
0.46
0.46
0.46
0.46
0.46
0.46

LOA
LOA
l.OA
LOA
LOA
LOA
LOA
'l.OA

50
50
20
20
50
50
20
20

E

0,

70
70
30
30
70
70
30
30
70
70

200
200
90
90
200
200
90
90
200
200

2.sA
2.sA
2.sA
2.SA
2.5A
2.5A
2.SA
2.sA
2.5A
2.5A

0.75
0.75
0.75
0.75
0.75
0.75
l.45
0.75
0.75
0.75

2.sA
2.5A
2.5A
2.5A
2.5A
2.5A
2.5A
2.5A
2.5A
2.5A

50
50
20
20
50
50
20
20
50
50

E
E
E

30
30
70
70
30
30
70
70
30
30

90
90
200
200
90
90
200
200
90
90

2.5A
2.5A
2.sA
2.5A
2.5A
2.5A
5.0A
5.0A
5.0A
5.0A

0.75
0.75
0.75
0.75
0.75
0.75
0.9
0.9
0.9
0.9

2.5A
2.5A
2.sA
2.sA
2.5A
2.5A
s.OA
5.0A
5.0A
s.OA

20
20
50
50
20
20
50
50
20
20

70
70
30
30
25
20
15
25
20
15

200
200
90
90
100
80
60
100
180
60

s.OA
s.OA
s.OA
s.OA
8.0A
8.0A
8.0A
s.OA
s.OA
s.OA

0.9
0.9
0.9
0.9
2.0
2.0
2.0
2.0
2.0
2.0

s.OA
5.0A
S.OA
5.0A
16A
16A
16A
lOA
lOA
lOA

50
50
20
20
15
15
15
15
15
15

200
200
200
200
200
200
200
200
200
200

80
80
100
100
100
100
120
120
80
80

60
60
80
80
80
80
100
100
60
60

200
200
200
200
200
200
200
200
200
200

100
100
100
100
120
120
80
80
100
100

80
80
80
80
100

100

200
200
200
200
200
200
200
200
200
200

100
100
120
120
100
120
140
100
120
140

80
80
100
100
100
120
140
100
120
140

o
o

60
60
60

35
35
35

o

C

::I

200
200
90
90
200
200
90
90

30
30
60
60
80
80
80
80
100
100

C

i

20
20
70
70
30
30
70
70
30
30

55
55
80
80
100
100
100
100
120
120

C

VcEISATl@lc

(volts)

o
o
o
o
o
o
o
o
o
o

200
200
200
200
200
200
200
200
200
200

60
60
80
80

-~

o
Q
o

o
o
o
o
o
o
o

o
o
o
o
o
o
o
o

o

o
o
o
o
o
o
o
o
o
o

E

E
E
E

E

E
E

1.5G
1.5G
60M
60M
50M
sOM
60M
60M
sOM
50M

T
T

T
T
T
T
T

T
T
T
T

E
E
E
E
E
E

70M
70M
60M
60M
70M
70M
60M
60M
70M
70M

E
E
E
E
E
E
E
E
E
E

60M
60M
70M
70M
60M
60M
40M
40M
30M
30M

T

E
E

40M
40M
30M
30M
1.0M
l.OM
1.0M
1.0M
1.OM
1.0M

E

E
E
E
E

E
E

E
E

5.0
5.0
5.0

100M
200M
sOOM

SOOM
4s0M
400M

T

T
T

T
T
T
T
T
T

T

T
T
T
T
T

T
T

T
T
T

T
T
T

T
T

T
T
T

T
T

T

Field-Effect Transistors, see Table on Page 2·80

S N
S N
S N
S N

thru

2Ns655
2Ns656
2N5657
2N5658
2Ns659
2N5660
2Ns661
2N5662
2N566'3
2Ns664

AP
AP
AP
AP
AP
AP
AP
AP
AP
AP

S N

ELECTRICAL CIi4RACTERISTICS

a

~ (min) (max)
(volts) (volts) _.

C

P

N
P

MAXIMUM RATINGS
Yca YCE -

30W
40W
20W
20W
20W
20W
20W
20W
20W
20W

S N
S

Pp

AP
AP
AP
AP
AP
AP
AP'
AP
AP
AP

S N

S
S

USE

@25'C

S N

S

2N5649
2N56s0
2N5651
2Ns652
2N5653
2N5654

REF.

2Ns641
2N5641
2Ns641
2N5644

r

2Ns645
2N5646

A
A
A
AP

lSW
30W
60W
3.5W

C
C
C

AP
AP

12W
30W

C

C

C

65
65
65
36

35
35
35
18

o
o
o
o

5.0
5.0
5.0
15

100M
200M
200M
100M

300M
250M
200M
400M

36
36

18
18

o
o

15
15

SOOM
l.OA

400M
400M

T

o
o
o

30
30
30

300
300
300

3.0M
-3.0M
3.OM

2.0G
2.0G
2.0G

T
T
T

o
o
o
o
o
o
o
o
o
o

30
30
30
50
50
40
40
40
40
40

250
250
250
150
150
150
150
150

100M
100M
100M
5.0A
5.0A
sOOM
sOOM
500M
sOOM

30M
30M
20M
20M
20M
20M
20M

T-

Fie1d-E Trriini~sr!r1e lon~i"r
ct

ii

T
T

T
T

T

Field-Effect Transis.tors, see Table on Page 2-80
Field-Effect Transistors, see Table on Page 2-80

S
S

N
N
N

2N5655
2N5656
2N5657

2N56s5
2N56ss
2N5655

S

N

S

N

2N6233
2N6234

2N6233
2N6233

2N6233

2N6233

S

S
S

N
N

S N
S
S

N

N

AP
AP
AP
SP
SP
SP
SP
SP
SP
SP

20W

C
C

20W
30W
30W

C

20W

C
C

150
150
150
200
200
200
200
200
200
200

275
325
375
120
120
250
400
250
400
250

250
300
350
80
80
200
300
200
300
200

2-58

150
120

LOA

1.0
1.0

1.0
1.0
1.0
0.4
0.4
0.4
0.4
0.4

100M
100M
100M
LOA
LOA
l.OA
l.OA
l.OA
LOA
3.0A

20
20
20

E
E
E

T
T

T
T

T
T

2N5665·2N5764
TYPE

...~ ~
:c :5
...
:IE

2N5665
2Ns666
2Ns667
2Ns668

s

C>

S
S

N
N
N

2Ns670
2Ns67s
2Ns676
2Ns677
2N5678
2N5679
2N5680
2N5681
2N5682

S
S
S
S
S
S
S
S

P
P
P
P
P
P
N
N

2N5683
2N5684
2N5685
2N5686
2Ns687
2N5688
2N5689
2N5690
2N5691
2N5692

S
S
S
S
S
S
S
S
S
G

P
P
N
N
N
N
N
N
N
P

2Ns693
3Ns694
2Ns69s
2N5696
2N5697
2N5698
2Ns699
2N5700
2Ns701
2Ns702

G
G
G
G
S
S
S
S
S
S

P
P
P
P
N
N
N
N
N
N

2N5703
2Ns704
2N5705
2Ns706
2N5707
2Ns708
2N5709
2Ns710
2Ns711
2N5712

S
S
S
S
S
S
S
S
S
S

2N5713
2N5714
2N5715
2N5716

S
S
S

2N6234

REf.

USE

@25·C
2N6233

I

&

SP
SP
SP

LOW A
2.0W A

AP
AP
SP
SP
AP
Ap
AP
AP

lOW
lOW
lOW
lOW

C
C
C
C

AP
AP
AP
AP
AP
AP
AP
AP
AP
AP

300W
300W
300W
300W
5.0W
lOW
25W
SOW
88W
125W

C
C
C
C
C
C
C
C
C
C

SP
SP
SP
SP
AP
AP
AP
AP
AP
AP

125W
125W
125W
125W
3.5W
5.0W
lOW
35W
35W
880M

C
C
C
C
C
C
C
C
C
C

N
N
N
N
N
N
N
N
N
N

AP
AP
AP
AP
AP
AP
AP
AP
AP
AP

750M
25W
44W
80W
70W
100W
140W
3.sw
lOW
25W

C
C
C
C
S
S
S
C
C
C

N
N
N

A
A
AP

45W
45W
6.0W

C
C
S

TJ

VeB

VCE _

·C

(volts) (volts)

200
200
200

400
250
400

300
200
300

:!-

j

hFE

@ ie

(min) (max)

VeElsATI @ Ie

:i

(volts)

=

i

=

~-

I

'-

t

~
~
=

20M
20M
20M

T
T
T

30M
30M
30M
30M

T
T
T
T

2.0M
2.0M
2,OM
2.0M

T
T
T
T

60A

200M

T

60A
60A
60A
60A

200M
200M
200M
200M

T
T
T

0
0
0

40
40
40

120
120
120

LOA
LOA
LOA

0.4
0.4
0.4

3.0A
3.0A
3.0A

50
50
30
25
40
40
40
40

150
150
90
75
150
150
150
150

0.5A
0.5A
5.0A
lOA
250M
250M
250M
250M

2.0
2.0
2.5
3.0
0.6
0.6
0.6
0.6

2.0A
2.0A
lOA
20A
250M
250M
250M
250M

2N5679
2N5680
2N5681
2N5682

2N5679
2Ns679
2N5681
2Ns681

40
40
40
40

E
E
E

2N5683
2N5684
2N5685
2N5686

2N5683
2N5683
2N5685
2N5685

15
15
15
15
15
15
15

60
60
60
60

2sA
2sA
25A

25A

5.0
5.0
5.0
5.0

50A
50A
50A
50A

15
15
15
15

E
E
E

50M
sOM
100M
100M
100M
25A

2N5692

0.75

2N5693
2Ns694
2N569s
2N5696

0.75
0.75
0.75

200
200
200
200
200
200
200
200

125
125
125
125
100
120
100
120

100
100
100
100
100
120
100
120

a
0
0
0

200

60
80
60
80
40
40
60
50
50
50

60
80
60
80
20
20
40
30
30
30

0
0
a
0
0
a
0
a
0
a

80
100
120
140
40
40
40
40
40
40

60
80
100
120
18
18
18
18
18
18

0
0
0
0
0
0
a
0
0
a

20
20
20
20
30
30
15
30
30
15

40
40
36
36
70
70
70
40
60
60

18
18
18
18
50
50
50
20
36
40

a
0
0
0
0
0
0
a
0
0

15
15
15
15
5.0
5.0
5.0
20
20
10

60
60
50

40
40
3.0

0
0
0

10
10
20

200

10M
10M
SaM

200
200
200

110
110
110
110
110

200
200
200

a
0
0
0

10
10
20

65
65
65
65
65

50
50
50

2sA

25A
2sA
25A
40M
40M
SaM
SaM
50M
sOM

0.75

40
40

E
E

E

E

50M
50M
100M
100M
100M
100M
200M
10M
50M
100M

T

SaM
SaM
50M

T

3.5W

T

T
T

Field-Effect Transis tors, see Table on Page 2-80

thru
2N5718
2N5729
2N5730
2N5731
2Ns732

S
S
S
S

N
N
N
N

2N5336
2N5346
2N5347

2N5336
2N5346
2N5346

SP
SP
SP
SP

2N5733
2N5734
2N5735
2Ns736
2N5737
2N5738
2N5739
2Ns740
2N5741
2N5742

S
S
S
S
S
S
S
S
S
S

N
N
N
N
P
P
P
P
P
P

MJ7000

MJ7000

SP
SP
SH
SH

2N5743
2N5744
2N5745
2N5754

S
S
S

P
P
P

thru

Po

Field-Effect Transistors, see Table on Page 2-80

thru

2N5757
2N5758
2N5759
2N5760
2N5761
2N5762
2N5763
2Ns764

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS
REPLACE·
MENT

AP

360M
360M

2N5745

2N5745

AP
AP
AP

200W

C

1.5
1.5
1.5
1.5

E
E
E
E

30M
30M
30M
30M

T
T
T
T

1.2
1.2

20A

20A

1.5
1.5

E
E

0.4
0.4
0.5
0.5
0.5
0.5
1.5
1.5

150M
150M
5.0A
5.0A
5.0A
5.0A
lOA
lOA

20
20
20
20
20
20

E
E
E
E
E
E

30M
30M
200M
200M
10M
10M
10M
10M
10M
10M

T
T
T
T
T
T
T
T
T
T

lOA
lOA
lOA

1.5
1.5
1.0

lOA
lOA
lOA

20
20
40

E
E
E

10M
10M
2.OM

T
T
T

3.0A
3.0A

1.0
1.0
1.0

3.0A
3.0A
3.0A

15
15
15
18.5
16.5

E
E
E
E
E

100M
1. OM
1. OM

T
T
T

0.4

150M

200M

T

100
100
100
100

80
80
80
80

0
0
a
0

30
30
30
30

300
300
300
300

2.0A
5.0A
5.0A

200
200
200
200
200
200
200
200

100
100
60
60
60
100
60
100
60
100

80
80
30
30
60
100
60
100
60
100

0
0
0
0
0
0
a
a
a
0

30
30
40
100
20
20
20
20
20
20

300
300
120
300
80
80
80
80
80
80

lOA
lOA
150M
150M
5.0A
5.0A
5.0A
5.0A
lOA
lOA

200
200
200

60
100
80

60
100
80

0
0
0

20
20
15

80
80
60

200
200
200

100
120
140
20
20
65
55

100
120
140
15
15
60
25

0
a
0
0
0
0
0

25
20
15
30
30
70
20

100
8(}
60
300
300

A
A

AP
AP
AP
AP
AP

5.0A
5.0A
lOA
lOA

2.0A

200
200
200
200

1.5
1.2
1.5
1.2

Thyristors, See Table on Page 2-69
S
S
S
S
S
S
S

N
N
N
N
N
P
N

2N5758
2N5759
2N5760

2N5758
2N5758
2N5758

AP
AP
AP
AH
AH
SP
AH

150W
150W
150W
250M
300M
400M
lOW

C
C
C
A
A
A
S

2-59

3.0A
10M
15M
10M
0.10A

•

2N5765"2N5870
~

TYPE

::IE

•

2N5765
2N5766
2N5767
2N5768
2N5777
2N5778
2N5779
. 2N5780
2N5781
2N5782
2N5783
2N5784
2N5785
2N5786
2N5793
2N5794
2N5795
2N5796
2N5797
thru
2N5803
2N5804
2N5805

>!::

~ ""
=< :5

...

C>

S
S
S
S
S
S
S
S

N
N
N

S
S
S

P
P
P
N

S
S
S
S
S
S

N
N
N
N
N
N

S

N
N

s

MAXIMUM RATINGS
REPLACE·
MENT

N
N
N
N
N

2N3720
2N3720

REF.

2N5806
thru
2N5809
S N
2N5810
2N5811
S N
2N5812
S N
2N5813
S P
S N
2N5814
2N5815
S P
2N5816
S N
S P
2N5817
2N5818
S N
2N5819
S P
2N5820
S N
2N5821
S P
2N5822
S N
2N5823
S P
2N5824
S N
2N5825
S N
2N5826
S N
2N5827
S N
2N5828
S N
2N5829
S P
2N5830
S N
2N5831
S N
2N5832
S N
2N5833
S N
2N5834
S P
2N5835
S N
2N5836
S N
2N5837
S N
2N5838
S N
2N5839
S N
2N5840
S N
2N5841
S N
2N5842
S N
2N5843
S P
2N5844
S P
2N5845
S N
2N5845A S N
2N5846
S N
2N5847
S N
2N5848
S N
2N5849
S N
2N5851
S N
2N5852
S N
2N5853
S P
2N5854
S N
2N5855
S P
2N5856
S N
2N5857
S P
2N5858
S N
S N
2N5862
2N5864
S P
2N5865
S P
S P
2N5867
2N5868
S P
2N5869
S N
S N
2N5870

2N5777
2N5777
2N5777
2N5777
2N3719
2N3719

2N5846
2N5846
2N5848
2N5849
2N5851
2N5851

2N5862
2N5864
2N5865
2N5867
2N5867
2N5867
2N5867

(volts!

55
55
55
55
25
40
25
40
80
65
45
80

25
25
25
25
25
40
25
40
80
65
45
80

65
45
75
75
60
60

65
45
40
40
60
60

C
C
C
C

AP
AP
SH
SH
SH
SH

lOW
lOW
500M
500M
500M
500M

C
C

200
200

A

2N5841
2N5841
2N5843
2N5844

(volts!

AP
AP
AP
AP

RD
RD
RD

A
A
A
A
A
A
A
A
A
A
A
A
A
A

2N5838
2N5839
2N5840

·C

100
100
100
100
200
200
200
200

RD

Thyrist~rs , se~ Tabl~

2N5835
2N5835
2N5835

VCB

S
S
S
S
A
A
A
A

Tr:~Trs,

2N5829

l
&i

A
A
A
A
AH
A
A
A
A
SP
SH
SH
SH
SP
SP
SP
SH
SH

A
A
A
A

Tr;~:

350M
350M
500M
500M
S
1.2W
S
1.2W
AH
lOW
AW
20W
AP
SOW
AP 100W
S
500M
S
500M
66W
AP
66W
AP
A
750M
A
750M
A
750M
A
750M
80W
A
1.25W
S 1.25W
AP 87.5W
AP 87.5W
AP 87.5W
AP 87.5W,

AM
AM

200

on pake
500M
500M
500M
500M
500M
500M
500M
500M
500M
500M
500M
500M
500M
500M
360M
360M
360M
360M
360M
200M
310M
310M
310M
310M
5.0W
200M
2.0W
2.0W

ELECTRICAL CHARACTERISTICS

VCE _ ~

TJ

19W
5.0W
lOW
20W
200M
200M
200M
200M
lOW
lOW
lOW
lOW

AH
AH
AH
AH

SP

2N5867
2N5868
2N5869
2N5870

"!;;

Po
@25·C

Fie1d.Er ect
S

USE

j

hFE

@ Ic

(min! (max!

0
0
0
0
a
0
0
0

VCEISATI @Ic
~

=>

~

=
=>

(volts!

200.05A
0.10A
211
1 0.10A
20
0.10A
20

I

f

'-

E

25

E
E

8.0M
8.0M
8.0M
2.5M

25
25

E
E

2.5M
2.5M

T

15M
15M

T
T

hf _

.i!

=i=>

.1

Photo Darlington Amplifiers
See Data Sheet for Details

R
R
R
R

4.0
4.0
4.0
4.0

3.2A
3.2A
3.2A
3.2A

2.0
2.0
2.0
2.0

3.2A
3.2A
3.2A
3.2A

R
R

0
0
0
0

4.0
4.0
40
100
40
100

120
300
120
300

3.2A
3.2A
150M
150M
150M
150M

2.0
2.0
0.9
0.9
1.6
1.6

3.2A
3.2A
300M
300M
500M
500M

25
25

~5

E

T
T
T
T

T

on Page 2·80
300
375

300
375

X
X

10
10

100
100

5.0A
5.0A

2.0
2.0

5.0A
S.OA

35
35
35
35
50
50
50
50
50

0
0
a
0
0
a
a
a

60
60
150
150
60
60
100
100
150

200
200
500
500
120
120
200
200
300

2.0M
2.0M
2.0M
2.0M
2.0M
2.0M
2.0M
2.0M
2.0M

a
0
0
a
a
0
0
0
0
0
0
a

150
60
60
100
100
60
100
150
250
400
20
80
80
175
50

300
120
120
200
200
120
200
300
500
800
150
500
250
500
250

2.0M
2.0M
2.0M
2.0M
2.0M
2.0M
2.0M
2.0M
2.0M
2.0M
2.0M
10M
10M
10M
10M

0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.75
0.125
0.125

500M
500M
500M
500M
500M
500M
500M
500M
500M

50
70
70
70
70
50
50
50
50
50
30
120
160
160
200

25
25
25
25
40
40
40
40
40
40
60
60
60
60
40
40
40
40
40
30
100
140
140
180

15
15
10
275
300
375

10
10
5.0
275
300
375

40
50
501

10M
SaM
100M
3.0A
2.0A
2.0A

50
50
50
50
36

40
40
40
40
18

48
48
30
30
100
100
60
60
80
80
65
90
70
60
80
60
80

24
24
15
15
80
80
60
60
80
80
35
70
50
60
80
60
80

1.69

135
135
135
135
135
135
135
135
135
A 135
A 135
A 135
A 135
A 135
A 125
A 125
A 125
A 125
A 125
A 125
A l35
A 135
A l35
A 135
A
A 125

A
A
A
A
A
A
A
A
A

C
C

200
200
200

a

a
a
a
0
a
a

25
25
25
X 8.0
X
10
X
10

0.25
0.25
0.25
0.25

500M
500M
500M
500M
500M
10M
10M

60
100

E
E

50M
50M
50M
50M

60
60
125
50

E
E
E

E

La
1.5
1.5

3.0A
2.0A
2.0A

0.6
0.5

500M
500M

0.9
0.9
0.4
0.4
0.4
0.4

5.0A
5.0A
150M
150M
150M
150M

20
20
1.0
La
La
La

E
E

0,,9
1.25
2.0
2.0
2.0
2.0

300M
500M
5.0A
5.0A
5.0A
5.0A

50

E

20
20
20
20

E
E
E
E

5.0
5.0
5.0

E
E
E

C
C

A
A
C
C
C
C
C
C
C
C
C 200
C 200

A
A
A
A

200

A

A
C
C
C
C

200
200
200
200

2-60

a
a
0
0
0

a
a
0

a
0
0
0
0
0

a
a
0

a
a
a
a
a

50
100
25
35
5.0
3.0
3.0
40
40
30
30
50
50
50
50
5.0
50
40
20
20
20
20

150
300
150
150

90
90
300
300
300
300
500
200
100
100
100
100

0.1M
O.lM
500M
500M
250M
1. 2A
2.4A
10M
10M
5.0A
5.0A
150M
150M
150M
150M
3.0A
150M
150M
1. SA
1. SA
1. SA
1. SA

100M
250M

T
T

800M
1100M
15M
20M

T
T

SaM
100M
4.0M
4.0M
4.0M
4.0M

T
T
T

T
T

E

E
E
E

T
T
T

2N5871-2N5985

....

TYPE

:s ~
:sco
.....

i

2N5871
2N5872
2N5873
2N5874
2N5875
2N5876
2N5877
2N5878
2N5879
2N5880
2N5881
2N5882
2N5883
2N5884
2N5885
2N5886
2N5887
2N5888
2N5889
2N5890'
2N5891
2N5892
2N5893
2N5894
2N5895
2N5896
2N5897
2N5898
2N5899
2N5900
2N5901
2N5902
thru
2N5909
2N5913
2N5914
2N5915
2N5916
2N5917
2N5918
2N5919
2N5920
2N5921
2N5926

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
G
G
' G
G
G
G
G
G
G
G
G
G
G
G
G

S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N

2N5927
2N5928
2N5929
2N5930
2N5931
2N5932
2N5933
2N5934
2N5935
2N5936
2N5937
2N5938

S
S
S
S
S
S
S
S
S
S
S
S

2N5939
2N5940
2N5941
2N5942
2N5943
2N5944
2N5945
2N5946
2N5947
2N5949
thru
2N5953
2N5954
2N5955
2N5956
2N5961
2N5962
2N5963
2N5964
2N5965
2N5970
2N5971
2N5972
2N5973
2N5974
2N5975
2N5976
2N5977
2N5978
2N5979
2N5980
2N5981
2N5982
2N5983
2N5984
2N5985

S
S
S

N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N

P
P
N
N
P
P
N
N
P
P
N
N
P
P
N
N
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P

MAXIMUM RATINGS
REPLACE·
MENT
2N5871
2N5872
2N5873
2N5874
2N5875
2N5876
2N5877
2N5878
2N5879
2N5880
2N5881
2N5882
2N5883
2N5884
2N5885
2N5886
2N5887
2N5888
2N5889
2N5892
2N5891
2N5892
2N5893
2N5894
2N5895
2N5896
2N5897
2N5898
2N5899
2N5900
2N5901

REf.
2N5871
2N5871
2N5871
2N5871
2N5875
2N5875
2N5875
2N5875
2N5879
2N5879
2N5879
2N5879
2N5883
2N5883
2N5883
2N5883
2N5887
2N5887
2N5887
2N5887
2N5877
2N5877
2N5877
2N5877
2N5877
2N5877
2N5877
2N5877
2N5877
2N5877
2N5877

USE
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP

Po
@25'C
* 100°C
100W
100W
100W
100W
150W
150W
150W

t~g~
16i~
160
16~;
200

~
""-=

C
C
C
C
C
C
C

g

5~~
57

C
C
C
C
C
C
C
C
C
C

57W
57W
57W
57W
57W
57W
57W
57W
57W
57W

C
C
C
C
C
C
C
C
C
C

200W
20~~
202~
5Z~

tj~ g

TJ

'c
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
110
110
110
110
110
110
110
110
110
110
110
110
110
110
110

VCB

ELECTRICAL CHARACTERISTICS
VCE _

(volts) (volts)
60
80
60
80
60
80
60
80
60
80
60
80
60
80
60
80
20
30
30
45
60
75
30
45
60
75
30
45
60
75
30

60
80
60
80
60
80
60
80
60
80
60
80
60
80
60
80
15
25
25
35
45
60
25
35
45
60
25
35
45
60
25

f

a
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

hFE @ Ic

€

(min) (max)
20
20
20
20
20
20
20
20
20
20

:::I

2.5A
2.5A
2.5A
2.5A
4.0A
4.0A
4.0A
4.0A
6.0A
6.0A
6.0A
6.0A
100
lOA
lOA
10C
lOA
100
lOA
1~~ 0.5A
0.5A
0.5A
~g 0.5A
70 0.5A
70 O.SA
120 0.5A
120 0.5A
120 0.5A
120 0.5A
200 0.5A
200 0.5A
200 O.SA
200 0.5A
350 0.5A
100
100
100
100
100
100
100
100
100
100

t~~
~~
~2

2

~~

1
1
3(
3(
30
30
60
60
60
60
100
100
100
100
175

j~~

VcEiSAn@lc
(volts)

tg

2.0
2.0
3.0
3.0
3.0
3.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
0.35
0.35
0.35
0.35
0.35
0.35
0,35
0.35
0.35
0.35
0.35
0.35
0.35
0.35
0.35

:i

h,_

:::I

20
7.0A
20
7.0A
20
7.0A
20
7.0A
20
lOA
20
lOA
20
lOA
20
lOA
12A
20
12A
20
12A
20
12A
20
20A
20
20A
20
20A
20
20A
20
5.0A 12.5
5.0A 12.5
5.0A 12.5
5.0A 12.5
5.0A 12.5
5.0A 12.5
5.0A 12.5
5.0A 12.5
5.0A 12.5
5.0A 12:5
5.0A 12.5
5.0A 12.5
5.0A 12.5
5.0A 12.5
5.0A 12.5

f
en
E
E

C

:i
:::I

!

I

E

O'.OM
4.OM
4.0M
4.0M
4.OM
4.OM
4.OM
4.OM
4.0M
4.OM
4.OM
4.OM
4.OM
4.OM
4.0M
4.0M
0.25M
0.25M
0.25M
0.25M
0.25M
0.25M
0.25M
0.25M
0.25M
0.25M
0.25M
0.25M
0.25M
0.25M

E

a,25M

T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T

30M
30M
30M
30M
30M
30M
30M
30M
30M

T
T
T
T
T
T
T
T
T

50M
50M

T
T

5.OM
5.0M
5.OM

T
T
T

tgg:

T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T

E
E
E

E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E

E
E
E
E
E

E

Field-Effect Transistors, see Table on Page 2 .. 80·

S

S
S
S
S
S

2N5941
2N5941
2N5943
2N5944
2N5944
2N5944
2N5947

AH
AH
AH
AH
AH
AH
AH
AH
AH
SP

14.5W C
*200W C

SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
SP
AP
AP
AP
AH
AH
A
AP
AP
AP
A

*200W C
*200W C
100W C
100W C
100W C
100W C
100W C
100W C
100W C
100W C
100W C
2.5W A
2.0WIA
2.0W A
80W
C
140W
C
3,5W
c
5.0W
C
15W
C
p7.5W
C
16W
C

200
200
200
200
200
200
200
200
200
200
200
200
200
200
200

36
36
36
55
55
60
65
50
50
150

14
14
14
24
24
24
30
50
50
120

150
120
90
130
170
70
110
150
90
130
70
60

0
0
0
0
0
0
0

20
20

50M
50M

R
R

0.6

50A

70A
100A
lOA
lOA
lOA
lOA
20A
20A
30A
30A
30A
LOA
5.0A
~gg 5.0A
.500A
LOA
300 50M
O.lA
0,2A
0.5A
250 75M

0.75
1.0
2.0
2.0
2.0
2.0
2.0
2,0
2.0
2.0
2.0
0.75
1.0
1.0

70A
100A
lOA
lOA
lOA
20A
20A
20A
30A
30A
30A
3.0A

tg~

20
30
30

0.2

100M

25

E

25

E

2,OA
2.5A
3.0A
10M
10M
10M
10M
10M
5.0A
5.0A
5.0A
0.5A
2.5A
2.5A
2.5A
2.5A
2.5A
2.5A
4.0A
4.0A
4.0A
4.0A
4.0A
4.0A

2.0
2.0
2.0
0.2
0.2
0.2
0.2
0.2
1.0
0,7
1.0
1.0
0.6
0.6
0,6
0.6
0.6
0.6
O.b
0.6
0.6
0.6
0.6
0·6

2.5K
2.5K
2.5K

E
E

0

10

40

120
120
80
120
160
60
100
140
80
120
160
50

0
0

0

10
10
20
20
20
20
20
20
20
20
20
30

40
40
100
100
100
100
100
100
100
100
100
150

~g

~g

65
65
40
36
36
36
40

35
35
30
16
16
16
30

0
0
0
0
0
0
0
0
0

40
40
10
10
25
20
20
20
25

X
X
X
X
X
X
X
X
X

50A

0.35 200M

E
E
E

Field Effect Transistors, See Table on Page 2- 80

P
P
P
S N
S N
S N
S N
S N
S N
S N
S N
S N
S P
S P
S P
S N
S N
S N
S P
S P
S P
S N
S N
S N
S
S

S

2N5970
2N5971
2N5972
2N5973

AP
AP
AP
AH
AH
AH
AH
AH
SP
SP
SP
SP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP

40W
40W
40W
625M
625M
625M
700M

P'~g~w

1'85.5W
!>85.5W
"'85.5W
75W
75W
75W
75W
75W
75W
90W
90W
90W
90W
90W
90W

C
C
C

A
A
A
A
A
C
C
C
C
C

C
C
C

C
C
C
C
C
C

C
C

200
200
200

135
135
200
200
200
200
150
150
150
150
150
150
150
150
150
150
150
150

85
65
45

90
70
50
60
45
30
160
200
80
80
100
120
60
80
100
60
80
100
60
80
100
60
80
100

60
45
30
150
180
60
60
70
80
40
60
80
40
60
80
40
60
80
40
60
80

2·61

R
R
R

0
0
0
0
0
0
0
0
0

0
0
0
0
0
0
0
0
0
0
0
0

20
20
20
150
600
.2K
50
50
20
50
25
25
20
20
20
20
20
20
20
20
20
20
20
20

100
100
100
7uO
.4K
.2K
250
250
60
150
75
75
120
120
120
120
120
120
120
120
120
120
120
120

6.0A
6.OA
5.0A
10M
10M
10M
10M
10M
5.0A
5.0A
5.0A
5.0A
2.5A
2,5A
2.5A
2.5A
2.5A
2.5A
4.0A
4.0A
4.0A
4.0A
4.0A
4.0A

~6g
1200
50
50

20
20
20
20
20
20
20
20
20
20
20
20

E

E
E
E
E

E

E

E
E
E
E
E
E
E
E

E
E
E

150M
100M
100M
4.0M
4.OM
4.OM
4.OM
2.OM
2.0M
2.OM
2.OM
2.0M
2.OM
2.OM
2.OM
2.OM
2.OM
2.OM
2.OM

•

I
I

2N5986-2N6102
TYPE

~ ...=::5~

:.;

•

2N5986
2N5987
2N5988
2N5989
2N5990
2N5991
2N5992
2N5993
2N5994
2N5995
2N5996
2N6000
2N6001
2N6002
2N6003
2N6004
2NG005
2NG006
2N6007
2N6010
2NGOll
2N6012
2NG013
2N6014
2NG015
2NG01G
2NG017
2NG021
2N6022
2N6023
2N6024
2N6025
2NG026
2N6027
2N6028
2N6029
2N6030
2N6031
2N6032
2N6033
2N6046
2N6047
2N6048
2N6049
2N6050
2N6051
2N6052
2N6053
2N6054
2N6055
2N6056
2N6057
2N6058
2N6059
2N6060
2N60G1
2N6062
2N60G3
2N6064
2NG065
2NGOGG
2NGOG7
2N60G8
thru
2NG075
2NG076
2N6077
2N6078
2NG079
2NGOBO
2NG081
2N6082
2N6083
2N6084
2N6085
2NG08G
2N6087
2N6088
2N6089
2N6090
2N6091
2N6092
2N6093
2N6094
2N6
125W
2N6246
S P
AP
125W
2N6247
S P
2N6248
AP
125W
S N
SP
lOOW
2N6249
S N
SP
lOOW
2N6250
S N
SP
lOOW
2N6251
S N
AP
115W
2N6253
S N
2N6254
AP
150W
2N6255
S N
AHP
5.0W
2N6256
S N
AHP
2.0W
S N
AP
150W
2N6257
S N
AP
250W
2N6258
S N
2N6259
AP
250W
S N
2N6260
AP
29W
S N
2N6261
AP
SOW
S N
2N6262
AP
150W
S N
AP
20W
2N6263
S N
AP
SOW
2N6264
S N
2N6265
AHP "7.5W
S N
2N6266
AHP .*14.~"
S N
2N6267
AHP
**21.
S N
2N626S
~~ k*6.m
S N
2N6269

I

C
C

200
200

300
350

275
325

0
0

110
90
70
300
375
450
55
100
36
36
50
100
170
50
90
170
140
170
50
50
50
45
45

105
85
65
225
300
375
45
80
lS
16
40
SO
150
40
80
150
120
150
50
50
50
45
45

R
R
R
X
X
X

25
25

125
125

E
E
E
E
E
E
E

E

2.5M
2.5M
2.5M
2.5M
2.5M
2.5M
40M
50M
2.5M
2.5M
2.5M
2.5M
2.5M
2.5M
1.lG

T

T
T
T
T
T
T
T
T
T
T
T
T

T

T

500M
E
E

20M
20M
SaM
0.5A
0.5A
0.5A
0.5A
2.0A
2.0A
2.0A
2.0A

0.5
0.8
0.7
1.2
0.7
0.7
0.7
0.7

0.5A
0.5A
0.5A
0.5A
2.0A
2.0A
2.0A
2.0A

LOA
LOA
LOA
25A
5.0A
5.0A
3.0A
3.0A
3.0A
5.0A
5.0A
5.0A
l.OA

1.4
1.6
2.0
0.8
0.5
0.5
1.0
1.0
1.0
1.0
1.0
1.0
0.5

LOA
LOA

0.5
0.5

LOA
LOA
LOA
25A
5.0A
5.0A
3.0A
3.0A
3.0A
7. SA
7.5A
7.5A
LOA
l.OA
LOA

15A
15A
15A
lOA
lOA
lOA
3.0A
5.0A
250M
O.05A
B.OA
15A
S.OA
1. SA
1. SA
3.0A
0.5A
l.OA

2.5
3.5
3.5
1.5
1.5
1.5
4.0
4.0

15A
15A
15A
lOA
lOA
lOA
15A
15A

25
25
25

10
10

E
E

1.5
0.75
1.0
1.5
0.5
0.5
1.2
0.5

8.0A
15A
S.OA
1. SA
1. SA
3.0A
O. SA
LOA

40
40
40
25
25
10
25
25

E
E

25
25
25

20
20
15
15
15
15
15
15

E

E

E
E

E
E
E
E
E

21M
21M
21M
50M
50M
50M
50M
30M
30M
30M
30M

T
T
T

5.0M
5.0M
5.0M
20M
20M
20M
100M
100M
100M
100M
loOM
loOM
20M

T
T
T
T

20M

20M

T
T
T
T
T
T

T
T

T

T
T

T
T
T
T

T
T

T
T

Page 2-69

C
C
C
C
C
C
C

c

C
C
C
C
C
C
C
C

c

C

C
C
C
C

200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200

2-63

0
0
0
0

0
0
0
0
0
0
0
0
R
R
R
R
R

12
10
6.0
20
20
5.0
20
15
20
15
20
25
20
20
20

50
50
50
70
70
200
75
60
60
100
100
70
100
60

E
E

E

E
E

E
E
E
E

10M
10M
10M
2.5M
2.5M
2.5M
0.8M
0.8M

T
T
T

0.2M
0.4M
0.2M
O.SM
0.8M
0.8M
3.2M
0.8M

T
T

T

T
T
T
T

T
T
T
T
T

T

•

2N6274-2N6364
-"

TYPE
2N6274
2N6275
2N6276
2N6277
2N6278
2N6279
2N6280
2N6281
2N6282
2N6283
2N62B4
2N62B5
2N62B6
2N62B7
2N6294
2N6295
2N6296
2N6297
2N6298
2N6299
2N6300
2N6301
2N6303
2N6304
2N6305
2N6306
2N6307
2N6308
2N6315
2N6316
2N6317
2N6318
2N6322
2N6323
2N6324
2N6325
2N6326
2N6327
2N6328
2N6329
2N6330
2N6331

~

i

~
co

i ....
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

s

REPLACE·
MENT

N
N
N
N
N
N
N
N
N
N
N
P
P
P
N
N
P
P
P
P
N
N
P
N
N
N
N
N
N
N

P
P
N
N

N
N
N
N
N
P
P
P

i:m r~l ~T37

PAGE
NUMBER

USE

Po

MAXIMUM RATINGS
TJ
YCB YCE-

-;;

l

@25'C .,;
SP
SP
SP
SP
SP
SP
SP
SP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
SM
A
A
SP
SP
SP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP

250W
250W
250W
250W
250W
250W
250W
250W
160W
160W
160W
160W
160W
160W
SOW
SOW
SOW
SOW
75W
75W
75W
75W
LOW
200mW
200mW
125W
125W
125W
90W
90W
90W
90W
*200W
*200W
*200W
*200W
*1l4W
*1l4W
*1l4W
*1l4W
*1l4W
*1l4W

C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C

I

'C
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200

200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200

(volts)
120
140
160
180
120
140
160
180
60
80
100
60
80
100
60
BO
60
80
60
BO
60
BO
BO
30
30
500
600
700
60
80
60
80
300
400
300
400
60
80
100
60
BO
100

ie~pTr;03~ p;r2~·~91120

ThYTtors.
SP
200W C 200
140
2N6339 S N
2N6340 S N
SP
200W C 200
160
2N6341 S N
SP
200W C 200
1BO
2N6342,A thru 2N6349,A Thyristors see Table on Pa e 2-69
2N6354 S N
SP
BOW C 200
150
AP
SOW C
60
2N6361 S N
AP
2N6362 S N
100W C
60
AP
2N6363 S N
175W C
50
AP
2N6364 S N
175W C
50

(volts)

ELECTRICAL CHARACTE.RISTICS

I

100 0
120 0
140 0
150 0
100 0
120 0
140 0
150 0
60 0
BO 0
100 0
60 0
80 0
100 0
60 0
BO ·0
60 0
BO 0
60 0
80 0
60 0
80 0
BO 0
15 0
15 0
250 0
300 0
350 0
60 0
80 0
60 0
80 0
200 0
300 0
200 0
300 0
60
80
100
60

hFE @ Ic

(min) (max)

:i

YCEISAT\@lc

=> (volts)

:!2

=
=>
20A
20A
20A
20A
20A
20A
20A
20A
lOA
lOA
lOA
lOA
lOA
lOA
2.0A
2.0A
2.0A
2.0A
4.0A
4.0A
4.0A
4.0A
lSOOM

1.0
1.0
1.0
1.0
1.2
1.2
1.2
1.2
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
0.75

6.0

30
30
30
30
30
30

20A
20A
20A
20A
20A
20A
20A
20A
lOA
lOA
lOA
lOA
lOA
lOA
2.0A
2.0A
2.0A
2.0A
4.0A
4.0A
4.0A
4.0A
1500M
2.0M
2.0M
3.0A
3.0A
3.0A
7 ;OA
7.0A
7.0A
7.0A
30A
30A
30A
30A
30A
30A
30A
30A
30A
30A

O.B
1.0
1.5
2.0
2.0
2.0
2.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0

3.0A
3.0A
3.0A
7.0A
7.0A
7.0A
7.0A
30A
30A
30A
30A
30A
30A
30A
30A
30A
30A

30
30
30
30
30
30
30
30
750
750
750
750
750
750
750
750
750
750
750
750
750
750
30
25
25
15
15
12
4.0
4.0
4.0
4.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0

120
120
120
120
120
120
120
120
18k
1Bk
1Bk
1Bk
18k
1Bk
1Bk
18k
1Bk
18k
18k
18k
18k
18k
150
250
250
75
75
60

100

0
0
0
0
0
0

100
120
140
150

0
0
0
0

30
30
30
30

120
120
120
120

lOA
lOA
lOA
lOA

1.0
1.0
1.0
1.0

lOA
lOA
lOA
lOA

130
33
33
33
33

X

20

150

5.0A

0.5

5.0A

BO

2-64

0
0
0
0

6~0

h,_

I I
'-

~

=>

300
300
3DQ

300
300
300
300
300
300
300
300
300
300
300
250
250

20
20
20
20
35
30
35
30
30
30"
30
30
30
30

E
E
E
E
E
E
E
E
E
E
E
E
E
E
E
E

E
E
E
E
E
E
E
E
E
E
E
E
E
E

30M
30M
30M
30M
30M
30M
30M
30M
4.0M
4.0M
4.0M
4.0M
4.0M
4.0M
4.0M
4.0M
4.0M
4.0M
4.0M
4.0M
4.0M
4.0M
60M
1400M
1200M
5.0M
5.0M
5.0M
4.0M
4.0M
4;OM
4.0M
10M
10M
10M
10M
3.0M
3.0M
3.OM
3.OM
3.OM
3.OM

T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T

40M
40M
40M
40M

T
T
T

T

T
T
T
T
T
T
T

T
T
T
T
T

T
T
T
T
T
T
T

T

80M T

3N22·3N120
TYPE

I ..
:Ii

3N22
3N34
3N35
3N35A
3N39
thru

S
S
S

!;
REPLACE·
S
MENT
2
N
N
N

USE

Po
@25'C

N

SP

75W
75W
75W
75W
94W

3N50
3N51
3N52
3N58

G
G
G

P
P
P

Sp
SP
SP

94W
94W
94W

S

N

3N63

S

3N64

S

N
N

3N65

S

3N66

S

N
N

3N67

S

N

3N68

S

N

3N68A

S

N

3N69

S

N

3N70

S

N

3N71

S

N

3N72

S

N

3N73

S

N

3N74

S

N

3N75
3N76

S

N

S

N

3N77

S

3N78

S

N
N

3N79

S

N

(volts)

SP

e
e
e
e
e
e
e
e

I

(min) (max)

:S
'"

VCElsAn@lc

i

(volts)

'"

30
30

100
100
100
100
100

60
80
40
60
60

35
50
25
40
35

30
20
30
20
30

120
80
120
80
120

5.0A
5.0A
5.0A
5.0A
5.0A

0.4
0.4
0.4
0.4
0.4

5.0A
5.0A
5.0A
5.0A
5.0A

100
100
100

80
40
60

50
25
40

20
30
20

80
120
80

S.OA
5.0A
5.0A

0.4
0.4
0.4

S.OA
5.0A
5.0A

a
0

hl_

:!-

I

0.96

B

25
10

E
E

30

E

30

E

30

E

30

E

L

~

'"

fa

2-iJ7

se
se
se

•

600K
300K
500K
300K
600K
300K
500K
300K

se
se
se
se

Voff 3 200 p,V

10
10

Vofr
Voff=

100

~V

50

~V

Voffm
Voffs
Voff=
Voff=

200 p,V
100 p,V

100 p,V

40

Voff3
Voff=
2.0M VoU-

50 p,V

100M

T

40

2.0M

40

2.0M

VoUs
VoU-

100 p,V
100 p,V

100M
100M

T

50 p,V
100 p,V

10
100M

se
se
se
se
se
se
se
se
se
se
se

10

A

200

10
10
10

a
a
a

100M

200

15

8.0

100M

200

15

8.0

100M

200

15

8.0

300M

175

50

300M

175

50

300M

175

50

300M

175

40

200M

175

40

VoffVaffVoff=
Voff=
VOff3

300M

175

40

se

I

20

10

0

5.0

0.5M

20
:1=1
1
1 se I 200M A
Field-Effect Transistors, see Table on Page 2·80

10

a

5.0

0.5M

S

P

3N91

S

P

3N92

S

P

3N93

S

P

3N94

S

P

3N95

S

P

thru

(volts)

hFE @ Ic

50 p,V
200 p,V

50 p,V

T

30M

T
T

200 !LV

30M
30M

50 !LV

30M

T

100 !LV

30M

T

Voff-

200 IJ.V

30M

T

VoffVoff-

50 IJ.V

100M

T

100 IJ.V

100M

T

Voff=
VoU=
Voff=

50 IJ.V
100 IJ.V

6.0M

T

6.0M

T

200 IJ.V

6.OM

T

Vofr
VoffVoff=

50 IJ.V
100 JJ.V

6.0M

T

6.0M

T

200 /i-V

6.0M

T

Vofr
Vofr
Voff=
Voff=

50 /lV

12M
12M
l2M
12M

T
T
T

12M
12M
12M
12M
12M
12M

T
T
T
T
T
T

T

Thyristors, see Table on Page 2·69

3N86
3N87

3N,96

'C

i-

Thyristors, see Table on Page 2-69

3N60
3N62

3N89
3N90

YCE-

15
30
30
30

Reference Amplifiers, see Table on Pa e

sp
SP
sp

3N88

Yca

85

P
P
P
P
P

thru

TJ

125M
125M
125M

G
G
G
G
G

3N80

~

i

AH

3N44
3N45
3N46
3N47
3N48
3N49

thru

ELECTRICAL CHARACTERISnCS

MAXIMUM RAnNGS
REf.

se
se
se
se
se
se

200M

A

300M

A

200

50

300M

A

200

50

300M

A

200

50

300M

A

200

50

300M

A

300M

A

200
200

50

50

Field-Effect Transistors, see Table on Page 2-80

3N99
3Nl00

S

P

3Nl0l
3Nl02
3Nl03

S

P

S

P

S

P

3Nl04

S

P

3Nl05

S

P

3Nl06
3Nl07
3Nl08
3N109
3NllO
3Nl11
3N1l2
3N1l3
3N1l4
3N1l5
3N1l6
3N1l7
3N1l8
3N1l9
3N120

S
S

P
P

S
S
S
S
S
S
S
S
S
S
S
S
S

P
P
P
P
P
P
P
P
P
P
P
P
N

se
se
se
se
se
se
se
se
se
se
se
se
se
se
se
se
se
se
se
se
se

300M

A

300M
300M

A

200
200

20
30

A

200

40

300M' A
300M A

200

50

200

60
20

300M

A

200

300M
300M

A

200
40
60
200
200
50
200
50
50
200
200
50
50
200
200
50
30
200
200.
30
30
200
200
50
200
50
200
50
200 ,30

300M
300M
300M
300M
200M
200M
300M
300M
300M
300M
300M
300M
200M

A
A

A

A
A
A
A
A
A
A

A
A
A
A

2·65

50 /lV
50 /lV

VoU=

50 /lV
50 /lV

Vofr
VoffVoU=

250 uV
250 /lV
250 /lV
T

3N121-3N208

...
~

TYPE

MAXIMUM RATINGS

>-

ill !l2
=< ::5
:IE ...

REPLACE·
MENT

'"

•

3N121
3N123
3N124
thru
3N126
3N127
3N128
3N129
3N130
3N131
3N132
3N133
3N134
3N135
3N136
3N138
thru
3N143
3N145
thru
3N186
3N188
thru
3N191
3Nl92
3N193
3N204
thru
3N206
3N207
3N208

S
S

REF.

Po

:5

TJ

VCB

VCE _

-;:

@25·C

';;

·C

(volts)

(volts)

~

125
200

30
30

USE

so
so

N
P

ELECTRICAL CHARACTERISTICS

3!

'"

200M
100M

A
A

hFE @ Ic
(min) (max)

VCEISATJ@ Ic

"S (volts)

:0

~

:0

hf _

f '-:i f
'"

:0

40M
6.0M

'"
T

E

Field-Effect Transistors, see Table on Page 2-80

I

I

I

I

I I

I 2-8030

SiN
so
200M
A 200
Field-Effect Transistors, see Table on Page
S P
300M
SO
A
S P
so
300M
A
S P
so
300M
A
S P
so
300M
A
S P
so
300M
A
S P
so
300M
A
S p
SO
300M
A
S P
so
300M
A

20
30
40
50
60
20
40
60

Field-Effect Transistors, see Table on Page

2-80

I I
I I

I I I
I I I
yansistl

20

0

I I I

Field-Effect Transistors, see Table on Page 2·80
Fj€ldj-Effect

rs ,

8

I orI I

pag~ 2·80

ee Tablt
1

Field-Effect Transistors, See Table on Page 2-80

I I

I

I

I

I I

I

I

I

Field-Effect Transistor , se~ Table on

I
Pag~

I2-80

I

I

I

Field-Effect Transistor, see Table on Page

2-80

..

2-66

2.0M
2.0M
2.0M
2.OM
2.0M

E
E
E
E

2.OM
2.0M
2.0M

E
E
E

E

REFERENCE AMPLIFIERS

INDEX AND SHORT-FORM SPECIFICATIONS

This table contains a numerical listing and short-form specifications for reference amplifiers with EIA-registered 3N numbers_ In addition, short-form specifications are also
provided for special house numbered reference amplifiers_

KEY

TYPE

REF_

VREF
(volts)

.6.VREF
(Yolts)

-----°TC

Tal
±%

IZT

ZZT

(mA)

(ohms)

T,
'C

T,
'C

(%I'C)
Numerical Listing
of Registered
Type Numbers

Nominal
Reference
Voltage

Tolerance
of Nominal
Reference
Voltage

Temperature Range over
which .6.V... is specified

"Maximum Operating
Temperature

Reference device number indicates
specific Data Sheet on which device
is characterized.
Maximum Voltage Variation over the Temperature Range from T, to T.
. .
.6.V,100
"Temperature CoeffIcIent V (T, _ T,)

Maximum Zener Impedance
Zener Test Current

2-67

•

REFERENCE AMPLIFIER INDEX

3N39-MCA2234

L'lV REF
VREF

Tol

(volts)

ZZT
(ohms)

T,
·C

T2
·C

±%

-------*TC
(%/·C)

(rnA)

MCA1911
MCA1911
MCA1911

9.0
9.0
9.0
9.0
9.0
9.0
9.0
6.8
6.8
6.8

9.0
9.0
9.0
9.0
9.0
9.0
10
10
10
10

0.005*
0.003*
0.002*
O. 005'~
O. 003'~
0.002*
0.001*
0.051
0.025
0.010

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

40
40
40

0
0
0

71*
100*
100*
100'"
150*
75
75
75

MCA1914
MCA1921
MCA1922
MCA1923
MCA1924
MCA1931
MCA1932
MCA1933
MCA1934
MCA2011

MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911

6.8
6.8
6.8
6.8
6.8
6.8
6.8
6.8
6.8
8.6

10
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
10

0.005
0.105
0.052
0.020
0.010
0.139
0.069
0.026
0.013
0.060

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

40
40
40
40
40
40
40
40
40
40

0
-55
-55
-55
-55
-55
-55
-55
-55
0

75
100
100
100
100
150
150
150
150
75

MCA2012
MCA2013
MCA2014
MCA2021
MCA2022
MCA2023
MCA2024
MCA2031
MCA2032
MCA2033

MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911

8.6
8.6
8.6
8.6
8.6
8.6
8.6
8.6
8.6
8.6

10
10
10
5.0
5.0
5.0
5.0
5.0
5.0
5.0

0.030
0.012
0.006
0.124
0.062
0.024
0.012
0.164
0.082
0.032

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

40
40
40
40
40
40
40
40
40
40

0
0
0
-55
-55
-55
-55
-55
-55
-55

75
75
75
100
100
100
100
150
150
150

MCA2034
MCA2111
MCA2112
MCA2113
MCA2114
MCA2121
MCA2122
MCA2123
MCA2124
MCA2131

MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911

8.6
9.5
9.5
9.5
9.5
9.5
9.5
9.5
9.5
9.5

5.0
10
10
10
10
5.0
5.0
5.0
5.0
5.0

0.016
0.071
0.035
0.014
0.007
0.147
0.073
0.028
0.014
0.194

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

40
40
40
40
40
40
40
40
40
40

-55
0
0
0
0
-55
-55
-55
-55
-55

150
75
75
75
75
100
100
100
100
150

MCA2132
MCA2133
MCA2134
MCA2211
MCA2212
MCA2213
MCA2214
MCA2221
MCA2222
MCA2223

MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911
MCA1911

9.5
9.5
9.5
11.0
11. 0
11.0
11.0
11.0
11.0
11.0

5.0
5.0
5.0
10
10
10
10
5.0
5.0
5.0

0.097
0.038
0.019
0.082
0.041
0.016
0.008
0.170
0.085
0.034

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

40
40
40
40
40
40
40
40
40
40

-55
-55
-55
0
0
0
0
-55
-55
-55

150
150
150
75
75
75
75
100
100
100

MCA2224
MCA2231
MCA2232
MCA2233
MCA2234

MCA1911
MCA1911
MCA1911
MCA1911
MCA1911

11.0
11.0
11.0
11.0
11.0

5.0
5.0
5.0
5.0
5.0

0.017
0.225
0.112
0.044
0.022

5.0
5.0
5.0
5.0
5.0

40
40
40
40
40

-55
-55
-55
-55
-55

100
150
150
150
150

TYPE

REF.

(volts)

3N39
3N40
3N41
3N42
3N43
3N44
3N44A
MCA1911
MCA1912
MCA1913

2-68

IZT

7l'~

71'"

THYRISTORS

This table contains a numerical listing and short· form specifications for thyristors with
EIA·registered 2N and 3N numbers. Specific types of thyristors listed include silicon
controlled rectifiers, gate·controlled switches, and silicon controlled switches.

KEY

TYPE

REPLACE·
MENT

REFERENCE

IT(RMS)
Amp

VORMiVRRM
Volts

Numerical Listing of
Registered Type Num·
bers. 'Device with gate
turn·off characteristics
Type number of recommended
replacement or of nearest
electrical equivalent fully characterized
in this book
Reference device number indicates specific Data
Sheet on which device is characterized
On·State (RMS) Current
Peak Forward Blocking Voltage
Peak Reverse Blocking Voltage
Maximum Junction Temperature, Maximum Case Temperature( 1)
Gate Trigger Current
Gate Trigger Voltage

2-69

TJ TC(l)

°c

IGT
mA

VGT
Volts

THYRISTOR INDEX

TYPE

•

REPLACEMENT

REF.

ITIRMSI

2N681
2N681A
2N682
2N682A
2N683
2N683A
2N684
2N684A
2N685
2N685A

2N681
2N681
2N682
2N682
2N683
2N683
2N684
2N684
2N685
2N685

2N681
2N681
2N681
2N681
2N681
2N681
2N681
2N681
2N681
2N681

Amp
25
28
25
28
25
28
25
28
25
28

2N686
2N686A
2N687
2N687A
2N688
2N688A
2N689
2N689A
.2N690
2N690A

2N686
2N686
2N687
2N687
2N688
2N688
2N689
2N689
2N690
2N690

2N681
2N681
2N681
2N681
2N681
2N681
2N681
2N681
2N681
2N681

2N681-2N1601

VORM/VRRM

volts

TJ Te(l)
·C

IGT

VGT

rnA

volts

25
25
50
50
100
100
150
150
200
200

125
125
125
125
125
125
125
125
125
125

40
40
40
40
40
40
40
40
40
40

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

25
28
25
28
25
28
25
28
25
25

250
250
300
300
400
400
500
500
600
600

125
125
125
125
125
125
125
125
125
125

40
40
40
40
40
40
40
40
40
40

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

25
25
0.39
0.20
0.20
0.20
0.35
0.35
0.35
0.35

700
800
30
60
100
200
15
30
60
100

125
125
125
125
125
125
150
150
150
150

40
40
1.0
1.0
1.0
1.0
0.2
0.2
0.2
0.2

2.0
2.0
1.0
1.0
1.0
1.0
0.8
0.8
0.8
0.8

0.35
0.35
0.35
0.35
0.35
0.35
0.35
0.35
0.35
0.35

150
200
300
400
15
30
60
100
150
200

150
150
150
150
150
150
150
150
150
150

0.2
0.2
0.2
0 . .2
0.02
0.02
0.02
0.02
0.02
0.02

0.8
0.8
0.8
0.8
0.6
0.6
0.6
0.6
0.6
0.6

2N890
2N891
2N892*
2N893*
2N894*
2N895*
2N896*
2N897*
2N898*
2N899*

0.35
0.35
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250

300
400
15
15
30/15
30/15
60/15
60/15
100/15
100/15

150
150
125
125
125
125
125
125
125
125

0.02
0.02
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.6
0.6
0.70
0.70
0.70
0.70
0.70
0.70
0.70
0.70

2N900*
2N901*
2N948
2N949
2N950
2N951
2N1595
2N1595A
2N1596
2N1596A

2N4212
2N4213
2N4214
2N4215
2N1595
2N1595
2N1596

2N4212
2N4212
2N4212
2N4212
2N1595
2N1595
2N1595
2N1595

0.250
0.250
0.26
0.26
0.26
0.26
1.6
1.6
1.6
1.6

200/15
200/15
30
60
100
'200
50
50
100
100

125
125
150
150
150
150
125
150
125
150

0.05
0.05
0.02
0.02
0.02
0.02
10
2.0
10
2.0

0.70
0.70
1.0
1.0
1.0
1.0
3.0
2.0
3.0
2.0

2N1597
2N1597A
2N1598
2N1598A
2N1599
2N1599A
2N1600
2N1600A
2N1601

2N1597
2N1597
2N1598
2N1598
2N1599
2N1599
2N4168
2N4168
2N4169

2N1595
2N1595
2N1595
2N1595
2N1595
2N1595
2N4151
2N4151
2N4151

1.6
1.6
1.6
1.6
1.6
1.6
4.0
4.0
4.0

200
200
300
300
400
400
50
50
100

125
150
125
150
125
150
125
125
125

10
2.0
10
2.0
10
2.0
10
4.5
10

3.0
2.0
3.0
2.0
3.0
2.0
3.0
3.0
3.0

2N691
2N692
2N764*
2N765*
2N766*
2N767*
2N876
2N877
2N878
2N879
2N880
2N881
2N882
2N883
2N884
2N885
2N886
2N887
2N888
2N889

2N4212
2N4212
2N4213
2N4214

2N4212
2N4212
2N4212
2N4212

2N4215
2N4216

2N4212
2N4212

2N4212
2N4213
2N4214
2N4214
2N4215
2N4216

2N4212
2N4212
2N4212
2N4212
2N4212
2N4212

2-70

2N1602-2N1850A

THYRISTOR INDEX (continued)
TJ Te(1)
·C

1ST

mA

volts

200
300
400
30
60
100
200
400
25
25

125
125
125
125
125
125
125
85
125
150

10
10
10
1.0
1.0
1.0
1.0
10
15
15

3.0
3.0
3.0
1.0
1.0
1.0
1.0
1.5
2.0
2.0

4.7
4.7
4.7
4.7
4.7
4.7
4.7
4.7
4.7
4.7

50
50
100
100
150
150
200
200
250
250

125
150
125
150
125
150
125
150
125
150

15
15
15
15
15
15
15
15
15
15

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

4.7
4.7
4.7
4.7
7.0
7.4
7.0
110
110
110

300
300
300
400
400
500
500
60
120
180

125
150
150
125
150
125
150
125
125
125

15
15
15
15
15
15
15
75
75
75

2.0
2.0
2.0
2.0
2.0
2.0
2.0
3.0
3.0
3.0

110
110
110
110
110
110
110
110
110
110

240
300
360
480
600
720
840
960
1080
1200

125
125
125
125
125
125
125
125
125
125

75
75
75
75
75
75
75
75
90
90

3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0

2N1842
2N1842A
2N1842A
2N1842
2N1842A
2N1842A
2N1842

110
110
110
16
16
20
16
16
20
16

500
600
700
25
25
25
50
50
50
100

125
125
125
100
125
125
100
125
125
100

75
75
75
80
80
75
80
80
75
80

3.0
3.0
3.0
2.0
2.0
3.0
2.0
2.0
3.0
2.0

2N1842A
2N1842A
2N1842
2N1842A
2N1842A
2N1842
2N1842A
2N1842A
2N1842
2N1842A

16
20
16
16
20
16
16
20
16
16

100
100
150
150
150
200
200
200
250
250

125
125
100
125
125
100
125
125
100
125

80
75
80
80
75
80
80
75
80
80

2.0
3.0
2.0
2.0
3.0
2.0
2.0
3.0
2.0
2.0

2N1842A
2N1842
2N1842A
2N1842A
2N1842
2N1842A
2N1842A
2N1842
2N1842A

20
16
16
20
16
16
20
16
16

250
300
300
300
400
400
400
500
500

125
100
125
125
100
125
125
100
125

75
80
80
75
80
80
75
80
80

3.0
2.0
2.0
3.0
2.0
2.0
3.0
2.0
2.0

TYPE

REPLACEMENT

REF.

ITIRMSI

2N1602
2N1603
2N1604
2N1686*
2N1687*
2N1688*
2N1689*
2N1765
2Nl770
2Nl770A

2N4170
2N4171
2N4172

2N4151
2N4151
2N4151

2N1765
2N4167

2N4151

4.0
4.0
4.0
0.5
0.5
0.5
0.5
0.5
4.7
4.7

2N1771
2N1771A
2N1772
2N1772A
2Nl773
2Nl773A
2Nl774
2Nl774A
2Nl775
2Nl775A

2N4168

2N4151

2Nl776
2Nl776A
2Nl776B
2Nl777
2Nl777A
2N1778
2N1778A
2N1792
2N1793
2N1794

2N4169

2N4151

2N4170

2N4151

2N4170

2N4151

2N4171

2N4151

2N4171

2N4151

2N4172

2N4151

2N4173

2N4151

2N1792
2N1793
2N1794

2N1795
2N1796
2N1797
2N1798
2N1799
2N1800
2N1801
2N1802
2N1803
2N1804

2N1795
2N1796
2N1797
2N1798

2N1805
2N1806
2N1807
2N1842
2N1842A
2N1842B
2N1843
2N1843A
2N1843B
2N1844

2N1805
2N1806
2N1807

2N1844A
2N1844B
2N1845
2N1845A
2N1845B
2N1846
2N1846A
2N1846B
2N1847
2N1847A
2N1847B
2N1848
2N1848A
2N1848B
2N1849
2N1849A
2N1849B
2N1850
2N1850A

2N1800
2N1801
2N1802
2N1803
2N1804

2N1842A
2N1843A

2N1844A
2N1845A
2N1846A

2N1847A
2N1848A
2N1849A

Amp

2-71

VORM/VRRM

volts

VST

THYRISTOR INDEX (continued)

TYPE

REPLACEMENT

REF.

2N1850B
2N1869
2N1869A
2N1870
2N1870A
2N1871
2N1871A
2N1872
2N1872A
2N1873

2N1850A
2N4212

2N1842A
2N4212

2N1873A
2N1874
2N1874A
2N1875
2N1875A
2N1876
2N1876A
2N1877
2N1877A
2N1878
2N1878A
2N1879
2N1879A
2N1880
2N1880A
2N1881
2N1882
2N1883
2N1884
2N1885

2N4213

2N4212

2N4214

2N4212

2N4214

2N4212

2N4215

2N4212

2N4216

2N4212

2N4212

2N4212

2N4213

2N4212

2N4214

2N4212

2N4214

2N4212

2N4215

2N4212

2N4216

2N4212

2N4212
2N4213
2N4214
2N4215
2N4216

2N4212
2N4212
2N4212
2N4212
2N4212

2N1909
2N1910
2N1911
2N1912
2N1913
2N1914

I~RMSl
mp

VORM/VRRM

20
1. 25
1.25
1. 25
1.25
1.25
1. 25
1.25
1. 25
1.25

YOlts
500
15
15
30
30
60
60
100
100
150

1.25
1.25
1.25
1. 25
1.25
1.25
1.25
1.25
1.25
1.25

2N1850B-2N2261
TJTc(l)

'c

1ST

VST

125
150
150
150
150
150
150
150
150
150

mA
75
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2

YOlts
3.0
0.8
0.8
0.8
0.8
0.8
0.8
0.8
0.8
0.8

150
200
200
15
15
30
30
60
60
100

150
150
150
150
150
150
150
150
150

0.2
0.2
0.2
0.020
0.020
0.020
0.020
0.020
0.020
0.020

0.8
0.8
0.8
0.6
0.6
0.6
0.6
0.6
0.6
0.6

1. 25
1.25
1.25
1.25
1.25
1.0
1.0
1.0
1.0
1.0

100
150
150
200
200
30
60
100
150
200

150
150
150
150
150
150
150
150
150
150

0.020
0.020
0.020
0.020
0.020
2.0
2.0
2.0
2.0
2.0

0.6
0.6
0.6
0.6
0.6
2.0
2.0
2.0
2.0
2.0

70
70
70
70
70
70
70
70
70
70

25
50
100
150
200
250
250
250
300
400

125
125
125
125
125
125
125
125
125
125

75
75
75
75
75
75
75
75
75
75

3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0

2N1909
2N1910
2N1911
2N1912
2N1913
2N1914
2N1914A
2N1914B
2N1915
2N1916

2N1915
2N1916

2N1929
2N1930
2N1931
2N1932
2N1933
2N1934
2N1935
2N2009
2N2010
2N2011

2N4191
2N4192
2N4193
2N4194
2N4194
2N4195
2N4195
2N4212
2N4213
2N4214

2N4151
2N4151
2N4151
2N4151
2N4151
2N4151
2N4151
2N4212
2N4212
2N4212

0.75
0.75
0.75
0.75
0.75
0.75
0.75
1.3
1.3
1.3

25
50
100
150
200
250
300
25
50
100

125
125
125
125
125
125
125
150
150
150

15
15
15
15
15
15
15
0.2
0.2
0.2

2.0
2.0
2.0
2.0
2.0
2.0
2.0
1.0
1.0
1.0

2N2012
2N2013
2N2014
2N2023
2N2024
2N2025
2N2026
2N2027
2N2028
2N2029

2N4216

2N4212

1.3
1.3
1.3
70
70
70
70
70
70
70

200
300
400
25
50
100
150
200
250
300

150
150
150
150
150
150
150
150
150
150

0.2
0.2
0.2
75
75
75
75
75
75
75

1.0
1.0
1.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0

2N2030
2N2031
2N2044
2N2045
2N2046
2N2047
2N2074
2N2260*
2N2261*

2N2030

70
110
150
150
150
150
1.0
200
200

400
50
200
300
400
500
50
30
30

150
125
125
125
125
125
150
100
100

75
75
80
80
80
80
0.2
0.25
0.5

3.0
3.0
3.0
3.0
3.0
3.0
0.65

2N2023
2N2024
2N2025
2N2026
2N2027
2N2028
2N2029

2N4213

2N4212

2-72

2N2262 - 2N2888
TYPE
2N2262*
2N2322
2N2322A
2N2323
2N2323A
2N2324
2N2324A
2N2325
2N2325A
2N2326
2N2326A
2N2327
2N2327A
2N2328
2N2328A
2N2329
2N2329A
2N2344
2N2345
2N2346
2N2347
2N2348
2N2503
2N2504
2N2505
2N2506
2N2507
2N2508
2N2542
2N2543
2N2544
2N2545
2N2546
2N2547
2N2548
2N2549
2N2550
2N2573
2N2574
2N2575
2N2576
2N2577
2N2578
2N2579
2N2619
2N2653
2N2679
2N2679A
2N2680
2N2680A
2N2681
2N2681A
2N2682
2N2682A
2N2683
2N2683A
2N2684
2N2684A
2N2685
2N2685A
2N2686
2N2686A
2N2687
2N2688
2N2689
2N2690
2N2888

THYRISTOR INDEX (continued)

REPLACEMENT

REF.

2N2322

2N2322

2N2322

2N2322

IrrRMsl

Amp

2N2324

2N2322

2N2325

2N2322·

2N2326

2N2322

200
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6

MCR1906-1
MCR1906-2
MCR1906-3

MCR1906-1
MCR1906-1
MCR1906-1

1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6
1.6

MCR1906-4
MCR1906-4

MCR1906-1
MCR1906-1

2N2573

2N2573

2N2574
2N2575
2N2576
2N2577
2N2578
2N2579
2N4174
2N4172

2N2573
2N2573
2N2573
2N2573
2N2573
2N2573
2N4151
2N4151

MCRI03

MCR201

MCR204

MCR201

MCR206

MCR201

MCR202

MCR201

MCR203

MCR201

MCR204

MCR201

MCR206

MCR201

MCR202
MCR203
MCR204
MCR2o.6
MCR1907-4

MCR201
MCR201
MCR201
MCR201
MCR1907-1

1.6
1.6
225
225
225
225
225
225

VORM/VRRM

volts

TJ Te(l)
·C

IGT

volts
0.8
0.6
0.8
0.6
0.8
0.6
0.8
0.6
0.8

30
25
25
50
50
100
100
150
150
200

100
125
125
125
125
125
125
125
125
125

5.0
0.2
0.02
0.2
0.02
0.2
0.02
0.2
0.02
0.2

200

125
125
125
125
125
125
125
100
100
100

0.02
0.2
0.02
0.2
0.02
0.2

250
250
300

300
400
400
25
50
100

0.02

0.02
0.02
0.02

0.6
0.8
0.6
0.8

0.6
0.8
0.6
0.8
0.8
0.8

0.02
0.02
100
100
100

400
500

100
100
125
125
125
125
125
125

100
100

0.8
0.8
2.5
2.5
2.5
2.5
2.5
2.5

230
230
230
230
230
230
230
150
150
25

50
100
200
300
400
500
600
800
1000
25

125
125
125
125
125
125
125
125
125
125

125
125
125
125
125
125
125
125
125
40

3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.5

25
25
25
25
25
25
7.4
3.0
0.35
0.35

50
100
200
300
400
500
600
400
30
30

125
125
125
125
125
125
125
105
150
150

40
40
40
40
40
40
45
35
0.02
0.02

3.5
3.5
3.5
3.5
3.5
3.5
2.0
3.0
0.7
0.7

0.35
0.35
0.35
0.35
0.35
0.35
0.28
0.28
0.28
0.28

60
60
100
100
200
200
30
30
60
60

150
150
150
150
150
150
125
125
125
125

0.02
0.02
0.02
0.02
0.02
0.02
0.02
0.02
0.02
0.02

0.7
0.7
0.7
0.70
0.7
0.70
0.8
0.80
0.8
0.80

0.28
0.28
0.28
0.28
0.28
0.28
0.28
0.28
25

100
100
200
200
30
60
100
200
200

125
125
125
125
125
125
125
125
125

0.02
0.02
0.02
0.02
0.2
0.2
0.2
0.2
40

0.8
0.80
0.8
0.80
1.0
1.0
1.0
1.0
1.5

2-73

150
200
50
100
200

VGT

mA

300

100

•
I
I

I
I

THYRISTOR INDEX (continued)

•

TYPE

REPLACE MENT

REF.

2N2889
2N3001
2N300,i
2N3003
2N3004
2N3005
2N3006
2N3007
2N3008
2N3027

MCR1907-4
MCR202
MCR203
MCR204
MCR206
MCR202
MCR203
MCR204
MCR206
MCR202

MCR1907
MCR201
MCR201
MCR201
MCR201
MCR201
MCR201
MCR201
MCR201
MCR201

2N3028
2N3029
2N3030
2N3031
2N3032
2N3091
2N3092
2N3093
2N3094
2N3095

MCR203
MCR204
MCR202
MCR203
MCR204
MCR150-60
MCR150-70
MCR150-80
MCR150-90
MCR150-100

MCR201
MCR201
MCR201
MCR201
MCR201

2N3096
2N3097
2N3098
2N3099
2N3100
2N3101
2N3103
2N3104
2N3105

MCR150-110
MCR150-120
MCR150-130
MCR150-60
MCR150-70
MCR150-80
MCR150-90
MCR150-100
MCR150-110
MCR150-120

2N3106
2N3228
2N3254
2N3255
2N3256
2N3257
2N3258
2N3259
2N'3269
2N3270

MCR150-130
2N4154
MCR202
MCR202
l1CR203
MCR202
MCR202
NCR203
2N4169
2N4170

2N4151
MCR201
MCR201
MCR201
MCR201
MCR201
MCR201
2N4151
2N4151

2N3271
2N3272
2N3273
2N3274
2N3275
2N3276
2N3353
2N3354
2N3355
2N3356

2N4171
2N4171

2N4151
2N4151

2~3102

2N3357
2N3358
2N3359
2N3360
2N3361
2N3362
2N3363
2N3364
2N3422
2N3525
2N3528
2N3529
2N3530
2N3531
2N3532
2N3533
2N3534
2N3535
2N3536

2N4156

2N4151

2N4186
2N4188

2N4151
2N4151

TJ Tc(l)

2N2889-2N3536
VST
1ST

IrrRMsl
Amp
25
0.35
0.35
0.35
0.35
0.35
0.35
0.35
0.35
0.5

VDRM/VRRM

125
150
150
150
150
150
150
150
150
150

rnA
40
0.02
0.02
0.02
0.02
0.2
0.2
0.2
0.2
0.2

volts

250
30
60
100
200
30
60
100
200
30

0.5
0.5
0.5
0.5
0.5
110
110
110
110
110

60
100
30
60
100
600
700
800
900
1000

150
150
150
150
150
125
125
125
125
125

0.2
0.2
0.02
0.02
0.02
70
70
70
70
70

0.8
0.. 8
0.6
0.6
0.6
2.0
2.0
2.0
2.0
2.0

110
110
110
110
110
110
110
110
110
110

1100
1200
1300
600
700
800
900
1000
1100
1200

125
125
125
125
125
125,
125
125
125
125

70
70
70
70
70
70
70
70
70
70

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

110
3.2
0.25
0.25
0.25
0.25
0.25
0.25
8.0
8.0

1300
200
15
30
60
15
30
60
100
200

125
100
150
150
150
150
150
150
150
150

70
15
0.02
0.02
0.02
0.2
0.2
0.2
0.2
0.2

2.0
2.0
0.75
0.75
0.75
0.75
0.75
0.75
0.8
0.8

8.0
8.0
2.2
2.2
2.2
2.2
400
400
400
400

300
400
100
200
300
400
50
100
200
300

150
150
150
150
150
150
125
125
125
125

0.2
0.2
0.2
0.2
0.2
0.2
200
200
200
200

0.8
0.8
0.8
0.8
0.8
0.8
4.0
4.0
4.0
4.0

400
400
400
400
400
400
400
400
196
3.2

400
500
600
700
800
900
1000
1200
600
400

125
125
125
125
125
125
125
125
125
100

200
200
200
200
200
200
200
200
150
15

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
3.0
2.0

1.3
1.3
400
400
400
400
400
400
400

200
400
50
100
200
300
400
500
600

100
100
125
125
125
125
125
125
125

15
15
300
300
300
300
300
300
300

2.0
2.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

2-74

volts

·C

1.5
0.7
0.7
0.7
0.7
0.8
0.8
0.8
0.8
0.8

2N3537 - 2N4097
TYPE

REPLACEMENT

THYRISTOR INDEX (continued)
REF.

hARMsl

VORM/VRRM

volts

TJ Tell)
·C
125
125
125
125
125
150
150
150
150
150

IGT

VGT

2N3537
2N3538
2N3539
2N3540
2N3541
2N3555
2N3556
2N3557
2N3558
2N3559

rnp
400
400
400
400
400
1.6
1.6
l.6
l.6
l.6

2N3560
2N3561
2N3562
2N3649
2N3650
2N3651
2N3652
2N3653
2N3654
2N3655

1.6
l.6
l.6
16
16
16
16
16
16
16

60
100
200
50
100
200
300
400
50
100

150
150
150
120
120
120
120
120
120
120

0.20
0.20
0.20
180
180
180
180
180
180
180

0.8
0.8
0.8
3.0
3.0
3.0
3.0
3.0
3.0
3.0

2N3656
2N3657
2N3658
2N3668
2N3669
2N3670
2N3753
2N3754
2N3755
2N3756

16
16
16
13
13
13
7.5
7.5
7.5
7.5

200
300
400
100
200
400
50
100
200
300

120
120
120
100
100
100
120
120
120
120

180
180
180
40
40
40
100
100
100
100

3.0
3.0
3.0
2.0
2.0
2.0
3.0
3.0
3.0
3.0

7.5
7.5
7.5
7.5
7.5
22
22
22
22
175

400
500
600
700
800
100
200
400
600
50

120
120
120
120
120
100
100
100
100
125

100
100
100
100
100
40
40
40
40
300

3.0
3.0
3.0
3.0
3.0
2.0
2.0
2.0
2.0
4.0

175
175
175
175
175
175
175
175
175
175

100
200
300
400
500
600
700
800
900
1000

125
125
125
125
125
125
125
125
125
125

300
300
300
300
300
300
300
300
300
300

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

175
22
22
22
22
7.0
7.0
7.0
7.0
7.0

1200
100
200
400
600
100
200
300
400
500

125
100
100
100
100
125
125
125
125
125

300
40
40
40
40
60
60
60
60
60

4.0
2.0
2.0
2.0
2.0
3.2
3.2
3.2
3.2
3.2

70
70
70
70
70
70
70
0.2
0.2

500
600
700
800
900
1000
1100
50
100

125
125
125
125
125
125
125
125
125

150
150
150
150
150
150
150
0.2
0.2

3.0
3.0
3.0
3.0
3.0
3.0
3.0
0.8
0.8

2N3757
2N3758
2N3759
2N3760
2N3761
2N3870
2N3871
2N3872
2N3873
2N3884

MCR649P-3
MCR649P-4
MCR649P-6

2N3870
2N3871
2N3872
2N3873

MCR649-1
MCR649-1
MCR649-1

2N3870
2N3870
2N3870
2N3870

2N3885
2N3886
2N3887
2N3888
2N3889
2N3890
2N3891
2N3892
2N3893
2N3894
2N3895
2N3896
2N3897
2N3898
2N3899
2N3936
2N3937
2N3938
2N3939
2N3940
2N3986
2N3987
2N3988
2N3989
2N3990
2N3991
2N3992
2N4096
2N4097

2N3896
2N3897
2N3898
2N3899

2N4213
2N4214

2N3870
2N3870
2N3870
2N3870

2N4212
2N4212

2-75

700
800
900
1000
1200
30
60
100
200
30

rnA
300
300
300
300
300
0.020
0.020
0.020
0.020
0.20

volts
4.0
4.0
4.0
4.0
4.0
0.7
0.7
0.7
0.7
0.8

THYRISTOR INDEX (continued)
TYPE

REF.

2N4098
2N4101
2N4102
2N4103
2N4108
2N4109
2N41l0
2N4144
2N4145
2N4146

REPLACEMENT
2N4216
2N4166
2N4166
MCR649P-8
2N4213
2N4214
2N4216
MCR1906-1
MCR1906-1
MCR1906-2

2N4147
2N4148
2N4149
2N4151
2N4152
2N4153
2N4154
2N4155
2N4156
2N4157

MCR1906-3
MCR1906-4
MCR1906-4
2N4151
2N4152
2N4153
2N4154
2N4155
2N4156
2N4157

2N4158
2N4159
2N4160
2N4161
2N4162
2N4163
2N4165
2N4166
2N4167

2N4158
2N4159
2N4160
2N4161
2N4162
2N4163
2N4164
2N4165
2N4166
2N4167

MCR1906-1
MCR1906-1
MCR1906-1
2N4151
2N4151
2N4151
2N4151
2N4151
2N4151
2N4151
2N4151
2N4151
2N4151
2N4151
2N4151
2N4151
2N4151
2N4151
2N4151
2N4151

2N4168
2N4169
2N4170
2N4171
2N4172
2N4173
2N4174
2N4175
2N4176
2N4177

2N4168
2N4169
2N4170
2N4171
2N4172
2N4173
2N4174
2N4175
2N4176
2N4177

2N4178
2N4179
2N4180
2N4181
2N4182
2N4183
2N4184
2N4185
2N4186
2N4187

11mp

RMS1

volts

2N4098-2N4213
TJ Tell)

'c

1ST

VST

mA
0.2
15
15
40

volts

0.250
0.250
0.250

50
600
600
600
50
100
200
15
30
60

125
100
100
100
125
125
125
150
150
150

1.0
1.0
1.0

0.. 8
0.8
0.8

0.250
0.250
0.250
8.0
8.0
8.0
8.0
8.0
8.0
8.0

100
150
200
25
50
100
200
300
400
500

150
150
150
100
100
100
100
100
100
100

1.0
1.0
1.0
20
20
20
20
20
20
20

0.8
0.8
0.8
1.5
1.5
1.5
1.5
1.5
1.5
1.5

8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0

600
25
50
100
200
300
400
500
600
25

100
100
100
100
100
100
100
100
100
100

20
20
20
20
20
20
20
20
20
20

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

2N4151
2N4151
2N4151
2N4151
2N4151
2N4151
2N4151
2N4151
2N4151
2N4151

8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0

50
100
200
300
400
500
600
25
50
100

100
100
100
100
100
100
100
100
100
100

20
20
20
20
20
20
20
20
20
20

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

2N4178
2N4179
2N4180
2N4181
2N4182
2N4183
2N4184
2N4185
2N4186
2N4187

2N4151
2N4151
2N4151
2N4151
2N4151
2N4151
2N4151
2N4151
2N4151
2N4151

8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0

200
300
400
500
600
25
50
100
200
300

100
100
100
100
100
100
100
100
100
100

20
20
20
20
20
20
20
20
20
20

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

2N4188
2N4189
2N4190
2N4191
2N4192
2N4193
2N4194
2N4195
2N4196
2N4197

2N4188
2N4189
2N4190
2N4191
2N4192
2N4193
2N4194
2N4195
2N4196
2N4197

2N4151
2N4151
2N4151
2N4151
2N4151
2N4151
2N4151
2N4151
2N4151
2N4151

8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0

400
500
600
25
50
100
200
300
400
500

100
100
100
100
100
100
100
100
100
100

20
20
20
20
20
20
20
20
20
20

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

2N4198
2N4199
2N4200
2N4201
2N4202
2N4203
2N4204
2N4212
2N4213

2N4198
2N4199
2N4200
2N4201
2N4202
2N4203
2N4204
2N4212
2N4213

2N4151
2N4199
2N4199
2N4199
2N4199
2N4199
2N4199
2N4212
2N4212

8.0
100*
100*
100*
100*
100*
100*
1.6
1.6

600
300
400
500
600
700
800
25
50

100
105
105
105
105
105
105
125
125

20
50
50
50
50
50
50
0.1
0.1

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

2Nli164

2N4212
2N4151
2N4151
MCR649-1
2N4212
2N4212
2N4212
MCR1906-1
MCR1906-1
MCR1906-1

0.2
3.2
1.3
8.0

VORM/VRRM

2·76

0.8
2.0
2.0
2.0

2N4214-2N5275

THYRISTOR INDEX (continued)
VST

0.1
0.1
0.1

volts
1.5
1.5
1.5

15
15
15
15
250
250
250

1.2
1.2
1.2
1.2
5.0
5.0
5.0

600
800
1000
1200
1400
1600
1800
100
200
400

250
250
250
250
250
250
250
250
250
250

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

70
70
70
70
70
70
70
8.0
8.0
8.0

600
800
1000
1200
1400
1600
1800
50
200
400

100
100
100

250
250
250
250
250
250
250
30
30
30

5.0
5.0
5.0
5.0
5.0
5.0
5.0
1.5
1.5
1.5

8.0
0.175
0.200
0.200
0.175
0.175
0.200
0.200
0.175
0.175
0.200
0.200

600
30
30
30
30
30
30
30
30

100
125
150
150
125
125
150
150
125
125
150
150

30
0.50
0.15
0.30
0.20
0.50
0.15
0.30
0.20
0.50
0.12
0.50

1.5
6.0
7.5
7.5
7.0
6.0
7.5
7.5
7.0
6.0
7.5
6.0

O.B
O.B
0.8
0.8
0.510

l3

30
60
100
150
200
50
200
400
600
50
200
400
600

125
125
125
125
125
100
100
100
100
100
100
100
100

0.2
0.2
0.2
0.2
350
75
75
75
75
75
75
75
75

0.8
O.B
0.8
O.B
1.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2

200
200
200
200
200
200
200
200
200
25
25
25

600
600
600
600
400
600
BOO
1000
1200
200
400
600

105
105
105
105
105
125
125
125

80
80
80
80
800
BOO
800
BOO
800
150
150
150

3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.5
3.5
3.5

ITfRMSl

2N4214
2N4215
2N4216

2N4212
2N4212
2N4212

MCR3918-3
MCR3918-4
MCR3918-5
MCR3918-6
2N4361
2N4362
2N4363

MCR3918
MCR3918
MCR3918
MCR3918
2N4361
2N4361
2N4361

1.6
1.6
1.6
1.0
1.0
1.0
9.2
9.2
9.2
9.2
70
70
70

volts
100
150
200
250
300
400
100
200
300
400
100
200
400

2N4364
2N4365
2N4366
2N4367
2N4368
2N4369
2N4370
2N4371
2N4372
2N4373

2N4364
2N4365
2N4366
2N4367
2N4368

2N4361
2N4361
2N4361
2N4361
2N4361

2N4371
2N4372
2N4373

2N4361
2N4361
2N4361

70
70
70
70
70
70
70
70
70
70

2N4374
2N4375
2N4376
2N4377
2N4378
2N4379
2N4380
2N4441
2N4442
2N4443

2N4374
2N4375
2N4376
2N4377
2N4378

2N4361
2N4361
2N4361
2N4361
2N4361

2N4441
2N4442
2N4443

2N4441
2N4441
2N4441

2N4214
2N4215
2N4216
2N4217
2N4218
2N4219
2N4316
2N4317
2N4318
2N4319
2N4361
2N4362
2N4363

REPLACEMENT

2N4444
2N4983
2N4984
2N4985
2N4986
2N4987
2N4988
2N4989
2N4990
2N4991
2N4992
2N4993

MBS4991
MBS4992
2N4993

MBS4991
MBS4991
2N4993

2N5060
2N5061
2N5062
2N5063
2N5064
2N5164
2N5165
2N5166
2N5167
2N5168
2N5169
2N5170
2N5171

2N5060
2N5061
2N5062
2N5053
2N5064
2N5164
2N5165
2N5166
2N5167
2N516B
2N5169
2N5170
2N5171

2N5060
2N5060
2N5060
2N5060
2N5060
2N5164
2N5164
2N5164
2N5164
2N5164
2N5164
2N5164
2N5164

2N5204
2N5205
2N5206
2N5207
2N5257
2N5258
2N5259
2N5260
2N5261
2N5273
2N5274
2N5275

2N4441

TJ Tell)
'C

1ST

VDRM/VRRM

REF.

TYPE

Amp

13

13
13
13

13
13
13

2·77

125
125
125
125
125
125
150
150
150
150

rnA

•

2N5567-2N6335
TYPE

REPLACEMENT

2N5567
2N5568
2N5569
2N5570
2N5571
2N5572
2N5573
2N5574

2N5567
2N5568
2N5569
2N5570
2N5571
2N5572
2N5573
2N5574

THYRISTOR INDEX (continued)
REF.

2N5754
2N5755
2N5756
2N5757
2N5806
2N5807
2N5808
2N5809

hARMSl

rnp
10
10
10
10
15
15
15
15

2.5
2.5
2.5
2.5
25
25
25
25

2N6068
2N6069
2N6070
2N6071
2N6072
2N6073
2N6074
2N6075
2N6139
2N6140
2N6141
2N6142
2N6143
2N6144
2N6145
2N6146
2N6147

2N6068
2N6069
2N6070
2N6071
2N6072
2N6073
2N6074
2N6075
2N6139
2N6140
2N6141
2N6142
2N6143
2N6144
2N6145
2N6146
2N6147

2N6068
2N6068
2N6068
2N6068
2N6068
2N6068
2N6068
2N6068

2N6148
2N6149
2N6150
2N6151
2N6152
2N6153
2N6154
2N6155

2N6148
2N6149
2N6150
2N6151
2N6152
2N6153
2N6154
2N6155

2N6156
2N6157
2N6158
2N6159
2N6160
2N6161
2N6162
2N6163

2N6156
2N6157
2N6158
2N6159
2N6160
2N6161
2N6162
2N6163

2N6164
2N6165
2N6167
2N6168
2N6169
2N6170
2N6171
2N6172

2N6164
2N6165
2N6167
2N6168
2N6169
2N6170
2N6171
2N6172

2N6157
2N6157

2N6173
2N6174
2N6236
2N6237
2N6238
2N6239
2N6240
2N6241
2N6332
2N6333
2N6334
2N6335

2N6173
2N6174
2N6236
2N6237
2N6238
2N6239
2N6240
2N6241

2N3870
2N3870
2N6236
2N6236
2N6236
2N6236
2N6236
2N6236

2N6139
2N6139
2N6139
2N6139
2N6139
2N6139

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
10
10
10

VORM/VRRM

volts
200
400
200
400
200
400
200
400
100
200
400
600
200
400
500
600
25
50
100
200
300
400
500
600

TJ TeP)
°C
100
100
100
100
100
100
100
100

1ST

VST

rnA
100
100
100
100
150
150
150
150

volts

60
60
60
60
±120
±120
±120
±120

3.0
3.0
3.0
3.0
±4.0
±4.0
±4.0
±4.0

110
110
110
110
110
110
110
110
100
100
100
100
100
100
150
150
150

60
60
60
60
60
60
60
60
125
125
1.25
125
125
125
150
150
150

2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
4.0
4.0
4.0

125
125
125
100
100
100
100
lOO

2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5

100
200
200
200
200
200
200
200

2.5
3.4
3.4
3.4
3.4
3.4
3.4
3.4

100
100
100
100
115(1)

ii~m

115(1)

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

10
10
15
15
15

200
400
600
200
400
600
200
400
600

2N6148
2N6148
2N6148
2N6151
2N6151
2N6151
2N6151
2N6151

10
10
10
10
10
10
10
10

200
400
600
200
400
600
200
400

2N6151
2N6157
2N6157

10
30
30
30
30
30
30
30

600
200
400
600
200
400
600
200

100
100
100
100
100
100
100
100
100
125
125
125
125
125
125
125

30
30
240
240
240
240
350
350

400
600
100
200
400
600
100
200

125
125
100
100
100
100
100
100

200
200
75
75
75
75
80
80

3.4
3.4
2.5
2.5
2.5
2.5
3.0
3.0

350
350

400
600
30
50
100
200
400
600
'30
50
100
200

100
100
110
110
110
110
110
110
150
150
150
150

80
80
500*
500*
500*
500*
500*
500*
0.5
0.5
0.5
0.5

3.0
3.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

2N~157

2N6157
2N6157
2N6157
2N6157

2N3870
2N3870

10

20
20
20
20

2-78

THYRISTOR INDEX (continued)
TYPE
2N6336
2N6337
2N6342
2N6342A
2N6343
2N6343A
2N6344
2N6344A
2N6345
2N6345A
2N6346
2N6346A
2N6347
2N6347A
2N634S
2N634SA
2N6349
2N6349A
3N5B
3N59
3N60
3NBO
3NS1
3NB2
3NB3
3NS4
3NB5
3NB6

REPLACEMENT

REF.

2N6336-3N86

'1mp

VORM/VRRM

Yolts

·C

20
20
S.O
12
S.O
12
S.O
12
S.O
12
S.O
12

300
400
200
200
400
400
600
600
SOO
SOO
200
200
400
400
600
600
BOO
SOO
40
40
40
40
65
100
70
40
100
65

150
150
100
110
100
110
100
110
100
110
100
110
100
110
100
110
100
110

RMS1

s.o

12
S.O
12
B.O
12
0.064
0.064
0.064
0.127
0.127
0.127
0.032
0.111
0.111
0.127

2-79

TJ Te(l)

150
150
150
150
150
150
125
125
125
150

1ST

VST

mA

Yolts

0.5
0.5
125
125
125
125
125
125
125
125
125
125
125
125
125
125
125
125
0.001
0.001
0.001
0.001
0.001
0.001
0.15
0.01
0.01
0.001

1.0
1.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
0.65
0.65
0.65
0.65
0.65
0.65
O.BO
0.65
0.65
0.65

•

FIELD-EFFECT TRANSISTORS
INDEX AND SHORT·FORM SPECIFICATIONS

This table contains a numerical listing and short-form specifications for field-effect transistors with
EIA-registered 2N and 3N numbers.

KEY

TYPE

r=iii ..:
UJ

...co~

z

B

NEAREST
EQUIV.

Numerical Listing
of Registered
Type Numbers

N = n-channel
P p-channel

=

J = Junction FET
M MOS FET

=

Type number of nearest
electrical equivalent fully
characterized In this book

Reference device number indicates
specific Data Sheet on which device
is characterized

".

Breakdown
Voltage
IGSS
CISS
lOGo' VIORI
Max
SubMin
Max
mA("nA) nA Volts script ",mhos ",mhos pF

IDss

REF.
Min
mA

Minimum and
Maximum
Drain Current
With gate
connected
to source

NF @ f
NOTE
dB
D = Dual
.;: MP=
",V"
Matched
Pair

:s

7z

'"

Noise Figure In dB or ",
",V / y'RZ at a specified
frequency

Maximum Gate
Current (leakage)
with drain
connected to source
"Maximum leakage
from drain to gate
with source open

frequency units:
H=Hz
K= kHz
M=MHz
Maximum Input Capacitance
Minimum and Maximum Forward Transadmittance

Minimum Breakdown Voltage (Subscript defines conditions)
GS = Gate to source, drain connection not specified
GSS = Gate to source, drain connected to source
GO = Gate to drain, source connection not specified
GDS = Gate to drain, source connected to drain
DGO = Drain to gate, sou rce open
DGS = Drain to gate, source connected to drain
DS = Drain to source, gate connection not specified
DSX = Drain to source, gate biased to cutoff or beyond

2-80

FIELD-EFFECT TRANSISTORS INDEX
>-

TYPE

~ :;;
::5 ez

e
....

...

NEAREST
EQUIVALENT

loss

REF.
Min
mA

Max
mA

GSS
lOGO

.

nA

Breakdown
Voltage

V"el

Volts

Sub·
script

• nA
2N2386
2N2386A
2N2497
2N2498
2N2499
2N2500
2N2606
2N2607
2N260S
2N2609
2N2620
2N2794

p
P
P
P
P
P
P
P
P
P

2N2S41
2N2S42
2N2S43
2N2S44
2N3066
2N3066A
2N3067
2N3067A
2N3068
2N3068A
2N3069

P
P
P
P

N
N
N

2N3069A
2N3070
2N3070A
2N3071
2N3084
2N3085
2N3086
ZN3087
2N3088
2N3088A
2N3089
2N3089A
2N3112
2N3113
2N3277
2N3278
2N3328
2N3329
2N3330
2N3331
2N3332
2N3333
2N3334
2N3335
2N3336
2N3365
2N3366
2N3367
2N3368
2N3369
2N3370
2N3376
2N3377
2N3378
2N3379
2N3380
2N3381
2N3382
2N3383
2N3384
2N3385
2N3386
2N3387
2N3436
2N3437
2N3438
2N3452
2N3453
2N3454
2N3455
2N3456
2N3457
2N3458
2N3459
2N3460
2N3465
2N3466
2N3573·
2N3574
2N3575
2N357B

2N3608
2N3609
2N3610
2N3631
2N3684

J
J
J
J
J
J
J
J
J
J
J
J

2N5266-9
2N5267-70
2N5267
2N5268
2N5269-70
2N5267-S
2N5473-4
2N5475-6
2N5266-S
2N5268-70

2N5265
2N5265
2N5265
2N5265
2N5265
2N5265
2N5471
2N5471
2N5265
2N5265

2N5471-2
2N5472-3
2N5265
2N5265-7
MFE2095

2N5471
2N5471
2N5265
2N5265
MFE2093

N

J
J
J
J
J
J
J
J
J
J
J

N
N
N
N
N
N
N
N
N
N
N

J
J
J
J
J
J
J
J
J
J
J

N

J
J
J
J
J
J
J
J
J
J
J
J

N
P

N
N

N

P
p
P
p

P
P
p
p
p

P

p

p
p

N
N
N
N
N
N
P

P
P
P
P
P
P

p
p
p
p
p

N
N
N
N
N
N
N
N
N
N
N
N
N
N
p

P
P

P

p
p
p

N
N

J
J
J
J
J
J
J
J
J
J
J
J

MFE2093-4 MFE2093
MFE2093
MFE2093
MFE2093
MFE2093
2N4220A-2A 2N4220
2N4220A

2N4220

2N4220A
MFE2095
MFE2095
MFE2095
MFE2095
MFE2094-5
MFE2094-5
MFE2094-5

2N4220
MFEZ093
MFE2093
MFE2093
MFE2093
MFE2093
MFE2093
MFE2093

MFE2094-5
2N5471-3
2N5471-3
2N5473-4
2N5475
2N5473-5
2N5266-7
2N5267-8
2N5269-70
2N5267 -8

MFE2093
2N5471
2N5471
2N5471
2N5471
2N5471
2N5265
2N5265
2N52G5
2N5265

Max

I'mhos

I'mhos

.

pF

v1ll

20
20
20
20
20
20
30
30
30
30
50
20

GS
DGO
GD
GD
GD
GS
GDS
GDS
GDS
GDS
DGO
DGO

1000
2200
1000
1500
2000
1000
llO
330
1000
2500

5.0
0.125
0.325
1.0
2.2
4.0
4.0
1.0
1.0
0.25
0.25
10

1.0
3.0
10
30
1.0
1.0
1.0
1.0
1.0
1.0
1.0

50
50
50
50
50
50
50

DGO
DGO
DGO
DGO
DGO
DGO
DGO

60
lS0
540
1400
400
400
400
300
200
200
1000

2.0
0.5
0.5
0.1
0.8
0.8
0.8
0.8
0.5
0.5
0.5

10
2.5
2.5
0.6
3.0
3.0
3.0
3.0
2.0
2.0
2.0

1.0
1.0
1.0
1.0
0.1
0.1
1.0
1.0
1.0
1.0
1.0

50
50
50
50
15
15
30
30
10
10
10

DGO
DGO
DGO
DGO
DGO
DGO
DGS
DGS
DGS
DGS
DGS

1000
750
750
500
400
400
400
400
300
300
300

2500

2.0

1.0

0.175
0.175

0.05

10
20
20
25
25
20
20
20
20
20
20
20

DGS
GDS
GDS
DGO
DGO
GSS
GSS
GSS
GSS
GSS
GSS
GSS

300
50
50
100
150
100
1000
1500
2000
1000
600
600

2000
115
115

3.0

20
20
40
40
40
40
40
40
30
30
30
30

GSS
GSS
DGO
DGO
DGO
DGO
DGO
DGO
DGS
DGS
DGS
DCS

600
600
250
250
100
1000
600
300
800
800
1500
1500

DGS
DGS
DGS

1500
1500
4500
4500
7500
7500
7500
5000
2500
1500
800
200

3000
3000
12500
12500
12500
12500
12500
10000
10000
6000
4500
1200

4.0
4.0

18
18
18
6.0

2.0
2.0
2.0
2.0

150
100
400
300
150
2500
1500
400
400
100
200

900
600
1200
900
600
10000
6000
4500
1200
1200
300
600

6.0
6.0
5.0
5.0
5.0
18
18
18
15
15
6.0
6.0

2.0
2.0
4.0
4.0
4.0
6.0
4.0
4.0
5.0
5.0
3.0
3.0

300
1200

900
3500

6.0
65

3.0

1400
2000

2BOO

7.5
4.0

0.5

0.5
0.035
0.035
0.15
0.4
1.0
l.0
2.0
5.0
1.0
0.3
0.3

15
5.0
6.0
15
6.0
0.5
1.5
4.5
10

0.5
0.9
3.0
6.0
15
6.0
l.0
1.0

0.05
0.4
0.4
1.0
10
10
10
10
10
10

0.3
0.3
0.8
0.2
0.005
2.0
0.5
0.1
0.6
0.6
3.0
3.0

1.0
1.0
4.0
1.0
0.25
12
2.5
0.6
6.0
6.0
6.0
6.0

3.0
3.0
3.0
3.0
15
15
13
13
3.0

20
20
30
30
30
30
50
50
15
4.0
1.0
4.0

3.0
3.0
15
15
15
15
15
15
0.5
0.5
0.5
0.1

30
30
30
30
30
30
30
30
50
50
50
50

1.0
0.25

0.1
0.1
0.04
0.04
0.04
0.25
0.25
0.25
1.0
1.0
0.6
0.6

50
50
50
50
50
50
50
50
40
40
25
25

0.6
15

25
20

0.1

20
50

2N5268-70
2N5268-70
2N3994
2N3994
2N3993
2N3993
2N3993
2N3993
2N4222A
2N4220A
2N4222A
MFE2095

2N5265
2N5265
2N3993
2N3993
2N3993
2N3993
2N3993
2N3993
2N4220
2N4220
2N4220
MFE2093

J
J
J
J
J
J
J
J
J
J
J
J

MFE2094
MFE2093
MFE2095
MFE2094
MFE2093
2N4222A
2N4220A
2N4220A
MFE2095
MFEZ095
2N5471-2
2N5472-4

MFE2093
MFE2093
MFE2093
MFE2093
MFE2093
2N4220
2N4220
2N4220
MFE2093
MFE2093
2N5471
2N5471

0.2
0.05
0.8
0.2
0.05
3.0
0.8
0.2
1.0
1.0
0.02
0.075

J
J

2N5471
2N5474-5
2N5265
2N5266-8
2N4352
2N4352
MFE3020-1 MFE3020
2N4352
2N4352
2N3797
2N3796
2N4221A
2N4221

0.2
0.9
30
35
10

O.B

0.2
0.8

2.0

2.5

@

f
I

NOTE

:~

1:5

mmhos

1.5

J
J
J
J
J
J
J
J
J
J
J
J

J

Min

CISS

0.025
0.065
0.2
1.0
O.S
0.8
0.2
0.2
0.05
0.05
2.0

2N5268
2N5268

2N5265-B

y"

10
10
10
10
10
10
1.0
3.0
10
30
100
10

1.0
1.0
2.0
5.0
1.0
0.1
0.3
0.9
2.0

2N4220
MFE2093
MFE2093
2N4220
2N4220
MFE2093
2N5265
2N5265
2N5265
2N5265

M
M
M
M

2N4220A 1A
MFE2093-4
MFE2093
2N4221A-2A
2N4220A
MFE2093
2N5265-8

2N2386-2N3684
NF
dB
I'V'

4.0
1.0
0.25
15
4.0
1.0
5.0
5.0
0.1
0.375
1.0
4.5
0.025
0.02
0.02
10
7.5

10
10
5.0
5.0
5.0
5.0
5.0
5.0
3.0
3.0
3.0

2-81

DCS
DCS

DGS
DGS
DGS
DGO
DGO
DCO
DCO
DCO

DGO
DGO
DGO
DCO

DGO
DGO
DGO
DCO
DCO

GSS
CSS

GSS
GSS

DSX
CS

BOO

5000
2000
3000
4000
2000

1000
1000
1000
1000

2500
2500
2000
2000
2000
2000
2000
2000
2000

2000
3000
4000
2200
1800
1800
1800
1800
1000
1000
1000
4000

2500
2500

2300
2300
2300

3000

50
10
32
32
32
32
6.0
10
17
30

3.0
3.0
4.0
1.0
3.0
3.0
3.0
3.0

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

K
K
K
K
K
K
K
K

6.0
10
17
30
10
10
lS
10
18
10
15

3.0
3.0
3.0
3.0
3,0
0.25
3.0
0.25
3.0
0.25
3.0

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

K
K
K
K
K
K
K
K
K
K
K

15
15
15
15
14
14
14
14
14
14
14

0.25
3.0
0.25
3.0

1.0
1.0
1.0
1.0

K
K
K
K

3.0
0.5
3.0

10

H

14
3.5
2.0
3.0
3.0
3.0
20
20
20
20
30
30

0.5

10

H

•

3.0
3.0
3.0
4.0
1.0
Dual

Dual
Dual

30
30
15
15
15
20
20
20
5.0
4.0
5.0
4.0

Dual

FIELD-EFFECT TRANSISTORS INDEX (continued)

2N3684A-2N4342
TYPE

>t::

..

t;:;

'" z
...:5 8

NEAREST
EQUIVALENT

loss
Min
mA

Breakdown
Voltage

G5S;

REF.
Max
mA

IOGo*

VleRI
Volts

nA

Sub·
script

2N3684A
2N3685
2N3685A
2N3686
2N3686A
2N3687
2N3687A
2N3695
2N3696
2N3697
2N3698
2N3796
2N3797
2N3819
2N3820
2N3821

N
N
N
N
N
N
N
P
P
P
P
N
N
N
P
N

2N4221A
2N4220A
2N4221
2N4220A
2N4220A
2N4221
2N4220A
2N5358
2N5358
2N4220A
2N5267
2N5265
2N5266
2N5265
2N5265
2N5265
2N5265
2N5265
M 2N3796
2N3796
M 2N3797
2N3796
J MPFI02
MPFI02
J 2N5460-2 2N5460
J 2N3821
2N3821

2N3822
2N3823
2N3824
2N3882
2N3909
2N3909A
2N3921
2N3922
2N3934
2N3935
2N3954
2N3954A

N
N
N
P
P
P
N
N
N
N
N
N

2N3822
2N3823
2N3824
M MFE3003
J 2N5460-2
J 2N5460-2
J MMF1,2
J MMF3,4
J MMF1,2
J MMF5,6
J
J

MMF1,2

2N3955
2N3955A
2N3956
2N3957
2N3958
2N3966
2N3967
2N3967A
2N3968
2N3968A
2N3969
2N3969A

N
N
N
N
N
N
N
N
N
N
N
N

J
J
J
J
J
J
J
J
J
J
J
J

MMF1
MMF1,2
MMF1
MMF1,2
MMFl
MMF5,6
MMF1
MMF5,6
MMF1
MMF5,6
2N4221
2N4220
2N4221A-2A2N4220
2N4221A-2A2N4220
2N4221A
2N4220
2N4221A
2N4220
2N4220A
2N4220
2N4220A
2N4220

0.5
0.5
0.5
0.5
0.5
2.0
2.5
2.5
1.0
La
0.4
0.4

2N3970
2N3971
2N3972
2N3993
2N3994
2N4038
2N4039
2N4065
2N4066
2N4067
2N4082
2N4083

N
N
N
P
P
N
N
P
P
P
N
N

J
J
J
J
J

2N4091
2N4091
2N4091
2N3993
2N3993
2N3796
2N3796
2N4352
2N4066
2N4066
MMF1
MMF1

50
25
5.0
10
2.0

J
J

2N4091
2N4091-2
2N4093
2N3993
2N3994
2N3796
2N3796
2N4352
2N4066
2N4067
MMF1,2
MMF3,4

2N4084
2N4085
2N4088
2N4089
2N4090
2N4091
2N4091A
2N4092
2N4092A
2N4093
2N4093A
2N4094
2N4095
2N4117
2N4117A

N
N
P
P
P
N
N
N
N
N
N
N
N
N
N

J
J
J
J
J
J
J
J
J
J
J
J
J
J
J

MMF1,2
MMF3,4
MPF161
MPF161
MPF161
2N4091
2N4091
2N4092
2N4092
2N4093
2N4093
2N4091
2N4092
MFE2093
MFE2093

MMF1
La
MMF1
1.0
MPFl61
5.0
2.0
MPFl61
MPF161
0.4
2N4091
30
2N4091
30
2N4091
15
2N4691
15
2N4091
8.0
2N4091
8.0
2N4091
75
2N4091
20
MFE2093 0.03
MFE2093 0.03

10
10
15
8.0
2.5

0.25
0.25
0.1
0.1
0.1
0.2*
0.04
0.2*
0.04
0.2*
0.04

0.09
0.09

2N4118
2N4118A
2N4119
2N4119A
2N4120
2N4139
2N4220
2N4220A
2N4221
2N4221A
2N4222
2N4222A

N
N
N
N
P
N
N
N
N
N
N
N

MFE2093
MFE2093
MFE2093
MFE2093
M 2N4352
2N4222A
J
J
2N4220
J
2N4220A
J
2N4221
J
2N4221A
J
2N4222
J
2N4222A

MFE2093
MFE2093
MFE2093
MFE2093
2N4352
2N4221
2N4220
2N4220
2N4220
2N4220
2N4220
2N4220

2N4223
2N4224
2N4267
2N4268
2N4302
2N4303
2N4304
2N4338
2N4339
2N4340
2N4341
2N4342

N
N
P
P

J
J

N

N
N

N
N

N
N
P

J
J
J

M
M
M
M
M

J
J
J
J

M
M
J
J
J
J
J
J
J
J

MMFl,2

2.5
1.0
1.0
0.4
0.4
0.1
0.1
1.25
0.5
0.2
0.05
0.5
2. a
2.0
0.3
0.5

7.5
3.0
3.0
1.2
1.2
0.5
0.5
3.75
1.5
0.6
0.25
3.0
6.0
20
15
2.5

0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.001
0.001
2.0
20
0.1

50
50
50
50
50
50
50
30
30
30
30
25
20
25
20
50

GSS
GS
GSS
GS
GSS
GS
GSS
GS
GS
GS
GS
DSX
DSX
GSS
GSS
GSS

2N3821
2.0
2N3823
4.0
2N3821
MFE3003 0.25
2N5460
0.3
1.0
MMF1
1.0
MMFl
1.0
MMF1
0.25
MMFI
0.25
MMFl
0.5
MMF1
0.5

10
20

0.1
0.5
0.1
0.1
10
10
0.25
0.25
0.1
0.1
0.1
0.1

50
30
50
30
20
20
50
50
50
50
50
50

GSS
DGS
GSS
DS
DGS
GSS
GSS
GSS
GSS
GSS
GSS
GSS

0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1

50
50
50
50
50
30
30
30
30
30
30
30

GSS
GSS
GSS
GSS
GSS
DGS
DGS
GSS
DGS
GSS
DGS
GSS

0.25*
0.25*
0.25"
1.2"
1. 2*

40
40
40
25
25
15
15
25
25
30
50
50

DGS
DGS
DGS
GSS
GSS
DSX
DSX
GSS
GSS
DSS

2N4220
2N4223
2N4223
2N4224
2N4223
2N4352
2N4352
2N4352
2N4352
2N5457
2N5457
2N5458
2N5457-9 2N5457
2N4220A
2N4220
2N4220A
2N4220
2N4220A-lA 2N4220

~~m~A-y ~~mg

15
15
10
10
1.3
1.3
5.0
5.0
5.0
5.0
5.0
5.0
5.0
10
10
5.0
5.0
2.0
2.0
150
75
30

0.1

0.1
1.5
0.005

0.25
0.25

1.0*
1.3
1.3

0.08
0.08
0.2
0.2
8.0
0.5
0.5
2.0
2.0
5.0
5.0
3.0
2.0

0.2
0.5
1.2
3.0
4.0

Min
I'mhos

Max
I'mhos

CISS

NF
dB

@

f

i.=
I'e:

IJ.V*

pF

VilZ·

4.0
4.0
4.0
4.0
4.0
4.0
4.0
5.0
5.0
5.0
5.0
6.0
8.0
8.0
32
6.0

0.5
0.5
0.5
0.5
0.5
0.15*
0.5
0.2"
O. 2~'(
0.2"
0.2*
4.0
4.0
5.0

10

H

6.0
6.0
6.0
4.0
32
9.0
18
18
7.0
7.0
4.0
4.0

5.0
2.5

10
100

H
M

2.0
2.0
2.0
2.0
0.5
0.5

1.0
1.0
100
100
100
100

K
K

0.5
0.5
0.5
0.5
0.5

100
100
100
100
100

H
H
H
H
H

1.5

100

H

1.5

100

H

1.5

100

H

NOTE

I::>

~

*mmhos

* nA
J
J
J
J
J
J
J
J
J
J
J

y,.

0.0025
0.0025
0.1
0.1

0.01
0.001

50
50
30
30
30
40
50
40
50
40
50
40
40
40
40

GSS
GSS
GSS
DGO
GSS
DGO
GSS
DGO
GSS
GSS
GSS
GSS
DGO

0.24
0.24
0.6
0.6
500*
11
3.0
3.0
6.0
6.0
15
15

0.01
0.001
0.01
0.001
0.0025
1.0
0.1
0.1
0.1
0.1
0.1
0.1

40
40
40
40
30
50
30
30
30
30
30
30

GSS
DGO
GSS
DGO
DSS
DGO
GSS
GSS
GSS
GSS
GSS
GSS

18
20
0.001
0.001
5.0
10
15
0.6
1.5
3.6
9.0
12

0.25
0.5
0.005
0.005
1.0
1.0
1.0
0.1
0.1
0.1
0.1
10

30
30
30
30
30
30
30
50
50
50
50
25

GSS
GSS
GSS
GSS
DGO
DGO
DGO
DGO
DGO
DGO
DGO

2-82

DGO

1500

2500

1000

2000

500

1500

1000
750
500
250
900
1500
2000
800
1500

1750
1250
1000
750
1800
3000
6500
5000
4500

3000
3500

6500
6500

1000
1000
2000
1500
1500
300
300
1000
1000

2400
5000
7500
7500
900
900

2400
2400
2000
2000
1450
1450

4.0
4.0
4.0
4.0
4.0
6.0
5.0
5.0
5. a
5.0
5.0
5.0

12000
10000

25
25
25
16
16

1000
1000
1000
1000
1000
1600
1600
1400
1400
950
950

6000
4000

100H
100H
100H
100H

3.0

H
H
H
H

4.5
7.0
7.0
7.0
7.0

2500
300
300
1500
1500
1000
800
500

1600
1300
900

70

210

80

250

100

330

700
1000
1000
2000
2000
2500
2500

4000
4000
5000
5000
6000
6000

3000
2000

7000
7500

18
18
10
10
10
16
16
16
16
16
16
32
32
3.0
3.0
3.0
3.0
3.0
3.0
0.7
18
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
15
15
6.0
6.0
6.0
6.0
6.0
6.0
6.0
5.0

Dual
Dual
Dual
Dual
Dual

Dual
Dual

Dual
Dual

Dual
Dual

Dual
Dual

1.5
1.5
1.5

La
1.0
1.0

K
K
K

2.5

1.0

H

2.5

La

H

2.5

1.0

H

5.0

200

M

FIELD-EFFECT TRANSISTORS INDEX (continued)

TYPE

~

...:5'"
C>

..
t:i
z

co

loss

.WEST
EOUIVAlENT

REF.

GSS
lOGO*

Max
mA

Min
mA

Breakdown
Voltage

p

N
N
N
N
N
N
N
N
N
N

2N4856
2N4856A
2N4857
2N4857A
2N4858
2'N4858A
2N4859
2N4859A
2N4860
2N4860A
2N4861
2N4'861A.

N
N
N
N
N
N
N
N
N
N
N
N

J
J
J
J

2N4867
2N4867A
2N4868
2N4868A
2N4869
2N4869A
2N4881
2N4882
2N4883
2N4884
2N4885
2N4886
2N4977

N
N
N
N
N
N
N
N
N
N
N
N
N

J
J
J
J
J
J

2N4978
2N4979
2N5018
2N5019
2N5020
2N5021
2N5033
2N5045
2N5046
2N5047
2N5078
2N5103
2N5104
2N5105

N
N

J
J

P
p
p
p

J

2N5114
2N5115
2N5116
2N5158
2N5159
2N5163
2N5196
2N5197
2N5198
2N5199
2N5245
2N5246
2N5247
2N5248
2N5265
2N5266
2N5267
2N5268
2N5269
2N5270
2N5277
2N5278
2N5358
2N5359
2N5360
2N5361
2N5362
2N5363
2N5364
2N5391
2N5392
2N5393
2N5394
2N5395
2N5396
2N5397
2N5398
2N5432
2N5433
2N5434

N
p
p
p
P

P
N

N
N
N
N
N
N
P

p

P
N
N
N
N
N
N
N
N
N
N
N
P
P
P
P
P
P

N
N
N
N
N
N
N
N
N
N
N

N
N
N
N
N
N
N
N
N

J
M
M
M
J
J
J

3.0
3.0
3.0
3.0
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25

40
40
40
40
40
40
30
30
30
30
30
30

GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS

0.25
0.25
0.25
0.25
0.25
0.25
2.0
2.0
1.0
1.0
1.0
1.0
0.5

40
40
40
40
40
40
100
100
100
100
75
75
30

GSS
GSS
GSS
GSS
'GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS

0.5
0.5
2.0
2.0
1.0
1.0
10
0.25
0.25
0.25
0.25
0.1
0.1
0.1

30
30
30
30
25
25
20

GSS
GSS
GSS
GSS
GSS
GSS
GSS

1000

5000

30
25
25
25

GSS
GSS
GSS
GSS

4500
2000
3500
5000

10000
8000
7500
10000

35
35
45
45
25
25
25
8.0
8.0
8.0
6.0
5.0
5.0
5.0

30
30
30
40
40
25
50
50
50
50
30
30

GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS

2000
1000
1000
1000
1000
4500
3000

9000
4000
4000
4000
4000
7500
6000

25
25
25
50
50
12
6.0
6.0
6.0
6.0
4.5
4.5

SQb·

J
J
J

J
J

J
J
J
J

J
J

J
J
J
J
J

J

J
J

J

J
J
J
J
J
J
J
J
J
J
J
J
J
J
J
J
J
J

J
J
J
J
J
J
J
J
J
J
J
J'
J
J
J
J
J
J
J
J
J
J
J

10
1.0
0.1
0.1
0.1

2N4091
2N4091
2N4091
2N4091
2N4091
2N4091
2N4091
2N4091
2N4091
2N4091
2N4091
2N4091

50
50
20
20
8.0
8.0
50
50
20
20
8.0
8.0

2N4220A
2N4220A
2N4220A
2N4220A

2N4220
2N4220
2N4220
2N4220
2N4220
2N4220

100
100
80
80
100
100
80
80

4000
8000

4500

7500

5.0
5.5
6.5
12
20
5.0

4.0
4.0
3.5
50
50
50
50

700
700
1000
1000
1300
1300

2000
2000
3000
3000
4000
4000

25
25
25
25
25
25
15
15
15
15
15
15
35

MFE2007

MFE2008
MFE2007
2N3993
2N3993
2N5265-6
2N5266-7
2N5265-7
MMF5,6
MMF5,6
MMF5,6
2N4416
2N3823
2N3823
2N3823

MFE2007
MFE2007
2N3993
2N3993
2N5265
2N5265
2N5265
MMF1
MMF1
MMF1
2N4416
2N3823
2N3823
2N3823

15
7.5
10
,5.0
0.3
1.0
0.3
0.5
0.5
0.5
4.0
1.0
2.0
5.0

1.2
3.5
3.5
8.0
8.0
8.0
25
8.0
6.0
15

2N3993
MFE2012
MFE2012
MPF102
MMF1,2
MMF1,2
MMF3,4

30
15
5.0

90
60
25

2N5485

2N3993
MFE2010
MFE2010
MPF102
MMF1
MMF1
MMFl
MMF1
2N5484
2N5484

1.0
0.7
0.7
0.7
0.7
5.0
1.5

40
7.0
7.0
7.0
7.0
15
7.0

0.5
0.5
0.5
1.0
1.0
10
0.025
0.025
0.025
0.025
1.0
1.0

2N5486
MPFl02
2N5265
2N5266
2N5267
2N5268
2N5269
2N5270
2N3822
2N5364
2N5358
2N5359

2N5484
MPFl02
2N5265
2N5265
2N5265
2N5265
2N5265
2N5265
2N3821
2N5358
2N5358
2N5358

8.0
4.0
0.5
0.8
1.5
2.5
4.0
7.0
2.5
10
0.5
0.8

24
20
1.0
1.6
3.0
5.0
8.0
14.0
12.5
25
1.0
1.6

1.0
5.0
2.0
2.0
2.0
2.0
2.0
2.0
5.0
5.0
0.1
0.1

30
30
60
60
60
60
60
60
150
150
40
.40

GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS

4500
3500
900
1000
1500
2000
2200
2500
2000
3000
1000
1200

8000
6500
2700
3000
3500
4000
4500
5000
5000
6000
3000
3600

4.5
6.0
7.0
7.0
7.0
7.0
7.0
7.0
25
25
6.0
6.0

2N5360
2N5361
2N5362
2N5363
2N5364
2N5358
2N5360
2N5360
2N5361
2N5362
2N5362
MFE2001
MFE2001
MFE2012
MFE2012
MFE2012

2N5358
2N5358
2N5358
2N5358
2N5358
2N5358
2N5358
2N5358
2N5358
2N5358
2N5358
MFE2000
MFE2000
MFE2012
MFE2012
MFE2012

1.5
2.5
4.0
7.0
9.0
0.5
1.0
2.5
4.0
5.5
7.5
10
5.0
150
100
30

3.0
5.0
8.0
14
18
1.5
3.0
4.5
6.0
8.0
10
30
40

0.1
0.1
0.1
0.1
0.1
0.2
0.2
0.2
0.2
0.2
0.2
0.1
0.1
0.2
0.2
0.2

40
40
40
40
40
70
70
70
70
70
70
25
25
25
25
25

GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS

1400
1500
2000
2500
2700
1500
2000
3000
4000
4500
4500
6000
5500

4200
4500
5500
6000
6500
4500
6000
6500
7000
7000
7500
10000
10000

6.0
6.0
6.0
6.0
6.0
18
18
18
18
18
18
5.0
5.5
30
30
30

~~$~

::l2
,co

NOTE

,=

5.0

100

H

4.0

400

M

•

18
10
18
10
18
10
18
10
18
10
15
10

MFE2009

~~tmw

1.2
1.2
3.0
3.0
7.5
7.5
2.0
7.5
2.0
7.5
2.0
7.5

0.1

1000
1000
1000
2000

0.4
0.4
1.0
1.0
2.5
2.5
0.4
1.5
0.4
1.5
0.4
1.5
50

J

J
J
J
J
J
J
J
J
J

30
30
100
75
30
15
15
15

2N4091
2N4091
2N4092
2N4092
2N4093
2N4093
2N4091
2N4091
2N4092
2N4092
2N4093
2N4093

J
J
J
J

3.0

50
25
5.0
5.0
5.0
5.0

.

/LV'

VHz'

pF

@

mmhos

10
0.01
0.01

10

C'ss

DGO
DSS
DSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS

30
0.01
0.005

2N4352
2N4352
2N4360
2N3994
2N4391
2N4392
2N4393
2N4416
2N4416
2N4416
MFE2012
MFE2012
MFE2012
MFE2012

Max
I'mhos

25
25
25
3.0
20
25
40
40
40
30
35
30
25
25
20
20

10
2N4351
2N4352
2N4352
2N4360
2N3993
2N4391
2N4391
2N4391
2N4416
2N4416
2N4416
MFE2010
MFE2010
MFE2010
MFE2010

Min
I'mhos

script

• nA
2N4343
2N4351
2N4352
2N4353
2N4360
2M382
2N4391
2N4392
2N4393
2N4416
2N4416A
2N4417
2N4445
2N4446
2N4447
2N4448

y"

V,••,
Volts

nA

,

2N4343·2N5434
NF
dB

1.0
1.0
1.0
1.0
1.0
1.0

1.0
1.0
1.0
1.0
1.0
1.0

K
K
K
K
K
K

2.0

1.0

K

3.0
1.5
1.5
1.5

200
100
100
100

M
H
H
H

1.0
1.0
1.0
1.0
2.0

100
100
100
100
100

H
H
H
H

M

2.5
2.5
2.5
2.5
2.5
2.5
3.0
3.0
2.5
2.5

100
100
100
100
100
100
1.0
1.0
100
100

H
H
H
H
H
H
K
K
H
H

2.5
2.5
2.5
2.5
2.5
1.0
1.0
1.0
1.0
1.0
1.0
3.5

100
100
100
100
100
100
100
100
100
100
100
450

H
H
H
H
H
H
H
H
H
H
H

M

FIELD-EFFECT TRANSISTORS INDEX (continued)

2N5452-2N5953
TYPE

i= t;;
iii:

=s
~
e:> ...,
....

ID55

NEAREST
EQUIVALENT

REF.

G55

.

Breakdown
Voltage

IDGO

•

i .i!

NOTE

.

MMF1.2
MMF3,4
2N5457
2N5458
2N5459
2N5460
2N5461
2N5462
2N5463
2N5464
2N5465

0.1
0.1
0.1
1.0
1.0
1.0
5.0
5.0
5.0
5.0
5.0
5.0

50
50
50
25
25
25
40
40
40
60
60
60

GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS

1000
1000
1000
1000
1500
2000
1000
1500
2000
1000
1500
2000

3000
3000
3000
5000
5500
6000
4000
5000
6000
4000
5000
6000

4.0 0.02*
4.0 O.O2~'(
4.0 O.O2~\'
7.0
7.0
7.0
2.5
7.0
2.5
7.0
7.0
2.5
7.0
2.5
2.5
7.0
7.0
2.5

100
100
100
100
100
100

H
H
H
H
H
H

2N5471
2N5472
2N5473
2N5474
2N5475
2N5476
2N5484
2N5485
2N5486

2N5471
2N5471
2N5471
2N5471
2N5471
2N5471
2N5484
2N5484
2N5484

0.02
0.05
0.10
0.20
0.40
0.80
l.0
4.0
8.0

0.06
0.12
0.25
0.50
1.0
2_0
5.0
10
20

0.5
0.5
0.5
0.5
0.5
0.5
1.0
1.0
1.0
0.25
0.25
0.25

40
40
40
40
40
40
25
25
25
30
30
30

GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS

60
90
120
160
200
260
3000
3500
4000
1000
1000
1000

180
225
300
400
500
650
6000
7000
8000
3500
3500
3500

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
16
16
16

2.5
2.5
2.5
2.5
2.5
2.5
3.0
2.0
2.0
2.0
2.0
2.0

1.0
1.0
1.0
1.0
1.0
1.0
100
100
100
1.0
1.0
1.0

K
K
K
K
K
M
M
M
K Du~l
K Dual
K Dual

30
30
30
30
30
30
30
40
40
40
40
40

GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS

1000
1000
500
500
500
500
500
1000
1000
1000
1000
1000

3500
3500
3000
3000
3000
3000
3000
4000
4000
4000
4000
4000

16
16
16
16
16
16
16
25
25
25
25
25

2.0
2.0
2. a
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

K Dual
K Dual
K Dual
K Dual
K Dual
K Dual
K Dual
H Dual
H Dual
H Dual
H Dual
H Dual

GSS
GSS
GSS
GSS
GSS

1000
1000
1000
1000
1000
750
750
1500
1500
1500
3500
6000

4000
4000
4000
4000
4000
3000
3000
6000
6000
6000
6500
15000

25
25
25
25
25
10
10
6.0
6.0
6.0
10
8.0

1.0
1.0
1.0
1.0
1.0

1.0
1.0
1.0
1.0
1.0

H
H
H
H
H

Dual
Dual
Dual
Dual
Dual

3.5
5.0

10
10

H
H

Dual
Dual
Dual

1500
1500
1500

6500
6,00
6500

5.0
6.0
6.0
6.0
7.0
7.0
7.0
12
12
12
20
20
20

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
2.6
6.0
10

10
10
10
10
10
10
10
10
10
10
10

H
H
H
H
H
H
H
H
H
H
H
H

MP
MP
MP
MP
MP
MP

3.0
3.0
3.0
10

10
10
10
1.0
1.0
1.0
2.5
2.5
2.5
2.5

1.0
1.0
1.0

K
K
K

100
100

M
M

V"RI

Sub·
script

Min
,"mhos

~IMn,2

P
P
P
P
P
N
N
N
P
P
P

J
J
J
J
J
J
J
J
J
J
J
J

2N5508
2N5509
2N5510
2N5511
2N5512
2N5513
2N5514
2N5515
2N5516
2N5517
2N5518
2N5519

P
P
P
P
P
P
P
N
N
N
N
N

J
J
J
J
J
J
J
J
J
J
J
J

0.5
0.5
0.5
0.5
0.5

7.5
7.5
7.5
7.5
7.5

0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25

2N5520
2N5521
2N5522
2N5523
2N5524
2N5543
2N5544
2N5545.
2N5546
2N5547
2N5548
2N5549

N
N
N
N
N
N
N
N
N
N
P
N

J
J
J
J
J
J
J
J
J
J

0.5
0.5
0.5
0.5
0.5
2.0
2.0
0.5
0.5
0.5

7.5
7.5
7.5
7.5
7.5
10
10
8.0
8.0
8.0
10*
60

0.25
0.25
0.25
0.25
0.25
1000
1000
0.1
0.1
0.1
0.05
0.25

40
40
40
40
40

40

GSS

2N5555
2N5556
2N5557
2N5558
2N5561
2N5562
2N5563
2N5564
2N5565
2N5566
2N5592
2N5593
2N5594

N
N
N
N
N
N
N
N
N
N
N
N
N

J
J
J
J
J
J
J
J
J
J
J
J
J

2.5
5.0
10
10
10
10
30
30
30
10
10
10

1.0
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.25
0.25
0.25

25
30
30
30
50
50
50
40
40
40
50
50
50

GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS

2N5638
2N5639
2N5640
2N5647
2N5648
2N5649
2N5653
2N5654
2N5668
2N5669

N
N
N
N
N
N
N
N
N
N

J
J
J
J
J
J
J
J
J
J

5,0
10

1.0
1.0
1.0
0.01
0.01
0.01
1.0
1.0
2.0
2.0

30
30
30
50
50
50
30
30
25
25

GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS

2N5670
2N5797
2N5798
2N5799
2N5800
2N5801
2N5802
2N5803
2N5902
2N5903

N
P
P
P
P
N
N
N
N
N

J
J
J
J
J
J
J
J
J
J

8.0
0.02
0.08
0.25
0.70
2.0
10
30
0.03
O. 03

20
0.10
0.40
1.00
2.00
15
40
80
0.5
0.5

2. a
3.0
3.0
3.0
3.0
0.1
0.1
0.1
0.005
0.005

25
40
40
40
40
-40
-40
-40
-40
-40

GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS

2N5904
2N5905
2N5906
2N5907
2N5908
2N5909
2N5949
2N5950
2N5951
2N5952
2N,953

N
N
N
N
N
N
N
N
N
N
N

J
J
J
J
J
J

0.03
0.03
0.03
0.03
0.03
0.03
12
10
7.0
4.0
2.5

0.5
0.5
0.5
0.5
0.5
0.5
18
15

0.005
0.005
0.002
0.002
0.002
0.002
-1.0
-1.0
-1.0
-1.0
-1.0

-40
-40
-40
-40
-40
-40
30
30
30
30
30

GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS
GSS

2N3822
2N3822
MMFl-6
MMFl-6
MMFl-6
MFE3003
2N4093

2N3819
2N3819
MMF1
MMF1
MMFl
MFE3001
2N4088
2N5555
2N5556
2N5556
2N5556

2N5592
2N5592
2N5592

2N5556
2N5556
2N5556

2N5555
2N5555
2N5555
2N5668
2N5668
2N5669

10
15
0.5
2.0
4.0
1.0
1.0
1.0
5.0
5.0
5.0
1.0
1.0
1.0

0.3
0.5
0.8
40
15
1.0
4.0

pF

VHZ

:=

mnihos

2N5471
2N5472
2N5473
2N5474
2N5475
2N5476
2N5484
2N5485
2N5486
2N5505
2N5506
2N5507

M

f

5.0
5.0
5.0
5.0
9.0
16
5.0
9.0
16
5. a
9.0
16

Volts

J
J
J
J
J
J
J
J
J
J
J
J

M
M
M
M

@

0.5
0.5
0.5
1.0
2.0
4.0
1.0
2.0
4.0
1.0
2.0
4.0

nA

N
N
N
N
N
N
P
P
P
P
P
P

J

NF
dB
I'V'

MMF1
MMF1
MMF1
2N5457
2N5457
2N5457
2N5460
2N5460
2N5460
2N5460
2N5460
2N5460

Max
mA

2N5452
2N5453
2N5454
2N5457
2N5458
2N5459
2N5460
2N5461
2N5462
2N5463
2N5464
2N5465

M

C'55

Max
"mhos

Min
mA
• nA

p

Yf,

50
25
5.0
0.6
1.0
1.6

13
8.0
5.0

2-84

10

7.0
7.0

70
70

250
250

7.0
5.0
5.0
5.0
5.0
15
15
15
3.0
3.0

70
70
70
70
70
70
3.0
3.0
3.0
1.0
1.0

250
250
250
250
250
250
7.5
7.5
6.5
6.5
6.5

3.0
3.0
3.0
3.0
3.0
3.0
6.0
6.0
6.0
6.0
6.0

60*
100*
160*
250*

225*
440*
500*
700)~

loOK
loOK
1.0K

10

K

2.5

100

M

1.0
1.0
1.0
3.0
3.0

100
100
100
100
100

H
H
H
H
H

3.0
3.0
1.0
1.0
1.0
1.0
2.0
2.0
2.0
2.0
2.0

100
100
100
100
100
100
1.0
1.0
1.0
1.0
1.0

H
H
H
H
H
H

K
K
K
K
K

MP
MP
MP
MP
MP
MP
MP
MP
MP
MP
MP
MP
MP
MP

FIELD-EFFECT TRANSISTORS INDEX (continued)

TYPE

~
iZ

!5
~

,...:

z:
'"
co
....

.

IDss
NEAREST
EQUIVALENT

REf.

Max
mA

Min
mA

'

GSS
DGO
nA

Breakdown
Voltage

V"Rl
Volts

p

N
N
N
N
N
N
N

M
M

3N139
3N140
3N141
31'142
31'143
3N145
3N146
3N147
3N148
3N149
3N150
3N151

N
N
N
N
N

3N152
3N153
3N154
3N155
3N155A
3N156
3N156A
3N157
3N157A
3N158
3N158A
3N159
3N160
3N161
3N162
3N163
3N164

N
N
N

31'165
3N166
3N167
3N168
3N169
3N170
3NI71
3N172
3N173
3N174
3N175
3N176
3NI77
3N178
3N179
3N180
3N181
3N182
3N183
3N184
3N185
3N186
3N188
3N189
31'190
31'191
3Nl92
3N193
3N200
3N201
3N202
3N203
3N204
31'205
3N206
3N207
3N208

P
p

P
P
P
P
P
P
P

P
P
P
P
P
P
P
P

N

J
J
J

MFE3004-5
MFE3004-5
3N124
3N125
3N126
MFE3004-5
MFE3004-5

MFE3004
MFE3004
3N124
3N124
3N124
MFE3004
MFE3004

M
M
M
M
M
M
M
M
M
M
M
M

MFE3004-5
3N140
MFE3006-7
MFE3004-5
MFE3004-5
2N4352
3N157A

MFE3004
3N140
MFE3006
MFE3004
MFE3004
2N4352
3N157

M
M
M
M
M

MFE3004-5 MFE3004
MFE3004-5 MFE3004
MFE3004-5 MFE3004
3N155
3N155
3N155
3N155A
3N155
3N156
3N155
3N157
3N157
3N157
3N157A
3N157
3N158
3N157
3N158A
MFE3007
MFE3007
MFE3001
MFE3003
MFE3003
MFE3001
MFE3003
MFE3001
MFE3003
MFE3001

J
J
J

M
M

M
M

M
M

M
M
M

P
P

p

P

M
M

p

M

p
p

M
M

p

M

P

M
M
M

N
N
N
P
p
p

N
N
N
p

P

p

P

p

p
p

P

p
p

P
p
p

N
N
N
N
N
N
l'
l'
N
p
p

M
M
M

M

0.5
0.5
0.5
3.5
5.0
0.2
1.5
3.0
5.0
5.0
5.0
5.0
5.0
10

Sub·

Min

Max

,'mhos

[,mhos

30

10

25
1.0
0.25
1.0
0.25
1.0
0.25
1.0
0.25
30
10''<
10"
150*
0.2"
0.4*

5.0

3N169
3N169
3N169
2N4352

2N4352

M
M
M
M

J

S
S
S
S
S

1.0
1.0
1.0
5.0
1.0

30
30
30
32
32
50
50
50

GSS
GSS
GSS

45

GD

45
20
20
20

GD
DS
DS
DS

30
30
30
30
30
30

DB
DB
DB
DB
DB
DB

450
450
450
1000
1000
500
800
1200
5000

1300
1300
1300
3000
4500
2000
2400
3600
12000

3.0
4.0
4.0
7.0
7.0
14
14
14
7.0
5.0

3000

7500

7.0
7.0
7.0
10
7.0

3.0
1.0
0.5
6.0
6.0
3.0
6
6
3

1.0
0.05
0.05
1.0
1.0
1.0
1.0
0.010
0.010
0.010
0.010
1.0
0.01

f

l~
1=

"V·

ViiZ

NOTE

I""

0.01
0.01

o. 2~\' 0.01
0.2"(
0.01
-0.5*
-1.0*
10"
10*
10"
0.4*
10*
5.0"( 0.0025

3.0
3.0
35
35
5.0
5.0
5.0
3.5
3.5
4.0

5.0*
10*
0.2*
0.2*
0,2*
0.2*
30
20
12
30
30
15
30
30
15
10*
10*

35
35
35
35
35
50
35
50

DSS
DSS
DSS
DSS
DSS
DSS
DSS
DSS

1000
1000
1000
1000
1000
1000
1000
1000
7000
3500
3500

4000
4000
4000
4000
4000
4000
4000
4000
18000
6500
6500

0.2
0.2
0.2
0.2

0.01
0.01
-1.0
-1.0
-50
-10
-10
-10
10
10
10
200
1.0

Dual
Dual

4.0
4.0
4.0
5_0

1.0
1.0
1.0
200

K
K
K

4.5

200

M

M

10

100

H

3.5

200

M

5.0

200

M

3.5

200

M

4.5
4.5
6.0
3.5

200 M
200 M
45 M

8.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
7.0
10
10
20
2.5
2.5

5.0*
10"(
25*
0.5*
1.0"
1.0*
0.5*
2.5*
10*
2.0*

M

pf

12

5.0

M

J
J

25
30
30
50
50

5.0
5_0
5.0
0.05
0.05
0.25
0.25
0.25
0.05
0.010

-5.0"

M

J

.

C'SS

@

mmhos

2.5
2 _5
2.5
7.7
10.5
2.0
4.5
9.0
25

3N157A-8A 3N157
3N157A-8A 3N157

M
M
M
M
M
M
M

M
M
M
M

Yr,

script

• nA
3N89
3N96
3N97
3N98
3N99
3N124
3N125
3N126
3N128
3N138

3NS9-3N20S
Nf
dB

5.0
5.0
7.0
3.5
4.5
5.0
25
25
30
9.0

-6.0
-6.0
6.5
6.0
6.0
6.0
30
30
30

GSSR
GSSR
GSS
GSS
GSS
GSS
GSS
GSS
GSS

30

GSSF

2-85

8000
6000

24000
22000

8.0
8.0
7.0
10*

20
20
15
22*
22*
17*

10*
7

10
11
4.5
4.5
4.5
4.5
6.0
7.0

4.0
4.0
4.0

Dual
Dual

Dual
Dual
Dual

Gate
Dual

Gate

Dual
Gate

•

•

PROGRAMMABLE
UNIJUNCTION TRANSISTORS

KEY
REPLACEME NT

TYPE

REFERENCE

Ip

IGAO

IV

VGKF

Vo

PF

VF

IT

ITRM

Tstg

Numerical Listings of
2N Registered Tvpe
Numbers
Type number of recommended

replacement or of nearest
electrical equivalent fully characterized
in this book

Reference

devic~

number indicates specific Data

Sheet on which device is characterized
Peak Current
Gate to Anode Leakage Current
Vallev Cu rrent
Gate to Cathode Forward Voltage
Forward Power Dissipation @ 25 0 C
Peak Output Voltage
Forward Voltage
DC Forward Anode Current

Repetitive Peak Forward Current
Storage Temperature Range

PROGRAMMABLE UNIJUNCTION TRANSISTORS - PUT

Ip
Peak Current

RG = RG =
10kfl 1.0Mfl

IlA

ReplaceTVPE

ment

REF.

(Max)

2N6027
2N60Z8
2N6116
2N6117
2N6118
2N6119
2N6120
2N6137
2N6138

2N6027
2N6028
2N6116
2N6117
2N6118
2N6116
2N6118

2N6027
2N6027
2N6116
2N6116
2N6116
2N6116
2N6116

5.0
1.0
5.0
2.0
1.0
5.0
1.0
5.0
5.0

IlA
(Maxi

2.0
0.15
2.0
0.3
0.15
2.0
0.15
2.0
2.0

IGAO
Leakage
Current
@

40V
nA
(Maxi

10
10
5.0
5.0
5.0
10
10
10
10

IV
Valley
Current

RG=
10kS!

IlA

RG=
1.0MS!

IlA

(Mini

(Maxi

70
25
70
50
50
70
25
70
70

.50
25
50
50
25
50
25
50
50

VGKF
Gateta
Cathode
Forward
Voltage
Volts
(Maxi

+ 40
+ 40

2-66

40
40
40
40
40
40
100

mW

Vo
Min
Output
Voltage
Volts

300
300
250
250
250
400
400
400
400

6.0
6.0
6.0
6.0
6.0
9.0
9.0
9.0
9.0

PF

VF
Forward

Voltage
VF @ IF
Volts mA

1.5
1.5
1.5
1.5
1.5
1.0
1.0
1.0
1.0

50
50
50
50
50
50
50
50
50

IT
DC
Anode

Current
mA
(Max)

150
150
200
200
200
300
300
300
300

IT(pulse)
Peak'
Anode
Current
201lS
*10,115
. 1.0% DC
Amp
(Max)

2.0
2.0
2.0.
2.0
2.0
8.0*
8.0*
8.0*
8.0*

T stg

Storage
Temp.
Range

°c
-55to

+l50~C

-65 C

+z6g oc
_55°C to
+l~OOC

-;f5go~o

UNIJUNCTION TRANSISTORS

This table contains a numerical listing and short-form specifications for unijunction transistors
with EIA-registered 2N numbers.

KEY

TYPE

REPLACEMENT

REF

Po
(mW)

RBB

Numerical Listing
of Registered
Type Numbers

Power
Dissipation
@2So C

Type number of nearest
electrical equivelent fully
characterized in this book

Interbase Resistance

Reference device number indicates
specific Data Sheet on which device
is characterized

Tl

Iv(Min)
mA

Ip(Max)
(/lA)

IED@VB2E
(/lA@VMax)

Emiller Reverse
Current at indicated
VB2E

Intrinsic Standoff Ratio
Emiller Saturation
Voltage

Valley Current
Peak Point Current

2-87

VEB1 (satl
IE@50mA

UNIJUNCTION TRANSISTORS INDEX

TYPE

•

REPLACEMENT

REF.

Po

(mW)

RBB

~

(ka)

2N489-2N6115

Iv(min)
(mA)

Ip(max)
(fLA)

'EO@V B2E
(fLA@Vmax)

VE811SAn
'E@50mA)

2N489
2N489A
2N489B
2N490
2N490A
2N490B
2N490C
2N491
2N491A
2N491B

450
450
450
450
450
450
450
450
450
450

6.8
6.8
6.8
9.1
9.1
9.1
9.1
6.8
6.8
6.8

0.62
0.62
0.62
0.62
0.62
0.62
0.51
0.68
0.68
0.68

8.0
8.0
8.0
8.0
8.0
8.0

20
15
6.0
20
15
6.0

12
12
0.2
12
12
0.2

60
60
60
60
60
60

5.0
4.0
4.0
5.0
4.0
4.0

8.0
8.0
8.0

20
15
6.0

12 @ 60
12 @ 60
0.2 @ 60

5.0
4.3
4.3

2N492
2N492A
2N492B
2N492C
2N493
2N493A
2N493B
2N494
2N494A

450
450
450
450
450
450
450
450
450
450

9.1
9.1
9.1
9.1
6.8
6.8
6.8
9.1
9.1
9.1

0.68
0.68
0.68
0.56
0.75
0.75
0.75
0.75
0.75
0.75

8.0
8.0
8.0

20
15
6.0

12 @ 60
12 @ 60
0.2 @ 60

5.0
4.3
4.3

8.0
8.0
8.0
8.0
8.0
8.0

20
15
6.0
20
15
6.0

12 @ 60

5.0

0.2
12
12
0.2

@
@
@
@

60
60
60
60

4.6
4.6

0.62
0.62
0.62
0.62

8.0
8.0
8.0
8.0

2.0
25
25
6.0

0.02
12
12
0.2

@
@
@
@

60
30
30
30

0.47 -0.80
0.62
0.62
0.51 -0.62
0.62

8.0
8.0
8.0
8.0
8.0

25
20
20
6.0
20

12
12
12
0.2
12

@
@
@
@
@

30
60
60
30
60

6.8
6.8
9.1
9.1
9.1
6.8
6.8

0.62
0.51 - 0.62
0.68
0.68
0.56 -0.68
0.68
0.68
0.56 -0.68
0.75
0.75

8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0
8.0

20
6.0
20
20
6.0
20
20
6.0
20
20

12
0.2
12
12
0.2
12
12
0.2
12
12

@ 60
@ 60
@ 60
@ 60
@ 30
@"60
@ 60
@ 30
@ 60
@ 60

6.8
9.1
9.1
9.1
4.7 (min)
4.7 (min)
4.7 -9.1

0.62 -0.75
0.75
0.75
0.62 -0.75
0.56
0.68
0.4 -0.85

6.0
20
20
6.0
25
2.0
10

0.2 @
12 @
12 @
0.2 @
12 @
0.2 @
1.0@

4.7 -9.1

O. -47 -0.62
0.75

0.2
8.0
8.0
8.0
-4.0
8.0
0.70
8.0
6.0
4.0

0.85
0.51 -0.62
0.72
0.85
0.80

6.0
8.0
8.0
8.0
4.2

15
2.0
2.0
2.0

12 @
0.02 @
1.0@
0.2 @

30
30
30
30

5.0
5.0
5.0
5.0

(min)
(min)
(min)
(min)

2.0
4.0
6.0
2.0

2.0
2.0

0.4

4.0 (min)

0.56
0.70
0.70
0.56

0.1
0.1
0.05
0.05

@
@
@
@

30
30
30
30

2.5
2.5
2.5
2.5

4.0 (min)
4.0 (min)
4.0 (min)
4.0 (min)
4.0 (min)
4.0 -9.1
4.0 (min)
4.0 (min)
6.0 -8.5
5.5/8.2
5.0/2.5

0.70 (min)
0.55 (min)
0.51 (min)
0.55 (min)
0.74 (min)
0.51 -0.69
0.55 (min)
0.74 (min)
0.72 -0.80
0.58/0.h2
0.58/0.62

4.0
2.0
4.0
2.0
2.0
4.0
2.0
2.0
2.0
1.0
1.0

5.0
5.0
2.0
2.0
1.0
2.0
2.0
1.0
4.0
5.0
15

0.05
0.01
0.01
0.01
0.01
0.01
0.01
0.01

@ 30
@ 30
@ 30
@ 30
@ 30
@ 30
@ 30
@ 30

0.01
0.1

5.0
5.0

2.5
4.0
4.0
4.0
4.0
2.5
2.5
2.5
3.0
1.5
1.5

2N494B
2N494C

2N1671
2N1671A
2N1671B
2N1671C
2N2160
2N2417
2N2417A
2N2417B
2N2418

450
450
450
450
450
450
390
390
300
390

2N2418A
2N2418B
2N2419
2N2419A
2N2419B
2N2420
2N2420A
2N2420B
2N2421
2N2421A

390
300
390
390
300
390
390
300
390
390

2N2421B
2N2422
2N2422A
2N2422B
2N2646
2N2647
2N2840
2N3406
2N3479
2N3480

300
390
390
300
300
300
300
450
400
400

2N2646
2N2647

2N2646
2N2646

2N3481
2N3482
2N3483
2N3484

2N3679
2N3980
2N4851
2N4852
2N4853
2N4870
2N4871
2N4891
2N4892
2N4893
2N4894
2N4947
2N4948
2N4949
2N5431
2N6114
2N6115

2N3980
2N4851
2N4852
2N4853
2N4870

2N3980
2N4851
2N4851
2N4851
2N4870

MU4891
MU4892
MU4893
MU4894

2N4870
MU4891
MU4891
MU4891
Mu4891

2N4948
2N4949
2N5431

2N4948
2N4948
2N5431

400
400
400
400
250
360
300
300
300
300
300
300
300
300
300
360
360
360
360
300
300

9.1
9.1
9.1
9.1
4.1 -9.1
4.0 -12
0.68
0.68
6.8
0.68
9.1
9.1

4.7 -6.8

9.1
9.1

4.7 -6.8
9.1
9.1
9.1
8.0

4.7 (min)
4.7 (min)
4.7 (min)

2-88

20
15

5.0

@
@
@
@
@
@

5.0
5.0

4.6
5.0
5.0
5.0
5.0
4.0
4.0
5.0
4.0

4.0

5.0
4.3
4.3
5.0
4.3

4.3
5.0
4.6

30
60
60
30
30
30
30

4.6
5.0
-4.6

12 @ 30
12 @ 30

5.0
5.0

2.0
2.0

IN-HOUSE
NON-REGISTERED DEVICES
INDEX
Assemblies
Rectifier
Diodes
Hot Carrier
Micro-I Hot Carrier
Light Emitting
Micro-I PIN Switching
PIN Switching
Reference
Signal
Switching
Zener
Glossary of Motorola I n-House Prefixes
Optoelectronic Devices
Rectifiers
Rectifier
Hot Carrier

3-17
3-20
3-20
3-19
3-22
3-22
3-3
3-3
3-23
3-3
3-2
3-24

Thyristors

3-3
3-26
3-27

Triggers
Bidirectional Switch
Bilateral Trigger Diacs
Unidirectional Switch

3-32
3-33
3-34

Transistors
Field-Effect
Hybrid-Wideband Amplifier
Programmable Unijunction
Transistor
Unijunction

3-25
3-37
3-38
3-39
3-51

Varactors
Hyper-Abrupt Junction Tuning Diode
Micro-I Epicap Diode
Mini-L Abrupt Junction Tuning Diode
Power Varactor Multiplier
Voltage-Variable Capacitance Diode

3-52
3-52
3-53
3-5$

3-57

The following glossary of Motorola prefixes and a brief description
of non-registered device types is presented ,to aid the reader.
Prefix
1/4M
.4M
1M
1.5M
5M
10M
50M
AF
BB
BU
MA
MAC
MBD

MBI
MBS
MC
MCA
MCB
MCBC
MCC
MCE
MCH
MCl
MCM
MCR
MD
MDA
MF
MF,C
MFE
MHQ
MHW
MJ
MJC
MJE
MlED
MlM

Description '
Diode - Zener
Diode - Zener
Diode - Zener
Diode - Zener
Diode - Zener
Diode - Zener
Diode - Zener
Transistor - Ge Small-Signal
Diode - Tuning
Transistor - Si Power
Transistor - Ge Milliwatt
Thyristor - (Triac)
Diode - Hot Carrier
Rectifier - Hot Carrier
Diode - Hot Carrier - Micro-I
Bidirectional Switch (Trigger)
Integrated Circuit - Linear/Digital
Reference Ampl ifier Assembly
Integrated Circuit - Beam lead Flat Pack
Integrated Circuit - Beam lead Chip
Integrated Circuit - Linear Chip
Integrated Circuit - Dielectrically Isolated
Integrated Circuit - Linear Hybrid Power
Diode - Current Limiting
Integrated Circuit - Memories
Thyristor (Silicon Controlled Rectifier)
Transistor - Multiple Device
Assemblies - RectifiE1r
Assemblies - Diode
Transistor - Si Small Signal
Functional Circuit - Digital/Linear
Transistor - Field-Effect - Metal Can
Transistor - Hermetic Quad (Multiple Device)
Transistor - RF and Microwave Hybrids
Transistor - Si Power
Unencapsulated Si Power
Transistor - Si Power - Plastic
Optoelectronics - Light-Emitting Diode
Integrated Circuit - Linear Monolithic

3-2

MM
MMCD
MMCF
MMCFD
MMCM
MMCQ
MMCR
MMCS
MMD
MMF
MMT
MOC
MOR
MP
MPF
MPI
MPM
MPN
MPQ
MPS
MPS-A
MPS-H
MPS-K
MPS-L
MPS-U
MPT
MPU
MPZ
MQ
MR
MRA
MRD
MRF
MSD
MU
MUS
MV
MVI
MVS
MZ
MZC

Transistor - Small-Signal
Transistor - RF Power
Unencapsulated - Si Switching Diodes
Unencapsulated - Flip-Chip Small-Signal
Unencapsulated F lip-Chip Diode
Transistor - Micro-T Small-Signal (Ceramic)
Unencapsulated Thin-Film Capacitors
Unencapsulated - Thin-Film Resistors
Unencapsulated - Small-Signal
Diode - Switching
Transistor - Field-Effect Matched Pair
Transistor - Micro-T Small-Signal
Optoelectronics - Coupler
Optoelectronics - Readout
Transistor - Ge Power
Transistor - Field-Effect - Plastic
Diode - Micro-I PIN Switching
Transistor - Small-Signal Plastic
Diode - PIN Switching
Transistor - Plastic Quad (Multiple Device)
Transistor - Plastic Small-Signal

1

Trigger - Plastic Bilateral
Transistor - Programmable Unijunction
Transient Suppressor (Power Zener Diode)
Transistor - Multiple Quad
Rectifier
Rectifier - Power
Optoelectronics (Photo, Transistor, Detector, Diode)
Transistor - R F and Microwave
Diode - Dual - Plastic
Transistor - Unijunction
Transistor - Unidirectional Switch
Diode - Tuning
Varactor
Diode - Micro-I Tuning
Voltage Stabilizer
Diode - Zener
Unencapsulated - Diode - Zener

3-2a

RECTIFIERS,
ZENER DIODES, SIGNAL DIODES
and REFERENCE DIODES

This table contains an alpha-numerical listing and short-form specifications for Motorola
in-house, non-registered rectifiers, zener diodes, signal diodes and reference diodes.

KEY

RECTIFIERS

ZENER DIODES

VR = DC Blocking Voltage
VF :: Average Forward Voltage Drop

Vz(Nom) = Nominal Zener Breakdown Voltage (Volts)
IZT :: Test Currentfor Zener
Voltage (rnA)

10 = Average Rectifier Forward

Current
I R = Average Reverse Current
IFSM = Peak Surge Cutrent

Tal:: Tolerance for Specified
Nominal Zener Breakdown

Voltage

Po

= Maximum Power Dissipation
M:: Milliwatts

W= Watts

z

o

-'

!
TYPE

a:
w

i

REPLACEMENT

Numerical Listing
of Registered
Type Numbers

S = Silicon
G = Germanium
=Selenium

SE

Type number of recommended
replacement or of nearest
electrical equivalent fully
characterized in this book.
Reference device number indicates

REF_

~

u:

;:
zw
9
SHADING INDICATES
SIGNAL DIODES
PRY = Peak Revers. Voltage
VF@IF = Maximum Forward
Voltage at Indicated Forward
current - M =Milliamp,

A =amp
I R = Reverse Current -

M = milliamp, *
N = nanoamp

= microamp

trr = Reverse Recovery Time

specific Oata Sheet on which device
is characterized.

The codes listed below define the listed device and
indicates the appropriate specification column heading .
• R - Rectifiers, Fast Recovery DZ - Diode, Zener
OR - Diode, Reference
DS - Diode, Signal

3-3

SHADING INDICATES
REFERENCE DIODES
Vz(Nom)

=Nominal Zener Breakdown Voltage (Volts)

Te ::: Average Temperature Coef·
ficient over Temperature
Range
III = Test Current for Zener
Voltage (mAl
Temp Range = Operating Range of

Average TC

DIODE, RECTIFIER INDEX

..'"'"

0

>=
u:
>=
z
w

Type

Replacement

Rei.

e

14M2.4AZ
14M 2.7 AZ
14M3.0AZ
14M3.3AZ
14M3.6AZ
14M3.9AZ
14M4.3AZ
14M4.7AZ
14M5.1AZ
14M5.6AZ

14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

2.7
3.0
3.3
3.6
3.9
4.3
4.7
5.1
5.6

10
10
10
10
10
10
10
10
10

20
20
20
20
20
20

25W
25W
25W
25W
25W
25W
25W
25W
25W

14M6.2AZ
14M6.8Z
14M7.5Z
14M8.2Z
14M9.1Z
14Ml0Z
14MllZ
14M12Z
14M13Z
14M14Z

14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ

DZ
DZ
OZ
DZ
DZ
DZ
DZ
DZ
OZ
DZ

6.2
6.8
7.5
8.2
9.1
10
11
12
13
14

10
9.2
8.3
7.6
6.9
6.3
5.7
5.2
4.8
4.5

20
20
20
20
20
20
20
20
20
20

25W
25W
25W
25W
25W
25W
25W
25W
25W
25W

14M15Z
14M16Z
14M 17Z
14M18Z
14M19Z
14M20Z
14M22Z
14M24Z
14M25Z
14M27Z

Jl.M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

15
16
17
18
19
20
22
24
25
27

3.9
3.7
3.5
3.3
3.1
2.8
2.6
2.5
2.3

20
20
20
20
20
20
20
20
20

25W
25W
25W
25W
25W
25W
25W
25W
25W

14M30Z
14M33Z
14M36Z
14M39Z
14M43Z
14M45Z
14M47Z
14M50Z
14M52Z
14M56Z

14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

30
33
36
39
43
45
47
50
52
56

2.1
1.9
1.7
1.6
1.5
1.4
1.3
1.2
1.2
1.1

20
20
20
20
20
20
20
20
20
20

25W
25W
25W
25W
25W
25W
25W
25W
25W
25W

14M62Z
14M68Z
14M75Z
14M82Z
14M91Z
14Ml00Z
14M105Z
14MllOZ
14M120Z
14M130Z

14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ
14M2.4AZ

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

62
68
75
82
91
100
105
110
120
130

1.0
0.92
0.83
0.76
0.69
0.63
0.60
0.57
0.52
0.48

20
20
20
20
20
20
20
20
20
20

25W
25W
25W
25W
25W
25W
25W
25W
25W
25W

3-4

DIODE, RECTIFIER INDEX(continued)

Type

%M140l
%M150l
%M175Z
%M200Z
lM3.3All0
lM3.6AZ10
lM3.9AZ10
lM4.3AZ10
lM4.7AZ10
lM5.1AZ10

%M2.4AZ
%M2.4AZ
%M2.4AZ
lN3821
lN3821
lN3821
lN3821
lN3821
lN3821

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

150
175
200
3.3
3.6
3.9
4.3
4.7
5.1

0.42
0.36
0.31
76
69
64
58
53
49

20
20
20
20
10
10
10
10
10
10

25W
25W
25W
25W
LOW
LOW
LOW
LOW
LOW
LOW

1M5.6AZ10
1M6.2AZ10
1M6.8AZ10
1M7.5AZ10
1M6.8Z
lM7.5Z
lM8.2Z
lM9.1Z
lMlOZ
lMllZ

lN3821
lN3821
lN3821
lN3821
1N3821
lN3821
lN3821
lN3821
lN3821
lN3821

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

5.6
6.2
6.8
7.5
6.8
7.5
8.2
9,1
10
11

45
41
37
34
37
34
31
28
25
23

10
10
10
10
10
10
10
10
10
10

LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
1.0W

lM12Z
lMI3Z
lM15Z
lM16Z
lM18Z
lM20Z
lM22Z
lM24Z
lM27Z
lM30Z

lN3821
lN3821
lN3821
lN3821
lN3821
lN3821
lN3821
lN3821
lN3821
lN3821

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

12
13
15
16
18
20
22
24
27
30

21
19
17
15.5
14
12.5
11.5
10.5
9.5
8.5

10
10
10
10
10
10
10
10
10
10

LOW
LOW
LOW
1.0W
LOW
LOW
LOW
LOW
LOW
LOW

lM33Z
lM36Z
lM39Z
lM43Z
lM47Z
lM51Z
lM56Z
lM62Z
lM68Z
lM75Z

lN3821
lN3821
lN3821
lN3821
lN3821
lN3821
lN3821
lN3821
lN3821
lN3821

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

33
36
39
43
47
51
56
62
68
75

7.5
7.0
6.5
6.0
5.5
5.0
4.5
4.0
3.7
3.3

10
10
10
10
10
10
10
10
10
10

1.0W
LOW
LOW
1.0W
1.0W
LOW
1.0W
1.0W
LOW
LOW

lM82Z
lM91Z
lMl00Z
lMll0Z
lM120Z
lM130Z
lM150Z
lM160Z
lM180Z
lM200Z

lN3821
lN3821
lN3821
lN3821
lN3821
lN3821
lN3821
lN3821
1N3821
lN3821

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

82
91
100
110
120
130
150
160
180
200

3.0
2.B
2.5
2.3
2.0
1.9
1.7
1.6
1.4

10
10
10
10
10
10
10
10
10
10

LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW

lM3.3ZS10
lM3.6ZS10
lM3.9ZS10
lM4.3ZS10
lM4.7ZS10
lM5.1ZS10
lM5.6ZS10
lM6.2ZS10
lM6.8ZS10
lM7.5ZS10

lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

3.3
3.6
3.9
4.3
4.7
5.1
5.6
6.2
6.8
7.5

76
69
64
58
53
49
45
41
37
34

10
10
10
10
10
10
10
10
10
10

LOW
LOW
LOW
1.0W
LOW
LOW
1.0W
1.0W
1.0W
LOW

3-5

DIODE, RECTIFIER INDEX(continued)

'">=
0

~

'"

;;:

>=
Tvpe

Replacement

Ref.

'"w

!:!

lM8.2ZS10
lM9.1ZS10
lMl0ZS10
lMllZS10
lM12ZS10
lM13ZS10
lM15ZS10
lM16ZS10
lM18ZS10
lM20ZS10

lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

8.2
9.1
10
11
12
13
15
16
18
20

31
28
25
23
21
19
17
15.5
14
12.5

10
10
10
10
10
10
10
10
10
10

LOW
LOW
LOW
LOW
LOW
LOW
LOW
1.0W
LOW
LOW

lM22ZS10
lM24ZS10
lM27ZS10
lM30ZS10
lM33ZS10
lM36ZS10
lM39ZS10
lM43ZS10
lM47ZS10
lM51ZS10

lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

22
24
27
30
33
36
39
43
47
51

11.5
10.5
9.5
8.5
7.5
7.0
6.5
6.0
5.5
5.0

10
10
10
10
10
10
10
10
10
1

LOW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
1.0W

lM56ZS10
lM62ZSlO
lM68ZS10
lM75ZS10
lM82ZS10
lM91ZS10
lMl00ZS10
lMll0ZS10
lM120ZS10
lM130ZS10

lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728
lN4728

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

56
62
68
75
82
91
100
110
120
130

4.5
4.0
3.7
3.3
3.0
2.8
2.5
2.3
2.0
1.9

10
10
10
10
10
10
10
10
10
10

LOW
LOW
LOW
1.0W
1.0W
J..()W
1.0W
1.0W
LOW
1.0W

lM150ZS10
lM160ZSlO
lM180ZS10
lM200ZS10
MPZ5·16A
MPZ5·16B
MPZ5·32A
MPZ5·32B
MPZ5·32C
MPZ5·180A

lN4728
lN4728
lN4728
lN4728

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

150
160
180
200
14
14
28
28
28
165

1.7
1.6
1.4
1.2
400
400
400
400
400

10
10
10
10

LOW
1.0W
LOW
LOW
350W
350W
350W
350W
350W

165
165

400
400

MR810
MR810
MR810
MR810
MR810
MR810
MRB10
MR810

DZ
DZ
R
R
R
R
R
R
.R
.R
.R
.R
.R
.R
.R
.R

100
200
400
600
800
1000
50
100
200
300
400
500
800
1000

1.0
1.0
1.0
1.0
1.0
1.0
1.1
1.1
1.1
1.1
1.1
1.1
1.1
1.1

3.0
3.0
3.0
3.0
3.0
3.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

MR820
MR820
MR820
MR820
MR820

.R
.R
.R
.R
.R

50
100
200
400
600

1.0
1.0
1.0
1.0
1.0

5.0
5.0
5.0
5.0
5.0

MPZ5·180S
MPZ5·180C
MR501
MR502
MRS04
MR506
MR508
MR510
MR810
MR811
MR812
MR813
MR814
MR816
MRS17
MR818
MR820
MR821
MR822
MR824
MR826

MPZ5·16
MPZ5·16
MPZ5·16
MPZ5·16
MPZ5·16
MPZ5·16
MPZ5·16
MPZS·16
MRSOI
MR501
MRSOI
MR501
MRSOI
MR501

.R trr 200 ns
MRS10 series 750 ns

3-6

5.0"
S.O·
S.O"
5.0'
5.0'
5.0"
0.01
0.01

0.01

100
100
100
100
100
100
30
30
30
30
30
30
30
30

0.25
0.25
0.25
0.25
0.25

300
300
300
300
300

om
0.01

om
0.01

om

350W
350W

DIODE, RECTIFIER INDEX(continued)

.R
.R
.R
.R

50
100
200
400
600
50
100
200
400
600
50
100
200
400
600

1.1
1.1
1.1
1.1
1.1
1.2
1.2
1.2
1.2
1.2
1.25
1.25
1.25
1.25
1.25

3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0

0.075
0.075
0.075
0.075
0.01
0.01
0.01
0.01
0.01

100
100
100
100
100
100
100
100
100

MR860
MR860
MR860
MR860
MR860
MR870
MR870
MR870
MR870
MR870

.R
.R
.R
.R
.R
.R
.R
.R
.R
.R

50
100
200
400
600
50
100
200
400
600

1.4
1.4
1.4
1.4
1.4
1.4
1.4
1.4
1.4
1.4

40
40
40
40
40
50
50
50
50
50

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

350
350
350
350
350
400
400
400
400
400

MR990A
MR990A
MR990A
MR990A
MR900A
MR990A
MR990A
MR1120
MR1120
MR1120

R
R
R
R
R
R
R
R
R
R

1000
1500
2000
2500
3000
4000
5000
50
100
200

1.7

1.7
1.7
1.7
1.0
1.0
0.55

0.25
0.25
0.25
0.25
0.25
0.25
0.25
12
12
12

0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.5
0.5
0.5

15
15
15
15
15
15
15
300
300
300

MRl125
MRl126
MR1128
MRl130
MR1200
MR1201
MR1202
MR1203

MR1120
MRl120
MR1120
MR1120
MR1120
MR1120
MR1200
MR1200
MR1200
MR1200

R
R
R
R
R
R
R
R
R
R

300
400
500
600
800
1000
50
100
150
200

0.55
0.55
0.55
0.55
0.55
0.55
0.4
0.4
0.4
0.4

12
12
12
12
12
12
50
50
50
50

0.5
0.5
0.5
0.5
0.5
0.5
10
10
10
10

300
300
300
300
300
300
500
500
500
500

MR1204
MR1205
MR1206
MR1207
MR1210
MR1211
MR1212
MR1213
MR1214
MR1215

MR1200
MR1200
MR1200
MR1200
MR1210
MR1210
MR1210
MR1210
MR1210
MR1210

R
R
R
R
R
R
R

250
300
350
400
50
100
150
200
250
300

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

50
50
50
50
80
80
80
80
80
80

10
10
10
10
15
15
15
15
15
15

500
500
500
500
2000
2000
2000
2000
2000
2000

MR841
MR842
MR844
MR846
MR850
MRS51
MR852
MR854
MR856

MR830
MR830
MR830
MR830
MR830
MR830
MR830
MR830
MR830
MR850
MR850
MR850
MR850
MRS50

MR860
MR861
MR862
MR864
MR8S6
MR870
MRS71
MR872
MR874
MR876
MR990A
MR991A
MR992A

.R
.R
.R
.R

.R

R
R
R

1.7
1.7
1.7

.R trr 200 ns
MR840 series 1000 ns

3-7

DIODE, RECTIFIER INDEX(continued)

..'"
0

;::

~

;::
Tvpe

Replacement

Ref.

'"....

!:

MR1216
MRI217
MR1213
MR1219
MR1220
MR1221
MR1222
MR1223
MR1224
MR1225

MR1210
MR1210
MR1210
MR1210
MR1220
MR1220
MR1220
MR1220
MR1220
MR1220

R
R
R
R
R
R
R
R
R
R

350
400
500
600
50
100
150
200
250
300

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

3D
30
30
SO
160
160
160
160
160
160

15
15
15
15
20
20
20
20
20
20

2000
2000
2000
2000
3600
3600
3600
3600
3600
3600

MR1226
MR1227
MR1230
MR1231
MR1232
MR1233
MR1234
MR1235
MR1236
MR1237

MR1220
MR1220
MR1230
MR1230
MR1230
MR1230
MR1230
MR1230
MR1230
MR1230

R
R
R
R
R
R
R
R
R
R

350
400
50
100
150
200
250
300
350
400

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

160
160
240
240
240
240
240
240
240
240

20
20
35
35
35
35
35
35
35
35

3600
3600
5000
5000
5000
5000
5000
5000
5000
5000

MR1240
MR1241
MR1242
MR1243
MR1244
MR1245
MR1246
MR1247
MR1260
MR1261

MR1240
MR1240
MR1240
MR1240
MR1240
MR1240
MR1240
MR1240
MR1260
MR1260

R
R
R
R
R
R
R
R
R
R

50
100
150
200
250
300
350
400
50
100

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

400
400
400
400
400
400
400
400
650
650

50
50
50
50
50
50
50
50
100
100

SOOO
SOOO
SOOO
SOOO
8000
3000
3000
3000
12,000
12,000

MR1262
MR1263
MR1264
MR1265
MR1266
MR1267
MR1290
MR1291
MR1292
MR1293

MR1260
MR1260
MR1260
MR1260
MR1260
MR1260
MR1290
MR1290
MR1290
MR1290

R
R
R
R

150
200
250
300
350
400
50
100
150
200

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

650
650
650
650
650
650
1000
1{)00
1000
1000

100
100
100
100
100
100
200
200
200
200

12,000
12,000
12,000
12,000
12,000
12,000
IS,OOO
IS,OOO
IS,OOO

MR1294
MR1295
MR1296
MRI297
MR1337·1
MR1337·2
MR1337·3
MR133J.4
MR1337·5
MR

MR1290
MR1290
MR1290
MR1290
MR1337
MR1337
MR1337
MR1337
MR1337
lN4933

250
300
350
400
50
100
200
300
400
600

0.4
0.4
0.4
0.4
1.1
1.1
1.1
1.1
1.1
1.2

1000
1000
1000
1000
1000
1000
1000
1000
1000
6.0

200
200
200
200
0.25
0.25
0.25
0.25
0.25
0.015

lS,OOO
lS,OOO
13,000
lS,OOO
3D
3D

MR1376
MR1336
MR1396
MR1S10
MR1S11
MR1812
MRl813
MRl814
MRl815

lN4933
lN4933
lN4933
MR1210
MR1210
MR1210
MR1210
MR1210
MR1210

600
600
600
50
100
150
200
250
300

1.4
1.4
1.4
0.4
0.4
0.4
0.4
0.4
0.4

12
20
30
80
SO
80
80
80
80

0.015
0.025
0.025
15
15
15
15
15
15

200
250
300
2000
2000
2000
2000
2000
2000

3-8

30
3D

30
150

DIODE, RECTIFIER INDEX(continued)

z

0

>=
;'3

u::

>=

Type

Replacement

Ref.

'"!:w

MRI216
MRI211
MR121S
MRI219
MRI220
MR1221
MR1222
MRI223
. I\lR1224
MR1225

MRI210
MRI2I0
MRI210
MRI210
MRI220
MRI220
MR1220
MR1220
MR1220
MR1220

R
R
R
R
R
R
R
R

350
400
500
600
50
100
150
200
250
300

MRI226
MR1227
MRI230
MRI231
MR1232
MRI233
MR1234
MR1235
MRI236
MRI237

MA1220
MAI220
MA1230
MA1230
MA1230
MRI230
MRI230
MRI230
MRI230
MRI230

R
A
.R
A
R
R
R
R
R
R

350
400
50
100
150
200
250
300
350
400

MRI240
MR1241
MRI242
MR1243
MRI244
MR1245
MRI246
MRI247
MR1260
MRI261

R
R
R
R
R
R
R
R

MR1262
MRI263
MR1264
MRI265
MRI266
MRI267
MRI290
MR1291
MR1292
MRI293

MRI
MRI260
MRI260
MRI260
MRI260
MRI260
MRI290
MRI290
MRI290
MRI290

MRI294
MRI295
MRI296
MR1297
MR1337·1
MR133J.2
MR I 337-3
MR1337-4
MR1337-5
MR1366

MRI290
MR1290
MRI290
MRI290
MRI337
MR1337
MR1337
MRI337
MRI337

MR1376
MRI386
MRI396
MRI810
MRI811
MR1S12
MRISI3
MR1814
MRI815

MRI210
MRI210
MRI210
MR1210
MRI210
MRI2I0

0.4
0.4
0.4
0.4
0.4
0.4
·0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

SO
SO
SO
80
160
160
160
160
160
160

15
15
15
15
20
20
20
20
20
20

2000
2000
2000
2000
3600
3600
3600
3600
3600
3600

160
160
240
240
240
240
240
240
240
240

20
20
35
35
35
35
35
35
35
35

3600
3600
5000
5000
5000
5000
5000
5000
5000
5000

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

400
400
400
400
400
400
400
400
650
650

50
50
50
50
50
50
50
100
100

8000
SOOO
8000
8000
8000
8000
8000
8000
12,000
12,000

100
100
100
100
100
100
200
200
200

12,000
12,000
12,000
12,000
12.000
12,000
18,000
18,000
IS,OOO

650
650
650
650
650
650
1000
1000
1000
1000

IS,OOO
IS,OOO
IS,OOO
IS,OOO
30
30
30
30
30
150

1000
1000
1000
6.0
1.4
1.4
1.4
0.4
0.4
0.4
0.4
0.4
0.4

3-9

12
20
30
80
80
80
SO
80
80

0.015
0.025
0.025
15
15
15
15
15
15

200
250
300
2000
2000
2000
2000
2000
2000

DIODE, RECTIFIER INDEX(continued)

2:
0

>=

'"u::
'-'

>=

2:

w

Type

Replacement

Ref.

=:

MR1816
MR1817
MR1818
MR1819
MR2064
MR2065
MR2066
MR2067
MR2068
MR2069

MR1210
MR1210
MR1210
MR1210
MR2064
MR2064
MR2064
MR2064
MR2064
MR2069

R
R
R
R
R
R
R
R
R
R

350
400
500
600
50
100
200
400
600
50

0.4
0.4
0.4
0.4
1.2
1.2
1.2
1.2
1.2

80
80
80
80
1.0
1.0
1.0
1.0
1.0

15
15
15
15
25
25
25
25
25

2000
2000
2000
2000
30
30
30
30
30

MR2070
MR2071
MR2072
MR2073
MR2074
MR2075
MR2080HA
MR2081HA
MR2082HA
MR2083HA

MR2069
MR2069
MR2069
MR2069
MR2069
MR2069
MR2084HA
MR2084HA
MR2084HA
MR2084HA

R
R
R
R
R
R
R
R
R
R

100
200
300
400
500
600
50
100
200
300

0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5

3.0
3.0
3.0
3.0
3.0
3.0
750
750
750
750

1.0
1.0
1.0
1.0
1.0
1.0
4.0
4.0
4.0
4.0

300
300
300
300
300
300
12,000
12,000
12,000

MR2084HA
MR2100HA
MR2101HA
MR2102HA
MR2103HA
MR2104HA
MR2261
MR2262
MR2263
MR2264

MR2084HA
MR2100HA
MR2100HA
MR2100HA
MR2100HA
MR2100HA
MR2261
MR2261
MR2261
MR2261

R
R
R
R
R
R
R
R
R
R

400
50
100
200
300
400
10
20
30
40

0.5
0.5
0.5
0.5
0.5
0.5
1.5
1.5
1.5
1.5

750
1100
1100
1100
1100
1100
25
25
25
25

4.0
5.0
5.0
5.0
5.0
5.0
1.0
1.0
1.0

12,000
18,000
18,000
18,000
18,000
18,000
300
300
300
300

MR2265
MR2266 .
MR2271
MR2272
MR2273
MR2369
MR2370
MR2371
MR2372

MR2261
MR2261
MR2271
MR2272
MR2266
MR2369
MR2369
MR2369
MR2369
MR2369

R
R
R
R
R
R
R
R
R

50
800
300
400
200
50
100
200
300

1.5
1.1
1.1
1.1
1.1
1.0
1.0
1.0
1.0

25
1.0
1.0
1.0
1.0
3.0
3.0
3.0
3.0

1.0
0.D1
0.025
0.01
0.01
1.0
1.0
1.0
1.0

300
30
30
30
30
300
300
300
300

MR2374
MR2375
MR9600
MR9601
MR9602
MR9603
MR9604
MRA130
MRA131
MRA132

MR2369
MR2369
MR9600
MR9600
MR9600
MR9600
MR9600
MRA130
MRA130
MRA130

R
R
R
R
R
R
R
R
R
R

500
600
25
50
100
200
400
50
100
200

1.0
1.0
1.3
1.3
1.3
1.3
1.3
0.5
0.5
0.5

3.0
3.0
0.8
0.8
0.8
0.8
0.8
150
150
150

1.0
1.0
0.5
0.5
0.5
0.5
0.5
1.5
1.5
1.5

300
300
15
15
15
15
15
3000
3000
3000

MRA133
MRA134
MRA160
MRA161
MRA162
MRA163
MRA164
MRA330
MRA331
MRA332

MRA130
MRA130
MRA160
MRA160
MRA160
MRA160
MRA160
MRA330
MRA330
MRA330

R
R
R
R
R
R
R
R
R
R

300
400
50
100
200
300
400
50
100
200

0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5

150
150
300
300
300
300
300
100
100
100

1.5
1.5
3.0
3.0
3.0
3.0
3.0
1.0
1.0
1.0

3000
3000
6000
6000
6000
6000
6000
2000
2000
2000

3-10

•

DIODE, RECTIFIER INDEX(continued)

z
0

;:::
cc

c.J

u:

Ref.

;:::
....z
5!

MRA333
MRA334
MRA360
MRA361
MRA362
MRA363
MRA364
MSD6100
MSD6101
MSD6102

MRA330
MRA330
MRA360
MRA360
MRA360
MRA360
MRA360
MSD6100
MSD6101
MSD6102

R
R
R
R
R
R
R
R
R
R

300
400
50
100
200
300
400
100
50
70

0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.7
0.57
1.0

100
100
220
220
220
220
220
200
200
200

1.0
1.0
3.0
3.0
3.0
3.0
3.0
5.0
0.1
0.1

2000
2000
5000
5000
5000
5000
5000
500
500
500

MSD6150
MSD7000
MVS460
MZ70·2.4,A,B
MZ70·2.5,A,B
MZ70·2.7,A,B
MZ70·2.8,A,B
MZ70·3.0,A,B
MZ70·3.3,A,B
MZ70·3.6,A,B

MSD6150
MSD7000
MVS460
MZ70·2.4
MZ70·2.4
MZ70·2.4
MZ70·2.4
MZ70·2.4
MZ70·2.4
MZ70·2.4
MZ70·2.4

R
R
DR
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

70
100

1.0
0.55

200

0.1

500
500

MZ704.3,A,B
MZ704.7,A,B
MZ70·5.1,A,B
MZ70·5.6,A,B
MZ70·6.0,A,B
MZ70·6.2,A,B
MZ70·6.8,A,B
MZ70·7.5,A,B
MZ70-ll.2,A,B
MZ70-ll.7,A,B

MZ70·2.4
MZ70·2.4
MZ70·2.4
MZ70·2.4
Mz10·2.4
MZ70·2.4
MZ70·2.4
MZ70·2.4
MZ70·2.4
MZ70·2.4

MZ70·9.1,A,B
MZ70·10,A,B
MZ70·11,A,B
MZ70·12,A,B
MZ70·13,A,B
MZ70·14,A,B
MZ70·15,A,B
MZ70·16,A,B
MZ70·17,A,B
MZ70·1

MZ70·2.4
MZ70·2.4
MZ70·2.4
MZ70·2.4
MZ70·2.4
MZ70·2.4
MZ70·2.4
MZ70·2.4
MZ70·2.4
MZ70-2.4

MZ70·19,A,B
MZ70-20,A,B
MZ70-22,A,B
MZ70-24,A,B
MZ70-25,A,B
MZ70-27,A,B
MZ70·28,A,B
MZ70-30,A,B
MZ70-33,A,B

MZ70-2.4
MZ70-2.4
MZ70-2.4
MZ70-2.4
MZ70-2.4
MZ70-2.4
MZ70-2.4
MZ70-2.4
MZ70-2.4

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

MZ70-39,A,B
MZ7043,A,B
MZ70·47,A,B
MZ70·51,A,B
MZ70-56,A,B
MZ70-60,A,B
MZ70-62,A,B
MZ70-68,A,B
MZ70-75,A,B
MZ70-ll2,A,B

MZ70-2.4
MZ70-2.4
MZ70·2.4
MZ70·2.4
MZ70-2.4
MZ70-2.4
MZ70-2.4
MZ70·2.4
MZ70-2.4
MZ70·2.4

DZ
DZ
DZ
DZ
DZ

Tvpe

Replacement

33'
2.4
2.5
2.7
2.8
3.0
3.3
3.6
3.9

20
20
20
20
20
20
20

18
10
10
10
10
10
10
10

400M
400M
400M
400M
400M
400m
400m

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

4.3
4.7
5.1
5.6
6.0
6.2
6.8
7.5
8.2
8.7

20
20
20
20
20
20
20
20
20
20

10
10
10
10
10
10
10
10
10
10

400m
400m
400m
400m
400m
400m
400m
400m
400m
400m

DZ

9.1
10
11
12
13
14
15
16

10
10
10
10
10
10
10
10
10

400m
400m
400m
400m
400m
400m
400m
400m
400m

18

20
20
20
20
9.5
9.0
8.5
7.8
7.4
7.0

19
20
22
24
25
27
28
30
33
36

6.6
6.2
5.6
5.2
5.0
4.6
4.5
4.2
3.8
3.4

10
10
10
10
10
10
10
10
10
10

400m
400m
400m
400m
400m
400m
400m
400m
400m

39

3.2
3.0
2.7
2.5
2.2
2.1
2.0
1.8
1.1
1.5

10
10
10
10
10
10
10
10
10
10

400m
400m
400m
400m
400m
400m
400m
400m
400m
400m

liz
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

17

43
47
51
56
60

62
68
75
82

DZ
DZ

3-11

DIODE, RECTIFIER INDEX(continued)

..
..;:
0

i=
i=
~

Type

Replacement

Ref.

0

MZ70-87,A,B
MZ70-9I,A,B
MZ70-100,A,B
MZ70-II0,A,B
MZ70-120,A,B
MZ70-130,A,B
MZ70-140,A,B
MZ70-150,A,B
MZ70-160,A,B
MZ70-1

MZ70-2.4
MZ70-2.4
MZ70-2.4
MZ70-2.4
MZ70-2.4
MZ70-2.4
MZ70-2.4
MZ70-2.4
MZ70-2.4

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

87
91
100
110
lZ0
130
140
150
160

MZ70-180,A,B
MZ70-190,A,B
MZ70-Z00,A,B
MZ9Z·2.4,A,B
MZ92-2.4,A,B
MZ92·2. 7,A,B
MZ9Z-Z.8,A,B
MZ9Z-3.0,A,B
MZ9Z-3.3,A,B
MZ9Z-3.6,A,B

MZ70-2.4
MZ70-Z.4
MZ70-Z.4
MZ9Z-2.4
MZ92-Z.4
MZ9Z-Z.4
MZ9Z-Z.4
MZ9Z-Z.4
MZ9Z-Z.4
MZ9Z-Z.4

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

MZ92·3.9,A,B
MZ9Z-4.3,A,B
MZ9Z-4.7,A,8
MZ9Z-5.I,A,B
MZ9Z-5.6,A,B
MZ9Z-S.0,A,B
MZ9Z-6.Z,A,B
MZ9Z-S.8,A,B
MZ9Z-7.5,A,B
MZ92-8.Z,A,B

MZ9Z-2.4
MZ9Z·Z.4
MZ9Z-Z.4
MZ9Z-Z.4
MZ9Z-Z.4
MZ9Z-Z.4
MZ9Z-Z.4
MZ9Z-2.4
MZ9Z-Z.4
MZ9Z-Z.4

MZ9Z-S.7,A,B
MZ92-9.I,A,B
MZ9Z-10,A,B
MZ9Z-II ,A,B
MZ9Z-1Z,A,B
MZ9Z-13,A,B
MZ9Z-14,A,B
MZ9Z-15,A,B
MZ9Z-16,A,B
MZ9Z-17 .A,B
MZ9Z-18,A,B
MZ9Z-19,A,B
MZ9Z·Z0,A,B
MZ9Z-ZZ,A,B
MZ9Z-Z4,A,B
MZ9Z-Z5,A;B
MZ9Z-Z7,A,B
MZ9Z-Z8,A,B
MZ9Z-30,A,B
MZ9Z-33,A,8

1.0
0_95
0.90
0.85
0.80

10
10
10
10
10
10
10
10
10

400m
400m
400m
400m
400m
400m
400m
400m
400m

180
190
200
2.4
Z.5
Z.7
Z.8
3.0
3.3
3.6

0.68
O.SS
0.S5
20
20
20
ZO
ZO
20
20

10
10
10
20
20
ZO
ZO
20
ZO
20

400m
400m
400m
500M
500M
500M
500M
500M
500M
500M

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

3.9
4.3
4.7
5.1
5.6
6.0
6.Z
6.8
7.5
8.Z

20
20
ZO
20
ZO
20
20
ZO
ZO
ZO

ZO
ZO
ZO
ZO
ZO
ZO
ZO
ZO
ZO
ZO

500M
500M
500M
500M
500M
500M
500M
500M
500M
500M

MZ9Z-Z.4
MZ92-Z.4
MZ9Z-Z.4
MZ9Z-Z.4
MZ9Z-Z.4
MZ9Z-Z.4
MZ9Z-Z_4
MZ9Z-Z.4
MZ9Z-Z.4
MZ9Z-Z.4

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

8.7
9.1
10
II
IZ
13
14
15
16
17

ZO
ZO
ZO
ZO
20
9.5
9.0
8.5
7.8
7.4

ZO
ZO
ZO
20
ZO
ZO
ZO
ZO
ZO
ZO

500M
500M
500M
500M
500M
500M
500M
500M
500M
500M

MZ9Z-2.4
MZ9Z-2.4
MZ9Z-Z.4
MZ9Z-Z.4
MZ9Z-Z.4
MZ9Z-Z.4
MZ9Z-Z.4
MZ9Z-Z.4
MZ9Z-Z.4
MZ9Z-Z.4

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

18
19
ZO
Z2
Z4
Z5
Z7
Z8
30
33

7.0
6.6
6.Z
5.6
5.Z
5.0
4.6
4.5
4.Z
3.8

ZO
ZO
ZO
20
20
ZO
ZO
ZO
ZO

500M
500M
500M
500M
500M
500M
500M
500M
500M
500M

MZ9Z-Z.4
MZ9Z-Z.4
MZ9Z-Z.4
MZ9Z-Z.4
MZ9Z-Z.4
MZ9Z-Z.4

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

36
39
43
47
51
56

3.4
3.Z
3.0
Z.7
Z.5
Z.Z

ZO
ZO
ZO
ZO
ZO
ZO

500M
500M
500M
500M
500M
500M

60
6Z
68
75

Z.1
Z.O
1.8

ZO
ZO
ZO
ZO

500M
500M
500M
500M

MZ9Z-Z.4
MZ9Z-Z.4
MZ9Z-2.4
MZ9Z-Z.4

3-12

1.7

DIODE, RECTIFIER INDEX(continued)

..
c

>=
ct·
!:

Ref.

....
>=
::'c i

MZ92·87,A,B
MZ92·91,A,B
MZ92·100,A,B
MZ92·110,A,B
MZ92·120,A,B
MZ92·130.l\.B
MZ92·140.A,B
MZ92·150,A,B

MZ92·2.4
MZ92·2.4
MZ92·2.4
MZ92·2.4
MZ92·2.4
MZ92·2.4.
MZ92·2.4
MZ92·2.4
MZ92·2.4
MZ92·2.4

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

82
87
91
100
110
120
130
140
150
160

1.5
1.4
1.4
1.3
1.1
1.0
0.95
0.90
0.85
0.80

. 20
20
20
20
20
20
20
20
20
20

500M
500M
500M
500M
500M
500M
500M
500M
500M
500M

MZ92·170,A,B
MZ92·180,A,B
MZ92·190,A,B
MZ92·200,A,B
MZ500·1
MZ500·2
MZ500·3
MZ500-4
MZ500·5
MZ500·6
MZ500·7

MZ92·2.4
MZ92·2.4
MZ92·2.4
MZ92·2.4
MZ500·1
MZ500·1
MZ500·1
MZ500·1
MZ500·1
MZ500·1
MZ500·1

DZ
DZ
DZ
DZ

0.74
0.68
0.66
0.65
20
20
20
20
20
20
20

20
20
20
20

DZ
DZ
DZ
DZ
DZ
DZ

170
180
190
200
2.4
2.7
3.0
3.3
3.6
3.9
4.3

500M
500M
50.0M
500M
400M
400M
400M
400M
400M
400M
400M

MZ500-B
MZ500-9
MZ500-10
MZ500-11
MZ500-12
MZ500-13
MZ500-14
MZ500-15
MZ500-16
MZ51l0-17

MZ500·1
MZ500-1
MZ500·1
MZ500-1
MZ500-1
MZ500-1
MZ500-1
MZ500·1
MZ51l0·1
MZ500·1

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

4.7
5.1
5.6
6.2
6.8
7.5
8.2
9.1
10
11

20
20
20
20
20
20
20
20
20
20

400M
400M
400M
400M
400M
400M
400M
40IlM
400M
400M

MZ500-18
MZ500-19
MZ500-20
MZ500·21
MZ500·22
MZ500-23
MZ500-24
MZ500-25
MZ500·26
MZ500-27

MZ500·1
MZ500·1
MZ500·1
MZ500·1
MZ500·1
MZ500-1
MZ500-1
MZ500·1
MZ500·1
MZ500·1

DZ
DZ
DZ
DZ
OZ
OZ
DZ
OZ
OZ
DZ

12
13
15
16
18
20
22
24
27
30

20
9.5
8.5
7.8
7.0
6.2
5.6
5.2
4.6
4.2

400M
400M
400M
400M
400M
400M
400M
400M
400M
400M

MZ500·28
MZ500·29
MZ500-30
MZ500-31
MZ500·32
MZ500-33
MZ500-34
MZ500·35
MZ500·36
MZ500-37

MZ500·1
MZ500·1
MZ500·1
MZ500·1
MZ500-1
MZ500·1
MZ500·1
MZ500·1
MZ500-1
MZ500-1

DZ
DZ
OZ
OZ
OZ
OZ
OZ
OZ
DZ
DZ

33
36
39
43
47
51
56
62
68
75

3.8
3.4
3.2
3.0
2.7
2.5
2.2
2.0
1.8
1.7

400M
400M
400M
400M
400M
400M
400M
400M
400M
400M

MZ500-38
MZ500-39
MZ500-40
MZ1000·l
MZ 1000·2
MZ1000·3
MZ1000·4
MZ 1000·5
MZ1000-6
MZ 1000-7

MZ500-1
MZ500-1
MZ500-1
MZ1000-l
MZ1000-1
MZ1000-1
MZ1000-1
MZ1000-l
MZ1000-1
MZ1000-1

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

82
91
100
3.3
3.6
3.9
4.3
4.7
5.1
5.6

1.5
1.4
1.3
76
69
64
58
53
49
45

400M
400M
400M
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W

Type

Replacement

MZ1000
MZ1000
MZ1000
MZ1000
MZ1000
MZ1000
MZ1DOO

3-13

DIODE, RECTIFIER INDEX(continued)

'"
"";;:u
0

;::
;::
Tvpe

Replacement

'"w

Ref.

!:

MZ1000·8
MZ1000-9
MZ1000-l0
MZ1000-11
MZ1000·12
MZ1000·13
MZ1000-14
MZ1000-15
MZ1000-16
MZ1000-17

MZ1000
MZlO00
MZ1000
MZ1000
MZ1000
MZ1000
MZ1000
MZ1000
MZ1000
MZ1000

MZ1000-l
MZ1000·l
MZ1000·l
MZ1000-l
MZ1000-1
MZ1000-l
MZ1000·1
MZ1000·1
MZ1000-1
MZ1000-1

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

6.2
6.8
7.5
8.2
9.1
10
11
12
13

41
37
34
31
28
25
23
21
19

1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W

MZ1000·18
MZ1000·19
MZ1000·20
MZ1000·21
MZ1000·22
MZ1000·23
MZ1000·24
MZ 1000·25
MZ1000·26
MZ1000·27

MZ1000
MZ1000
MZ1000
MZ1000
MZ1000
MZ1000
MZ1000
MZ1000
MZ1000
MZ1000

MZ1000·1
MZ1000·l
MZ1000·l
MZ1000·1
MZ1000·1
MZ1000·l
MZ1000·l
MZ1000·1
MZ1000·l
MZ1000·l

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

16
18
20
22
24
27
30
33
36
39

15.5
14
12.5
11.5
10.5
9.5
8.5
7.5
7.0
6.5

1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W

MZ1000·28
MZ1000·29
MZ1000·30
MZ1000·31
MZ 1000·32
MZ1000·33
MZ1000·34
MZ1000·35
MZ 1000·36
MZ1000·37

MZ1000
MZ1000
MZ1000
MZ1000
MZ1000
MZ1000
MZ1000
MZ1000
MZ1000
MZ1001i

MZ1000·l
MZ1000·l
MZ1000·l
MZ1000·l
MZ1000·1
MZ1000·l
MZ1000·1
MZ1000·l
MZ1000·l
MZ1000·l

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

43
47
51
56
62
75
82
91
100

6.0
5.5
5.0
4.5
4.0
3.7
3.3
3.0
2.8
2.5

1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W
1.5W

MZ4614
MZ4615
MZ4616
MZ4617
MZ4618
MZ4619
MZ4620
MZ4621
MZ4622
MZ4623

lN4099
lN4099
lN4099
lN4099
lN4099
lN4099
lN4099
lN4099
lN4099

lN4099
lN4099
lN4099
lN4099
lN4099
lN4099
lN4099
lN4099
lN4099

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

1.8
2.0
2.2
2.4
2.7
3.0
3.3
3.6
3.9
4.3

0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

250M
250M
250M
250M
250M
250M
250M
250M
250M
250M

MZ4624
MZ4625
MZ4626
MZ4627
MZC2.4Al0
MZC2.5Al0
MZC2.7AlO
MZC2.8Al0
MZC3.0Al0
MZC3.3Al0

lN4099
lN4099
lN4099
lN4099
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

4.7
5.1
5.6
6.2
2.4
2.5
2.7
2.8
3.0
3.3

0.25
0.25
0.25
0.25
21
20
19
18
17
15

5.0
5.0
5.0
5.0
10
10
10
10
10
10

250M
250M
250M
250M
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W

MZC3.6Al0
MZC3.9Al0
MZC4.3Al0
MZC4.7Al0
MZC5.IAIO
MZC5.6Al0
MZC6.0Al0
MZC6.2Al0
MZC6.8Al0
MZC7.5Al0

MZC2.4AlO
MZC2.4Al0
MZC2.4Al0
MZC2.4AlO
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

3.6
3.9
4.3
4.7
5.1
5.6
6.0
6.2
6.8
7.5

14
13
12
11
9.8
8.9
8.3
8.1
7.3
6.7

10
10
10
10
10
10
10
10
10
10

5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W

68

3-14

DIODE, RECTIFIER INDEX(continued)

Z

Q

>=

""'-'u:

.....
>=

Type

MZCS.2AIO
MZCS.7Al0
MZC9.1Al0
MZC10Al0
MZCllAl0
MZC12A10
MZC13A10
M,?CI4AlO
MZC15Al0

Replac~ment

Ref.

:!

MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4A10
MZC2.4Al0
MZC2.4A10
MZC2.4A10

OZ
OZ
OZ
OZ
OZ
OZ
OZ
OZ
OZ
OZ

MZC2.4A10
MZC2.4A10
MZC2.4A10
MZC2.4A10
MZC2.4A10
MZC2.4A10
MZC2.4A10
MZC2.4A10
MZC2,4Al0
MZC2.4Al0

OZ
OZ
OZ
OZ
OZ
OZ
OZ
OZ
OZ
OZ

S.2
8.7
9.1
10
11
12
13
14
15
16
11
18
19
20
22
24
25
27
28
30

MZC2.4A10
MZC2.4A10
MZC2.4AIO
MZC2.4A10
MZC2.4A10
MZC2.4A10
MZC2.4A10
MZC2.4A10
MZC2.4AIO
MZC2.4A10

OZ
OZ
OZ
OZ
OZ
OZ
OZ
OZ
OZ
OZ

36
39
43
47
51
56
60
62
68

MZC2.4Al0
MZC2.4Al0
MZC2.4A10
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0

OZ
OZ
OZ
OZ
OZ
OZ
OZ
OZ
OZ
OZ

MZC2.0Bl0
MZC2.2Bl0
MZC2.4Bl0
MZC2.7Bl0

MZC2:4A10
MZC2.4A10
MZC2.4A10
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4A10

MZC3.0B10
MZC3.3B10
M1C3.6B10
MZC3.9B10
MZC4.3B10
MZC4.7B10
MZC5.1B10
MZC5.6810
MZC6.2Bl0
MZC6.BH10

MZC2 ..4A10
MZC2.4Al0
MZC2.4Al0
MZC2.4A10
MZC2.4Al0
MZC2.4Al0
MZC2.4A10
MZC2.4A10
MZC2.4A10
MZC2.4Al0

MZC75Al0
MZCS2Al0
MZC87Al0
MZC91Al0
MZC100A10
MZC110A10
MZC120A.10
MZC130Al0
MZCI40Al0
MZC150Al0
MZC160A10
MZC170A10
MZC180Al0
MZC190Al0
MZC200Al0
M~C1.8Bl0

6.1
5.7
5.5
5.0
4.5
4.2
3.8
3.6
3.1
2.9
2.S
2.6
2.5
2.3
2.1
2.0
1.9
1.8

10
10
10
10
10
10
10
10
10

5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W

0
10
10
10
10
10
10
10
10
10
10

5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W

0.98
0.89
0.S3
0.81
0.74

10
10
10
10
10
10
10
10
10
10

5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W

75
82
87
91
100
110
120
130
140
50

0.67
0.61
0.57
0.55
0.50
0.45
0.42
0.38
0.36
0.33

10
10
10
10
10
10
10
10
10
10

5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W

OZ
OZ
OZ
OZ
OZ
OZ
OZ
OZ
OZ

160
110
180
190
200
1.8
2.0
2.2
2.4
2.7

0.31
0.29
0.28
0.26
0.25

10
10
10
10
10
10
10
10
10
10

5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W

OZ
DZ
OZ
DZ
OZ
OZ
OZ
OZ
OZ
OZ

3.0
3.3
3.6
3.9
4.3
4.7
5.1
5.6
6.2
6.S

10
10
10
10
10
10
10
10
10
10

5.0W
5.0W
5.0W
5.0W
S.OW
5.0W
5.0W
5.0W
5.0W
5.0W

3-15

1.7
1.5
1.4
1.3
1.2
1.1

DIODE, RECTIFIER INDEX(continued)

z
;::

..'"'

0

u::

;::
z
!:!

w

Tvpe

Repl ..ement

Ref.

Volts

IR

Vz (Nom)

MZC7.5810
MZC8.2810
MZC8.1810
MZC9.1810
MZC10810
MZC11810
MZCI2810
MZCI3810
MZC14810
MZCI5810

MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4AIO
MZC2.4AIO
MZC2.4Al0
MZC2.4AIO
MZC2.4Al0

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

7.5
8.2
8.1
9.1
10
11
12
13
14
15

10
10
10
10
10
10
10
10
10
10

5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W

MZC16810
MZC11810
MZC18810
MZC19810
MZC20810
MZC22810
MZC24810
MZC25810
MZC21810
MZC28810

MZC2.4AIO
MZC2.4AIO
MZC2.4AIO
MZC2.4Al0
MZC2.4Al0
MZC2.4Al0
MZC2.4AIO
MZC2.4AIO
MZC2.4Al0
MZC2.4AIO

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

16
11
18
19
20
22
24
25
21
28

10
10
10
10
10
10
10
10
10
10

5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W

MZC30810
MZC33810
MZC36810
MZC39810
MZC43810
MZC41810
MZC51810
MZC56Bl0
MZC60810
MZC62810

MZC2.4AIO
MZC2.4Al0
MZC2.4AIO
MZC2.4AIO
MZC2.4AIO
MZC2.4AIO
MZC2.4AIO
MZC2.4Al0
MZC2.4AIO
MZC2.4Al0

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

30
33
36
39
43
41
51
56
60
62

10
10
10
10
10
10
10
10
10
10

5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W

MZC68810
MZC15810
MZC82810
MZC81810
MZC91810
MZCI00810
MZCIIOBIO
MZC120BIO
MZC130810
MZCI40810

MZC2.4AIO
MZC2.4AIO
MZC2.4AIO
MZC2.4AIO
MZC2.4AIO
MZC2.4Al0
MZC2.4AIO
MZC2.4AIO
MZC2.4AIO
MZC2.4AIO

DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ
DZ

68
75
82
81
91
100
110
120
130
140

10
10
10
10
10
10
10
10
10
10

5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W
5.0W

MZC150Bl0
MZCI60Bl0
MZC110Bl0
MZCI80BIO
MZCI90810
MZC200810

MZC2.4Al0
MZC2.4AIO
MZC2.4AIO
MZC2.4AIO
MZC2.4AIO
MZC2.4AIO

DZ
DZ
DZ
DZ
DZ
DZ

150
160
110
180
190
200

10
10
10
10
10
10

5.0W
5.0W
5.0W
5.0W
5.0W
5.0W

3-16

RECTIFIER ASSEMBLIES

This table contains an alpha-numerical listing and short-form specifications for Motorola in-house
non-registered rectifier assemblies.

KEY
Type

Material

Ref.

VRRM
Volts

Alphanumericel
Listings
S - Silicon
G - Germanium
Reference Device number indicates
specific data sheet on which device
is characterized
Peak Reverse Voltage
Peak Surge Current
Peak Forward Current
DC Output Current Amp @°c

3-17

IFSM
Amp

IFRM
Amp

10

Amp@oC

RECTIFIER ASSEMBLIES
~

Iii

Type

IFSM
Amp

IFRM
Amp

25
50
100
200
300
400
600
25
50
100

32
32
32
32
32
32
32
60
60
SO

5.0
5.0
5.0
5.0
5.0
5.0
5.0

200
300
400
600
800
1000
25
50
100
200

60
SO
60
SO
SO
SO
32
32
32
32

5.0
5.0
5.0
5.0

MDA920
MDA920
MDA920
MDA920
MDA920
MDA920
MDA920
MDA920
MDA920
MDA920

300
400
SOD
25
50
100
200
300
400
SOO

32
32
32
32
32
32
32
32
32
32

S
S
S
S
S
S
S
S
S
S

MDA942
MDA942
MDA942
MDA942
MDA942
MDA942
MDA920
MDA920
MDA920
MDA920

50
100
200
300
400
SOD
25
50
100
200

S
S
S
S
S
S
S
S
S
S

MOA920
MDA920
MDA920
MDA942
MDA942
MDA942
MDA942
MDA942
MDA942
MDA952FR-l

300
400
BOO
50
100
200
300
400
SOD
50

1;;

::IE

Ref.

MDA920·1
MDA920·2
MDA920·3
MDA920-4
MDA920·5
MDA920·6
MDA920·7
MDA922·1
MDA922·2
MDA922·3

S
S
S
S
S
S
S
S
S
S

MDA920
MDA920
MDA920
MDA920
MDA920
MDA920
MDA920
MDA922·1
MDA922·1
MDA922·1

MDA922-4
MDA922·5
MDA922-6
MDA922-7
MDA922-8
MDA922-9
MDA930-1
MDA930-2
MDA930-3
MDA930-4

S
S
S
S
S
S
S
S
S
S

MDA922·1
MDA922·1
MDA922-1
MDA922-1
MDA922-1
MDA922-1
MDA920
MDA920
MDA920
MDA920

MDA930-5
MDA930-S
. MDA930-7
MDA940-1
MDA940-2
MDA940-3
MDA940-4
MDA940-5
MDA940-S
MDA940-7

S
S
S
S
S
S
S
S
S
S

MDA942-1
MDA942-2
MDA942-3
MDA942-4
MDA942-5
MDA942-B
MDA950-1
MDA950-2
MDA950-3
MDA950-4
MDA950-5
MDA950-B
MDA950-7
MDA952-1
MDA952-2
MDA952-3
MDA952-4
MDA952-5
MDA952-B
MDA952FR-l

VRRM
Volts

3-18

10
Amp

@

°c

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.8
1.8
1.8

75
75
75
75
75
75
75
55
55
55

1.8
1.8
1.8
1.8
1.8
1.8
0.5
0.5
0.5
0.5

55
55
55
55
55
55
75
75
75
75

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

0.5
0.5
0.5
1.0
1.0
1.0
1.0
1.0
1.0

75
75
75
75
75
75
75
75
75
75

25
25
25
25
25
25
32
32
32
32

B.O
B.O
S.O
S.O
S.O
S.O
!i.0
5.0
5.0
5.0

1.5
1.5
1.5
1.5
1.5
1.5
1.0
1.0
1.0
1.0

55
55
55
55
55
55
75
75
75
75

32
32
32
150
150
151)
150
150
150
150

5.0
5_0
5.0
35
35
35
35
35
35

1.0
1.0
1.0
S.O
S_O
B.O
S.O
S_O
B.O
B.O

75
75
75
55
55
55
55
55
55
55

ui

RECTIFIER ASSEMBLIES (continued)

li
Tvpe
MDA952FR-2
MDA952FR-3
MDA952FR-4
MDA952FR-5
MDA960-1
MDA960-2
MDA960-3
MDA962·1
MDA962-2
MDA962-3
MDA962-4
MDA962-5
MOA970-1
MOA970-2
MOA970-3
MOA972-1
MOA972-2
MOA972-3
MOA972-4
MOA972-5
MOA980-1
MOA980-2
MOA980-3
MOA980-4
MOA9BO-5
MOA980-6
MOA990-1
MDA990-2
MOA990-3
MDA990-4
MOA990-5
MDA990-6
MDA1330H
MAAI331H
MOA1332H
MOAI333H
MOAI49I-1
MOAI491-2
MDAI491-3
MOA1491-4
MOAI49I·5
MOAI491-6
MOA1505·1
MOA1505-2
MOA1505-3
MDA1505-4
MOA1505-5
MOA1505·6
MDA1591-1
MDA1591-2
MOA1591·3
MOA1591-4
MDA1691-5
MOAI591-6

I

10

IFRM

Ref.

VRRM
Volts

IFSM

li5

Amp

Amp

Amp

S
S
S

MDA952FR-I
MDA952FR-I
MDA952FR-I
MDA952FR-I
MDA960
MDA960
MDA960
MDA960
MDA942
MDA942

100
200
300
400
50
I oil
200
50
100
200

150
150
150
150
100
100
100
250
250
250

15
15
15
60
60
60

6.0
6.0
6.0
6.0
2.5
2.5
2.5
10
10
10

55
55
55
55
55
55
55
55
55
55

MDA942
MOA942
MOA960
MOA960
MOA960
MOA942
MOA942
MOA942
MOA942
MOA942

300
400
50
100
200
35
70
140
210
280

250
250
150
150
150
250
250
250
250
250

10
10
4.0
4.0
4.0
16
16
16
16
16

55
55
55
55
55
55
55
55
55
55

MOA980-1
MOA980-1
MOA980-1
MOA980-1
MDA980-1
MDA980-1
MOA980-1
MOA980-1
MOA980-1
MOA980-1

50
100
200
300
400
600
50
100
200
300

300
300
300
300
300
300
300
300
300
300

12
12
12
12
12
12
27
27
27
27

55
55
55
55
55
55
55
55
55
55

400
600
5000
10,000
5000
10,000
50
100
200
300

300
300
25
25
250
250
25
25
25
25

27
27
1.0
1.0
2.5
2.5
1.5
1.5
1.5 .
1.5

55
55
40
40
40
40
55
55
55
55

MOA942
MOA942
MOA942
MOA942
MOA942
MOA942
MDA942
MDA942
MOA942
MOA942

400
600
50
100
200
300
400
600
50
100

25
25
200
200
200
200
200
200
100
100

6.0
6.0
45
45
45
45
45
45

1.5
1.5
8.0
8.0
8.0
8.0
8.0
8.0
4.0
4.0

55
55
55
55
55
55
55
55
55
55

MOA942
MOA942
MOA942
MDA942

200
300
400
600

100
100
100
100

25

4.0
4.0
4.0
4.0

55
55
55
55

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

MOA980-1
MOA980-1
MOAI330H
MOAI330H
MDAI330H
MDAI330H
MOA942
MDA942
MOA942
MOA942

3·19

60

60
25
25
25
60
60
60
60
60

6.0
6.0
6.0
6.0

25
25
25
25
25

@

°c

HOT-CARRIER DIODES
and
MICRO-I HOT-CARRIER DIODE
This table contains an alpha-numerical listing and short-form specifications for Motorola in-house
non-registered hot-carrier diodes and MICRO-I hot-carrier diode.

KEY

Type

IR
VR =3_0y(3)
CT
YF
V(BR)R
IR =10,uA VR =OV, F =1.0MHz(1I VR =25y(4) IF =lOrnA NF T
VR =20V, F =1.0MHz(2) VR = 35y(5)
Volts
Volts
dB p~
Min
pF Max
,uA Max
Max
Max Max

Ref.

Alphanumerical
Listings
Reference device
number indicates
specific Data Sheet
on wh ich device is
characterized.
Reverse Breakdown Voltage
Diode Capacitance
Reverse Leakage
Forward Vo Itage
Noise Figure
Minority Carrier Lifetime

HOT-CARRIER DIODES and
MICRO-I HOT-CARRIER DIODE

Type
MBD101
MBD102
MBD501
MBD502
MBD701
MBD702
*MBI-IOI

Ref.

YIBR)R
IR =1011A
Volts
min

MBD10l
MBD102
MBD501
MBD502
MBD501
MBD502
MBI-l01

4.0
4.0
50
50
70
70
4.0

VR =OV, F =1.0MHz(1)
VR = 20V, F = 1.0MHz(2)
pF Max

IR
VR =3.oV(3)
YR = 25V(4)
VR=35V(6)
,uA Max

VF
IF = lOrnA
Volts
Max

1.0m
1.0(1)
1.0(2)
1.0(2)
1.0(2)
1.0(2)
1.0(1)

0.25(3)
0.25(3)
0.20(4)
0.20(4)
0.20(5)
0.20(5)
0.25(3)

0.6
0.6
1.2
1.2
1.2
1.2
0.6

CT

*MICRO-I

3-20

NF
dB
Max

T

ps
Max

7.0
7.0
100
100
7.0

LlGHT-EMITIING DIODES
This table contains an alpha-numerical listing and short-form specifications for Motorola in-house
non registered light-emitting diodes.

KEY
MAXIMUM RATINGS

ELECTRICAL/OPTICAL CHARACTERISTICS
LIGHT OUTPUT

Type

Material

Ref.
Po
@25°C Point

T

...:.5

°Cr!.f

VR
IF
Volts rnA

B
Brightness
fL @ IF
rnA

CP
Po
Candle Power Radiated
mcd @ IF
jlN@IF AI'
rnA I..
rnA

VF
Volts

Alphanumerical
listings
GA - Gallium Arsenide
GAP - Gallium Arsenide
Phosphide
GP - Gallium Phosphide
Power 0 issipation @25°C
Units:
M =Milliwatts
W=Watts
Ref. Point: A, C, J, S
Indicates - Ambient, Case, Junction or
Stud
Maxiinum Temperature
Ref. Point: J =Junction
S" =Storage Junction
Reverse Vo Itage
Forward Current - Continuous
B =Brightness in Footlamberts

=Candlepower in"Millicandela
Po =Power Output Radiated in Microwatts
CP

Peak Emission Wavelength
Forward Voltage

LIGHT-EMITTING DIODES
MAXIMUM RATINGS

Type

j

MLED50
GAP
MLED55
GAP
MLED60
GA
MLED90
GA
MLED600 GAP
MLED610 GAP
MLED630 GAP
MLED900 GA
MlED910 GA
MLED930 GA
MOR10
GAP
MOR10A GAP

LIGHT OUTPUT

..

.s

.5

:i
Po
U58/1) @Z5°C
VLED
VLED
ILED
ILED
VLED
VLED
ILED
IlED
IlED
ILED
VRR
VRR

120M
120M
120M
120M
120M
350M
150r,'!
120M
350M
250M
200M
200M

£
'$

=
A
A
A
A
A
A

ELECTRICAL/OPTICAL CHARACTERISTICS

T

°c
85
85
85
85
85
125
85
85
125
125

..=
£

J
J
J
J
J
J
J
A
A
J
A
J
A
J
A
J,S
20
to J,S
A
+100

1-

VR
IF
Volts mA
3.0
~.O

3.0
3.0
4.0
4.0
4.0
3.0
3.0
3.0
3.0
3.0

50
50
80
80
50
75
75
80
150
150
10
10

B
Brightness
fL @ IF
mA
750

CP
Po
Candle Power
Radiated
mcd @ IF
JJ.W @IF
mA
mA

20
0.3

1100
1100
1100

20
550
350

50
50

550
150
650

50

50
50
50

/lIVLED - Visible Light-Emitting Diode, ILED -Infrared LighHmitting Diode, VRR - Visible Red Readout

3-21

50
100

AI'
nM
660
660
900
900
660
660
660
900
900
900
660
660

VF
Volts
1.6
2.0
1.2
1.2
1.6
1.6
1.6
1.2
1.2
1.2
1.7
1.7

PIN SWITCHING DIODES

and
MICRO-I PIN SWITCHING DIODE
The following table contains an alpha-numerical listing and short-form specifications for Motorola
in-house non-registered PIN switching diodes, and Micro-I PIN switching diode.

KEY

Type

RS
IF = *10mA
IF = 100mAdc(1)
F = I_OG Hz(1)
IF =150mAdc (2 )
VIBR)R
F = 3.0G Hz(2)
I R = 10J,IAdc
Volts
ohm
Min
Max

Ref.

CT
VR =20V*(3)
VR = 50v(3)
F = 1.0MHz(3)
Cc
LS
VR=250V(4)
T
F = 3.0 GHz* F = 1.0MHz
F = I.OMHz(4) IF = 50mAdc F = 2.50MHz FT = 1.0MHz
pF
pF
ns
nH
OJC
°C/WMax
Typ
Typ
Max
Typ

Alpha·
numerical
Listings
Reference device number
indicates specific Data
Sheet on which device is
characterized.
Reverse Breakdown Voltage
Series Resistance
Total Device Capacitance
Minority Carrier Lifetime
Series Inductance
Case Capacitance
Thermal Resistance, Junction to Case

PIN SWITCHING DIODES
and
MICRO-I PIN SWITCHING DIODES

Type
*MPI·3401
MPN3201
MPN3202
MPN3;!OB
MPN3209
MPN3401
MPN3402

Ref.

VIBR)R
IR = 10J,IAdc
Volts
Min

RS
IF = *10mA
IF = l00mAllI
F = 1.0G Hz(1)
IF = 150mA(2)
F = 3.0G Hz(2)
ohm
Max

CT
VR =20v*(3)
VR =50V(3)
F = 1.0MHz(3)
VR = 250V(4)
F = 1.0MHz(4)
pF
Max

MPI·3401
MPN3201
MPN3201
MPN320B
MPN320B
MPN3401
MPN3401

35
150
200
BOO
900
35
35

0.7*
1.0(1)
1.0 (2)
0.4 (2)
0.4 (2)
0.7*
0.6*

1.0*
0.44(3)
0.40(3)
4.0 (4)
4.0 (4)
1.0*
2.0*

*MICRO·I

3-22

T

IF = 50mA
ns
Typ
150
150

LS
F = 3.0GHz
F =2.50MHz
nH
Typ
3.0
0.85
0.85
0.55*
0.55*
3.0
3.0

Cc
f = 1.0MHz
FT = 1.0MHz* OJC
pF
°C/W
Typ
Max
0.15*
0.18
O.IB
1.1*
1.1*
0.1
0.1

25
25
4.0
4.0

SWITCHING DIODES

The followingtable contains an alpha-numerical listing and short-form specifications for Motorola
in-house non registered switching diodes.

KEY
SWITCHING DIODES

Type

Ref.

V(BRI@ I(BRI
Volts
vA
Min

@

VF
Volts
Min

IF
mA

Max

IR @ VR
Volts
f.,IA
Max

Cc
VR=O
pF

trr
*Typical
ns
Max

Cc
VR=O
pF

trr *Typical
ns
Max

2.5
2.0
2.0
2.0
2.0
3.5

15
5.0
5.0
5.0
5.0
3.2*

Alpha·
numerical
Listings
Reference decive nu mber
indicates specific Data Sheet on
which device is characterized
Breakdown Voltage
Forward Voltage
Reverse Current
Capacitance
Reverse Recovery Time

SWITCHING DIODES

Type
MMD70
MMD6050
MMD6100
MMD6150
MMD7000
MMD7001

Ref.

V(BRI
Volts
Min

MMD70
MMD6050
MMD6050
MMD6050
MMD6050
MMD7001

50
70
70
70
70
40

@

VF
Volts

I(BR)

IR

f.,IA

Min

Max

IF
mA

100
100
100
100
100
10

0.75
0.55
0.55
0.55
0.55

1.2
0.7
0.7
0.7
0.7
1.05

100
100
100
100
100
300

3-23

f.,IA

Max

VR
Volts

0.1
0.1
0.1
0.1
0.1
0.1

30
50
50
50
50
30

OPTOELECTRONIC DEVICES

The following tables contain an alpha-numerical listing and short-form specifications for Motorola
in-house non-registered optoelectronic devices.

KEY
MAXIMUM RATINGS
I

I ...
:i
Type

t

! ...
::E

I~

Po I..:

Ref.

Use @25°CI &!

ELECTRICAL CHARACTERISTICS
I

I ...
TJ
°c

Vca
Volts

i

VCE 1
Volts 1 Jl

SRCEO
Sensitivity
mAlmW/cm 2
nA/mW/cm2*

tJ.!mW/cm 2**

tr + tf
ICED
Dark Current os*
nA
/J.S

Alphanumerical
Listings
S - Silicon
G - Germanium
GA- Gallium
Arsenide
GAP-Gallium
Arsenide
Phosphide

tr =Photo Current
Rise Time
tf =Photo Current
Fall Time
IC Units =nS*.lls

N=n-channel
P = p-channel

Collector Dark Current IC Unit na
Collector-Emitter Radiation Sensitivity IC Units,
mA/mW/cm 2
nA/mW/cm 2*
tJ.A/mV Icm 2**

Reference device nu mber
indicates specific Data Sheet
on which device is characterized.
PD = Photo Detector
RD = Radiation Detector
DC = Optical Coupler
VLED = Visible Light Emitting Diode
ILED = Infrared Light Emitting Diode
Power Dissipation at 25°C
Unites: M= milliwatts
W= watts
Ref. Point: A, C, J, S, Indicates Ambient, Case,
Junction or Stud.

IL
Light
Current
/J.A*
mA
Collection
Light
Current
IcUnits
tJ.A* mA

Maximum COllector-Emitter Voltage (Subscript Identifiers Condition)

0 = Vceo, Base Open
R = Vcer, Specified Resistance
S = Vces, B.ase Shorted
V = Vcev, Used when only Voltage bias is used
X = Vcex, Base·Emitter Back Biased
U = Vee, Termination Undefined
Maximum Collector - Base Voltage

Maximum Operating Junction Temperature

3-24

OPTOELECTRONIC DEVICES
MAXIMUM RATINGS

~

Type
MRD14B
MRDI50
MRD300
MRD310
MRD450
MRD500
MRD510
MRD600
MRD601
MRD602
MRD603
MRD604
MRD810 .
MRD3050
MRD3051
MRD3052
MRD3053
MRD3054
MRD3055
MRD3056

MOC1000
MOC100l
MDC1002
MOC1003

i I
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

GA
GA
GA
GA

~

Ref.

N
N
N
N
N
N

2N5777

PD
TJ
li
Use @25°C Ie °c

..
MRD601
MRD601
MRD601
MRD601

N
N
N
N

So

z

i

RD
RD
RD
RD
RD
RD
RD
RD
PD
PD
PD

PO
RD
RD
RD
RD
RD
RD
RD
RD

N
OC
N MOC1000 DC
N MOC1000 OC
N MOC1000 OC

200M
50M
250M
250M
100M
100M
100M
50M
50M
50M
50M
50M
250M
400M
400M
400M
400M
400M
400M
400M

250M
250M
250M
250M

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

A
A
A
A

ELECTRICAL CHARACTERISTICS

100
85
200
200
85
200
200
125
125
125
125
125
125
200
200
200
125
200
200
200

100
100
100
100

'j
....
JI

VCB
Volts

VCE
Volts

18
80

12
40
50
50
40

D
D
0
D
D
0

50
50
50

0
0

40
40
40
40
40
40
40

70
70
70
70

50
50
35
30
30
30
30
30
30
30

30
30
30
30

3-25

SRCED
Sensitivity
mAlmW/cm2
nAlmW/clfil.*
p/mW/cm 2**
1.0
0.04

0.2
1.2**
0.3**
0.04
0.025
0.1

0
0
0
0
0
0
0
0
0

0.2
0.35
0.2
0.02
0.04
0.02
0.05
0.125
0.3
0.4

0
0
0
0

DC Current
Trensfer % Ratio
VCE = 10. IL = 10ma
Min
Typ
20
60
20
60
10
30
10
30

tr + tf
ICED
Dark Current IIS*
nA
jJS

I.L
Light
Current
J,IA*
mA

100
100
25
25
100
2.0
2.0
25
25
25
25
25
50
100
100
100
100
100
100
100

400
6.5
6.5
6.5
6.5
1.0·
1.0·
6.5
4.8
4.8
4.8
4.8
11
5.5
5.5

Isolation
Voltage
Vdc

tr + tf
Typ

Frequency
Response
kHz
Typ

1500
2500
1500
500

2.8
2.8
2.8
2.8

300
300
300
.300

5.5

5.5
5.5
5.5
5.5

IJS

2.0
0.2
4.0
1.0
1.0
6.0·
1.5·
0.2
0.5
2.0
4.0
7.0
1.0
0.1
0.2
0.1
0.25
0.625
1.5
2.0

HOT·CARRIER RECTIFIERS

This table contains an alpha-numerical listing and short-form specifications for Motorola in-house
non-registered hot-carrier rectifiers.

KEY

Type

Ref.

10
IR
VRRM TC= 50°C IFSM TC =25°C
Amp
Amp
Volts
rnA

VF
IF@25Amp
TC = 25°C
Volts

Alpha·
numerical
Listings
Reference Device
Number indicates
specific data sheet
on which device is
characterized
Peak Reverse Voltage
Average Forward Current
Peak Surge Current
Reverse Current
Forward Voltage Drop

HOT-CARRIER RECTIFIERS

Type

Ref.

VRRM
Volts

10
TC=50°C
Amp

MBD5300

MBD5300

20

5.0

500

20

MBD5400

MBD5400

20

25

600

30

MBD5500

MBD5500

20

50

800

200

MBD5550

MBD5550

20

50

800

120

MBD5550A

MBD5550

20

50

800

75

3-26

IFSM
Amp

IR
TC = 25°C
rnA

VF
IF@25Amp
TC = 25°C
Volts
0.50
IF=75Amp
0.75
IF = 100 Amp
0.65
IF = 100 Amp
0.65
IF = 100 Amp
0.75

THYRISTORS

The following tables contain an alpha-numerical listing and short-form specifications for Motorola
in-house non registered thyristors.

KEY

TYPE

REPLACE·
MENT

REFERENCE

Ir(RMS)
Amp

VORMNRRM
Volts

Numerical Listing of
Registered Type Numbers. • Device with gate
turn·off characteristics
Type number of recommended
replacement or of nearest
electrical equivalent fully characterized
in this book
Reference device number indicates specific Data
Sheet on which device is characterized
On-State (RMS) Current
Peak Forward Blocking Voltage
Peak Reverse Blocking Voltage
Maximum Junction Temperature. Maximum Case Temperature( 1)
Gate Trigger Current
Gate Trigger Voltage

3-27

TJ TC(1)

°c

IGT
mA

VGT
Volts

THYRISTOR INDEX

Type

Replacement

Raf.

IT(RMS)
Amp

VORMNRRM
Volts

TJ TC I1l

°c

IGT
mA

VGT
Volts

MACH
MACH
MACH
MACHI
MAC1·5
MACH
MACH
MACl-8
MAC2-1
MAC2-2

MAC1·l
MAC1·2
MAC1·3
MACH
MAC1·5
MACH
MAC1·7
MAC1-8
2N6139
2N6139

MACI
MACI
MACI
MACI
MACI
MACI
MACI
MAC 1
2N6139
2N6139

10
10
10
10
10
10
10
10
10
10

25
50
100
200
300
400
500
600
25
50

100
100
100
100
100
100
100
100
100
100

40
40
40
40
40
40
40
40
40
40

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

MAC2-3
MAC2-4
MAC2-5
MAC2-6
MACH
MAC2-8
MAC3-1
MACH
MAC3·3
MAC3-4

2N6139
2N6139
2N6140
2N6140
2N6141
2N6141
2N6148
2N6148
2N6148
2N6148

2N6139
2N6139
2N6139
2N6139
2N6139
2N6139.
2N6139
2N6139
2N6139
2N6139

10
10
10
10
10
10
10
10
10
10

100
200
300
400
500
600
25
50
100
200

100
100
100
100
100
100
100
100
100
100

40
40
40
40
40
40
40
40
40
40

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

MAC3-5
MAC3-6
MACH
MAC3-a
MAC4-1
MAC4-2
MAC4-3
MAC4-4
MAC4-5
MACH

2N6149
2N6150
2N6150
2N6150
MAC4-1
MAC4·2
MAC4-3
MAC4·4
MAC4-5
MAC4-6

2N6139
2N6139
2N6139
2N6139
MAC4-1
MACI
MAC 1
MACI
MACI
MAC 1

10
10
10
10
10
10
10
10
10
10

300
400
500
600
25
50
100
200
300
400

100
100
100
100
100
100
100
100
100
100

40
40
40
50
50
50
50
50
50

2.0
2.0
2.0
2.0
2.5
2.5
2.5
2.5
2.5
2.5

MACH
MAC4-8
MAC5-1
MAC5-2
MAC5-3
MAC5-4
MAC5-5
MAC5-6
MAC5-7
MAC5-8

MACH
MAC4-8
MAC5-1
MAC5-2
MAC5-3
MAC5-4
MAC5-5
MAC5·6
MAC5·7
MAC5-a

MACI
MACI
MACI
MACI
MACI
MACI
MACI
MACI
MACI
MACI

10
10
10
10
10
10
10
10
10
10

500
600
25
50
100
200
300
400
500
600

100
100
100
100
100
100
100
100
100
100

50
50
50
50
50
50
50
50
50
50

2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5

MACS-l
MAC6-2
MAC6-3
MAC6-4
MAC6-5
MAC6-6
MAC6-7
MAC6-8
MAC10-l
MAC10-2

MAC6-1
MAC6-2
MAC6-3
MACH
MAC6-5
MAC6·6
MAC6-7
MAC6-8
MAC10-l
MAC10-2

MACI
MACI
MACI
MAC 1
MAC 1
MAC 1
MACI
MAC 1
MAC10-l
MAC10-1

10
10
10
10
10
10
10
10
10
10

25
50
100
200
300
400
500
600
25
50

100
100
100
100
100
100
100
100
100
100

50
60
50
50
50
50
50
50
50
50

2.5
2.5
2.5
2.5
2.5
2.6
2.5
2.5
2.0
2.0

3-28

THYRISTOR INDEX(continued)

TJ TC (1)

10
10
10
10
10
10
10
10
10

VDRMNRRM
Volts
100
200
300
400
500
600
25
50
100
200

MACIO-I
MACIO-I
MACIO-I
MACIO-I
2N6157
2N6157
2N6157
2N6157
2N6157
2N6157

10
10
10
10
25
25
25
25
25
25

2N6159
2N6160
2N6160
2N6160
2N6160
2N6161
2N6161
2N6162
MAC37·1
MAC37-2

2N6157
2N6157
2N6157
2N6157
2N6157
2N6157
2N6157
2N6157
MAC37-1
MAC37-1

MAC37·3
MAC37·4
MAC37-5
MAC37-6
MAC37-7
MAC38-1
MAC38-2
MAC38-3
MAC38-4
MAC38·5

MAC37-3
MAC37-4
MAC37·5
MAC37-6
MAC37-7
MAC38-1
MAC38·2
MAC38-3
MAC38-4
MAC38-5

MAC38-6
MAC38-7
MAC77-1
MAC77-2
MAC77-3
MAC77-4
MAC77-5
MAC77-6
MAC77-7
MAC77-8
MCR051
MCR052
MCR053
MCR054
MCRIOI
MCR102
MCRI03
MCRI04
MCR106-1
MCRI06·2

Type

•

IT(RMS)
Amp

°c

IGT
mA

VGT
Volts

100
100
100
100
100
100
100
100
100
100

50
50
50
50
50
50
50
50
50
50

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

300
400
500
600
25
50
100
200
300
400

100
100
100
100
125
125
125
125
125
125

50
50
50
50
60
60
60
60
60
60

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

25
25
25
25
25
25
25
25
25
25

600
25
50
100
200
300
400
600
25
50

125
125
125
125
125
125
125
125
110
110

60
60
60
60
60
60
60
60
75
75

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
3.0
3.0

MAC37-1
MAC37-1
MAC37·1
MAC37-1
MAC37-1
MAC37-1
MAC37-1
MAC37·1
MAC37·1
MAC37-1

25
25
25
25
25
25
25
25
25
25

100
200
300
400
500
25
50
100
200
300

110
110
110
110
110
110
110
110
110
110

75
75
75
75
75
75
75
75
75
75

3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0

MAC38-6
MAC38·7
2N6068
2N6069
2N6070
2N6071
2N6072
2N6073
2N6074
2N6075

MAC37·1
MAC37-1
2N6068
2N6068
2N6068
2N6068
2N6068
2N6068
2N6068
2N6068

25
25
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

400
500
25
50
100
200
300
400
500
600

110
110
110
110
110
110
110
110
110
110

75
75
30
30
30
30
30
30
30
30

3.0
3.0
2.0
2.0
2.0
2.0
2_0
2.0
2.0
2.0

MCR051
MCR053
MCR053
MCR054
MCR101
MCR102
MCRI03
MCRI04
MCRI06-1
MCRI06-2

MCR051
MCR051
MCR051
MCR051
MCR101
MCRIOI
MCRIOI
MCR101
MCRI06-1
MCRI06-1

0.25
0.25
0.25
0.25
0.8
0.8
0.8
0.8
4.0
4.0

15
30
60
100
15
30
60
100
30
60

125
125
125
125
85
85
85
85
110
110

0.2
0.2
0.2
0.2
0.1
0.1
0.1
0.1
0.5
0.5

0.8
0.8
0.8
0.8
1.7
1.7
1.7
1.7
1.0
1.0

MACIO·3
MACIO-4
MACIO-5
MACIO-6
MAClO-7
MAC 10-8
MACII-I
MACII-2
MACII-3
MAC I 1-4

Replacement
MACIO-3
MAC10-4
MACIO-5
MAClO-6
MACIO-7
MACIO-8
MACll-1
MACII-2
MACII-3
MACII-4

Ref.
MACIO-I
MACIO-I
MACIO-I
MACIO-I
MACIO-I
MACIO-I
MACIO-I
MACIO-I
MACIO-I
MACIO-I

MACII-5
MACII-6
MACII-7
MACII-8
MAC35-1
MAC35-2
MAC35-3
MAC35-4
MAC35-5
MAC35-6

MACII-5
MACII-6
MACII-7
MACII-8
2N6157
2N6157
2N6157
2N6157
2N6158
2N6158

MAC35-7
MAC36-1
MAC36-2
MAC36-3
MAC36-4
MAC36-5
MAC36-6
MAC36-7
MAC37-1
MAC37-2

10

3-29

THYRISTOR INDEX(continued)

Replacement

Ref.

IT(RMS)
Amp

VORMNRRM
Volts

TJTC U)

Type

°c

IGT
mA

VGT
Volts

MCR106-3
MCR106-4
MCR115
MCR120
MCR154-10
MCR154-20
MCR154-30
MCRI54-40
MCR1S4-50
MCR154-60

MCR106-3
MCR106-4
MCR115
MCR120
MCR154-10
MCR154-20
MCR154-30
MCR154-40
MCR154-50
MCR154-60

MCR106-1
MCRI06-1
MCR115
MCR120
MCR154
MCR154
MCR154
MCR154
MCR154
MCR154

4.0
4.0
0.8
O.B
IS00
IS00
IS00
IS00
IS00
IS00

100
200
150
200
100
200
300
400
500
600

110
110
110
110
125
125
125
125
125
125

0.5
0.5
0.2
0.2
30
30
30
30
30
30

1.0
1.0
O.B
0.8
0.25
0.25
0.25
0.25
0.25
0.25

MCR155-10
MCR155-20
MCR155-30
MCR155-40
MCR155-50
MCR155-60
MCR156-10
MCR156-20
MCR156-30
MCR156-40

MCR155-10
MCR155-20
MCR155-30
MCR155-40
MCR155-50
MCR155-60
MCR156-10
MCR156-20
MCR156-30
MCR156-40

MCR154
MCR154
MCR154
MCR154
MCR154
MCR154
MCR154
MCR154
MCR154
MCR154

IS00
IS00
lS00
IS00
lS00
IS00
IS00
IS00
IS00
IS00

100
200
300
400
500
600
100
200
300
400

125
125
125
125
125
125
125
125
125
125

30
30
30
30
30
30
30
30
30
30

0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25

MCR156-50
MCR156-60
MCR157-10
MCR157-20
MCR157·30
MCR15HO
MCR157·50
MCR157-60
MCR201
MCR202

MCR156-50
MCR156-60
MCR157-10
MCR157-20
MCR157-30
MCR157-40
MCR157-50
MCR157-60
MCR201
MCR202

MCR154
MCR154
MCR154
MCR154
MCR154
MCR154
MCR154
MCR154
MCR201
MCR201

IS00
IS00
IS00
IS00
IS00
IS00
lS00
IS00
0.5
0.5

500
600
100
200
300
400
500
600
15
30

125
125
125
125
125
125
125
125
110
110

30
30
30
30
30
30
30
30
0.2
0.2

0.25
0.25
0.25
0.25
0.25
0.25
0.25
0.25
O.S
O.B

MCR203
MCR204
MCR205
MCR206
MCR406-1
MCR406-2
MCR406-3
MCR406-4
MCR407-1
MCR407-2

MCR203
MCR204
MCR205
MCR206
MCR406-1
MCR406-2
MCR406-3
MCR406-4
MCR407-1
MCR407-2

MCR201
MCR201
MCR201
MCR201
MCR406
MCR406
MCR406
MCR406
MCR407
MCR407

0.5
0.5
0.5
0.5
4.0
4.0
4.0
4.0
4.0
4.0

60
100
150
200
30
60
100
200
30
60

110
110
110
110
110
110
110
110
110
110

0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.5
0.5

O.S
O.B
O.S
O.S
O.S
O.S
O.B
O.S
1.0
1.0

MCR407-3
MCR407-4
MCR649-1
MCR649-2
MCR649-3
MCR649-4
MCR649-5
MCR649-6
MCR649-7
MCR729-5

MCR407-3
MCR407-4
MCR649-1
MCR649-2
MCR649-3
MCR649-4
MCR649-5
MCR649-6
MCR649-7
MCR729-5

MCR407
MCR407
MCR649
MCR649
MCR649
MCR649
MCR649
MCR649
MCR649
MCR729

4.0
4.0
20
20
20
20
20
20
20
2.0

100
200
25
50
100
200
300
400
500
50

110
110
100
100
100
100
100
100
100
105

0.5
0.5
SO
SO
BO
SO
SO
SO
SO
50

1.0
1.0
3.5
3.5
3.5
3.5
3.5
3.5
3.5
1.5

MCR729-6
MCR729-7
MCR729-S
MCR729-9
MCR729-10
MCR1336-5
MCR1336-6
MCR1336-7
MCRI336-B
MCR1336-9

MCR729-6
MCR729-7
MCR729-S
MCR729-9
MCR729-10
MCR1336-5
MCR1336-6
MCR1336-7
MCR1336-8
MCR1336-9

MCR729
MCR729
MCR729
MCR729
MCR729
MCR1336
MCR1336
MCR1336
MCR1336
MCR1336

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

50
50
50
50
50
300
400
500
600
700

105
105
105
105
105
105
105
105
105
105

50
50
50
50
50
40
40
40
40
40

1.5
1.5
1.5
1.5
1.5
1.25
1.25
1.25
1.25
1.25

3-30

•

THYRISTOR INDEX(continued)

IT(RMS)
Amp

IGT
mA

VGT
Volts

800
300
400
500
SOO
25
50
100
200
35

105
125
125
125
125
100
100
100
100
125

40
50
50
50
50
1.0
1.0
1.0
1.0
30

1.25
1.5
1.5
1.5
1.5
1.0
1.0
1.0
1.0
1.5

25
25
25
25
2S
8.0
8.0
8.0
8.0
8.0

75
150
300
400
500
25
50
100
200
300

125
125
125
125
125
100
100
100
100
100

30
30
30
30
30
40
40
40
40
40

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

MCR2315
MCfl2315
MCR2315
MCR2315
MCR2315
MCR2315
MCR2315
MCR3818-1
MCR3818-1
MCR3818-1

8.0
8.0
8.0
8.0
8.0
8.0
8.0
20
20
20

400
25
50
100
200
300
400
25
50
100

100
100
100
100
100
100
100
100
100
100

40
40
40
40
40
40
40
40
40
40

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

MCR3818-4
MCR3818·5
MCR3818-6
MCR3818·7
MCR3818-8
MCR3918-1
MCR3918-2
MCR3918-3
MCR3918-4
MCR3918-5

MCR3818-1
MCR3818-1
MCR3818-1
MCR3818-1
MCR3818-1
MCR3818-1
MCR3818-1
MCR3818-1
MCR3818-1
MCR3818-1

20
20
20
20
20
20
20
20
20
20

200
300
400
500
600
25
50
100
200
300

100
100
100
100
100
100
100
100
100
100

40
40
40
40
40
40
40
40
40
40

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

MCR3918-6
MCR3918-7
MCR3918-8
MCR3835-1
MCR3835·2
MCR3835-3
MCR3835-4
MCR3835-5
MCR3835-6
MCR3835-7

MCR3918-6
MCR3918-7
MCR3918-8
MCR3835-1
MCR3835-2
MCR3835-3
MCR3835-4
MCR3835-5
MCR3835-6
MCR3835-7

MCR3818-1
MCR3818-1
MCR3818-1
MCR3835·1
MCR3835-1
MCR3835-1
MCR3835-1
MCR3835-1
MCR3835-1
MCR3835-1

20
20
20
35
35
35
35
35
35
35

400
500
600
25
50
100
200
300
400
500

100
100
100
100
100
100
100
100
100
100

40
40

40
40
40
40

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

MCR3835-8
MCR3935-1
MCR3935-2
MCR3935-3
MCR3935-4
MCR3935-5
MCR3935-6
MCR3935·7
MCR3935-8

MCR3835-8
MCR3935-1
MCR3935-2
MCR3935-3
MCR3935-4
MCR3935-5
MCR3935-6
MCR3935-7
MCR3935-8

MCR3835-1
MCR3835-1
MCR3835-1
MCR3835-1
MCR3835-1
MCR3835-1
MCR3835-1
MCR3835-1
MCR3835-1

35
35
35
35
35
35
35
35
35

600
25
50
100
200
300
400
500
600

100
100
100
100
100
100
100
100
100

40
40
40
40
40
40
40
40
40

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

Replacement

Ref.

MCR133S·1O
MCR1718-5
MCR1718-S
MCR1718-7
MCR1718-8
MCR190S-1
MCR1906-2
MCR190S-3
MCR190S-4
MCR1907-1

MCR133S-10
MCR1718-5
MCR1718-6
MCR1718-7
MCR1718-8
MCR190S-1
MCR190S-2
MCR1906-3
MCR190S'4
MCR1907-1

MCR133S
MCR1718
MCR1718
MCR1718
MCR1718
MCR190S
MCR190S
MCR190S
MCR190S
MCR1907

2.0
25
25
25
25
1.S
1.S
1.6
1.6
25

MCR1907-2
MCR1907·3
MCR1907-4
MCR1907-5
MCR1907-S
MCR2315-1
MCR2315-2
MCR2315-3
MCR2315-4
MCR2315-5

MCR1907-2
MCR1907-3
MCR1907-4
MCR1907-5
MCR1907-S
MCR2315-1
MCR2315-2
MC R2315-3
MCR2315-4
MCR2315-5

MCR1907
MCR1907
MCR1907
MCR1907
MCR1907
MCR2315
MCR2315
MCR2315
MCR2315
MCR2315

MCR2315-S
MC'R2S14L-l
MCR2614L-2
MCR2614L-3
MCR2614L-4
MCR2614L-5
MCR2614L-6
MCR3818-1
MCR3818-2
MCR3818-3

MCR2315-S
MCR2614L-l
MC R2614L-2
MCR2614L-3
MCR2614L-4
MCR2614L-5
MCR2614L-6
MCR3818-1
MCR3818-2
MCR3818-3

MCR3818-4
MCR3818-5
MCR3818-6
MCR3818-7
MCR3818-8
MCR3918-1
MCR3918-2
MCR3918-3
MCR3918-4
MCR3918-5

3-31

VORMNRRM
Volts

TJ TC(l)

°c

Type

40
40
40
40

TRIGGERS

This table contains an alpha-numerical listing and short-form specifications for Motorola in-house
non registered bidirectional switch.

KEY
BIDIRECTIONAL SWITCH
Vs
Type

Ref.

Min

Max

IVSl - VS21
Max

IS
Max

IH
Max

VF
Max

Alpha·
Numerical
listings
Reference device
number indicates
specific Data Sheet on
which device is characterized.
Switching Voltage
Switching Voltage Differential
Switching Current
Holding Current
Forward Dn·State Voltage

BIDIRECTIONAL SWITCH
Type

Ref.

Min

Max

IVS1- VS21
Max

IS
Max

IH
Max

VF
Max

MBS100
MBS4991
MBS4992

MBS100
MBS4991
MBS4991

3.0
6.0
7.5

5.0
10
9.0

0.35
0.5
0.2

4D0
350
120

1.0
1.5
0.5

2.0
1.7
1.7

Vs

3-32

BILATERAL TRIGGER OIACS

This table contains an alpha-numerical listing and short-form specifications for Motorola in-house
non registered bilateral trigger diacs.

KEY
Ipulse
Type

Replacement

V(BR)
Volts
Nom

Ref.

AV
Volts
Min

@ 301lS. 120

I(BR)

Hz

Amp
Max

!lA
Max

Alpha·
Numerical
listings
Type number of recommended
replacement of nearest electrical
equivalent fully characterized
in this book
Reference device
number indicates
specific Data Sheet on
which device is character·
ized.
Breakdown Voltage (both directions)
Switchback (Delta) Voltage (both directions)
Breakdovvn Current (both directions)
Peak Pulse Current

BILATERAL TRIGGER DIACS

Type

Replacement

MPT20
MPT28
MPT32

lN5758
lN5760
lN5761

Ref.
lN5758
lN5758
lN5758

V(BR)
Volts
Nom

AV
Volts
Min

I(BR)

20
28
32

5.0
7.0
7.0

100
50
50

!lA
Max

Ipulse
120 Hz
Amp
Max

@ 30IlS.

2.0
2.0
2.0

UNIDIRECTIONAL SWITCH

This table contains an alpha-numerical listing and short-form specifications for Motorola in-house
non registered unidirectional switch.

KEY

Type

Replacement

Alpha·
Numerical
listings

.Ref

Vs
Volts
Min Max

IS
VF
f./A 'H 'F = 150
Max rnA
mA

18

f.lA

IF (rep)
TA = 100 0 e
tp = lOllS
VR Vo
Po Volts Volts 1.0% duty cycle
mW Max Min
Amp

Type Number of
recommended replacement
or of nearest electrical
equivalent fully
characterized in this book
Reference device number
indicates specific Data Sheet
on which device is charac·
terized.
Switching Voltage
Switching Current
Holding Current
Forward On·State Voltage
Forward Blocking Current
Power DisSipation
Reverse Voltage
Pu Ise Peak Va Itage
Peak Recurrent Forward Current

UNIDIRECTIONAL SWITCH

Type

Replacement

Ref

Vs
Volts
Min Max

MUS4987
MUS4988

MUS4981
MUS4987

MUS4987
MUS4987

6.0 1'0
7.5 9.0

18

mW

VR
Volts
Max

Vo
Volts
Min

IF (rep)
TA" 100c e
tp = lOllS
1.0% duty cycle
Amp

300
350

30
30

3.5
3.5

1.0
1.0

VF
IF=150
rnA

@50V

Po

Max

IH
mA

f.lA

500
150

1.5
0.5

1.5
1.5

1.0
0.1

IS

f.lA

3-34

•

FIELD-EFFECT TRANSISTORS INDEX

This table contains an alpha-numerical listing and short-form specifications for Motorola in-house
non-registered field-effect transistors.

KEY
NOTE:
Breakdown
NF @ f
lOSS
0= Dual
Voltage
Yfs
Min Max IGSS
dB
:: MP=
ti
rnA rnA IOGO* V(BR) Sub·
Min
Max CISS
S
.~ Matched
" Ref.
Type
*nA
nA
Volts script J1II1hos J1II1hos pF
~ S
:I
Pair
Numerical Listing
Minimum and
Noise Figure in dB or*,
of Registered
Maximum
MV I yHz at a specified
Type Numbers
Drain Current
frequency
with gate
freq uency units:
N = n·channel
connected to
H= Hz
P = p·channel
source
K= kHz
M= MHz
Maximum
Gate
J = Junction FET
Current (leakage)
M=MOSFET
Maximum Input Capacitance
with drain
connected to source
Reference device number
*Maximum leakage
indicates specific Data Sheet
on which device is characterized from drain to gate
with source open
Minimum and Maximum Forward Transadmittance

'E,

~:

Minimum
GS =
GSS =
GO =
GDS =
DGO =
OGS =
OS =
DSX =

Breakdown Voltage (Subscript defines conditions)
Gate to source, drain connection not specified
Gate to source, drain connected to source
Gate to drain, source connection not specified
Gate to drain, source connected to drain
Drain to gate, source open
Drain to gate, source connected to drain
Drain to source, gate connection not specified
Drain to soutce, gate biased to cutoff or beyond

3-35

FIELD-EFFECT TRANSISTORS INDEX

Type

'E. tl
;e u..'"

Ref.

Breakdown
NF @ f
IDSS
Voltage
y's
Min Max IGSS
dB
1
':I
rnA rnA IDGO* V(BR) Sub·
Min
Max CISS MV*
1·,:5
*nA
nA
Volts script ,umhos ,umhos pF ~

MFE120
MFE121
MFE122
MFE2000
MFE2oo1
MFE2004
MFE2005
MFE2006
MFE2007
MFE2008

N
N
N
N
N
N
N
N
N
N

M
M
M
J
J
J
J
J
J
J

MFE120
MFE120
MFE120
MFE2oo0
MFE200o
MFE2004
MFE2004
MFE2004
MFE2007
MFE2007

MFE2009
MFE2010
MFE2011
MFE2012
MFE2093
MFE2094
MFE2095
MFE3001
MFE3002
MFE3oo3

N
N
N
N
N
N
N
N
N
P

J
J
J
J
J
J
J
J
M
M

MFE2007 50
MFE2010 15
MFE2010 40
MFE2010 100
MFE2093 0.1
MFE2093 D.4
MFE2093 1.0
MFE3001 0.5
MFE3002
MFE3003

MFE3004
MFE3005
MFE3006
MFE3007
MFE300B
MFE3020
MFE3021
MFE4OO7
MFE400B
MFE4Oo9

N
N
N
N
N
P
P
P
P
P

M
M
M
M
M
M
M
J
J
J

MFE3004
MFE3004
MFE3006
MFE3006
MFE3006
MFE3020
MFE3020
MFE4007
MFE4007
MFE4Oo7

MFE4010
MFE4011
MFE4012
MFE5000
MMFI
MMF2
MMF3
MMF4
MMF5
MMF6

P
P
P
P
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
P
N
S

J
J
J
M
J
J
J
J
J
J
J
J
J
J
J
J
M
M
M
J
J
J

MFE4Oo7 2.5
MFE4Oo7 4.0
MFE4Oo7 7.0
MFE5000 I.D*
MMFI
0.5
MMFI
0.5
MMFI
0.5
MMFI
0.5
MMFI
0.5
MMFI
0.5

MMT3823
MPF102
MPF10B
MPF109
MPF111
MPF112
MPF120
MPF121
MPF122
MPF161
MPF256
MPFS20

MMT3B23
MPF102
MPF10B
MPFlo9
MPFll1
MPF112
MPF120
MPF120
MPF120
MPF161
MPF256
MPFB20

2.0
5.0
2.0
4.0
8.0
8.0
15
30
8.0
20

4.5
4.5
4.0
4.0

200 M
400 M
100 M
200 M

2.5
2.5
2.5

100 H
100 H
100 H

7.0
7.0
7.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0

2.5
2.5
2.5

100 H
100 H
100 H

2.5
2.5
2.5
2.5
2.5
2.5

100
100
100
100
100
100

4.0
7.0
6.5
7.0
4.5*
B.O*
7.0
6.0
7.0
7.0
3.0
4.0

2.0

100 M

2.5
2.5

1.0 K
1.0 K

5.0
5.0
5.0
2.5
2.0

105 M Oual Gate
60 M Oual Gate
200 M Oual Gate
1.0 K

OSX
OSX
OSX
GSS
GSS
GSS
GSS
GSS
GSS
GSS

0.7
1.4
3.0
6.0
10*
-10*

2.0
3.0
3.0
3.0
·0.1
-D. 1
-D. 1
0.01
0.1
0.1

25
25
25
25
-50
-50
·50
20
15
-15

GSS
GSS
GSS
GSS
GSS
GSS
GSS
OSX
OSS
OSS

10
10
18
20
20
10·
10*
1.0
1.6
3.0

0.05
0.05
10
10
10
0.01
0.01
2.0
2.D
2.0

20
20
25
25
25
-25
-25
40
40
40

OSX
OSX
OSX
OSX
OSX
OSS
OSS
GSS
GSS
GSS

2000
2000
8000 18,000
10.000 18.000
BODO lB,ooo
500
500
2700
900
1000
3000
1500
3500

4.5
4.5
6.0
5.5
6.0
7.0
7.0
7.0
7.0
7.0

5.0
B.o
14
10*
10
10
10
10
10
10

2.0
2.0
2.0
1.0
0.05
0.05
0.05
0.05
0.05
0.05

20
5.0
20
2.0
24
1.5
24
0.5
20
0.5
25
1.0
7.0
2.0
10
5.0
9.0
2.0
14
0.5
3.0(1) 7.0'(1}
10

-1.0
-2.0
1.0
-1.0
100
100
20
20
20
10
5.0
5.0

40
40
40
25
30
30
30
30
30
30
-30
-25
-25
-25
-20
-25
25
25
25
40
25
25

GSS
2000
4000
4500
GSS
2200
GSS
2500
5000
OSS
2000
BODO
GSS
1500
6500
1500
6500
GSS
6500
1500
GSS
6500
GSS
1500
6500
GSS
1500
1500
GSS
6500
GSS
3000
BODO
2000
GSS
7500
2000
7500
GSS
800
GSS
1600
500
GSS
1000
7500
GSS
BODO IS,OOo
OSX
OSX 10,000 20,000
OSX
SOOO lB,OOo
BOO
6000
GSS
6.0*
GSS
15*
GSS 20* typ

(1} 6.0/13 Green
11/1B Violet

3-36

250
350
400
700

500
700
800
3500

Note

105 M
60 M
200 M
100 M
100M

25
25
25
·25
·25
30
30
30
25
25

0.5
O.B
1.5

8000 18,000
10.000 20,000
8000 18,000
2500
6000
4000
8000

5.0
5.D
5.D
2.D
2.D

20
20
20
-200
-200
0.2
0.2
0.2
2.0
2.0

2.0
2.0
2.0
5.0
2.0

18
30
20
10
20

7.0
6.0
7.0
5.0
5.0
16
16
16
30
30
30
5D
50
50
6.0
6.0
6.0
5.0
5.0

Quad
H
H
H
H
H
H

HYBRID WIDEBAND AMPLIFIERS
The following table contains an alpha-numerical listing and short-form specifications for Motorola
in-house non-registered hybrid wideband amplifiers.

Type

Ref.

NF

Gp

dB
Max

dB
Min

XM
dB
Max

Output Level
dB mV

lin and lout
@lo= 75 Ohms
dB/Min

Po
Watts
Max

AlphaNumerical
listings
Reference device
number indicates
specific Data Sheet
on which device is
characterized.
Noise Figure
Power Gain
Cross Modulation Distortion
Output Level
Zin. Input Return Loss
Zout.Output Return Loss
Power Dissipation

HYBRID WIDEBAND AMPLIFIERS

Type

Ref.

NF
dB
Max

MHW560
MHW561
MHW562

MHW560
MHW561
MHW562

8.5
12
10

Gp
dB
Min

XM
dB
Max

Output
Level
dBmV

lin and lout
@lo=75 Ohms
dB/Min

Po
Watts
Max

14.5
14
14

-55
-57
-60

45
47
50

16
16
16

5.0
6.0
6.0

3-37

PROGRAMMABLE UNIJUNCTION TRANSISTORS - PUT

This table contains an alpha-numerical listing and short-form specifications for Motorola in-house
non-registered programmable unijunction transistors.

KEY
Ip
Peak Current
RG = RG =
10kQ 1.0MQ
Type

Replacement

Ref.

iJA

iJA

Max

Max

IGAO
Leakage
Current
@

40V
nA
Max

IV
Valley
Current
RG = RG =
10kQ 1.0MQ
pA
iJA
Min
Ma.

VGKF
Gate to
Cathode
Vo
Forward
Min
Voltage
Output
Volts PF Voltage
Ma. mW Volts

ITlpulss)
Peak
Anode
IT
DC
Current
VF
Forward
Anode
ZOI'S
Voltage
Current 1.0% DC
Amp
VF @ IF
mA
Volts mA Ma.
Ma.

Tstg
Storage
Temp.
Range
°c

Alphanumerical
listings
Type number of recommended
replacement of nearest
electrical equivalent fully
characterized in this book
Reference device number indicates
specific data sheet on which device is
characterized.

Peak Current
Gate to Anode Leakage Current
Valley Current
Gate to Cathode Forward Voltage
Forward Power Dissipation @ 25°C
Peak a utput Voltage
Forward Voltage
DC Forward Anode Current
Repetitive Peak Forward Current
Storage Temperature Range

PROGRAMMABLE UNIJUNCTION TRANSISTORS - PUT

Type
MPU131
MPU132
MPU133
MPU231
MPU232
MPU233

Replacement
MPU131
MPU132
MPU133
2N6116
2N6117
2N6118

Ref.
MPU131
MPU131
MPU131
2N6116
2N6116
2N6116

IGAO
Ip
Leakage
Peak Current
Current
@
RG = RG =
10kQ 1.0MQ 40 V
nA
iJA
iJA
Ma.
Ma.
Max
5.0
2.0
1.0
5.0
2.0
1.0

2.0
0.30
0.15
2.0
0.30
0.15

5.0
5.0
5.0
5.0
5.0
5.0

Iv
Valley
Current
RG = RG =
10kQ 1.0MQ
pA
iJA
Min
Ma.
70
50
50
70
50
50

50
50
25
50
50
25

3-38

VGKF
Gate to
.Cathode
Va
Forward
Min
Voltage
Output
Volts PF Voltage
Ma. mW Volts
40
40
40
40
40
40

375
375
375
250
250
250

6.0
6.0
6.0
6.0
6.0
6.0

ITlpulse)
Peak
Anode
IT
Current
DC
VF
Forward
Anode
201lS
Voltage
Current 1.0% DC
Amp
mA
VF @ IF
Volts mA Ma.
Ma.
1.5
1.5
1.5
1.5
1.5
1.5

50
50
50
50
50
50

200
200
200
200
200
200

2.0
2.0
2.0
2.0
2.0
2.0

Tstg
Storage
Temp.
Range
°c
·65 to +150
·65 to +150
-65 to +150
·65 to +200
·65 to +200
·65 to +200

TRANSISTORS

This table contains an alpha-numerical listing and short-form specifications for Motorola
in-house non-registered transistors.

•

Coliector·Emitter Saturation
Voltage at Specified
Collector Current
Ie Units:
A=Amp
M = milliamp

KEY
MAXIMUM RATINGS

,.,'0

I ..

REPLACE· REF. USE
MENT

TYPE

ELECTRICAL CHARACTERISTICS

,

,

11t

11t

!,C

PD I:; TJ Velo VeE - ,.: hFE @ Ie ~ VeEls"TI @ Ie ~
(volts)
:
@25'C:= ·C (volts) (VOlts):: (min) (max) :

I::
III

,'"

Common-Emitter DC ShortCircuit Forward-Current
Transfer Ratio at Specified
Collector Current
Ie Units: A = Amp
M = milliamp
• = mlcroamp
N = nanoamp

Numerical
listing
of 2N and 3N
Registered
Type Numbers
S = Silicon
G= Germanium
P= PNP
N=NPN

Maximum Collector· Emitter Voltage
(Subscript Identifies Condition)

Type number of recommended
replacement or of nearest
electrical equivalent fully
characterized In this book

Subscript:
o = VeEo =
R = VeEi =
S = VeES =
V = VeEV =

Base Open
Specified Resistance
Base Shorted
Used when only
voltage bias Is used
X = VeEx = Base·Emltter Back
Biased
U = VeE == Termination Undefined

Reference device number Indicates
specific Data Sheet on
which device Is characterized
APPLICATION CODE
A = Amplifier
AH = Amplifier, High frequency
AHP = Amplifier, High frequency
power
Al = Amplifier, light sensitive
AM = Amplifier, Multiple,device
AP = Amplifier, Power
AS Amplifier Switch
ASM Amplifler'Swltch Multiple device
S = Switch
SC = Switch, Chopper
SH
Switch, High speed
SHP = Switch, High speed power
SM Switching Amplifier
SP Switch, Power

Small-Slgnal Forward-Current Transfer Ratio
(E, B or C defines the parameter)
E hf. == Common-Emitter Current
Transfer Ratio
B IIIb = Common-Base Current
Transfer Ratio
C IIf. = Common-Collector Current
Transfer Ratio

=
=
=

=
=

CUTOFF FREQUENCY
Units: k KHz
M= MHz
G=GHz
(B, E, M or T Indicate the Parameter)
fillb
fob Common-Base Cutoff Frequency
f .. = Common-Emitter CutOff Frequency
fm .. Maximum Frequency of Oscillations
fr Current Gain - Bandwidth Product

=

=
=

=

Power Dissipation at 25·C
Units: M mliliwatts
W=Watts
Ref. Point: A, C, J, S, Indicates Ambient,
Case, Junction or Stud
'

bf_l'f;

= =
=h,. =
=
=
= =

B=
E
M
T

=

Maximum Collector - Base Voltage
Maximum Operating Junction Temperature

3-39

TRANSISTOR INDEX

....

c
ii

Tvp.

......c
:Ii!

.

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

>!::

.5

a:

....c

,f

.i

"-

Ref.

u..

Po
1!lI25°e

~
a:

TJ
°e

Vca
Volts

VCEVolts

AH
AH
S
A
A
A
A
A
A
A
A

60M
60M
lOW
200M
150M
150M
150M
150M
150M
150M
150M

A
A
C
A
A
A
A
A
A
A
A

100
100
115
100
100
100
100
100
100
100
100

20
20
750
60
105
105
lOS
lOS
90
75
60

15
15
750
60
105
105
105
105
90
75
60

!..

'"0

AFI39
AF239
8UI05
MAIOO
MA200
MA201
MA202
MA203
MA204
MA205
MA206

G
G
S
G
G
G
G
G
G
G
G

P
P
N
P
P
P
P
P
P
P

AFI39
AF239
MJ105
MA100
MAlOO
MA200
MA200
MA200
MA200
MAlOO
MA200

MAS81
MAS82
MA8S3
MASS4
MASS5
MASSG
MASS7
MASSS
MASS9
MA1702

G
G
G
G
G
G
G
G
G
G

P
P
P
P
P
P
P
P
P
P

MASSI
MASSI
MASSI
MAS81
MASSI
MA8S1
MASSI
MASSI
MASSI
MA1702

AS
AS
AS
AS
AS
AS
AS
AS
AS
AS

200M
200M
200M
200M
200M
200M
200M
200M
200M
200M

A
A
A
A
A
A
A
A
A
A

100
100
100
100
100
100
100
100
100
100

60
60
60
60
50
50
50
50
50
45

60
60
60
60
50
50
50
50
50
30

S
S
S
S
S
S
S
S
S
A

MA1703
MA1704
MA1705
MA1706
MA1707
MA170S
M070S
M0708A
M0708AF
M07088

G
G
G
G
G
G

P
P
P
P
P
P
N
N
N
N

MA1702
MA1702
MA1702
MA1702
MA1702
MA1702
M070S
M0708
M0708
M070S

AS
AS
AS
AS
A8
AS
SM
SM
SM
SM

200M
200M
200M
200M
200M
200M
400M
400M
350M
400M

A
A
A
A
A
A
A
A
A
A

100
100
100
100
100
100
200
200
200
200

25
25
25
15
IS
15
40
40
40
40

25
25
25
15
15
15
15
15
15
15

R
A
R
R
R
A
0
0
0

M070SBF
MD,70SF
M0918
M091SA
M091SAF
M0918B
M09188F
M091SF
M09S2
M0984

S
8
S
S
S
S
8
S
8
8

N
N
N
N
N

M070S
M0708
M091S
M091S
M0918
M091S
M091S
M0918
M09S2
M09S4

SM
8M
AM
AM
AM
AM
AM
AM
AM
AS

A
A
A
A
A
.A
A
A
A
C

200
200
200
200
200
200
200
200
200
200

40
40

30
30
30
60
40

15
15
15
15
15
15
15
15
50
20

M09S5
M09S5F
M09S6
M098GF
MOl120
MDl121
M01122
M01123
M01129
M01129F

S
S
S
S
8
S
8
S
8
8

NIP
NIP
NIP
NIP
N

M09S5
M0985
M0986
M0986
M01120
MD1120
MOl120
M01123
M01129
M01129

ASM
ASM
ASM
ASM
A
A
A
AM
AM
AM

350M
350M
400M
400M
350M
400M
350M
350M
0.6W
3.0W
600M
350M
350M
350M
350M
800M
GOOM
O.GW
800M
350M

A
A
A
A
A
A
A
A
A
A

200
200
200
200
200
200
200
200
200
200

60
60
60
60
60
60
60
60
60
60

30
30
30
30
30
30
30
40
30
30

S
S
S
S

P

N
N
N

P
P

N

N
P
N
N

30
30
30

3-40

0
A
S

0
0
0
0
0
0
0

0
0
0
0

0
0
0
0
0
0

0
0
0
0
0
0
0

0
0
0
0

Min

hFE fi!I Ie
Ma.

'1

=

10
IS

t5M
t5M

30
20
20
40
40
20
20
20

10M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M

30
40
75
125

10M
10M
10M
10M

200

100M

100
150
200
IDa
150
200
40
40
40
40

350
400

40
40
50
50
50
50
50
50
40
25

200
200

25
25
25
25
50
50
50
50
100
100

350
400
200
200
200
200

200
200
200
200
300
300

VollS

f-.;

·1

450M
600M
7.5M
I.OM
1.0M
1.0M
1.0M
1.0M
1.0M
1.0M
1.0M

T
T
T
8
8
8
8
8
8
B
8

E 0.75M
E 1.0M
E 1.25M
E 1.75M
E 0.5M
E 0.75M
E 1.0M
E 1.25M
E 1.75M
E 7.0M

B
B
B
B
B
B

E
E
E
E
E
E

8
8
8
8
8
8

S-

...

VeE (SAT) @Ie 0

:5 hf-

5.0

2.5A

0.35
0.35
0.35
0.35
0.35
0.35
0.35

5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M

0.26

30
50
100
190
15
30
50
100
190
200M 500

...'i..
'"

50 E

100M
100M
100M
100M
100M
100M
10M
10M
10M
10M

200
350
500
200
350
500
0.2
0.2
0.2
0.2

10M
10M
I.OM
tOM
tOM
1.0M
tOM
1.0M
150M
10M

0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.5
0.3

10M
10M
10M
10M
10M
10M
10M
10M
150M
10M 2.5

10M
10M
10M
10M
10M
10M
10M
10M
100'
100'

0.5
0.5
0.5
0.5
0.1
0.1
0.1
0.25
0.1
0.1

150M
150M
150M
150M
10M 2.5
10M 2.5
10M 2.5
10M
10M
10M

10M
10M
10M
10M

E

E
E
E

=" ...'!I

3.0M
5.0M
6.0M
3.0M
4.0M
5.0M
300M
300M
300M
300M

8
8

8
8

T
T
T
T

300M
300M
600M
600M
GOOM
GOOM
600M
600M
200M
250M

T
T
T
T
T
T
T
T
T

200M
200M
200M
200M
250M
250M
250M
200M
200M
200M

T

T
T
T

T
T
T
T

T
T
T

TRANSISTOR INDEX (continued~

.....: ...>
a: a:
w

t-

Type

:3
0

ElECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

'C

~

'Q.

'Q.

.~

PD
";i
@25°C a;

TJ

VCEVolts

hFE @ IC
Max

.~

'Q.

." ] f-,i
:5 hf- '"= ::>

VCE(SAT) @IC ~

"§

"-

Ref.

°c

VCB
Volts

P
P
N
N
N
N
N

M01130
M01130
M01132
M02218
M02218
M02218
M02218
M02219
M02219
M02219

AM
AM
A
ASM
ASM
ASM
ASM
ASM
ASM
ASM

600M
350M
300M
500M
500M
250M
250M
500M
500M
250M

A
A
A
A
A
A
A
A
A
A

200
200
200
200
200
200
200
200
200
200

60
60
30
60
75
75
60
SO
75
75

40
40
15
30
40
40
30
30
40
40

0
0
0
0
0
0
0
0
0
0

100
100
50
40
40
40
40
100
100
100

120
120
120
120
300
300
300

MD2219
M02369
MD2369
MD2369
MD2369
M02904
M02904
M02904
M02904
M02905

ASM
8M
SM
SM
SM
ASM
ASM
ASM
ASM
ASM

250M
500M
500M
250M
500M
500M
500M
250M
250M
500M

A
A
A
A
A
A
A
A
A
A

200
200
200
200
200
200
200
200
200
200

SO
40
40
40
40
60
60
60
SO
60

30
15
15
15
15
40
60
60
40
40

0
0

100
40
40
40
40
40
40
40
40
100

300
120
120
120
120
120
120
120
120
300

. 150M
10M
10M
10M
10M
150M
150M
150M
150M
150M

0.4
0.25
0.25
0.25
0.25
0.4
0.4
0.4
0.4
0.4

150M
10M
10M
10M
10M
150M
150M
150M
150M
150M

250M
500M
500M
500M
500M
200M
200M
200M
200M
200M

T

M02905
M02905
M02905
M03250
M03250
M03250
M03250
M03250
M03250
M03250

A8M
ASM
A8M
AM
AM
AM
AM
AM
AM
AM

500M
250M
250M
500M
500M
250M
250M
500M
500M
250M

A
A
A
A
A
A
A
A
A
A

200
200
200
200
200
200
200
200
200
200

60
60
60
50
50
50
50
50
50
50

60
60
40
40
40
40
40
40
40
40

100
100
100
50
50
50
50
100
100
100

300
300
300
150
150
150
150
300
300
300

150M
150M
150M
100'
100'
100'
100'
100'
100'
100'

0.4
0.4
0.4
0.25
0.25
0.25
0.25
0.25
0.25
0.25

150M
150M
150M
150M
10M
10M
10M
10M
10M
10M

200M
200M
200M
200M
200M
200M
200M
250M
250M
250M

T
T
T

AM
AM
AM
SM
8M
SM
8M
8M
SM
AM

250M
0.6W
0.6W
500M
250M
500M
250M
500M
250M
200M

A
A
A
A
A
A
A
A
A
A

200
200
200
200
200
200
200
200
200
200

50
SO
60
40
40
65
65
40
40
30

40
30
30
40
40
40
40
40
40
30

100
40
40
20
20
50
50
20
20
20

300
160
ISO

P
P
P

M03250
M03409
M03409
M03467
M034S7
M03725
M03725
M03762
M037S2
M04957

100'
1.0M
1.0M
500M
500M
100M
100M
1.0A
1.0A
2.0M

0.25
0.15
0.15
0.5
0.5
0.26
0.2S
1.0
1.0

10M 100 E
10M 2.5 E
10M 2.5 E
500M
500M
100M
100M
1.0A
1.0A
20 E

150M
150M
250M
250M
150M
150M
1.0G

S
8
8
S
8
S
S
S
S
S

P
P
P
NIP
NIP
NIP
NIP
NIP
NIP
NIP

M05000
M05000
M05000
MOSOOI
MOSOOI
MOSOOI
M06001
M06001
MOSOOI
MOS100

AM
AM
AM
A8M
A8M
ASM
ASM
AM
AM
AM

300M
300M
300M
500M
250M
500M
250M
500M
250M
500M

A
A
A
A
A
A
A
A
A
A

200
200
200
200
200
200
200
200
200
200

20
20
60
60
60
60
50
50
60

15
15
15
30
30
30
30
30
30
45

3.0M
3.0M
3.0M
150M
150M
150M
150M
150M
150M
100'

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.2

10M
10M
10M
150M
150M
150M
150M
150M
150M
100'

600m
600m
SOOM
200M
200M
200M
200M
200M
200M
30M

T
T
T
T
T
T
T
T
T
T

S
S
S
S
S
S
S
S
S
S

N
N

MOSOOI
M08001
M08001
MH02221
MH02221
MH02369
MH02383
MH02384
MHI12906
MHI12907

AM
AM
AM
ASM
A8M
A8M
AM
AM
A8M
ASM

300M
300M
300M
0.S5W
O.65W
0.5W
O.SW
O.SW
0.65W
0.S5W

A
A
A
A
A
A
A
A
A
A

200
200
200
200
200
200
200
200
200
200

SO
60
40
35
35
SO
60

40
50
60
40
40
15
25
30
40
40

1.0M
1.0M
1.0M
150M
150M
10M
1.0M
1.0M
150M
150M

0.4
0.4
0.25
0.35
0.35
0.4
0.4

150M
150M
10M
1.0M
10M
150M
150M

350M
350M
550M
175M
175M
350M
350M

T
T

'"

::;;

M01130
M01130F
M01132
M02218
M02218A
M02218AF
M02218F
M02219
M02219A
M02219AF

S
S
S
S
S
S
S
S
S
S

M02219F
MD2369
MD2369A
MD2369AF
MD2369B
M02904
M02904A
M02904AF
M02904F
M02905

S
S
8
S
S
S
S
S
S
S

M02905A
M02905AF
M02905F
M03250
M03250A
M03250AF
M03250F
M03251
MD3251A
M03251AF

S
8
S
S
S
8
S
S
S
S

MD3251F
M03409
M03410
M03467
M03467F
M03725
M03725F
M03762
M037S2F
M04957

S
S
S
8
8
S
S
8
S
8

M05000
M05000A
M05000B
MOSOOI
M06001F
MOS002
MD6002F
MOS003
MOS003F
MOS100
MOSOOI
M08002
M08003
MH02221
MH02222
MH023S9
MH02483
MH02484
MHI1290S
MH02907

N
N

N
N
N

N
N
N

P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
N
N

P
P
N
N

N
N
N
N
N

N
P

P

Use

20

3-41

"§

'"

0

0
0
0
0
0
0
0
0

a
0
0
0
0

0
0

0
0
0
0
0

0
0

a
0
0
0
0
0

Min

a

20
20
20
40
40
100
100
70
70
100

0
0
0
0
0
0
0
0
0
0

100
100
100
40
100
40
150
300
40
100

0

0
0
0

0
0
0

0

300
300

150
150

150

120
120
300
300

::>

Volts

0.25
100'
0.25
100'
1.0M
0.4
150M - 0.4
150M
0.3
150M
0.3
150M
0.4
150M
0.4
150M
0.3
0.3
150M

10M
10M
10M
150M
150M
150M
150M
150M
150M
150M

200M
200M
6.0

T
T

E
200M
200M
200M
200M
250M
250M
250M

50
50
50
50
100
100
100

"§

'"

E
E
E
E
E
E
E

250M

T
T

T
T
T
T
T
T

T
T
T
T
T
T
T
T

T
T
T
T
T
T

T
T

T

T
T

T
T
T

T

T

T
T

T

T

TRANSISTOR INDEX

...... .....
..... .......
iii:
w

(continued)

Ref.

Use

Po
@25"C

MH03250
MH03250
MH034S7
MH0354S
MH03798
MH03798
MHOS001
MHOS001
MHOS100
MHOS100

ASM
ASM
ASM
ASM
AM
AM
ASM
ASM
AM
AM

O.SW
O.SW
0.9W
0.5W
0.5W
0.5W
0.S5W
0.S5W
0.5W
0.5W

I"Ii

TJ

C):c

VCB
Volts

VCEVolts

A
C
A
A
A
A
A
A
A

200
200
200
200
200
200
200
200
200
200

SO
SO
40
15
SO
SO
SO
60
SO
SO

40
SO
40
12
40
SO
30
30
40
50

750
350
200
300
400
275
350
400
700
700

750
325
200
300
400
250
325
400
350
400

400
40
40
SO
40
SO
SO
80
100
SO

400
40
40
60
40
SO
SO
BO
100
SO

SO
SO
SO
SO
BO
SO
SO

SO
SO
SO
SO
BO
SO
80
250
SO
80
225
300
SO
BO
40
55
SO
SO
40
SO

200
200
200
200
200
200
150
150
150
150
150
150

80
50
SO
80
SO
80

.~

:;

CI

MH03250
MHQ3251A
MH034S7
MH0354S
MH03798
MH03799
MHOS001
MHOS002
MHOS100
MHOS100A

S
S
S
S
S
S
S
S
S
S

P
P
P
P
P
P
NIP
NIP
NIP
NIP

MJ105
MJ400
MJ410
MJ411
MJ413
MJ420
MJ421
MJ423
MJ424
MJ425

S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N

MJ105
MJ400
MJ410
MJ410
MJ413
MJ420
MJ420
MJ413
MJ424
MJ424

S
AP
AP
AP
SP
AP
AP
SP
AP
AP

lOW
S.S7W
"lOOW
"lOOW
125W
2.5W
2.5W
125W
"100W
"100W

MJ431
MJ450
MJ480
MJ4S1
MJ490
MJ491
MJ500
MJ501
MJS02
MJ900

S
S
S
S
S
S
S
S
S
S

N
P
N
N
P
P
P
P
N
P

MJ413
MJ450
MJ4S0
MJ4BO
MJ490
MJ490
MJ500
MJ500
MJ802
MJ900

SP
ASP
AP
AP
AP
AP
ASP
ASP
AP
AP

125W
150W
S7.5W
B7.5W
B7.5W
87.5W
SOW
SOW
200W
90W

MJ901
MJ920
MJ921
MJ1000
MJ100l
MJ1200
MJ1201
MJ1800
MJ2249
MJ2250
MJ2251
MJ2252
MJ2253
MJ2254
MJ22S7
MJ22SB
MJ2500
MJ2501
MJ2801
MJ2840

S
S
S
S
S
S
S
S
S
S

P
P
P
N
N
N
N
P
P
P

AP
AP
AP
AP
AP
AP
AP
ASP
ASP
ASP

S
S
S
S
S
S
S
S
S
S

N
N
P
P
P
P
P
P
N
N

MJ900
MJ920
MJ920
MJ900
MJ900
MJ920
MJ920
MJ1S00
MJ2249
MJ2249
MJ2250
MJ2250
MJ2253
MJ2253
MJ22S7
MJ22S7
MJ2500
MJ2500
MJ2801
MJ2840

AP
AP
ASP
ASP
ASP
ASP
AP
AP
ASP
AP

90W
120W
120W
90W
90W
120W
120W
100W
20W
20W
10Wt
10Wt
25W
25W
150W
150W
150W
150W
115W
150W

C 115
C 175
c 150
C 150
C 150
C 175
C 175
C 150
C 150
C 150
C 150
C 200
C 200
C 200
C 200
C 200
C 200
C 200
C 200
C 200
C 200
C 200
C 200
C 200
C 200
C 200
C 200
C 150
C 175
C 175
c 150
c 150
C 200
C 200
C 200
C 200
C 200
C 200
C 200
C 200

S
S
S
S
S
S
S
S
S
S
S
S

N
P
P
P
N
N
N
N
N
N
N
N

MJ2840
MJ2901
MJ2940
MJ2940
MJ2500
MJ2500
MJ302S
MJ302S
MJ320B
MJ2029
MJ2029
MJ3040

AP
ASP
AP
AP
AP
AP
AP
AP
AP
AP
AP
AP

150W
115W
150W
150W
150W
150W
BOW
80W
100W
125W
125W
100W

C
C
C
C
C
C
C
C
C
C
C
C

TVpe

MJ2841
MJ2901
MJ2940
MJ2941
MJ3000
MJ3001
MJ302S
MJ3027
MJ3028
MJ3029
MJ3030
MJ3040
.- -

elECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

>
iii:

'"A

SO
BO

70
90
40
55
SO
BO
50
SO

400

80
40
SO
80
SO
80
275
300
300
250
325
300

3-42

...II=

'"
a
a
a
a
a
a
0

a
a
a

hFE

@

Min

Max

50
100
20
30
150
300
40
100
75
150

200
300

30
30
30
20
25
25
30
30
30
15
20
30
30
30
30
25
25
25
1000

IC

.~
e
:::>

X

a
a
X

a
a
X

a
a
a
a
a
a
a
R

a
a
a
a
a
a
a
a
a
a
a
a
a
a
a
a
a
a
a
a
0
0
0
0
0
0
0

a

0
0

a
a
a

1000
750
750
1000

.

Volts

hf-

10M
10M
500M
10M
100'
100'
150M
150M
1.0M
loOM

0.25
0.25
0.5
0.25
0.2
0.2
0.4
0.4
0.25
0.25

10M
10M
500M
10M
100'
100'
150M
150M
loOM
loOM

300
90
90
80
250
250
90
90
90

50M
LOA
LOA
500M
30M
30M
LOA
LOA
LOA

5.0
5.0
0.8
O.B
0.8
5.0
5.0
0.8
0.8
O.S

35

2.5A
lOA
LOA
LOA
LOA
LOA
2.0A
2.0A
7.5A
3.0A

0.7
1.0
1.0
1.0
0.4
0.4
0.7
0.7
O.S
2.0

2.5A
50M
LOA
LOA
500M
30M
30M
LOA
LOA
LOA
2.5A
lOA
1.0A
1.0A
1.0A
1.0A
2.0A
2.0A
7.5A
3.0A

1.0 E

3.0A
4.0A
4.0A
3.0A
3.0A
4.0A
4.0A
400M
500M
500M
50M
50M
250M
250M
4.0A
4.0A
5.0A
5.0A
8.0A
3.0A

2.0
2.0
2.0
2.0
2.0
2.0
2.0

3.0A
4.0A
4.0A
3.0A
3.0A
4.0A
4.0A

1.0
300
300
1.0
1.0
300
300

1.0
1.0

500M
500M

0.3
0.3
1.0
1.0
2.0
2.0
1.5

500M
500M
4.0A
4.0A
5.0A
5.0A
B.OA

R

a
a
a

a

. I
:S
'"

VCE(SAT) @ IC ~

200
200
200
200
1BO
180
100

18,000
1B,000

1000

750
750
40
25
25
25
25
20
20
20
20
1000
1000
15
20

18,000
lS,OOO
120
200
200
200
200
100
100
100
100

20
15
20
20
1000
1000
25
25
25
25
30
100

100
SO
100
100

SO
100

4.0A
S.OA
3.0A
4.0A
5.0A
5.0A
250M
250M
0.3A
0.3A
0.4A
2.5A

1.5

8.0A

2.0
2.0

5.0A
5.0A

2.0
2.0
2.2

3.0A
3.0A
2.5A

a

f-,;
e

:::>

400M
400M

·i
-g

'"

T
T

1000M
325M
325M
400M
400M
175M
175M

T
T
T
T
T
T
T

7.5M
15M
2.5M
2.5M
2.5M
15M
15M
2.5M
2.5M
2.5M
2.5M
2.0M
4.0M
4.0M
4.0M
4.0M
30M
30M
2.0M

T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T

4.0M
4.0M

T
T

4.0M
4.0M

T
T

10M
10M
10M
10M
3.0M
3.0M
3.0M
3.0M

T

1.0M
2.0M

T

2.0M
1.0M
4.0M
4.0M

T

E
E
E
E
E
E
E

T
T
T

T
T

T
T

T
T
T

T

•

TRANSISTOR INDEX

....

«

(continued)

I-

a:w «a:

I-

Type

•

MJ3041
MJ3042
MJ3101
MJ3201
MJ3202
MJ3260
MJ3430
MJ3101
MJ4000
MJ4001

«
:;;

0

S

N
N
N
N
N
P
P
N
N

Ref.
MJ3040
MJ3040
MJ2249
MJ3201
MJ3201
MJ3260
MJ3430
MJ3101
MJ4000
MJ4000

Us.
AP
AP
ASP
AP
AP

Po
@250C li
a:

1;;.

TJ

°c

VCS
Volts

VCEVolts

AP
ASP
AP
AP

100W
100W
20W
15W
15W
SOW
125W
25W
15W
15W

C 150
C 150
C 175
C 175
C 175
C 150
C 150
C 200
C 200
C 200

400
450
50
225
300
100
400
50
60
SO

300
350
40
225
300
150
300
40
60
80

MJ4000
MJ4000
MJ4030
MJ4030
MJ4030
MJ4030
MJ4030
MJ4030
MJ4200
MJ4200

AP
AP
AP
AP
AP
AP
AP
AP
AP
AP

15W
15W
150W
150W
150W
150W
150W
150W
60W
60W

C 200
C 200
C 200
C 200
C 200
C 200
C 200
C 200
C 200
C 200

60
80
60
80
100
60
80
100
"60
SO

60
80
60
80
100
60
SO
100
60
80

N
P
P

MJ4200
MJ4200
MJ4502
MJ6100
MJ6101
MJ1000
MJ1200
MJ7200
MJ8100
MJ8100

AP
AP
AP
ASP
ASP
ASP
ASP
ASP
ASP
ASP

60W
60W
200W
60W
60W
150W
300W
300W
lOW
lOW

C
C
C
C
C
C
C
C
C
C

200
200
200
200
200
200
200
200
200
200

60
80
100
60
SO
100
100
120
60
80

60
80
100
60
SO
100
SO
100
60
80

N
N
P
P
P
P
N
N
N
N

MJ8400
MJ9000
MJE105
MJE170
MJE170
MJE170
MJE110
MJE110
MJE170
MJE200

AP
AP
AP
AS
AS
AS
AS
AS
AS
A

125W
125W
65W
12.5W
12.5W
12.5W
12.5W
12.5W
12.5W
15W

C
C
C
C
C
C
C
C
C
C

150
150
150
150
150
150
150
150
150
150

50
60
SO
100
60
80
100
40

600
325
50
40
60
SO
40
60
80
25

N
P

MJE205
MJE200
MJE220
MJE220
MJE220
MJE220
MJE220
MJE220
MJE220
MJE220

AP
A
AS
AS
AS
AS
AS
AS
AS
AS

65W
15W
15W
15W
15W
15W
15W
15W
15W
15W

C
C
C
C
C
C
C
C
C
C

150
150
150
150
150
150
150
150
150
150

50
40
60
60
60
80
SO
SO
60
60

50
25
40
40
40
60
60
60
40
40

S

P MJE220

S

P MJE220
P MJE220
P MJE220

AS
AS
AS
AS
AS
AS
AS
AS
AS
AS
AS
AS

15W
15W
15W
15W
15W
15W
15W
15W
15W
15W
15W
15W

C
C
C
C
C
C
C
C
C
C
C
C

150
150
150
150
150
150
150
150
150
150
150
150

60
80
80
80
80
80
80
100
100
80
80
80

40
60
60
60
80
80
80
100
100
80

S
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

MJ4210
MJ4211
MJ4502
MJ6700
MJ6701
MJ7000
MS1200
MJ1201
MJ8100
MJ8101

S
S
S
S
S
S
S
S
S
S

MJ8400
MJ9000
MJE105
MJE170
MJE111
MJEI12
MJE180
MJE1SI
MJE182
MJE200

S
S
S
S
S
S
S
S
S

MJE232
MJE233
MJE234
MJE235
MJE240
MJE241
MJE242
MJE243
MJE244
MJE250
MJE251
MJE252

...

N

MJ4010
MJ4011
MJ4030
MJ4031
MJ4032
MJ4033
MJ4034
MJ4035
MJ4200
MJ4201

MJE205
MJE210
MJE220
MJE221
MJE222
MJE223
MJE224
MJE225
MJE230
MJE231

....~

....

S

S
S
S
S
S
S

S
S
S
S
S

S
S
S
S
S
S
S

S
S
S

P
P
P
P
P

N
N
N
N
N
P
P
P
P
P

N
N

N
N
N
N
N
N
P
P

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

>

N MJE240
N MJE240
N MJE240
N MJE240
N MJE240
P MJE240
P MJE240
P MJE240

S

80
80

~

Min

a
a
a
a
a

250
250
25
30
30

'"

hFE @ IC
Max

'i:::>

200
200
200

2.5A
2.5A
0.5A
50M
50M

0

a
0

a
a
0

a
a
a
a
0
R

a
a
a
a
a
a
a
a
0
0
0

a
0
0
0
0
0
0
0

a
0
0
0

a
0
0

a
a
0
0
0
0
0
0
0

a

0
0
0

15
20
1000
1000
1000
1000
1000
1000
1000
1000
1000
1000
150
150
150
150
25
25
25
20
20
20
25
25

2.5A
2.5A
500M
50M
50M
5.5A
2.5A
500M
1.5A
1.5A
1.5A
1.5A
lOA
lOA
lOA
lOA
lOA
lOA
2.0A
2.0A

IS,OOO
IS,OOO

1.5A
1.5A
lOA
lOA
lOA
lOA
lOA
lOA
2.0A
2.0A

18,000
18,000
100
ISO
ISO
100
100
100
ISO
180

2.0A
2.0A
1.5A
2.0A
2.0A
lOA
20A
20A
2.0A
2.0A

2.0
2.0
O.S
0.7
0.7
1.0
1.0
1.0
0.7
0.1

2.0A
2.0A
1.5A
2.0A
2.0A
lOA
20A
20A
2.0A
2.0A

2.0
2.0

3.0A
6.0A

45
100

2.5A
250m
1.5A
1.5A

t

." hf:5
'"

Volts
2.2
2.2
1.0
5.0
5.0
6.0
0.9
0.3
2.0
2.0
2.0
2.0
2.5
2.5
2.5
2.5
2.5
2.5
2.0
2.0

R

a
a
a
a
a

VCEISAT)@ IC ~

i

1;;.

f-.~
:::>

10M
15M
15M
1.5M
2.5M
3.0M

4.0M
4.0M
2.0M
30M
30M
30M
20M
20M
30M
30M

T
T
T
T
T
T

500M
500M
500M
500M
500M
500M
2.0A

50M
50M
50M
50M
50M
50M

25
45
40
40
25
40
40
25
40
40

100
ISO
200
150

2.0A
2.0A
200M
200M
200M
200M
200M
200M
200M
200M

0.15
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3

2.0A
500M
500M
500M
500M
500M
500M
500M
500M

65M
50M
50M
50M
50M
50M
50M
50M
50M

200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M
200M

0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3
0.3

500M
500M
500M
500M
500M
500M
500M
500M
500M
500M
500M
500M

50M
50M
50M
5aM
40M
40M
40M
40M
40M
40M
40M
40M

200
120
120
200
120

T

300 E
300 E

0.3
0.3
0.3
0.3
0.3
0.3
0.75

200
150

T
T

T
T
T
T
T
T
T
T
T
T
T
T

2.0A
100M
100M
100M
100M
100M
100M
2.0A

25
40
40
25
40
40
25
40
25
40
40
25

T
T
T

4.0M
4.0M

100
250
250
250
250
250
250
180

200
150

-g

'"

300 E
300 E

25
50
50
50
50
50
50
45

200
200

.~

T

T
T

T
T
T
T
T
T
T
T
T
T
T
T
T

T
T

T
T
T

TRANSISTOR INDEX(continued)

....
c
iC
w

Type

...c
::ii

c
....
co
"-

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

...iC>

.
.!

Ref.

'S.

.§
-g

'S.

PD
Use @250C cc

-=

TJ
°c

Vca
Volt>

VCEVolts

In

Min

Max

100
100

0
0
0
0
0
0
0
0
0
0

40
25
3D
25
30
30
25
40
25
40

120

30
40
30
40

100
100
300
150
200
300
30
40
30
40

hFE

@

IC

.":::>

VCE(SAT) @ IC

w

." hf:S

~

"

Volt>

200M
200M
50M
50M
50M
50M
LOA
LOA
1.0A
LOA

0.3
0.3

500M
500M

1.0
1.0

150M
150M

25
25

E
E

In

15M
15M

T
T

3.0M
3.0M
3.0M
3.0M
3.0M
3.0M
3.0M
3.0M

T
T
T
T
T
T
T
T

E

10M
10M
3.0M
3.0M
2.0M
2.0M
2.0M
2.0M
3.0M

T
T
T
T
T
T
T
T
T

E
E
E
E
E

3.0M
3.0M
3.0M
3.0M
3.0M

T
T
T
T
T

E

2.0M
30K
2.0M

T
E
T

P MJE240
P MJE240
N MJE340
N MJE341
N MJE341
P MJE350
P MJE370
P MJE371
N MJE520
N MJE521

AS
AS
AP
AP
AP
S
AP
AP
AP
AP

15W
15W
20.SW
20.8W
'20.8W
20W
25W
40W
25W
40W

C
C
C
C
C
C
C
C
C
C

150
150
150
150
150
150
150
150
150
150

S
S
S
S
S
S
S
S
S
S

P
P
P
P
P
P
P
N
N
N

MJE700
MJE700
MJE700
MJE700
MJE710
MJE710
MJE710
MJE720
MJE720
MJE720

AP
AP
AP
AP
APS
APS
APS
APS
APS
APS

40W
40W
40W
40W
1.25W
1.25W
1.25W
1.25W
1.25W
1.25W

C
C
C
C

60
·60
SO
SO
40
SO
SO
40
60
SO

60
SO
SO
SO
40
60
SO
40
60
SO

0
0
0
D
0
0
0
0
0
0

750
750
750
750
40
40
40
40
40
40

1.5A
2.0A
1.5A
2.0A
150M
150M
150M
150M
150M
150M

2.5
2.S
2.5
2.S
0.15
0.15
0.15
0.15
0.15
0.15

1.5A
2.0A
1.5A
2.0A
150M
150M
150M
150M
150M
150M

1.0
1.0
1.0
1.0

E
E
E
E

A
A
A
A
A
A

125
125
125
125
150
150
150
150
150
150

MJESOO
MJESOI
MJES02
MJES03
MJEI090
MJE1091
MJE1092
MJE1093
MJEll00
MJE1101

S
S
S
S
S
S
S
S
S
S

N
N
N
N
P
P
P
P
N
N

MJE700
MJE700
MJE700
MJE100
MJE1090
MJE1090
MJE1090
MJE1090
MJE1090
MJE1090

AP
AP
AP
AP
AP
AP
AP
AP
AP
AP

40W
40W
40W
40W
70W
70W
70W
70W
70W
10W

C
C
C
C
C
C
C
C
C
C

125
125
125
125
150
150
150
150
150
150

60
60
SO
SO
60
SO
SO
SO
SO
60

SO
SO
SO
SO
SO
SO
SO
SO
SO
60

0
0
0
0
0
0
0
0
0
0

750
750
750
750
750
750
750
750
750
750

1.5A
2.0A
1.5A
2.0A
3.0A
4.0A
3.0A
4.0A
3.0A
4.0A

2.5
2.S
2.5
2.S
2.5
2.S
2.5
2.S
2.5
2.S

1.5A
2.0A
1.5A
2.0A
3.0A
4.0A
3.0A
4.0A
3.0A
4.0A

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

E
E
E
E
E
E
E
E
E
E

MJEll02
MJEll03
MJE1290
MJE1291
MJE1660
MJE1S61
MJE2010
MJE2011
MJE2020
MJE2021

S
S
S
S
S
S
S
S
S
S

N MJE1090
N MJE1090
P MJE1290
P MJE1290
N MJE1290
N .MJE1290
P MJE2010
P MJE2010
N MJE2010
N MJE2010

AP
AP
ASP
ASP
ASP
ASP
ASP
ASP
ASP
ASP

70W
10W
90W
90W
90W
90W
SOW
SOW
SOW
SOW

C
C
C
C
C
C
C
C
C
C

150
150
150
150
150
150
150
150
150
150

SO
SO
40
60
40
60
40
60
40
60

SO
SO
40
60
40
60
40
SO
40
60

0
0
0
0
0
0
0
0
0
0

750
150
20
20
20
20
25
25
25
25

100
100
100
100
125
125
125
125

3.0A
4.0A
5.0A
5.0A
5.0A
5.0A
LOA
LOA
LOA
LOA

2.5
2.S
I.S
I.S
I.S
I.S
1.0
1.0
1.0
1.0

3.0A
4.0A
15A
15A
15A
15A
3.5A
3.5A
3.5A
3.5A

1.•0
1.0
25
25
25
25
20
20
20
20

E
E
E
E
E
E
E
E
E
E

MJE2160
MJE2360
MJE2361
MJE2370
MJE2371
MJE24S0
MJE24S1
MJE2482
MJE2483
MJE2490

S
S
S
S
S
S
S
S
S
S

N
N
N
P
P
N
N
N
N
P

MJE2160
MJE2360
MJE2360
MJE2370
MJE2310
MJE24S0
MJE24S0
MJE2480
MJE24S0
MJE2490

A
AP
AP
ASP
ASP
ASP
ASP
ASP
ASP
ASP

50W
30W
30W
40W
40W
SOW
SOW
60W
60W
60W

C
C
C
C
C
C
C
C
C
C

150
150
150
150
150
150
150
150
150
150

375
375
40
60
40
60
40
60
40

300
350
350
40
60
40
60
40
60
40

0
0
0
0
0
0
0
0
0
0

30
25
50
40
40
20
20
20
20
20

240
200
250
200
200
100
100
100
100
100

500M
50M
50M
0.2A
0.2A
1.5A
1.5A
2.5A
2.5A
1.0A

1.5
1.5
0.7
0.7
0.7
0.7
0.7
0.7
0.6

100M
100M
LOA
LOA
1.5A
1.5A
1.5A
1.5A
1.0A

20
20

E
E

20

MJE2491
MJE2520
MJE2521
MJE2522
MJE2523
MJE2S01
MJE2901
MJE2955
MJE3054
MJE3055
MJE3370
MJE3311

S
S
S
S
S
S
S
S
S
S
S
S

P
N
N
N
N
N
P
P
N
N
P
P

MJE2490
MJE2520
MJE2520
MJE2520
MJE2520
MJE2801
MJE2901
MJE2955
MJE3054
MJE3055
MJE3370
MJE3371

ASP
ASP
ASP
ASP
ASP
AP
AP
ASP
ASP
ASP
AP
AP

60W
40W
40W
40W
40W
90W
90W
90W
40W
90W
25W
40W

C
C
C
C
C
C
C
C
C
C
C
C

150
150
150
150
150
150
150
150
150
150
150
150

60
40
60
40
60
60
60
70
90
70
30
40

60
40
SO
40
SO
SO
SO
60
55
SO
30
40

0
0
0
0
0
0
0
0
0
0
0
0

20
10
10
20
20
25
25
20
25
20
25
40

100

LOA
LOA
LOA
LOA
LOA
3.0A
3.0A
4.0A
0.5A
4.0A
LOA
LOA

O.S
0.7
0.7
0.6
O.S

1.0A
LOA
LOA
LOA
LOA

20
20
20
20
20

1.1
1.0
1.1

4.0A
0.5A
4.0A

25

3-44

100
100
100
100
70
100
70

-g
In

T
T

S
S
S
S
S
S
S
S
S
S

240
200
300
240

"

:::>

.§'S.

40M
40M

MJE253
MJE254
MJE340
MJE341
MJE344
MJE350
MJE370
MJE371
MJE520
MJE521
MJE700
MJE701
MJE702
MJE703
MJE710
MJE711
MJE712
MJE720
MJE721
MJE722

175
200

f-.~

TRANSISTOR INDEX (continued)

... ...>
...co: a:cco:...
::;;
...

MAXIMUM RATINGS

co:

...a:
Type

VCEVolts

150
150
150
150
150
150
150
150
100
100
100
100
200
200
200
200
200
175
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200
200

450
350
30
40
250
325
SO
80
25
25
40
30
30
30
100
175
120
30
80
120
175
175
SO
SO
SO
12

350
250
30
40
225
300
SO
80
10
24
35
15
15
15
70
175
80
30
50
120

l.lW
1.0W
I.OW
1.0W
1.0W
1.0W
1.0W
1.0W
1.0W

C
C
C
C
C
C
C
C
A
A
A'
A
C
C
C
C
A
A
A
A
A
A
A
C
C
A
A
A
A
A
A
A
A
A
A
A
A
A
A

Use

MM404
MM1139
MM1500,!
MM1500,!
MMI552
MM1812
MM1893
MM1942
MM2193A
MM2258
MM2258
MM2258
MM2270

MM2894
MM3000
MM3000
MM3000
MM3000
MM3005
MM3005
MM3005
MM3005
MM3008
MM3008
MM3019
MM3019
MM3019

S
AH
AH
AH
AHP
AH
AS
AH
AS
AH
AH
AH
AS
A
A
SH
AH
AH
AH
AH
AH
AS
AS
AS
AH
AH
AS
AS
AS

N
N
P
N
N
P
P
P
P
P

MM2724
MM2724
MM3726
MM3903
MM3903
MM3905
MM3905
MM4000
MM4000
MM4000

SH
SH
SH
AS
AS
AS
AS
AH
AH
AH

1.0W
1.0W
1.0W
3S0M
360M
360M
360M
SOOM
1.0W
1.0W

A
A
A
A
A
A
A
A
A
A

P
P
P
P
P
P
P
P
P
P
P

MM4000
MM4005
MM4005
MM4005
MM4008
MM4008
MM4008
MM4018
MM4019

AH
AH
AH
AH
AH
AH
AH
AH
AH
S
S
S

1.0W
1.0W
1.0W
1.0W
1.0W
1.0W

A
A
A
A
A
A
A
C
C
A
A
A

S
S
S
S
S
S
S
S
S

MM3724
MM3725
MM3726
MM3903
MM3904
MM3905
MM3906
MM4000
MM4001
MM4002

S
S
S
S
S
S
S
S
S
S

MM4003
MM4005
MM4006
MM4007
MM4008
MM4009
MM4010
MM4018
MM4019
MM4026
MM4027
MM4028

S
S
S
S
S
S
S
S
S
S
S
S

S
S
S
S

15W
15W
25W
40W
30W
30W
40W
40W
250M
150M
150M
125M
3.5W
3.5W
80W
5.0W
1.0W
300M
1.0W
1.0W
1.0W
1.0W
1.0W
1.2W
1.2W
360M
1.0W
I.OW
1.0W
1.0W

VCB
Volts

AP
AP
AP
AP
AP
AP
SP
SP
AH
S

N

P

i.ow

5.0W
5.0W
0.5W
0.5W
0.5W

1i

.~

TJ
°c

o;i
@25°C a::

Ref.

N
N
N
N
N
P
P
P
P
P
P
N
N
N
N
N
N
N
N
N
N
N
N
N
P
N
N
N
N
N
N
N
N
N
N
N
N
N

S

Do.

ELECTRICAL CHARACTERISTICS
1i

'0

Po

MJE3439
MJE3439
MJE3520
MJE3520
MJE3738
MJE3739
MJE3740
MJE3740
MM380
MM404

MJE3439
MJE3440
MJE3520
MJE3521
MJE3738
MJE3739
MJE3740
MJE3741
MM380
MM404
MM404A
MMl139
MM1500,A
MM1501,A
MM1553
MMI812
MM1893
MM1941
MM2193A
MM2258
MM2259
MM2260
MM2270
MM2483
MM2484
MM2894A
MM3000
MM300I
MM3002
MM3003
MM3004
MM3005
MM3006
MM3007
MM3008
MM3009
MM3019
MM3020
MM3053

S
S
S
S
S
S
S
S
G
G
G
G
S
S
S
S
S
S
S
S
S
S
S
S
S

l!!

'!l

hFE

:::.

Volts

0
0
0
0
0
0
0
0
0
S
S
0
0
0
0
0
0

40
40
25
40
40
40
30
30
15
30
30
15

ISO
ISO

20M
'20M
1.0A
1.0A
100M
100M
250M
250M
3.0M
12M

0.5
0.5

50M
50M

2.5
2.5
O.S
O.S
0.15
0.15

12M
2.0M

0.15

250M
250M
1.0A
1.0A
10M
12M
12M

140
140
80

45
SO
SO
12
100
150
200
250
25
60
80
100
120
180
80
80
50

200
200
200
200
200
200
200
200
200
200

60
60
40
40
100
150
200

30
50
50
40
40
40
40
100
150
200

0
0
0
0
0
0
0
0
0
0

25
25
30
50
100
50
100
20
20
20

200
200
200
200
200
200
200
200
200
200
200
200

250
60
80
100
60
80
100
40
60
60
80
60

250
60
80
100
60
80
100
20
40
60
80
SO

0
0
0
0
0
0
0
0
0
0
0
0

20
40
40
40
75
75
75
10
10
40
100
40

3-45

.

Max

S

200
200
100
100

200
120
120

200

120

250
250
250

300
120
300
150
150
120
150
300
150
300

120
300
120

.~

... ... f-·i:::.
3 hf_ .

,; VCE(SATI @ IC,~

Min

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

80
100
120

IC

'"

15
35
40
25
40
50
35
50
30
175
250
40
20
20
20
20
70
50
50
50
30
30
100
40
40

175
175

@

2.0A
10M
150M
10M
150M
10M
10M
10M
150M
1.0M
1.0M
30M
10M
10M
10M
10M

'"

10M
10M
4.0M
4.0M
400M
135 E 4.0M
135 E 4.0M
400M
1500M
1500M

T
T
T
T
T

0.25
0.4
0.4
0.4
0.9

150M
25M
25M
25M
150M

0.19

30M

0.35
0.35
0.35

150M
150M
150M

0.2
0.2
O.S

150M
150M
150M

500M
500M
500M
10M
10M
10M
10M
10M
10M
10M

0.6
0.6
0.6
0.2
0.2
0.25
0.25
0.6
0.6
5.0

150M
150M
150M
10M
10M
10M
10M
10M
10M
10M

10M
1.0M
1.0M
1.0M
10M
10M
10M
50M
250M
100M
100M
100M

5.0

10M

150M
150M
150M

'"

T

10M 50 E
150M 100 E

0.15
0.15
0.15

11

15M
15M

25 E
25 E

0.3
5.0

150M
150M
200M
250M
1.0M
1.0M
150M
150M
150M

1$.

.~

T

B
B
T
T
T

50M
SOOM

T
T

150M
150M
150M
100M

T
T
T
T

800M
150M
150M
150M
150M
50M
50M
50M
50M
50M
50M
80M
80M
100M
200M
200M
200M
250M
300M
200M
250M

T
T
T
T
T
T
T
T
T
T
T
T
T
T

50M
50M
50M

T
T
T

900M
750M

T
T

2.5 E

50 E
2.4 E
3.0 E

80 E
30 E

50 E
100 E
50 E
100 E

1.0
1.5
1.0

E
E
E

T

T
T
T
T
T
T

TRANSISTOR INDEX (continued)

.. ....
...... ..
~

0:
W

'C

:;;

"-

MM4029
MM4030
MM4031
MM4032
MM4033
MM4036
MM4037
MM4049
MM4052
MM4208

S
S
S
S
S
S
S
S
S
S

P
P
P
P

MM420BA
MM4209
MM4209A
MM4261H
MM5000
MM5001
MM5002
MM5005
MM5006
MM5007

S
S
S
S
G

Type

Ref.

p

MM4208

1

TJ
°C

Vcs
Volts

VCEVolts

A
A
A
A
A
C
C
A
A
A

200
200
200
200
200
200
200
200
200
200

BO
60
BO
60
80
90
60
15
30
12

BO
60
80
60
BO
65
40
10
30
12

a
a
a

S
AH
SC
SH

0.5W
0.8W
0.8W
O.BW
0.8W
5.0W
1.0W
200M
0.5W
360M

Use

S

P
P MM4049
P MM4052

'0

"-

..;;

S
S
S
S
S

p
p

'C.

Po

...J

c

ElECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

>0:

@

2Soc a:



100
40
100
40
100
40
50
20
20
30

300
120
300
120
300
140
250
80

100M
O.1A
O.lA
O.lA
O.IA
0.15A
0.15A
25M
10M
10M

0.15
0.15
0.15
0.15
0.15
0.65
1.4

0.18

10M

30
50
50
30
30
30
30
50
50
50

120
120
120
150

10M
10M
10M
10M
3.0M
3.0M
3.0M
150M
200M
250M

O.lB
O.lB
0.18
0.5

10M
10M
10M
10M

0.5
0.5
0.5

120

250
250
250

50M
50M
50M
LOM
1.0M

30
30
30
25
25

0

a
a
a
a

VCE(SAT)

20

3.0M

150
100
40
250
100
150
150
30
30
25

1.0M
150M
10M
1.0M
150M
2.0M
2.0M
10M
10M
3.0M

50
50
50
25
50
25
20
150
100
40
250
30
100
50
30
150
300
50
100
50
100
100

300
120
300

400
400

300
120

300
200

150
300
150
300
200

10M
10M
100'
1.0M
100'
1.0M
3.0M
1.0M
150M
10M
1.0M
3.0M
150M
30M
10M
1.0M
1.0M
10M
10M
10M
10M
10M

Volts

150M
0.15A
0.15A
0.15A
0.15A
0.15A
0.15A

hf_

l



'§
.g

"-

"Po
@25°C ';i
a:

0-

«

Ref.

Use

MMT39S0A
MMT8015
MPll0
MP1108
MP500
MP500A
MP501
MP501A
MP502
MP502A

S
S
G
G
G
G
G
G
G
G

N
N
P
P
P
P
P
P
P
P

MMT39S0A
MMT8015
MPll0
MPll0B
MP500
MP500
MP500
MP500
MP500
MP500

SH
A
AP
AP
AP
AP
AP
AP
AP
AP

MP504
MP504A
MP505
MP505A
MP50S
MP50SA
MP525
MPSOO
MPSOI
MPS02

G
G
G
G
G
G
G
G
G
G

P
P
P
P
P
P
P
P
P
P

MP500
MP500
MP500
MP500
MP500
MP500
MP525
MPSOO
MPSOO
MP600

MPSIl3
MP800
MP801
MP900
MP901
MP902
MP1S12
MP1S12A
MP1S12B
MP1613

G
G
G
G
G
G

P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
N
N
N
N
N
P
P
N
P
N
P
P
N
N
N
N
N
N
N
N
P

Type

MP2000A
MP20S0
MP20S1
MP20S2
MP20S3
MP2100A
MP2200A
MP2300A
MP2400A' .
MP3730
MP3731
MPM200
MPM500S
MPQ2221
MPQ2222
MPQ2369
MPQ290S
MPQ2907
MPQ3303
MPQ354S
MPQ3725
MPS404
MPS404A
MPS70S
MPS706A
MPS834
MPS918
MPS2369
MPS2713
MPS2714
MPS3563
MPS3638

«
:;;

G
G

G
G
G
G
G
G

G
G
G
G
G
G
G
S
S

S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

>

'ei.

.~

"

TJ

225M
200M
10SW
lOSW
170W
170W
170W
170W
170W
170W

A
A
C
C
C
C
C
C
C
C

135
135
110
110
110
110
110
110
110
110

AP
AP
AP
AP
AP
AP
AP
SP
SP
SP

170W
170W
170W
170W
170W
170W
lOSW
85W
85W
85W

C
C
C
C
C
C
C
C
C
C

110
110
110
110
110
110
110
110
110
110

MPSOO
MP800
MP800
MP900
MP900
MP900
MP1S12
MP1S12
MP1S12
MP1S13

SP
AP
AP
SP
SP
SP
AP
AP
AP
AP

85W
250W
250W
250W
250W
250W
85W
85W
85W
85W

C
C
C
C
C
C
C
C
C
C

110
110
110
110
110
110
110
110
110
110

MP2000A
MP20S0
MP2060
MP20S0
MP2060
MP2000A
MP2000A
MP2000A
MP2000A
MP3730

SP
AP
AP
AP
AP
SP
SP
SP
SP
AP

C
C
C
C
C
C
C
C
C
C

MP3730
MPM200
MPM5006
MHQ2221
MHQ2221
MHQ2369
MHQ290S
MHQ290S
MPQ3303
MHQ354S

AP
A
A
ASM
ASM
ASM
ASM
ASM
ASM
ASM

lOSW
85W
85W
85W
85W
10SW
106W
106W
10SW
5SW
5SW
200M
310M
0.65W
0.65W
0.5W
0.65W
0.65W
2.5W
0.5W

110
110
110
110
110
110
110
110
110
110
110
200
135
200
200
200
200
200
150
200

320
20
40
SO
60
40
60
60
25
15

MPQ3725
MPS404
MPS404
MPS706
MPS706
MPS834
MPS918
MPS2369
MPS2713
MPS2713
MPS918
MPS3638

SM
S
S
SH
SH
SH
A
SH
S
S
AH
SAH

2.5W
310M
310M
310M
310M
310M
310M
310M
310M
310M
310M
310M

150
135
135
135
135
135
135
135
135
135
135
135

25
40
25
25
40
30
40
18
18
30
25

C
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

°c

hFE @ IC
Max

VCB
Volts

VCEVolts

15
15

8.0
10
S5
40
30
30
45
45
SO
SO

0
0
X
0
0
0
0
0
0
0

30
25
74
55
30
30
30
30
30
30

200
300
250

30
30
45
45
SO
SO
SO
50
SO
70

0
0
0
0
0
0
X
0
0
0

50
50
50
50
50
50
30
50
50
50

100
100
100
100
100
100
150

80
SO
45
60
90
120
100
140
ISO
75

0
0
0
0
0
0
0
0
0
0

50
15
15
20
20
20
25
25
25
40

30
25
35
50
SO
SO
80
100
120
200
320
20
40
40
40
15
40
40
12
12
40
24
35
20
20
30
15
15
18
18
12
25

0
0
0
0
0
0
0
0
0

25
30
30
30
30
25
25
25
25
10
10
15
30
40
100
40
40
100
40
30
35
30
30
20
20
25
20
40
30
75
20
30

90
45
45
SO
60
70
70
45
45
SO
SO
70
70
75
75
90
90

80
110
140
100
140
ISO
100
40
SO
75
90

200

3-47

11

'"

S
S

0
0
0

0
0
0
0
0
0
0
0
0
R
R
S

0
0
0
0
0
0

Min

SO
SO
60
SO
SO
SO

100
100
100

200
200
200
200

200
200
200

200
200
400
400
60

120
90
225
200

.~

=>

.§'ei.

VCE(SAT)@ IC ~

.,.

Volts

~ hf-

...

'""

f-.~

.§'ei.

" 11'"

=>

10M
1.0M
1.0A
5.0A
15A
15A
15A
15A
15A
15A

0.2
0.35
0.5
0.5
0.2
0.2
0.2
0.2
0.2
0.2

10M
10M
2.0A
5.0A
15A
15A
15A
15A
15A
15A

IS00M
1000M
320k
500k
2.0k
2.0k
2.0k
2.0k
2.0k
2.0k

T
T
T
T
E
E
E
E
E
E

15A
15A
15A
15A
15A
15A
3.0A
5.0A
5.0A
5.0A

0.2
0.2
0.2
0.2
0.2
0.2

15A
15A
15A
15A
15A
15A

2.0k
2.0k
2.0k
2.0k
2.0k
2.0k

E
E
E
E
E
E

0.75
0.75
0.75

25A
25A
25A

5.0A
150A
150A
70A
70A
70A
lOA
lOA
lOA
1.0A

0.75
0.3
0.3
0.5
0.5
0.5
0.3
0.3
0.3
0.25

25A
150A
150A
150A
150A
150A
lOA
lOA
lOA
3.0A

8.0A
3.0A
3.0A
3.0A
3.0A
8.0A
8.0A
8.0A
8.0A
50M
50M
3.0M
4.0M
150M
150M
10M
150M
150M
300M
10M

O.S
0.25
0.25
0.25
0.25
0.6
0.6
O.S
O.S
0.5
0.5

25A
3.0A
3.0A
3.0A
3.0A
25A
25A
25A
25A
50M
50M

210k
SOOk
SOOk
600k
SOOk
210k
210k
210k
210k
1.0M
1.0M
350M

T
T
T
T
T
T
T
T
T
T
T
T

2.0
0.4
0.4
0.25
0.4
0.4
0.33
0.25

10M
150M
150M
10M
150M
150M
300M
10M

200M
200M
450M
200M
200M
400M
SOOM

100M
12M
12M
10M
10M
10M
3.0M
10M
2.0M
2.0M
8.0M
50M

0.45
0.15
0.15
0.6
0.6
0.25
0.4
0.25
0.3
0.3
0.4
0.25

500M
12M
12M
10M
10M
10M
10M
10M
50M
50M
10M
50M

T
T
T
T
T
T
T
T
B
B
T
T
T
T
T
T
T
T
T

4.0

30
80
20
25

E

E
E
E
E

250M
4.0M
4.0M
200M
200M
350M
600M
500M
250M
250M
600M
100M

TRANSISTOR INDEX(continued)

.....: >
...0: ..:...0:
..:
~

Type

C>

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS
';1
'0

~

Po

...
'"A

"

TJ

°c

VCB
Volts

VCEVolts

.i
!l
i

"-

Raf.

Use

@25'C

MPS3638A
MPS3640
MPS3646
MPS3693
MPS3694
MPS3702
MPS3703
MPS3704
MPS3705
MPS3706

S
S
S
S
S
S
S
S
S
S

P
P
N
N
N
P
P
N
N
N

MPS3638
MPS3640
MPS3646
MPS3693
MPS3694
MPS3702
MPS3702
MPS3704
MPS3704
MPS3704

SAH
S
SH
AH
AH
AH
AH
AH
AH
AH

310M
310M
200M
310M
310M
310M
310M
310M
310M
310M

MPS4354
MPS4355
MPS4356
MPS5172
MPS6507
MPS6511
MPS6512
MPS6513
MPS6514
MPS6515

S
S
S
S
S
S
S
S
S
S

P
P
P
N
N
N
N
N
N
N

MPS4354
MPS4354
MPS4354
MPS5172
MPS6507
MPS6511
MPS6512
MPS6512
MPS6512
MPS6512

APS
APS
APS
AH
A
AH
AH
AH
AH
AH

625M
625M
625M
210M
210M
310M
310M
310M
310M
310M

A
A
A
A
A
A
A
A
A
A

MPS6516
MPS6517
MPS6518
MPS6519
MPS6520
MPS6521
MPS6522
MPS6523
MPS6530
MPS6531

S
S
S
S
S
S
S
S
S
S

P
P
P
P
N
N
P
P
N
N

MPS6516
MPS6516
MPS6516
MPS6516
MPS6520
MPS6520
MPS6520
MPS6520
MPS6530
MPS6530

AH
AH
AH
AH
AH
AH
AH
AH
AH
AH

310M
310M
310M
310M
310M
310M
310M
310M
310M
310M

A 135
A 135
A 135
A 135
A 135
A 135
A 135
A 135
A 135
A 135

40
40
40
25
40

MPS6532
MPS6533
MPS6534
MPS6535
MPS6539
MPS6540
MPS6542
MPS6543
MPS6544
MPS6545

S
S
S
S
S
S
S
S
S
S

N
P
P
P
N
N
N
N
N
N

MPS6530
MPS6530
MPS6530
MPS6530
MPS6539
MPS6540
MPS6542
MPS6543
MPS6544
MPS6544

AH
AH
AH
AH
AH
AH
AH
AH
AH
AH

310M
310M
310M
310M
310M
310M
310M
310M
310M
310M

A
A
A
A
A
A
A
A
A
A

135
135
135
135
135
135
135
135
135
135

50

MPS6546
MPS6547
MPS6548
MPS6560
MPS6561
MPS6562
MPS6563
MPS6565
MPS6566
MPS6567

S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
P
P
N
N
N

MPS6546
MPS6546
MPS6548
MPS6560
MPS6560
MPS6560
MPS6560
MPS6565
MPS6565
MPS6567

A
A
A
AH
AH
AH
AH
AH
AH
AH

310M
310M
310M
500M
500M
500M
500M
310M
310M
310M

A 135
A 135
A 135
A 135
A 135
A 135
A 135
A 135
A 135
A 135

35
35
30
25
20
25
20
60
60

25
25
25
25
20
25
20
45
45
40

0

MPS6568
MPS6568A
MPS6569
MPS6570
MPS6571
MPS·A05
MPS·A06
MPS·A09
MPS·A12
MPS·A13
MPS·AI4
MPS·A16

S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
N
N
N
N
N

MPS6568
MPS6568
MPS6568
MPS6568
MPS6571
MPS·A05
MPS·A05
MPS·A09
MPS·A12
MPS·A13
MPS·A13
MPS·AI6

AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
SH

310M
310M
310M
310M
310M
500M
500M
310M
310M
500M
500M
350M

A
A
A
A
A
A
A
A
A
A
A
A

20
20
20
20
20
60
80
50

20
20
20
20
20
60
80
50
20
30
30
40

0
20
0
20
0
20
0
20
0
250
0
50
0
50
0
100
0 20,000
0 10,000
0 20,000
0
200

:Ii

A
A
A
A
A
A
A
A
A

135
135
125
135
135
135
135
135
135
135
150
150
150
135
135
135
135
135
135
135

135
135
135
135
135
135
135
135
135
135
135
150

25
12
40
45
45
40
50
50
50

40
60
60
80
25
30
30
40
40
40
40

40
25
25
60
60
40
40
30
20
30
30
35
60
60

30
30

25
12
15
45
45
25
30
30
30
20

'"

Min

0
0
0
0
0
0
0
0
0
0

100
30
30
40
100
60
30
100
50
30

60
60
80
25
20
20
30
30
25
25

0
0
0
0
0
0
0
0
0

50
100
50
100
25
25
50
90
150
250

40
40
40
25
25
25
25
25
40
40

0
0

50
90
150
250
200
300
200
300
40
90

30
40
40
30
20
30
20
25
45
45

0
0

3-48

a
a
0
0

a
a
a
a

0

a
0
0
0
0
0
0
0

a
0
0
0
0
0
0
0
0

30
40
90
30
20
25
25
25
20
20
20
20
25
50
50
50
50
40
100
25

hFE @ IC
Max

,;!
::::>

"

Q.
.§

.

VCE(SAT) @IC ~

.

Volts

,; hf50M 100
10M
30M

Q.

...'Ii

...

f-,;

E

150M
500M
350M
200M
200M
100M
100M
100M
100M
100M

T
T
T
T
T
T
T
T
T
T

E
E
E

100M
100M
100M
120M
880M

T
T
T
T
T

T
T
T

'""

" '""

::::>

50M
10M
30M
10M
10M
50M
50M
50M
50M
50M

0.25
0.2
0.2

0.25
0.25
0.6
0.8
1.0

50M
50M
100M
100M
100M

0.15
0.15
0.15
0.25

150M 200
150M 200
150M 200
10M

100
180
300
500

10M
10M
10M
10M
2.0M
10M
2.0M
2.0M
2.0M
2.0M

0.5
0.5
0.5
0.5

50M
50M
50M
50M

250M
250M
390M
390M

100
180
300
500
400
600
400
600
120
270

2.0M
2.0M
2.0M
2.0M
2.0M
2.0M
2.0M
2.0M
100M
100M

0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.3

50M
50M
50M
50M
50M
50M
50M
50M
100M
100M

200M
200M
340M
340M
390M
480M
340M
420M
390M
390M

100M
100M
100M
100M
4.0M
2.0M
2.0M
4.0M
30M
30M

0.5
0.5
0.3
0.5

100M
100M
100M
100M

0.5

10M

0.35
0.5
0.5

10M
30M
30M

390M
260M
260M
260M
500M
350M
700M
750M

2.0M
2.0M
4.0M
500M
350M
500M
350M
10M
10M
10M

0.35
0.35
0.5
0.5
0.5
0.5
0.5
0.4
0.4
0.5

10M
10M
4.0M
500M
350M
500M
350M
10M 160 E
10M 160 E
10M

600M
600M
650M
60M
60M
60M
60M
200M
200M

4.0M
4.0M
4.0M
4.DM
100'
100M
100M
O.lM
10M
100M
100M
5.0M

3.0
3.0
3.0
3.0
0.5
0.25
0.25
0.9
1.0
1.5
1.5
0.25

10M
10M
10M
10M
10M
100M
100M
10M
10M
100M
100M
10M

375M T
375M T
300M T
300M T
50M ,T
50M T
50M T
30M T

120
120
160
400
300
150
300
150
600
500
400
250
500

120
270

200
200
200
200
160
400
200
200
200
200
1000

600

600

T

T
T
T
T
T
T
T
T

T
T
T
T
T
T
T
T
T
T

T
T
T
T
T

T
T
T
T

35 E
125M
125M
100M

T
T
T

TRANSISTOR INDEX(continued)

...

!:

'"

...c

II:

i

a
.§

.5

a:

d!

Us.

Po
@ZSoC

N
N
N
N
P
P
P
P
P

MPS·AI6
MPS·A1S
MPS·A20
MPS·A42
MPS·A42
MPS·A55
MPS·A5S
MPS·AS5
MPS-A65
MPS-A70

SH
SH
AH
AH
AH
A
A
AH
AH
AH

350M
310M
300M
625M
S25M
500M
500M
500M
500M
300M

A
A
A
A
A
A
A
A
A
A

150
135
135
150
150
135
135
135
135
135

S
S
S
S
S
S
S
S
S
S

P
P
N
N
N
N
N
N
N
N

MPS-A92
MPS-A92
MPS-H02
MPS-H04
MPS-H05
MPS-H07
MPS-H07
MPS-HI0
MPS-HI0
MP8-H 17

AH
AH
AH
AH
AH
AH
AH
AH
AH
A

625M
625M
500M
300M
300M
500M
500M
310M
310M
S25M

A
A
A
A
A
A
A
A
A
A

150
150
135
135
135
135
135
135
135
150

300
200
20

S
S
S
S
S
S
S
S
S
S

MPS-H19
MPS-H20
MPS-H24
MPS-H30
MPS-H30
MPS-H34
MPS-H37
MPS-H54
MPS-H54
MPS-HSI
MPS-H83
MPS-AI0
MPS-AI0
MPS-A20
MPS-A20
MPS-A20
MPS-A70
MPS-A70
MPS-A70
MPS-LOI

AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
AH

310M
310M
500M
310M
310M
500M
310M
300M
300M
350M
LOW
300M
300M
300M
300M
300M
300M
300M
300M
310M

A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A

135
135
135
135
135
135
135
135
135
150
150
135
135
135
135
135
135
135
135
135

30
40
40
20
20
45

20
300

S
S
S
S
S
S
S
S
S

N
N
N
N
N
N
N
P
P
P
P
N
N
N
N
N
P
P
P
N

MPS-L07
MPS-LOS
MPS-L51
MPS-UOI
MPS-UOIA
MPS-U02
MPS-U03
MPS-U04
MPS-U05
MPS-UOS

S
S
S
S
S
S
S
S
S
S

P
P
P
N
N
N
N
N
N
N

MPS-L07
MPS-L07
MPS-L51
MPS-UOI
MPS-UOI
MPS-U02
MPS-UQ3
MPS-U03
MPS-U05
MPS-U05

SH
SH
AH
AH
AH
AH
AH
AH
AH
AH

310M
310M
310M
LOW
LOW
LOW
LOW
1.0W
LOW
LOW

A
A
A
A
A
A
A
A
A
A

135
135
135
135
135
135
135
135
135
135

MPS-U07
MPS-UI0
MPS-U45
MPS-U51
MPS-U51A
MPS-U52
MPS-U55
MPS-U5S
MPS-U57
MPS-U60
MPS-U95
MU221S

S
S
S
S
S
S
S
S
S
S
S
S

N
N
N
P
P
P
P
P
P
P
P
N

MPS-U07
MPS-UI0
MPS-U45
MPS-U51
MPS-U51
MPS-U52
MPS-U55
MPS-U55
MPS-U57
MPS-USO
MPS-U95
MD2218

AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
AH
SAM

LOW
I.OW
LOW
LOW
LOW
LOW
LOW
LOW
LOW
lOW
LOW
400M

A
A
A
A
A
A
A
A
A
C
A
A

135
150
150
135
135
135
150
150
150
150
150
200

Type
MPS·AI7
MPS·A1S
MPS·A20
MPS·I'.42
MPS·A43
MPS·A55
MPS·A56
MPS·AS5
MPS-AS6
MPS-A70

S
S
S
S
S
S
S
S
S
S

MPS-A92
MPS-A93
MPS-H02
MPS-H04
MPS-H05
MPS-H07
MPS-HOS
MPS-HI0
MPS-Hll
MPS-H17
MPS-H19
MPS-H20
MPS-H24
MPS-H30
MPS-H31
MPS-H34
MPS-H37
MPS-H54
MPS-H55
MPS-HSI
MPS-HS3
MPS-Kl1
MPS-K12
MPS-K20
MPS-K21
MPS-K22
MPS-K70
MPS-K71
MPS-K72
MPS-LOI

S

12
N'

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

>-

S

Ref.

TJ
'ti °c

a:

Vcs
Volts

VCEVolts

'i
en

s-

Min

40
45
40
300
200
60
80
30
30
40

0
200
SOO
0
0
40
0
40
0
50
0
50
0
50
S 20,000
S 40,000
0
40

300
200
20
SO
SO
30
30
25
25
15

0
0
0
0
0
0
0
0
0
0

25
30
20
30
30
20
20
60
SO
25

140

25
30
30
20
20
45
40
SO
SO
20
300
40
40
40
40
40
40
40
40
120

0
0
0
0
0
0
O.
0
0
0
0
0
0
0
0
0
0
0
0
0

45
25
30
20
20
15
25
30
30
SO
40
40
40
40
40
40
40
40
40
50

S_O
12
100
40
50
SO
120
180
SO
80

S.O
12
100
30
40
40
120
ISO
SO
SO

0
0
0
0
0
0
0
0
0
0

30
30
40
50
50
50
40
40
SO
SO

100
300
50
40
50
SO
SO
SO
100
300
50
.60

100
300
40
30
40
40
60
SO
100
300
40
30

0
0
0
0
0
0
0
0
0
0
0
0

30
40
25,000
50
50
50
50
50
30
25
4,000
40

45
300
200
60
SO
30
30

30
30
30
30
20

3-49

hFE @ IC
Max
600
400
200
125
125

400
150
200
120
150

250

200
200

120
150

300
300
300
300
300
300
300
300
300
120
120
250

300

150,000

300

120

.~
0:
:::>

VCE(SAT) @IC
Volts

M

.W

.:s hf_

5.0M
1.0M
5.0M
30M
30M
100M
100M
100M
100M
5.0M

0.25
0.1
0.25
0.5
0.4
0.25
0.25
1.5
1.5
0.25

10M
10M
10M
20M
20M
100M
100M
100M
100M
10M

30M
30M
4.0M
1.5M
1.5M
3.0M
3.0M
4.0M
4.0M
5.0M

0.5
0.4

20M
20M

0.25
0.25

10M
10M

0.5
0.5
0.5

4.0M
4.0M
lOM

I'"

f-.~

0:

:::>

100M
100M
125M
50M
50M
50M
50M
100M
100M
125M

a
.§

...en

"
T
T
T

3.0
3.0
0.5
0.5
0.25
0.25
0.5
0.75

10M
10M
20M
10M
10M
10M
5.0M
30M

0.25
0.25
0.25
0.25
0.25
0.25
0.2

10M
10M
10M
10M
10M
10M
10M

300M
400M
400M
300M
300M
500M
300M
SOM
SOM
SOOM
SOM
50M
50M
125M
125M
125M
125M
125M
125M
SOM

10M
10M
50M
LOA
LOA
150M
10M
10M
250M
250M

0.15
0.15
0.3
0.5
0.5
0.4
0.5
0.5
0.4
0.4

10M
10M
50M
LOA
LOA
150M
200M
200M
250M
250M

500M
700M
SOM
50M
50M
150M
100M
100M
50M
50M

T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T

250M
10M
200M
LOA
LOA
150M
250M
250M
5.0M
1.0M
LOA
150M

0.4
0.75
1.5
0.7
0.7
0.4
0.5
0.5
0.5
0.75
1.5
0.4

250M
30M
LOA
LOA
LOA
150M
200M
250M
250M
20M
LOA
150M

50M
SOM
100M
50M
50M
150M
50M
50M
50M
SOM
320M
200M

T
T
T
T
T
T
T
T
T
T
T
T

4.0M
4.0M
8.0M
4.0M
4.0M
20M
5.0M
1.5M
1.5M
5.0M
30M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
5.0M
10M

30

E

50M
50M
375M
SOM
SOM
400M
500M
650M
S50M
SOOM

TRANSISTOR INDEX(continued)

oJ

a:...'"
Type
MQ2219A
MQ2904
MQ2905A
MQ3467
MQ3725
MQ3762
MQ3799
MQ3799A
MRF501
MRF502
MRF8004

lO

a:

'" ...'"

f-

oJ

:;;

CI

S
S
S
S
S
S
8
8
8
8
S

N

P
P
P
N

P
P
P
N

N
N

ELECTRICAL CHARACTERISTICS

MAXIMUM RATINGS

>
f-

Po

;e

Ref.

Use

@25°C

a:
-=

M02219
MD2904
MD2905
MD3467
MD3725
MD3762
MD3799
MD3799
MRF501
MRF501
MRF8004

ASM
ASM
ASM
8M
ASM
A8M
AM
AM
AH
AH
AH

400M
400M
400M
400M
400M
400M
250M
250M
200M
200M
5.0W

A
A
A
A
A
A
A
A
A
A
C

10.

.§
TJ

VCB
Vol"

VCEVolts

en

"

Min

200
200
200
200
200
200
200
200

75
60
60
40
65
40
60
60
25
35
60

40
40
60
40
40
40
60
60
15
15
30

0
0
0
0
0
0
0
0
0
0
0

100
40
100
20
50
20
300
300
30
40
10

'c

3-50

.a

hFE @ IC
Max
300
120
300
150
900
900
250
170

.;
~

::>

150M
150M
150M
500M
100M
1.0A
100'
100'
1.0M
1.0M
400M

VCE(SAT) @IC ~
Volts
0.3
0.4
0.4
0.5
0.26
1.0
0.2
0.2

...

:5
150M
150M
150M
500M
100M
1.0A
100'
100'

10.
.§

.g

hf- en

f-·i::>

250M
200M
200M
150M
250M
150M
500 E 100M
500 E 100M
1000M
1200M

.§10.
.g

'"

T
T
T
T
T
T
T
T
T
T

UNIJUNCTION TRANSISTORS

This table contains an alpha-numerical listing and short-form specifications for Motorola in-house
non registered unijunction transistors.

KEY
RBB
Type

Ref.

Numerical
listing of
Registered
Type
Numbers

Po
mW

kn

Min

Max

7)

Min

Max

IV Min Ip Max IEO@VEB2 vEBI (sad
rnA
/lA IlA@Mmax V@50mA

Intemase
Resistance
Intrinsic Standoff Ratio
Valley Current

Reference device
number indicates
specific Data Sheet
on which device is
characterized
Power Dissipation @
25°C

Peak Point Current
Emitter Reverse Current at indicated VB2E

Emitter Saturation Voltage

UNIJUNCTION TRANSISTORS INDEX
RBB
Type

Ref.

Po
mW

MU10
MU20
MU851
MU852
MU853
MU4891
MU4892
MU4893
MU4894

MU10
MU10
MU851
MU851
MU851
MU4891
MU4891
MU4891
MU4891

300
300
200
200
200
300
300
300
300

kn

Min

Max

Min

Max

IV Min
rnA

4.0
4.7
4.7
4.7
4.7
4.0
4.0
4.0
4.0

10
9.1
9.1
9.1
9.1
9.1
9.1
12
12

0.50
0.56
0.56
0.70
0.70
0.55
0.51
0.55
0.74

0.85
0.85
0.75
0.85
0.85
0.82
0.69
0.82
0.86

1.0
1.0
2.0
4.0
4.0
2.0
2.0
2.0
2.0

7)

3-51

Ip Max
IlA
5.0
2.0
2.0
2.0
0.4
5.0
2.0
2.0
1.0

IEO@VEB2
1lA@ V Max
1.0 @30
0.2 @30
0.1 @30
0.1 @30
0.05@30
0.01 @30
0.01 @30
0.01 @30
0.01 @30

VEBI(sat)
V@50mA
2.0
2.0
2.5
2.5
2.5
4.0
4.0
4.0
4.0

HYPER-ABRUPT JUNCTION TUNING DIODES
MICRO-I EPICAP DIODES
MINI-L ABRUPT JUNCTION TUNING DIODES
VOLTAGE-VARIABLE CAPACITANCE DIODES
The following tables contain an alpha-numerical listing and short-form specifications for Motorola
in-house non-registered hyper-abrupt junction tuning diodes, micro-I epicap diodes, mini-L abrupt
junction tuning diodes and voltage-variable capacitance diodes.

KEY
CAPACITANCE

Type

Ref.

Voltage
C Max
Range
BVR
CJ
CMin
CT*
Vt
V2
pF
Volts Volts Volts

J

Numerical
listing of
Registered
Type Numbers
Reference device number
Indicates specific Data
Sheet a n which device is
characterized
Nominal Capacitance usually
CJ (junction capacitance)
With *. specified value is Cr
(total capacitance)
Cr= CJ+ Cc
Effective tuning Ratio (Capacitance
at Voltage V, divided by
capacitance at Voltage V2)
Voltage range over which the tuning range is
measured
Reverse Breakdown Voltage
Figure of Merit at this specified frequency
Power Dissipation at 25°C

3-52

Q @ f

GHz

Po
@

25°C
Watts

HYPER-ABRUPT JUNCTION TUNING DIODES
CAPACITANCE

Type
BB105A
BB105B
BB105G
MVI401
MV1403
MVI404
MV1405
MV3102
MV3103
MV3140
MV3141
MV3142

Ref.
BB105A
BB105A
BB105A
MV1401
MV1401
MV1401
MV1401
MV3102
MV3102
MV3140
MV3140
MV3140

Voltage
Range

I

CJ
CT*
pF

I

C(maxl
C(minl

2.8*
2.3*
2.8*
633*

25*
26*
2.3*
3.2*
3.2*

BVR

II

@

f

Po

GHz

25°C
Watts

100M
100M
100M
0.013
0.013
0.013
0.013
50M
50M
0.1
0.1
0.1

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

1______ -.- _______

:
I

VI
Volts

:

V2
Volts

Volts
30
30
30
12
12
12
12
30
30
30
30
30

225
225
150
200
200
200
200
300
200
150
150
50

BVR

II

5.0
6.0
6.0
14
14
14
14

3.0
3.0
3.0
1.0
1.0
1.0
1.0

25
25
25
10
10
10
10

4.5
4.0
3.5

3.0
3.0
3.0

25
25
25

@

MICRO-I EPICAP DIODES
CAPACITANCE

Type

Ref.

CJ
CT*
pF

!

Voltage

I

I
I

, _____
IL ____
Range

Clmaxl
CIminI

I
I
I

MVI·2097
MVI·2098
MVI·2099
MVI·2100
MVI·2101
MVI·2102
MVI·2103
MVI·2104
MVI·2105
MVI·2106
MVI·2107
MVI·2108
MVI-2109

MVI·2907
MVI·2907
MVI·2907
MVI·2907
MVI·2907
MVI·2907
MVI·2907
MVI·2907
MVI·2907
MVI·2907
MVI·2907
MVI·2907
MVI-2907

VI
Volts

1.2*
2.7*
4.0*
5.7*
7.5*
9.0*
11*
13.2*
16.5*
19.8*
24.2*
29.7*
36.3*

I

I

V2
Volts

Volts
30
30
30
30
30
30
30
30
30
30
30
30
30

3-53

325
325
300
300
275
275
275
275
275
250
200
200
200

@

f

Po
@

MHz

25°C
mW

100
100
100
100
100
100
100
100
100
100
100
100
100

280
280
280
280
280
280
280
280
280
280
280
280
280

MINI-L ABRUPT JUNCTION TUNING DIODES

cJ
Type

MV3501
MV3502
MV3503
MV3504
MV3505
MV350S
MV3507

BVR

Ref.

CT*
pF

Volts

MV3501
MV3501
MV3501
MV3501
MV3501
MV3501
MV3501

7.5*
9.0*
11*
13.2*
lS.5*
19.8*
24.2*

30
30
30
30
30
30
30

3,..54

n

225
225
200
200
200
175
175

@

f

Po
@

GHz

25°C
Watts

100M
100M
100M
100M
100M
100M
100M

0.4
0.4
0.4
0.4
0.4
0.4
0.4

POWER VARACTOR MULTIPLIERS

CJ
CT*

BVR
Volts

TYPE

REF.

pF

MV1804
MV1805C
MV1806
MV1806C
MV1807C
MV1809C
MV1809Cl
MV1810A
MV18l0B
MV1812A

lN4387
MV805C
lN4388
lN5149
lN5149
MV1809C
MV1809C
1N5154
lN5155
1N5156

35
30*
20*
11.5*
11.5*
14.4*
13.2*
2.1*
2.1*
1.0*

150
80
100
80
80
75
75
35
35
20

MV18128
MV1816A
MV1816Al
MV18168
MV181681
MV1817A
MV1817A1
MV18178
MV181781

lN5157
MV18168
MV18168
MV18168
MV18168
MV18178
MV18178
MV18178
MV18178

0.6*
3.7*
3.4*
3.6*
3.3*
1.3*
1.2*
1.2*
1.1*

20
75
75
75
75
35
35
35
35

3-55

n

@

f
GHz

150

0.05

200
800
800

0.05
0.05
0.05

1700
1700
3600

0.05
0.05
0.05

3600

0.05

PD
@

25°C
Watts
20
18
10
10
14
9.0
14
3.5
3.5
3.25
3.25
7.5
11.5
7.5
11.5
5.0
7.0
5.0
7.0

POWER VARACTOR MULTIPLIERS

This table contains an alpha-numerical listing and short-form specifications for Motorola in-house
non-registered power varactor multipliers.

KEY

Type

Ref.

CJ
CT*
pF

Numerical
Listing of
Registered
Type Numbers
Reference device number
Indicates specific Data
Sheet on which device is
characterized
Nominal Capacitance usually
CJ (junction capacitance)
With *. specified value is Cr
(total capacitance)
Cr = CJ + Cc
Reverse Breakdown Voltage
Figure of Merit at this specified frequency
Power Dissipation at 25 0 C

3-56

BVR
Volts

o@
GHz

f

Po
@

25°C
Watts

•

VOLTAGE-VARIABLE CAPACITANCE DIODES

INDEX AND SHORT-FORM SPECIFICATIONS

The following table provides a numerical index and short-form specifications for Voltage-Variable
Capacitance Diodes with EIA-registered type numbers.

KEY

CAPACITANCE

TYPE

REF.

CJ
CT'

C
Tol

pF

%

C (maxI
C (min)

Numerical Listing
of Registered
Type Numbers
Reference device number
indicates specific Data Sheet on
which device is
characterized
Nominal Capacitance usually
CJ (junction capacitance)
With *, specified value is CT
(total capacitance)
CT = CJ
Cc

+

Tolerance of capacitance listed
in preceding column
Effective tuning Ratio (Capacitance at Voltage
V, divided by capacitance at Voltage V,)
Voltage range over which the tuning range is measured
Reverse Breakdown Voltage
Figure of Merit at this specified frequency
Power Dissipation at 2S'C

3-57

Voltage
Range

PD
BV.

VIII V,
Volts Volts Volts

Q

@

f

@

2S'C

GHz

watts

VOLTAGE-VARIABLE CAPACITANCE DIODES
CAPACITANCE
C(max)
CIminI

Voltage
Range

1

r-I -- ----1--- ---

BVR

0.

@

f

Po
@

Ref.

CJ
CT'
pF

MV104
MV109
MV830
MV831
MV832
MV833
MV834
MV835
MV836
MV837

MV104
MV109
MV830
MV830
MV830
MV830
MV830
MV830
MV830
MV830

423216.519.824.2"
29.7"
36.3*
42.9*
51.761.6-

2.0
2.0
2.1
2.1
2.12
2.12
2.15
2.15

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

25
25
25
25
25
25
25
25

32
30
30
30
30
30
30
30
30
30

MV838
MV839
MV840
MV1620
MV1622
MV1624
MV1626
MV1628
MV1630
MV1632

MV830
MV830
MV830
MV1620
MV1620
MV1620
MV1620
MV1620
MV1620
MV1620

74.8*
90.21107.59.01113.2*
16.519.8*
22.0*

2.18
2.18
2.18
3.2
3.2
32
3.2
3.2
3.2
3.2

4.0
4.0
4.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

25
25
25
20
20
20
20
20
20
20

30
30
30
20
20
20
20
20
20
20

15
10
10
300
300
300
300
250
250
250

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.4
0.4
0.4
2.0
2.0
2.0
2.0
2.0
2.0
2.0

MV1634
MV1636
MV1638
MV1640
MV1642
MV1644
MV1646
MV1648
MV1650
MV1652

MV1620
MV1620
MV1620
MV1620
MV1620
MV1620
MV1620
MV1620
MV1620
MV1652

24.229.7*
36.342.9'
51.7'
61.674.8'
90.2110135-

3.2
3.2
3.2
3.2
3.2
3.2
3.2
3.2
3.2
2.6

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

20
20
20
20
20
20
20
20
20
20

20
20
20
20
20
20
20
20
20
20

250
200
200
200
200
150
150
150
150
350

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.02

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
0.4

MV1654
MV1656
MV1658
MV1660
MV1662
MV1664
MV1666
MV1858D
MV1860D
MV1862D

MV1652
MV1652
MV1652
MV1652
MV1652
MV1652
MV1652
MV1858D
MV1858D
MV1858D

165'
198220*
242'
275'
300'
3631.3'
2.64'
3.63-

2.6
2.6
2.6
2.6
2.3
2.3
2.3
2.7
3.1
3.3

2.0
2.0
2.0
2.0
2.0
2.0
2.0
4.0
4.0
4.0

20
20
20
20
15
15
15
60
60
60

20
20
20
20
15
15
15
60
60
60

250
200
200
150
150
100
100

0.02
0.02
0.02
0.02
0.02
0.02
0.02

0.4
0.4
0.4
0.4
0.4
0.4
0.4
5.0
5.0
5.0

MV1863D
MV1864D
MV1865D
MV1866
MV1868
MV18680
MV1870
MV1870D
MV1871
MVI872

MV1858D
MV1858D
MV1858D
MV1866
MV1866
MV1858D
MV1866
MV1858D
MV1866
MV1866

5.17'
7.5'
9.02'
II'
13.2'
13.2'
16.5'
16.5"
19.8"
25.2"

3.3
2.4
3.4

4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0
4.0

60
60
60
60
60
60
60
60
60
60

60
60
60
60
60
60
60
60
60
60

Type

3.5
3.5

I
I

VI
Volts

3-58

1

i

V2
Volts

Volts

GHz

25°C
Watts

100
280
30
25
25
25
20
20
15
15

100M
50M
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

400mW
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

700
700

50M
50M

700

50M

700
700

50M
50M

5.0
5.0
5.0
2.0
2.0
5.0
2.0
5.0
2.0
2.0

VOLTAGE-VARIABLE CAPACITANCE DIODES
CAPACITANCE
BVR

CJ
CT*
pF

1
Voltage
C (Max) 1
Range
C (Min) 1------,-- - - I
V,
I
V2
: Volts I Volts

60
60
60
60
30
30
30
30
30
30

60
60
60
60
30
30
30
30
30
30

Type

Ref.

MV1874
MV1876
MV1877
MV1878
MV2101
MV2102
MV2103
MV2104
MV2105
MV2106

MV1866
MV1866
MV1866
MV1866
MV2101
MV2101
MV2101
MV2101
MV2101
MV2101

29.7*
36.3*
42.9*
51.7
7.5*
90*
11*
13.2*
16.5*
19.8*

32
3.2
3.2
3.2
3.2
3.2

4.0
4.0
4.0
4.0
2.0
2.0
2.0
2.0
2.0
2.0

MV2107
MV2108
MV2109
MV2110
MV2111
MV2112
MV2113
MV2114
MV2115
MV2201

MV2101
MV2101
MV2101
MV2101
MV2101
MV2101
MV2101
MV2101
MV2101
MV2201

24.2*
29.7*
36.3*
42.9*
51.7*
61.6*
14.8*
90.2*
110*
8.0*

3.2
3.2
3.2
3.2
3.2
3.3
3.3
3.3
3.3
2.3

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
1.0

30
30
3.0
3.0
3.0
3.0
3.0
3.0
3.0
10

MV2203
MV2205
MV2209
MV2301
MV2302
MV2303
MV2304
MV2305
MV2306
MV2301
MV2308

MV2201
MV2201
MV2201
MV2301
MV2301
MV2301
MV2301
MV2301
MV2301
MV2301
MV2301

11.5*
17*
37*
135*
165*
198*
220*
242*
275*
300*
363*

2.4
2.5
2.5
2.3
2.3
2.3
2.3
2.3
2.3
2.3

1.0
1.0
1.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

10
10
10
20
20
20
20
20
20
20
20

2.3

3-59

Q

@

f

Po
@

GHz

25°C
Watts

700
700
700
700
450
450
400
400
400
350

50M
50M
50M
50M
0.05
0.05
0.05
0.05
0.05
0.05

2.0
2.0
2.0
2.0
0.28
0.28
0.28
0.28
0.28
0.28

30
30
30
30
30
30
30
30
30
25

350
300
200
150
150
150
150
100
100
300

0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05
0.05

0.28
0.28
0.28
0.28
0.28
0.28
0.28
0.28
0.28
0.28

25
25
25
20
20
20
20
20
20
20
20

200
200
150
250
250
200
200
150
150
100
100

0.05
0.05
0.05
0.02
0.02
0.02
0.02
0.02
0.02
0.02
0.02

0.28
0.28
0.28
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5

Volts

DEVICES FOR
MILITARY APPLICATIONS

1N .•. Device Numbers
ASSEMBLIES
CURRENT REGULATORS
DIODES
Reference
Signal
Zener
RECTIFIERS

Page 4·3

Page 4·4

2N ... Device Numbers
THYRISTORS
TRANSISTORS
Amplifier
Chopper
FETs
Multiple Device
Power
Switching and Unijunction

4-1

DEVICES FOR MILITARY
APPLICATIONS

SILICON ZENER
DIODES ±5% SERIES
MIL·S·195001127
1N746A JAN,JTX

" thru •. 1N759A JAN,JTX

MIL·S-19500/117
1 N962B JAN,JTX

The following tables list devices that
comply with military specifications.

.. thru .. 1 N992B JAN,JTX

*MIL·S·19500/114
1N2804B & RB JAN,JTX .. thru . . . . . . . . . . . . .
1N2808B & RB JAN,JTX . . . . . . . . . . . . . . . . .
1N2811BJAN,JTX . . . . . . . . . . . . . . . . . . . . . . .
1 N2813B JAN ,JTX . . . . . . . . . • . . . . . . . . . . . . .
1N2814B JAN,JTX . . . . . . . . . . . . . . . . . . . . . . .
1N2816B JAN,JTX . . . . . . . . . . . . . . . . . . . . . . .
1 N2818B JAN,JTX .. thru .. lN2820B JAN,JTX
lN2822B JAN,JTX .. thru .. lN2827B JAN,JTX
1 N2829 B JAN ,JTX . . . . . . . . . . . . . . . . . . . . . . .
1 N2831 B JAN,JTX .. thru .. 1 N2838B JAN ,JTX
1 N2840B JAN ,JTX .. thru .. 1 N2846B JAN ,JTX

1N ... Device Numbers
ASSEMBLIES
CURRENT REGULATORS
DIODES
Reference
Signal
Zener
RECTIFIERS

*MIL·S·195001124
lN2970B
lN2979B
lN2980B
lN2982B
1N2984B
lN2988B
lN2995B
lN2997B
1 N2999B
lN3007B
1 N3011 B
lN3012B
1 N3014B
1 N3015 B

JAN,JTX
JAN,JTX
JAN,JTX
JAN,JTX
JAN,JTX
JAN,JTX
JAN,JTX
JAN,JTX
JAN,JTX
JAN,JTX
JAN ,JTX
JAN,JTX
JAN ,JTX
JAN ,JTX

.. thru .. lN2977B JAN,JTX
.................•.....
...................... .
...•...................
.. thru .. lN2986B JAN,JTX
" thru .. lN2993B JAN,JTX
...................... .
.. , . . . . . . . . . . . . . . . . . . . .
.. thru .. 1 N3005B JAN,JTX
" thru .. lN3009B JAN,JTX
...................... .
.....•.................
...................... .
...................... .

TC REFERENCE
DIODES
MIL-S·19500
1 N429 JAN ..•..••...••...........• /299
lN821 JAN,JTX . . . . . . . . . . . . . . . . . . . . • /159
1N823 JAN,JTX . . . . . . . . . . . . . . . . . . • . . /159
lN825 JAN,JTX . . . . • . . . . . . . . . . . • . • . . /159
1N827 JAN,JTX . • . . . . . . . . . . . . • . • . . . . /159
1 N829 JAN ,JTX • . . . . . . . . . . • • . . . . . . . . /159
1N935B JAN,JTX ......•........••.. /156
1N937BJAN,JTX . . . . . . . . . . . . . . . . . . • /156
1N938BJAN,JTX .............•.•••. /156
lN939B JAN,JTX ..•..........•.•... 1156
1 N941 B JAN,JTX ....•.•...•....•... 1157
1 N943B JAN,JTX . . . . . . . • . . . . . . . . . . . /157
1N944B JAN,JTX . . . . . . . . . . . . . . . . • • . /157
1 N945B JAN,JTX . . . . . . . . . . . . . . . . • . • /157
1N3154 JAN,JTX . . . . . • . . . • . . . . . . . . . . /158
1N3155 JAN,JTX . . . . . . . . . . . . . . . . . • . . /158
lN3156JAN,JTX . . . . . . . . . . . . . . . . • . . • /158
1 N3157 JAN,JTX .........•......••.• /158

MIL·S·19500/115
1N3016B JAN,JTX .. thru .. lN3051B JAN,JTX

*MIL·S·195001358
1N3305B JAN,JTX
lN3314B JAN,JTX
1 N331 5 B JAN ,JTX
1 N331 7 B JAN ,J,X
1 N3319B JAN,JTX
1N3323B JAN,JTX
1 N3330B JAN,JTX
1 N3332 B JAN ,JTX
1 N3334B JAN,JTX
1 N3342B JAN,JTX
1 N3346B JAN,JTX
1 N3347B JAN,JTX
lN3349B JAN,JTX
1 N3350B JAN,JTX

.. thru .. lN3312B JAN,JTX
...................... .
.....•.................
................•......
.. thru .. 1 N3321 B JAN,JTX
.. thru .. lN3328B JAN,JTX
...........•......•....
.......•..•....••.•....
.. thru .. 1 N3340B JAN,JTX
.. thn.i .. 1 N3344B JAN,JTX
..............•........
......•.......•.......•
..............•.•..•..•
...................... .

DIODE
ASSEMBLIES
MIL-S·19500
1 N 1530A JAN
1 N 1742A JAN

MIL.S.19500/115/

. . . . . . . . . . . . . . . . . • • • . • /320
. . . . . . . . . . . . . . . . • . . • . • /298

1 N3821 A JAN ,JTX .. thru " 1 N3828A JAN ,JTX

**MIL-S·19500/272

CURRENT
REGULATORS

1 N3993A JAN,JTX .. thru .. 1 N4000A JAN,JTX

MIL-S·19500/435
lN4099JAN,JTX

MIL·S·19500/463

.• thru •. lN4135JAN,JTX

lN5285 JAN,JTX .. thru ..... lN5314 JAN,JTX

MIL·S·19500/127
lN4370A JAN,JTX .• thru " lN4372A JAN,JTX

*MI L-S·19500/3588

RECTIFIERS

1N4549B JAN,JTX .. thru .• lN4554B JAN,JTX

MIL·S-19500

M I L-S·19500/435
1 N4614 JAN,JTX " thru .. 1 N4627 JAN,JTX

1N3890JAN,JTX ...........•......... /304
1 N3891 JAN,JTX . . . . . . . . . . . . . . . . . . . . /304
1 N3892 JAN,JTX . . . . . . . . . . . . . . . . . . . . /304

• Reverse Polarities (Suffix RB) are available •
•• Reverse Polarities (Suffix RA) are available.

4-3

•

DEVICES FOR MILITARY APPLICATIONS (Continued)

The following tables list devices that comply with military specifications.
2N ... Device Numbers
TRANSISTORS - Amplifier. Chopper.
Multiple Device. Power. Switching and Unijunction
THYRISTORS

SWITCHING AND HIGH FREQUENCY
TRANSISTORS
MIL-S-19500

•

2N393 JAN ...•.......•.• /77
2N499 JAN . . . . . . . . . . . . . . 172
2N499A JAN •....•....... /72
2N501 A JAN .......•..... 162
2N502A JAN ...•...•..... 1112
2N502B JAN . . . . . . . . . . . . . /112
2N559 JAN,JTX •......... • /152
2N703 JAN . . . . . . . . . . . . . . /153
2N705 JAN ..•.....•..... /86
2N706 JAN ..•.....•....• /120
2N708 JAN,JTX .......... . /312
2N718A JAN,JTX ......... /181
2N869A JAN,JTX ......•. • /283
2N914 JAN,JTX .....•.... . /373
2N916 JAN
.•.••..... • /271
2N929 JAN,JTX ........•. . /253
2N930 JAN,JTX .......... • /253
2N962 JAN .••......•.... 1258
2N964 JAN ........•..... /258
2Nl131 JAN . . . . . . . . . . . . . /177
2Nl132JAN ..... ........ /177
2N1613 JAN,JTX . . . . . . . . . . /181
2N2218 JAN,JTX ...•..... . /251
2N2218A JAN,JTX ...•.... /251
2N2219 JAN,JTX ......... . /251

2N2219A JAN,JTX ........ 1251
2N2221 JAN,JTX . . . . . . . . . . /255
2N2221AJAN,JTX .......• 1255
2N2222,JAN, JTX . . . . . . . . . . 1255
2N2222A JAN;JTX ........ . /255
2N2369A JAN ,JTX ........ /317
2N2481 JAN,JTX . . . . . . . . . . /268
2N2857 JAN,JTX •........ . /343
2N2904 JAN,JTX .•....•... 1290
2N2904A JAN,JTX ........ /290
2N2905 JAN,JTX .......•.. 1290
2N2905A JAN,JTX ....... . /290
2N2906 JAN,JTX . . . . . . . . . . /291
2N2906A JAN,JTX ........ /291
2N2907 JAN,JTX . . . . . . . . . . /291
2N2907A JAN,JTX ..•..... 1291
2N3013 JAN,JTX . . . . . . . . . . 1287
2N3250A JAN ,JTX ........ 1323
2N3251 A JAN,JTX ....... . /323
2N3253 JAN . . . . . . . . . . . . . /347
2N3444 JAN . . . . . . . . . . . . . /347
2N3449 JAN ............. 1338
2N3467 JAN ..........•.. 1348
2N3468 JAN ........•.... 1348
2N3485A JAN,JTX ........ /392

2N3486A JAN ,JTX ........ 1392
2N3498 JAN,JTX .......... /366
2N3499 JAN,JTX .......... /366
2N3500 JAN,JTX . . . . . . . . . . /366
2N3501 JAN,JTX .......... /366
2N3506 JAN,JTX ......... . /349
2N3507 JAN,JTX .......... /349
2N3634 JAN,JTX . . . . . . . . . . /357
2N3635 JAN,JTX .......... /357
2N3636 JAN,JTX ......... . /357
2N3637 JAN,JTX .......... /357
2N3743 JAN,JTX ......... . /397
2N3763 JAN,JTX ......... . /396
2N3765 JAN,JTX .......... /396
2N3959 JAN,JTX ....•..... /399
2N3960 JAN,JTX .......... /399
2N4405 JAN,JTX ........... 1448
2N4449 JAN,JTX ........... /317
2N4453 JAN,JTX . . . . . . . . . . /2838
2N4930 JAN,JTX . . . . . . . . . . /397
2N4931 JAN,JTX . . . . . . . . . . /397
2N4957 JAN,JTX ........... 1426
2N5581 JAN,JTX . . . . . . . . . . /423
2N5582 JAN,JTX . . . . . . . . . . /423

POWER TRANSISTORS
MIL·S·19500
2N174A JAN ......•.....• /13
2N297 A JAN . . . . . . . . . . . . . 136
2N665 JAN . . . . . . . . . . . . . . 158
2Nl0ll JAN ......•...... /67
2Nl046 JAN .•........... /88
2Nl120 JAN . . . . . . . . . . . . . /68
2Nl165JAN ......... .... /178
2N1358JAN . . . . . . . . . . . . . /122
2N1412 JAN . . . . . . . . . . . . . /76
2N1412A JAN . . . . . . . . . . . . 176
2Nl549A JAN . . . . . . . . . . . . /332
2N 1550A JAN . . . . . . . . . . . . /332
2N1551 A JAN . . . . . . . . . . . . /332
2N1552A JAN . . . . . . . . . . . . /332
2N1553AJAN .. , ........ • /331

2N 1554A JAN . . . . . . . . . . . . /331
2N1555A JAN . . . . . . . . . . . . /331
2N1556A JAN . . . . . . . . . . . . /331
2N1557AJAN . . . . . . . . . . . . /330
2N 1558A JAN . . . . . . . . . . . . 1330
2N1559A JAN . . . . . . . . . . . . /330
2N1560A JAN . . . . . . . . . . . . /330
2N1651 JAN . . . . . . . . . . ... /219
2N1652 JAN . . . . . . . . . . . . . 1219
2N1653JAN . . . . . . . . . . . . . 1219
2N2079A JAN . . . . . . . . . . . . /340
2N2528 JAN . . . . . . . . . . . . . /309
2N2834 JAN •.........•. : /310
2N3055 JAN,JTX ......... . /407
2N3715 JAN,JTX . . . . . . . . . . /408

2N3716 JAN,JTX .......... . /408
2N3739 JAN.JTX ......... . /402
2N3740 JAN,JTX ......... . /441
2N3741 JAN,.JTX ........ .. /441
2N3791 JAN,JTX .......... /379
2N3792 JAN,JTX ......... . /379
2N3867 JAN,JTX . . . . . . . . . . /350
2N3868 JAN,JTX ......... . /350
2N4399 JAN,JTX ......... . /433
2N5156 JAN,JTX ......... .1416
2N5302 JAN,JTX ......... .1456
2N5303 JAN,JTX ......... . /456
2N5685 JAN,JTX ............ /464
2N5686 JAN,JTX ........... ·/464
2N5745 JAN,JTX ......... . /433,

DEVICES FOR MILITARY APPLICATIONS (continued)

SILICON CONTROLLED
RECTIFIERS
MIL~·19500

2N4199
2N4200
2N4201
2N4202
2N4203
2N4204

JAN
JAN
JAN
JAN
JAN
JAN

••.•.•....•. . /372
••...•.•.••.• 1372
•••..•••.•.. • /372
•.....••.•..• 1372
.•..•.••••... /372
....••••.••• • /372

MULTIPLE DEVICES
MIL~·19500

2N2060 JAN,JTX .......... /270
2N:!639 JAN,JTX ..••..•.. . /316
2N2642 JAN,JTX ..•..••.. . /316
2N2919 JAN,JTX ..•..•... . /355
2N2920 JAN,JTX ......... . /355
2N3810 JAN,JTX ...•...... . /336
2N3811 JAN,JTX, •.•...... . /336
2N3838 JAN,JTX .•........ /421
2N4854 JAN,JTX .......... /421

RF POWER TRANSISTORS
MIL~·19500

2N700A JAN ..•...••.•.. • /123
2N918 JAN,JTX .....•..•.. /301
2N 1142 JAN ......•••..•• 187
2N1 195 JAN .....•...•... 171
2N2273 JAN .....•••.... • /244
2N2708 JAN .....•.•..... 1302
2N3127 JAN ............ • /346
2N3375 JAN,JTX .•....... . /341
2N3553 JAN,JTX .••.•.•.. . /341
2N3866 JAN,JTX .•.....••• /398
2N3866A JAN,JTX ......• . /398
2N4954 JAN,JTX ........... 1426

FIELD·~FFECT

MILLIWATT
TRANSISTORS
MIL~·19500

2N331 JAN ..... , ....... , 14
2N398A JAN ..........••.. /174
2N404 JAN .......•.....• /20
2N404A JAN ............. 120
2N461 JAN ......•....... 145
2N464 JAN ......•....... 149
2N465 JAN .............• 149
2N466 JAN .....••.•. , ..• /51
2N467 JAN .....•.. , •.... 149
2N526 JAN .......... ' ... .'60
2N650A JAN .•..........• /1 75
2N651A JAN .•....•...•. . 1175
2N652A JAN .•... ' ••.... • /175
2N10,08B JAN ........... . 1196

TRANSISTORS
MIL~·19500

2N3330
2N3821
2N3822
2N3823
2N4092
2N4093

JAN,JTX
JAN,JTX
JAN,JTX
JAN,JTX
JAN,JTX
JAN JTX

...•....•• /378
......•.. • /375
.•..•.••• . /375
.•.•..•••. 1375
.........• /431
..•....... /431

UNIJUNCTION
MIL-5·19500
2N4948 JAN,JTX .......... /388
2N4949 JAN,JTX ..... ; .•.. 1388
2N5431 JAN,JTX , .. , ...•.. /425

•

4-6

SELECTOR GUIDES
INDEX
SEMICONDUCTOR SELECTION GUIDES

AMPLIFIERS
Reference
ASSEMBLIES
Molded
Silicon Power Rectifier

5-10

Optoelectronics

5-85

5-9
5-16

RECTIFIERS
Hot-Carrier Power
Silicon

5-15
5-12

SUPPRESSORS
Silicon Power Transient

5-11

THYRISTORS
Power
Pulse Modulator SCRs
Silicon Controlled Rectifiers
Triacs

5-25
5-21
5-18
5-22

TRANSISTORS
Diffused Base
Field-Effect
Germanium Power
Plastic Encapsulated Small-Signal
Small-Signal Hermetic
Silicon Power
Silicon RF
Unijunctions

5-37
5-28
5-35
5-56
5-62
5-39
5-70
5-27

TRIGGERS
4-Layer Diodes
Diacs
Silicon Bidirectional Switch
Silicon Unidirectional Switch

5-26
5-26
5-26
5-26

DIODES
4-Layer
Current Regulator
Dual
Dual Epicap Tuning
Epicap Tuning
Forward Reference
Hyper-Abrupt Junction Tuning
Mini-L Abrupt Junction Tuning
Micro-I PIN Switching
PIN Switching
Micro-I Plastic Hot-Carrier
Plastic Hot-Carrier
Precision Reference
Tuning Diode Regulator
Zener Diodes Device Options
Zener
Zener Reference

5-26
5-8
5-11
5-77
5-78
5-8
5-81
5-77
5-76
5-76
5-82
5-82
5-7
5-81
5-2
5-4
5-6

HYBRID CIRCUITS

5-75

INTEGRATED CIRCUITS

6-101

MICROCIRCUIT COMPONENTS

5-89

MICRO-T TRANSISTORS AND
DIODES

5-83

MICROWA VE DEVICES

5-76

OPTOELECTRON ICS
Meg-A-Life II, MIDA, Multi-Cell II, Unibloc, Uniwatt, Power-Pac, ADE, Micro-T, EPICAP,
HANDY Lab, ZenGard, Surmetic, Surmetic 20, Surmetic 30, Surmetic 40, Thermopad, Epibase,
Unibase, MECL, MECL II, MECL III, MECL 10,000, MHTL, MTTL, MTTL I, MTTL II,
MTTL III, MDTL, MRTL, mW MRTL, and MCMOS are trademarks of Motorola Inc.
Annular Semiconductors and Field Relief Electrode are patented by Motorola Inc.

5-1

ZENER DIODE DEVICE OPTION
Motorola manufactures a complete line of zener diodes. The following pages describe the many device types available as standard products
that are stocked at the factory warehouse and with distributors. Although Motorola standard zener diodes will handle most of the industry's
needs, they represent only a small f~action ,of the, devices that can be
supplied. In cases where a non-standard set of specifications is required,
the appropriate device can be selected and ordered from the following
device options.
NON·STANDARD ZENER DIODES
SPECIAL VOLTAGE AND TOLERANCE RATINGS
JEDEC "1 N" type numbers denote a specific Zener
voltage, power rating, and tolerance. For example,
JEDEC type 1N4728 is a standard 1 watt diode, rated
at 3.3 volts ± 10%. A suffix" A" on this type number
indicates a ±S% voltage tolerance.
Special Motorola devices, with a choice of voltages
and tolerances are also available. The following diagram
explains the Motorola coding ,system:

MATCHED SETS OF ZENER DIODES
Zener diodes can also be obtained in sets consisting
of two or more matched devices. The method for specifying such matched sets is similar to the one described
for specifying units with a special voltage and/or tolerance except that two ex tra suffixes are added to the
code number described above,
These units are marked with code letters to identify
the matched sets and in addition, each unit in a set is
marked with the same serial number which is different
for each set being ordered.

powe,/
Tl
M

Z

T

Rating ~

T

T

T

T

Power
Rating
(Watts)

Nominal
Zener Voltage

Motorola

(Watts)

z

XXXX

M

(:X~I~~~~t~:C~~:1

I

~overall
Tolerance
of set

(+ 1%;

Motorola

T

,-

Nommal Voltage

Zener

Tolerance

feach deVice)

Diode

(±..%) (omit for

( 4 Characters Max)

±.20% units)

(Vol,s)

Zener

Diodes

Excluding Decimal

Tolerance
per deVice

(:!:~)

(omit for
:t20% units)

Code·
(A-Not used)

)
'Code

For example, the code for a special 10 watt Zener
diode with a voltage of 41 volts and a tolerance of ± 1 %
would be: 10M41Z1.
Following is a list of other standard Motorola symbols for special Zener orders (X's indicate nominal Zener
voltage):

BASIC
MOTOROLA TYPE
%MXXXAZS
%MXXXZS
.4MXXXAZS
.4MXXXZ10
.SMXXXZS10
1MXXXAZ10
1MXXXZ10
1MXXXZSS
1.SMXXXZ
SMXXXZSS
10MXXXAZS
10MXXXZ10
SOMXXXAZ10
SOMXXXASZS
SOMXXXZ
SOMXXXSZS

DEVICE
DESCRIPTION
250 mW Alloy Glass, ±S%
2S0 mW Glass, ±S%
400 mW Alloy Glass, ±S%
400 mW Glass, ± 10%
SOO mW Surmetic, ± 10%
1 Watt Alloy Flangeless, ± 10%
1 Watt Flangeless, ±.10%
1 Watt Surmetic, ±S%
1.5 Watt, ±.20%
5 Watt Surmetic, ±5%
10 Watt Alloy Stud, ±S%
10 Watt Stud, ± 10%
50 Watt Alloy TO-3, ± 10%
50 Watt Alloy Stud, ±.S%
50 Watt TO-3, ±20%
SO Watt Stud, ±S%

For reverse polarities (10 Wand 50W). insert" A"
before tolerance, ie., 50M 11 OSZA5_
1N5518 thru 1NSS46 - This series may be ordered
in ±2% and ±. 1 % tolerance by adding the following
suffix:
C=±2%

D=±1%

For example, the 1N5S18D would be the same as
the 1NS518B except Vz = 3.3±1%.

B
C
D
E
F
G
H
P
X

-

Two devices in series
Three devices in series
Four devices in series
Five devices in ser,ies
Six devices in series
Seven devices in series
Eight devices in series
Two devices in parallel (not recommended)
Two devices; one standard 'polarity, the other
reverse polarity. (10 and 50 watts only)
i.e., 10M51Z5B1 is for two 10 watt zeners, each of S1
volts, ±S%, matched to a total voltage of 102 volts ± 1 %.

ORDERING OF MATCHED SETS
Order per instructions in "Matched Sets of Zener
Diodes" or else specify the following:
- Type of matched set (series or parallel)
- Number of units per set
- Device type (with proper suffix to indicate
tolerance
- Number of sets required
- Total voltage and overall tolerance of the set

ADDITIONAL NOTES
Consult factory for pricing and ordering information
on special sets_ For example: 1 )Sets with overall tolerance different from those shown; 2) Matched sets of
temperature compensated devices; 3) Sets which require
basic device types within the set to be different from
each other; 4) Sets with device type nominal voltages
outside the range of the Zener family involved; 5) Tight
tolerance temperature compensated diodes.

5-2

ZENER CHIPS (MZCI

ZENER CLIPPERS

1. The nomenclature for Zener Chips is as follows:

M

C

Z

T T

Motorola Zener

T T T

C = Chip Nominal Series
Voltage (A or BI

BASIC TYPE
MOTOROLA
NOMENCLATURE

MZCXXAX
MZCXXBX

Special cl ipper diodes with opposing Zener ju nctions
built into the devices are available by using the following nomenclatures:

T

X

T

Tolerance

(±%I

DEVICE
DESCRIPTION

M

XXX

T

Power

Nominal

Rating
(Wattsl

Voltage

Z

Z

T T

Zener
Diode

Clipper

X

T
Tolerance for each of
the two Zener Volt_
(Is not a matching
requirement. Omit for
± 20% Units.1

Zene, Chip - "A" Series. High Level
Zener Chip - "8" Series. Low Level

This nomenclature is applicable to all packages and
power ratings as restricted in the above paragraphs.
2. Chips are sold in increments of ten (10) only
3. Chips are not sold as matched sets or clippers.
4. A "·1" suffix will cause all chips ordered to be sup·
plied in Deka·Pak.

ORDERING INFORMATION
Order using the above nomenclature or else specify
the device type, nominal voltage and tolerance required.

UNIBLOC SERIES ZENER DIODES (MZ921
The nomenclature for Unibloc Series Zener Diodes is
as follows:

M

Z

92

Motorola Zener Series

xx
Nominal
Voltage

v
Non Suffix
A Suffix
B Suffix
C Suffix
o Suffix

20%
10%
5%
2%
1%

5-3

ZENER DIODES
resistance.

superior capability of silicon-oxide passivated junction for low leakage, sharp breakdowns and long-term stability.

diodes may be employed where a nearly constant de output voltage

Motorola's devices represent

state~of-the-art

The devices listed in the folloy.Jing tables represent a .basic profile
of the largest inventoried Zener diode line in the industry. These
is required despite relatively large changes in input voltage or load

CHIPS

250 MILLIWATT

400 MILLIWATT

500 MILLIWATT

(25 Mils Square)

Cathode = Bottom Surface

Nominal
Zener
Voltage

MZC

(NOTE 1,61
1.8
2.0
2.2
2.4
2.7

3.0
3.3
3.6
3.9

HIGH
LEVEL
(NOTE 71

LOW
LEVEL
(NOTE 71

MZC2.4Al0
MZC2.7Al0
MZC3.0A 10
MZC3.3Al0
MZC3.6Al0
MZC3.9A 10

lN5518
IN5519
lN5520

lN5542
lN5545
lN5546

MZ7Q-2.4
MZ7Q-2.7
MZ7Q-3.0
MZ7Q-3.3
MZ7Q-3.6
MZ7Q-3.9

MZ92·2.4
MZ92·2.7
MZ92·3.0
MZ92·3.3
MZ92·3.6
MZ92·3.9

lN5221
lN5223
lN5225
lN5226
1N5227
lN5228

MZ7Q-24
MZ7Q-27
MZ7Q-30
MZ7Q-33
MZ7Q-36
MZ70-39

MZ92·24
MZ92·27
MZ92·30
MZ92·33
MZ92·36
MZ92·39

lN5252
lN5254
lN5256
lN5257
lN5258
lN5259

MZ7Q-43
MZ70-47

lN5260
lN5261

MZ7Q-56
MZ70-62
MZ70-68

MZ92·43
MZ92·47
MZ92-61
MZ92·56
MZ92·62
MZ92·68

MZ7Q-75
MZ7Q-82
MZ7Q-91
MZ7Q-loo
MZ7Q-l10
MZ7Q-120

MZ92·75
MZ92·82
MZ92·91
MZ92·1oo
MZ92·110
MZ92·120

lN5267
lN5268
lN5270
lN5271
lN5272
lN5273

MZ7Q-130
MZ7Q-150
MZ7Q-160
MZ7Q-180
MZ7Q-200

MZ92·13O
MZ92·150
MZ92·160
MZ92·180
MZ92·2oo

lN5274
lN5276
lN5277
lN5279
lN5281

MZ7()..S1

75
82
91
100
110
120

MZC75Al0
MZC82A10
MZC91Al0
MZClooAl0
MZCll0Al0
MZC120Al0

130
150
160
180
200

MZC130A10

NOTES:

MZC150Al0
MZC160Al0
MZC180Al0
MZC2ooAl0

MZC130810
MZC150810
MZC160Bl0
MZC1BOB10
MZC200Bl0

1. The Zener Voltage is measured at approximately % the
rated power -except for the MZ4614 and 1 N4099

1NS262

lN5263
lN5265
lN5266

3. No suffix is ::1::20% tolerance; "Au suffix is ±10% toler·
ance, and "8" suffix is ±S.D% tolerance, (1N951..g92;
1N3016-3051; 1N3785-3820; lN2970&R-3015&R;
1N4549&FI-4556&FI; lN4557&A-4564&R;
lN3305&FI-3330&FI; 1N5333-1N53381.

series. This series is measured with an I ZT = 250 .uAdc.
The 1 N4370 and 1 N746 series 15 measured with an
IZT = 20 mAdc
2. No suffix denotes ±'0% tolerance. "A" suffix is ±S.O%
tolerance. (1 N4370-4372, 1N746-7S9; 1 N3821-30,
1 N3993-4000, 1 N4728-641.

* Other Standard Voltages Available:
2.5,2.8,6.0,8.7,14,17,19,25,28,60,87,140,170, and 190.

5-4

with the

ZENER DIODES (continued)

1 WATT

1WATT

1·1/2 WATT

5 WATT

10 WATT

50 WATT

Zener

CASE 59
(00·411

CASE 52
(00-13)

CASE 55

CASE 17

CONSUMER
INDUSTRIAL

INDUSTRIAL

INDUSTRIAL

(NOTE 2)

(NOTE 3)

(NOTE 3)

lN4728
lN4729
lN4730

1.8
2.0
2.2
2.4
2.7
3.0
3.3
3.6
3.9

lN5333
1N5334

lN5335

•
lN4743
lN4744
lN4745
lN4746
lN4747
lN4148
lN4749
lN4750
lN4751
lN4752
lN4753
lN4754

24
27
30
33
36

lN4755
lN4756
lN4757
lN4758
lN4759
lN4760

lN3804
lN3805
lN3806
lN3807
lN3808
lN3809

lN5367
lN5368
lN5369
lN5370
lN5372
lN5373

43
47
51
56
62
75
82
91
100
110

4, R, RA &AB '" Reverse Polarity Types Available,
Sa, No suffix is±10% tolerance, "A" suffix is±10% toleranca, "8" suffix is ±s ,0% tolerance.
5b. "e" suffix is + 2.0% tolerance,

7. For a 5, 3, 2, Of 1%, change the suffix "'0" to the
desired tolerance.
gmJAN/JANTX available ::!:s,Q% only_
Reverse polarity available on 10W and SOW devices.
8. SIN746A to SIN973B NASA Types Available.
9. SIN3016B to SIN3051B NASA TVpes Available.
10. SlN2970B to SIN2985B and SIN2991 B NASA Types Available

"0" suHix is±.1.0% tolerance.
6, Contact your Motorola Semiconductor Representative
for information on intermediate voltages and tighter

tolerances.

5-5

ZENER REFERENCE DIODES

For applications in which the output voltage must remain within narrow limits
during changes in input voltage, load resistance, and temperature changes. These
Temperature Compensated Zener Reference Diodes have low dynamic impedance
and silicon oxide passivated junctions for long-term stability.
Motorola guarantees all reference diodes to fall within specified maximum
voltage variations over the indicated temperature range at a specific test current.

This methOd complies with JEDEC suggested Standard No.5 and has been in·
corporated into all reference diode military specifications. Note that ratings are
maximum only and do not reflect the actual voltage change exhibited by an
individual unit. The temperature coefficient is shown for reference and should
not be considered as a maximum rating. The reference diode temperature coefficient is not a linear characteristic, and therefor~ accurately reflects the voltage
deviation at test temperature extremes only. Devices are tested at the various
temperature points while exposed to an air environment which eliminates unwanted boundary effects prevalent in oil bath testing. Voltage-time stability;

Case 52
(00-13)

although, not specified is normally better than 100 PPM per 1000 hours of operation, however, for critical applications precision reference diodes are available

with guaranteed voltage·time stability of less than 5 PPM per 1000 hours.

0.5
0.5
1.0
1.0
2.0
2.0
4.0
4.0
7.5
7.5

.&.

Non-suffix - ZZT = 15

n, "A S!Jffix

0.144
0.298

tN4916

+25,
-55,0, +25, +75, +100
+25, +76, +100
-55,0, +25, +75; +100
+25, +75, +100
-55,0, +25, +75, +100
+25, +76, +100
~5, 0, +25, +75. +100
+:25. +75. +100
-55, D, +25, +75, +100

1N4916A
1N4919
1N4919A

0.144

0.298
0.144

tN4922
tN4922A
lN4925

0.298
0.144
0.298
O.t44
0.298

1N4925A
lN4929

lN4929A

- ZZT = 10

lN4917
1N4917A
1N492Q
1N4920A
lN4923
1N4923A
lN4926

0.072
O.t49

lN4926A

0.149

1N4930
1N4930A

0.072
0.149

n

* Radiation Aesistant Devices Available; to order,
specifY.MZ821, A or B in lieu o{'1N' prefix.
Not Applicable to J or TX devices.

5-6

0.072

0.149
0.072
0.149
0.072

lN4918
lN4918A
1N4921
1N4921 A

lN4924
tN4924A
1N4927
lN4927A
1N4931
1N4931A

0.029
0.060
0.029

0.060
0.029
0.060
0.029
0.060
0.029
0.060

1N4928
1N492BA

lN4932
1N4932A

0.014

0.030
0.014
0.030

PRECISION REFERENCE DIODES

Designed, manufactured, and tested for use in computers, inertial guidance systems, and precision equipment requiring ultra-high stability of voltage over
changes of time and temperature. All precision testing
equipment is housed in a double electrically shielded
enclosure designed to eliminate reading errors caused
by noise and interference. Equipment calibration is
maintained relative to standard cells directly traceable
to the National Bureau of Standards. A special power
supply, having an absolute accuracy of ±0.003% is
used. Voltage measurements are made in air using
automated equipment having a 1.0 microvolt resolution and an overall accuracy of better than 1 PPM.
This procedure negates the boundary effects problem
prevalent in oil bath testing.

CERTIFIED TEST DATA
Every Motorola Precision Reference Diode is individually serialized and its test data recorded on a
Certificate of Precision that accompanies the device
when shipped. This data shows:
• Device voltages at each test temperature
(+25, +75 and +1000 C)
• Voltage stability within the measuring
temperature range
• Actual device voltage at 168 hour
intervals during verification test
• Voltage stability throughout the entire
1000 hour test period
• Certification of Precision
• All diodes are marked with the device
type number, polarity band and
serial number.

The time stability of the MOTOROLA M2605 series and MZ805 series is determined by the difference between any two readings taken at 168
hour intervals during the 1000-hour operating stability test. The time stability of the JEDEC registered 1 N XXXX devices is determined by the
difference between the zero hour reading and any subsequent measurement taken at 168 hour intervels during the 1DOO-hour stability tElst.

4

<50 PPM time stability on these devices

5-7

CURRENT REGULATOR
DIODES

These diodes present a constant current regardless of the terminal

Standard devices cover the range from 220 microamperes to

voltage over a wide operating range and appears as a very high circuit impedance. These devices are useful for a number of electronic
applications, including overcurrent protection.. transistor biasing,
linear ramp and stairstep generators, differential amplifiers, preci-

4.7 milliamperes; however, higher and lower currents are available
on a custom basis. Devices can be operated in series to produce
an extension of the dynamic voltage range or in parallel to extend
the current range. In the latter case, the resultant pinch·off current
is the summation of the individual currents.
In precision circuitry applications which must operate over a
significant temperature range, the temperature coefficient of each
device must be thoroughly evaluated by the design engineer. For
variations in current, consult the Motorola Designer's Data Sheet,
1N5283 Series. Current regulation may be improved by 1) main·
taining a low anode·to-cathode voltage, thus reducing the power
dissipation and 2) reducing the junction·to-Iead thermal resistance
by maintaining short lead lengths, especially the cathode lead.

sion reference voltage sources, and Iinsar-scale ohmmeters, to name
a few.

ELECTRICAL CHARACTERISTICS (T A ~ 25°C unless otherwise

FORWARD REFERENCE
DIODES

noted)
POV = 100 Volt max

Constant voltage reference diodes designed for stable forward reference sources, transistor amplifier biasing and similar applications.

0.22
0.24
0.27
0.30

lN5283
lN5284
lN5285
lN5286

2.75
2.35
1.95
1.60

1.00
1.00
1.00
1.00

0.33
0.39
0.43
0.47

lN5287
lN5288
lN5289
lN5290

1.35
1.00
0.870
0.750

1.00
1.05
1.05
1.05

0.56
0.62
0.68
0.75

lN5291
lN5292
lN5293
lN5294

0.560
0.470
0.400
0.335

1.10
1.13
1.15
1.20

0.82
0.91
1.00
1.10

lN5295
lN5296
lN5297
lN5298

0.290
0.240
0.205
0.180

1.25
1.29
1.35
1.40

1.20
1.30
1.40
1.50

lN5299
lN5300
lN5301
lN5302

0.155
0.135
0.115
0.105.

1.45
1.50
1.55
1.60

1.60
1.80
2.00
2.20

lN5303
lN5304
lN5305
lN5306

0.092
0.074
O.OSl
0.052

1.65
1.75
1.85
1.95

2.40
2.70
3.00
3.30

lN5307
lN5308
lN5309
lN5310

0.044
0.035
0.029
0.024

2.00
2.15
2.25
3.35

3.60
3.90
4.30
4.70

lN5311
lN5312
lN5313
lN5314

0.020
0.017
0.014
0.012

2.50
2.60
2.75
2.90

0.5:!O.3
1.0:!O.6
2.0:!O.6
3.0:!O.6

MC11300
MCL1301
MC11302
MC11303

0.500
0.200
0.100
0.050

1.00
1.50
2.00
2.00

4.0:!O.6

MC11304

0.025

2.50

ELECTRICAL CHARACTERISTICS IT A ~ 25°C unless otherwise
n?_!~d)

0.63

0.71

10.0

MZ2360

10

5.0

1.24

1.38

10.0

MZ2361

10

5.0

59
Surmetic

51
Surmetic

1.90
0.58
1.29
1.33
1.94
2.00
0.58

2.10
0.70
1.43
1.39
2.14
2.08
0.70

10.0
1.0
10
10
10
10
1.0

MZ2362
.4M.64FR10
.4Ml.36FR5
.4Ml.36FR2
.4M2.04FR5
.4M2.04FR2
lN816

10
0.1

5-8
-~-.-

--

-----

5.0
4.0

51
Glass

MOLDED ASSEMBLIES

110

0.055
0.022

120

0.063
0.025
0.069
0.028

1N4060
0.005
1N4060A 0.002

21.0

0.084
0.034

0.079
0.032

1N4061
0.005
1N4061A 0.002

23.0

0.092
0.037

0.086
0.035

1N4062
0.005
1N4062A 0.002

27.0

0.10B
0.043

0.101
0.041

0.120
0.048

0.113
0.045

0.132
0.053

0.124
0.050

0.148
0.059

0.139
0.056

43.0

0.172
0.069

0.161
0.065

47.0

0.188
0.075

0.176
0.071

51.0

0.204
0.082
0.224
0.090

0.191
0.077
0.210
0.084

0.248
0.099

0.232
0.093

0.272
0.109

0.255
0.102

0.300
0.120

0.281
0.113

0.328
0.131

0.307
0.123

0.348
0.139

0.326
0.131

0.364
0.146

0.341
0.137

0.400
0.160

0.375
0.150

56.0
62.0

1N4079
0.005
1N4079A 0.002
0.450
0.1BO

1N40BO
0.005
1N40BOA 0.002

130

0.520
0.208

0.4B8
0.195

1N4081
0.005
1N4081A 0.002

140

0.560
0.224

0.525
0.210

1N4082
0.005
1N4082A 0.002

150

0.600
0.240

0.563
0.225

1N4083
0.005
lN4083A 0.002

175

0.700
0.280

0.656
0.263

200

0.800
0.320

0.750
0.300

1N4084
0.005
1N40B4A 0.002
lN4085
0.005
lN40B5A 0.002

0.100
0.050

1N4077
1N4077A

18.6
18.6
24.8
24.8

0.150
0.075
0.200
0.100

31.0
31.0
37.2
37.2
43.4
43.4
49.6
49.6

0.250
0.125
0.300
0.150
0.350
0.175
0.400
0.200

(1) Available as JAN devices.

5-9

1N1739
1N1739A
1N1740
1 N1740A
1N1741
1N1741A
1N1742
0.01
1N1742A(1) 0.005

REFERENCE AMPLIFIERS

... designed for use in regulated power supplies as a combination voltage
reference element and error voltage amplifier, providing temperature com·
pensation for excellent reference voltage stability. Available with either PNP
or NPN transistors for versatility of circuit design. Operation over three
different temperature ranges: 0 to 75'C, -"55 to lOO'C, -55 to l50'C.

CASE

212-01

1 Add Suffix N to type number for NPN devices.
2 Add Suffix P to type number for PNP devices.
3 MeA 1911 Series uses only zener
diode and transist9r.

ELECTRICAL CHARACTERISTICS (lZT

=

Note: Basic type numbers are listed in the table; add suffix "P" or "N"

to denote specific polarity.

5.0 rnA,

VCEO = 30 V)

0, +25, +75

0, +25, +75

-55,0, +25,
+75, +100

0.105
0.052
0.020
0.010

MCA1921
MCA1922
MCA1923
MCA1924

-55,0, +25,
+75, +100

0.147
0.073
0.028
0.014

MCA2121
MCA2122
MCA2123
MCA2124

-55,0, +25,
+75, +100, +150

0.139
0.069
0.026
0.013

MCA1931
MCA1932
MCA1933
MCA1934

-55,0, +25,
+75, +100, +150

0.194
0.097
0.038
0.019

MCA2131
MCA2132
MCA2133
MCA2134

0.060
0.030
0.012
0.006

MCA2011
MCA2012
MCA2013
MCA2014

0.082
0.041
0.D16
0.008

MCA2211
MCA2212
MCA2213
MCA2214

0.124
0.062
0.024
0.012

MCA2021
MCA2022
MCA2023
MCA2024

-55,0, +25,
+75, +100

0.164
0.082
0.032

MCA2031
MCA2032
MCA2033

-55,0, +25,
+75, +100, +150

0.112
0,044
0.022

MCA2232
MCA2233
MCA2234

0,+25, +75

-55,0, +25,
+75, +100

-55,0, +25,
+75, +100, +150

0, +25, +75

5-10

SILICON POWER TRANSIENT SUPPRESSORS
Power Zener diodes desIgned for applications requiring protection of voltage sensitive electronic devices in danger of destruction by high energy voltage transients_ Individual cells are
matched to insure current sharing under high current pulse conditions_
• Transient Power Dissipation: 40 kW
Pulse Width: 0_1 ms

• Operating Junction and Storage Temperature
Range: -65°C to +175°C

• DC Power Dissipation: 350 Watts @ TC = 25°C
(Derate 2_33 W/oC above 25°CI

• Polarity: Anode-to-Case is Standard
Cathode-ta-Case Available Upon Request

MPZ5·16A
·16B
·32A
·328
·32C
·180A
·180B
·18OC

14
14
28
28
28
165
165
165

10
10
20
20
20
117
117
117

1.25
1.25
1.25
1.25
1.25
1.14
1.14
1.14

0.4
0.4
0.2
0.2
0.2
0.03
0.03
0.03

16
16
32
32
32
180
180
180

24
20
50
45
40
250
225
205

200
200
100
100
100
20
20
20

Case 119

50

0.025
0.025
0.011
0.011
0.011
0.0012
0.0012
0.0012

50

Although the MPZ Series is only offered in an array of

cations. The data represents the surge capabilities of the

six basic cells, special configurations are available with

basic cell ICase 60) both in an axial lead configuration and
when mounted on a 7/16" stud base. All data shown
reflects the device mounted to an infinite heat sink.
Application Note, AN·461, Transient Suppression with

various power and/or voltage ratings le.g., 1000 W de and
200 V de). I n order to choose the correct suppressor, the
determination must first be made of the energy magnitude,
pulse width, and duty cycle of the transieni involved. The

following graph is presented to aid the design engineer in
selecting the proper case outline andlor combination of

a Power Zener Diode.is available upon request. For more
information, contact your nearest Motorola Sales Office or
franchised distributor.

basic cells suitable for his specific high·power surge appli·

BASIC CELL MAXIMUM
NON-REPETITIVE SURGE POWER

m,oo0
BOO0

6000
400 0
200 0

....

_'000

I"
~

800
600

=

40 0

;;.

~~
":::

I ....

,
~~~gtt I

I

200

STUD MOUNTED

-

--- .. ISO VOLT

. MOUNTING TEMPERATURES:
10o
8o =F~~XIAl Tl =3DoG3/S"FROM CELL
6o ==~
0

ST~D

r-.

- r-.

TC=30 0C

,
AXIAL MOUNTED

0
0
0.1

DUAL

1111111
0.1

0.4

0.60.B1.0

1.0

100
4.06.08.010
4Q 60 8QHID
10
saUARE WAVE PULSE WIDTH (MILLISECONDS)

DIODES~

400 600 SOOI.Ok

2.0k

4.0k B.Ok,8.0kIOk

Dual diodes designed for use in low cost biasing, steering
and voltage doubler applications including series, common
cathode and common anode dual diodes.

Case 29-01

TO-92

MSD6100
MSD6101
MSD6102
MSD6150
MSD7000

100
50
70
70
100

100
100
100
100
100

0.1
0.1
0.1
0.1
0.2

50

0.67/0.82

40

0.67/0.82
0.67/1.0
-/1.0
0.67/0.82

50
50
50

5-11

10
10
10
10
10

1.5
2.0
3.0
8.0
1.5

4.0
10
100
100
15

Switching

Discriminator
Common Cathode
Common Anode

Series

SILICON RECTIFIERS
A DIGEST OF THE BROADEST LINE OF QUALITY RECTIFIERS AVAILABLE
Reverse polarity available on all types except as noted
Reversed polarity units can be obtained by adding suffix "R" to standard type number, e.g., lN3879R.

I

f!
CASE 42
(DO·5)

CASE 43
(DO·21)

CASE 70

CASE 60

IO,AVERAGE
RECTIFIEO
FORWARD
CURRENT

Case 245

Case 42

Case 42

CASE 59
(DO·41)

Case 43

Case 43

Case 42

CASE 100

CASES 129,130,
131,132
Sulfix "58"

Case TOO

(21

lN4002 1 N4720 1 N4998 MR751

•

lN4003 lN4721

VRMIREPI
MAX PEAK
REPETITIVE
REVERSE
VOLTAGE

1 N4004 1 N4722

1 N4999

lN5000

MA752

MA754

MR1T21
lNl200
1 Nl200A

N3209 1 N249B

MR756

1 N3G60

MR1122

1 N3493

(MR324)

MR1126
lNl206
lN1206A

MRlS11 MR1221

MRT231 MR1241

lN3G61

1 N3495

N3212 lN1196

lNl204A

lN4005 1 N4723 lN5001

(21

(MR3231

lN1202 lN321Q 1 N250B
lNl202A

MR1124
lN1204

(21

1 N3492

MR1217 MR1817 MR1227 MR1237 MR1247
(MR3261

MR1239 MR1249

MR328

lN1198

MR330
1 N4006 1 N4 724

1 N6002

MR1128
1N3988

1 N4007 1 N4725

1 N5003

MR1130
lN3990

MR331

(1) Not available in rIWerse polarity.
(2) Add proper two letter suffix to type number to Indicate
desired package stvle. e.9.• MR1243FL.

CASES 125,126,127,128
Suffix "SL"

CASES 133,134,
135,136
Suffix "FL"

CASES 167,189
Suffix "SL"

5-12

II
CASE 194

CASES 168
Suffix "SB"

CASE 245
(DO·4)

SILICON RECTIFIERS

(continued)
FAST RECOVERY POWER RECTIFIERS

This digest represents the latest rectifier products that are recommended for new designs. It does not
list all devices available from Motorola. For a more complete listing refer to the ProductslPrice Listing
in this book.

/

tl
!~"

,j

CASE 568
(00-41

~

/
CASE 59
(00-41)

CASE 60

CASE 113"

I

CASE 194

~

!

J

CASE 257

10. AVERAGE
RECTlFIEO
FORWARD
CURRENT
Case 267-01

190

1 N4933

MRB10

MRSSO

MR820

MR1337-1

MR830

MA840

1 N3879

1 N3889

lN3899

1 N3909

MRS60

MRB7D

1 N4034

MRSt1

MRS51

MRB21

MR1337-2

MR831

MR841

1 N3880

1 N3890

lN3900

lN3910

MAS61

MRS71

1 N4035

MR812

MR852

MR822

MR1337·3

MRB32

MR842

lN3881

lN3891

1N390l

1 N3911

MR862

MR872

lN3882

1 N3892

lN3902

1 N3912

VRRM
MR813

MR1337-4

MAXIMUM
PEAK
1 N4936

MAS14

MRB54

MR824

MR1337-5

MR834

MR844

lN3883

1 N3893

lN3903

1 N3913

MR864

MR874

1 N4937

MRS16

MRS56

MA826

MR1337-7

MR836

MR846

MR1366

MRl376

MRl386

MR1396

MRBG6

MR876

REPETITIVE
REVERSE

VOLTAGE
MR817

MRBlS

f~50kHz

f=250kHz

f=250kHz

f=250kHz

f""SOkHz

f=250kHz

trr=7S0 ns

t rr =0.2IJs

1rr'"'200 I1S

1rr "'0.2IJs

t rr "'1.0j..ts

t rr =0.2j..ts

·See Molded Rectifier Bridge Assemblies - Page 5-17 for MDA952FR-Fast Recovery Bridge

5-13

SILICON RECTIFIERS (continued)

CASE 158

10. AVERAGE
RECTIFIED FORWARD CURRENT

VRM(REP)
MAX PEAK
REPETITIVE
REVERSE
VOLTAGE

SILICON HIGH VOLTAGE
SURMETIC RECTIFIERS
Case 159

Case 105

Case 158

MR2081HA

MR1291

MR2101HA

MR1263

MR2082HA

MR1293

MR2102HA

MR1267

MR2084HA

MR12,,7

MR2104HA

High-voltage. low-current rectifiers designed for
applications where high-voltages in subminiature
packages are required. These devices feature
efficient high-temperature current-handling performance. high surge-current capabilities and
_____ .
surface passivation.
_____

10. AVERAGE RECTIFIED
FORWARD CURRENT

MR1299

VRM(REP)
MAX PEAK
REPETITIVE
REVERSE
VOLTAGE

5-14

CASE 169

HOT-CARRIER POWER RECTIFIERS

. . . utilizes the Schottky Barrier principle in a large area
metal-to-silicon power diode. State of the art geometry features epitaxial construction with oxide passivation and metal
overlay contact. Features are very low VF and high-frequency
capability.
CASE 43
(00-21)

CASE CASE 60
245-01

CASE 257

25

IF=75Amp
0.75

245-01

MBD5500A

20

50

800

75

IF = 100 Amp
0.75

43

MBD5500

20

50

800

120

IF = 100 Amp
0.65

43

MBD5550A

20

50

800

75

IF=100Amp
0.75

257

MBD5550

20

50

800

120

IF = 100 Amp
0.65

257

Note:

Multi~Cell

combinations providing increased current capability are available on special request.

5-15

SILICON POWER RECTIFIER ASSEMBLIES

Low-cost, standard rectifier circuits in small, integral packages providing 1.0 to 27 Amp output current with V RRM ratings to 1000 Volts.
Round leads available on the MDA920 series by adding suffix "A" to device type number (Le. MDA920A-1).

MIDA RECTIFIER ASSEMBLIES

P

Case 10a

il I I 'i 1

~
Case 109

~
Case 216-01

~

10

25
50
100
200
300
400
600
800
1000

60

50
100
200

150

4.0

25"

50
100
150

150

8.0

55t

50
100
200
300
400
600

300

12

5St

50
100

300

2.0

40"

j
t

,,

Case 117

Q

!

!1

Case 179·01

~.,"~.".
,

200

300
400
600

Disc

Case 179-02

5-16

!

rT

RECTIFIER ASSEMBLIES

[j
Case 155A
MRA130B Series

Case 154A
MRA130 Series

Case lS6A
MRA160 Series

MRA330 Series

Case 154

Case 155
MRA330B Series

Case 156
MRA360 Series

Case 157
MRA360B Series

Case 157A

MRA160B Series

HIGH CURRENT RECTIFIER CIRCUITS
Motorola Multi-Cell 11 power rectifier diode circuits are air-cooled.
integral·heatsink rectifier assemblies engineered for optimum diode/
heatsink utilization.

650 @ 1500 lFM
150 Free Convection

600 @ 1500 lFM
125 Free Convection
Single-Phase Full-Wave
Bridge

300 @ 1500 lFM
75 Free Convection

300 @ 1500 lFM
75 Free CO!1vection
MRA360B
MRA361B
MRA362B
MRA363B
MRA364B

600 @ 1500 lFM
125 Free Convection

650 @ 1500 lFM
150 Free Convection

Bridge assembly is designated bV a "S" suffix, i.e., M R A330B. Bridges are composed of one common cathode and one common anode assembly.

MOLDED RECTIFIER BRIDGE ASSEMBLIES
. . . individual hermetically-sealed rectifiers interconnected and

encapsulated in molded assemblies for use as single· phase and
three-phase full-wave bridge configurations, with output current

range from 1.5 to 16 Amp, peak reverse voltage from 50 to 600
Volts. Series MDA952, MDA962 and MDA1505 for printed
circuit insertion without solder lugs (Specify "A" Suffix).

E P P:
V,,101
Valts
50
100
200
300
400
600

.,

.,
.,..
-I

.,
-,..
-,
-I

.,

-,
-.-,

.-.-,
·1

-6

• Fast Recovery Bridge available (trr = 200 ns Max).
To order, specify MDA952FR·1 thru MDA952FR-5.

5-17

.
-3

..

-S

.,

-,

.
·S

.-,.,

·6

-I

THYRISTORS DEVICES

C... 22
TO·18

Cas. 29
10·92

MCR201

MCR10l

~

2N2322
MCR202

Plastic
C... 71

MCR203

MCR103
2N5061

MCR204

MCR104
2N5062

MCR106·1

MCR107·1

MCR406·1

MCR407·1

MCR106·2

MCR107·2

MCR406·2

MCR407·2

2N6238

MCR106·3

MCR107·3

MCR406·3

MCR407·3

2N6239

MCR106-4

MCRlOH

MCR106·5

MCR107·5

MCR106·6

MCR107·6

MCR106·7

MCR107·7

2N6236

2N1596

MCR205

2N1597

2N2323

Plastic
Cas. 90

2N4212

MCR102
2N5060
2N1595

~

ffl

C••• 31
TO-5

2N4213

2N2324

2N4214

2N2325

2N4215

2N2326

2N4216

2N6237

2N1598
2Nl.599

2N6240

2N6241

MCR106-8

MCR407·4

0.2

0.2

0.2

10

0.2

0.1

0.2

0.5

20

0.2

0.5

0.8

0.8

0.8

3.0

0.8

0.8

0.8

1.0

1.5

0.8

1.0

6.0

5.0

5.0

5.0
Typ

2.0

3.0

3.0

5.0

20

3.0

5.0

10

10

10

10

0.8

1.2

10

5-18

THYRISTOR DEVICES (continued)

'~
Casa90

2N4441
MCR3000·2

~

Case 85

C... 85l

C... 8S

C..eBSl

C... 87l

Casa88l

Ca.. 86

Ca.. 87l

Case 263·01

2N4151

2N4159

2N4167

2N4175

2N4183

2N4191

MCR2315·1

MCR2614l·1

2N1842
2N1842A

2N4160

2N4168

2N4176

2N4184

2N4192

MCR2315·2

MCR2614l·2

2N1843
2N1843A

2N4161

2N4169

2N4177

2N4185

2N4193

MCR2315·3

MCR2614l·3

2N1844
2N1844A

2N4152

2N4153

2N1845
2N1845A
2N4442
MCR3000-4

2N4154

2N4162

2N4170

2N4178

2N418S

2N4194

MCR2315-4

MCR2614l-4

2N184S
2N1846A
2N1847
2N1847A

2N4155

2N4163

2N4171

2N4179

2N4187

2N4195

MCR2315·5

MCR2614l-5

2N1848
2N1848A

2N4156

2N4164

2N4172

2N4180

2N4188

2N4196

MCR2315·6

MCR2614l·6

2N1849
2N1849A

2N4157

2N4165

2N4173

2N4181

2N4189

2N4197

2N4444
MCR3000-a

2N4158

2N4166

2N4174

2N4182

2N4190

2N4198

5.0
@73°C

5.0
@83°C

5.0
@83°C

5.0
@83°C

5.0
@·83°C

5.0
@83°C

5.0
@83°C

5.0
@75°C

5.0
@75°C

10
@35°C

80

100

100

100

100

100

100

80

80

125

25

40

40

40

40

40

40

40

40

60

30

30

30

30

30

30

30

40

40

80

1.5

1.5

1.5

1.5

1.5

1.5

1.S

1.5

1.5

2.0

4.0

30

30

30

30

30

30

50

50

20
Typ

1.0

1.0

1.0

1.0

1.0

1.0

1.0

1.0

1.0

1.0

SO

50

50

50

50

50

50

50

50

30

5-19

2N1850
2N1850A

THYRISTOR DEVICES (continued)

•

Case 174 TO-203

Case 175

MCR3818-1 (4)

MCR3918-1 (4)

MCR649-1

2N2513

MCR3835-1

MCR3935-1

2N5164 (4)

2N5168 (4)

MCR649-2

2N2514

MCR3835-2

MCR3935-2

MC R3818-3 14)

MCR3918-3 (4)

2N6167

MCR649-3

2N2575

2N3810
MCR3835-3

2N3896
MCR3935-3

2N6171

2N5165 (4)

2N5169 (4)

2N6168

MCR649-4

2N2516

2N3871
MCR3835-4

2N3897
MCR3935-4

2N6172

MCR3818-5 (4)

MCR3918-5 (4)

MCR649-5

MCR3835-5

MCR3935-5

2N5166 (4)

2N5110 14)

MCR649-6

2N3872
MCR3835-6

2N3898
MCR3935-6

MCR3818-7 14)

MCR3918-7 (4)

2N689

MCR3835-1

MCR3935-1

2N5161 (4)

2N5111 (4)

2N6170

2N690

2N3813
MCR3835-8

2N3899
MCR3935-8

2N6174

13
@61°C

13
@67°C

13
@67°C

13
@15°C

16
@85°C

16
@65°C

16
@65°C

22
@65°C

22
@65°C

22
@65°C

240

240

240

260

260

150

150

350

350

350

235

235

235

275

215

75

15

435

435

435

40

40

40

80

40

30

25

40

40

40

1.5

1.5

1.5

3.5

3_5

1.5

3.0

1.6

1.6

1.6

50

50

50

20
Typ

20
Typ

12
Typ

20
Typ

50

50

50

1.0

1.0

1.0

1.5
Max

1.5
Max

1.5
Max

50

50

50

50

50

50

Case 235

2N6169

Case 115

MCR649-7

2N2579

0.5

30

30

30
Min

(1) Available without lugs - Case 54.TO-3 (Pin) Package

(4) Standard polarity is Anod.-to-c.... reverse-polarity (Cathode-to-Case) may ba signified by an "R" suffix.

5-20
-

-

----- --

---

Case 235

2N6113

THYRISTOR DEVICES (continued)

+ t

Fast
Switching

Fast
Switching
Cas. 64

Case 63

TO-48

MCR846·1

MCR846-2

MCR846-3

MCR846-4

2N4199
2N4199JAN

MCR1336-5

MCR1718-5

2N4200
2N4200JAN

MCR1336-6

MCR1718-6

2N4201
2N4201JAN

MCR1336-7

MCR1718-7

2N4202
2N4202JAN (2)(31

MCR1336-8 (2)(3)

MCR1718-8

250

35

50

50

40

50

1.5

1.5

1.25

1.5

3.0
Min

25

50

Typ

0.5

0.15

250

50

Min

Min

250
Min

0.4
Max

(2)700V - 2N4203. 2N4203JAN and MCR1336.g availabl.
(3)800V - 2N4204. 2N4204JAN. and MCR1336·10 available

5-21

15
Typ

100

•

THYRISTOR DEVICES (continued)

I

I

Case 29-02
TO-92
MAC92-1
MAC92A-l*

MAC93-1
MAC93A-l*

MAC94-1
MAC94A-l*

MAC92-2
MAC92A-2*

MAC93-2
MAC93A-2*

MAC94-2
MAC94A-2*

MAC92-3
MAC92A-3*

MAC93-3
MAC93A-3*

MAC94-3
MAC94A-3*

2N6070

MAC92-4
MAC92A-4*

MAC93-4
MAC93A-4*

MAC94-4
MAC94A-4*

2N6071

MAC92-5
MAC92A-5*

2N6072

MAC92-6
MAC92A-6*

2N6073

I

Case 221-02
AB)

2N6342
2N6346*

2N6343
2N6347*
2N6344
2N6348*
2N6345
2N6349*

5_0
15*
5_0
15.*

5_0
12*
5_0
12*

5_0
10*
5.0
10*

60

2.0
2.0*
2.0

2.0
2.0*
2.0

2.0
2.0*
2.0

2.5

*Denotes A Version

5-22

60

2.5

50
75*
50
75*
2.0
2.5*
2.0

THYRISTOR DEVICES (continued)

I

Plastic
Case 90

Case 86

Case 250

Case 87l

MACll-1

MAC5-1

MAC6-1

MACll·2

MAC5-2

MAC6-2

MACl1-3

MAC5-3

MAC6-3

2N6154
2N6139 MAC5-4 2N6142 2N6148 MAC6-4
(MACl1-41
MACll-5

MAC5-5

2N6342A
2N6346A*

MAC6-5

2N6155
2N6140 MAC5-6 2N6143 2N6149 MAC6-6
(MACll-61
MAC11-7

Case 221-02
TO-220 AB

MACS-7

2N6343A
2N6347A*

MAC6-7

2N6156
2N6141 MAC5-8 2N6144 2N6150 MAC6-8
(MACll-81

2N6344A
2N6348A*
2N6345A
2N6349A*

50
75
50
75

50

2.0
2.5
2.0
2.5

2.0

100

100

50

2.0

50
75
50
75

50

2.0
2.5
2.0
2.5

2.5

100

100

50

2.5

50
75
50
75

50
75
50
75

50

2.0
2.5
2.0
2.5

2.0
2.5
2.0
2.5

2.5

100

100

100

* Denotes A Version

5-23
~-~-~~~--.----

.

----_.

-~.---

---._---

50

2.5

50
75*
50
75*

2.0
2.5*
2.0
2.5*
120

•

THYRISTOR DEVICES (continued).

~., •... ~

~t
·

Q

'I.

\;l

~

1,-'

'\

Q

.

':"

'.

\;l

Case 174
TO-203

..
Case 175

Case 174
Case 235 TO-203
MAC37·1

\;l

Case 174
Case 175 TO-203 Case 175 Case 235
MAC38·1

MAC37·2 MAC38·2

MAC37-3 MAC38-3
2N5571

2N5573

2N6145

MAC37-4 MAC38·4 2N6157 2N6160 2N6163

MAC37·5 MAC38·5
2N5572

2N5574

2N6146 MAC37·6 MAC38·6 2N6158 2N6161 2N6164

MAC37·7 MAC38-7
2N6159 2N6162 2N6165

MAC40797 MAC40798 2N6147

50
80
50
80

50
80
50
80

50
80
50
80

75

75

75

75

2.5
2.5
2.5
2.5

2.5
2.5
2.5
2.5

2.5
2.5
2.5
2.5

3.0

3.0

3.0

3.0

100

100

100

225

225

5-24

60
70
70
100

60
70
70
100

60
70
70
100

2.0
2.1
2.1
2.5

2.0
2.1
2.1
2.5

.2.0.
2.1
2.1
2.5

250

250

250

POWER THYRISTORS
High current thyristors above 35 Amperes are now available
from Motorola to more fully encompass the needs of
semiconductor users.
Construction features employ special materials and alloys
which are carefully tested and selected to provide the
reliability and performance demanded by the most sophisti·
cated industrial application. These features are:
1. Large area single die
2. Hermetically sealed package using high quality ceramic
3. Fatigue-free, pressure-loaded die contact system

~

"-::

CASE 246
(TO-83)

CASE 219
(TO-94)

These Silicon Controlled Rectifiers are designed for high power industrial and consumer
applications in power and speed controls such as welders, furnaces, motors, space heaters and
other equipment where control of high current is needed.

SILICON CONTROLLED RECTIFIERS - SCR -110 AMP RMS

2N4361
2N4362
2N4363
2N4364
2N4365
2N4366
2N4367
2N4368
2N4371
2N4372

100
200
400
600
800
1000
1200
1400
100
200

200
300
500
700
900
1100
1300
1500
200
300

2N4373
2N4374
2N4375
2N4376
2N4377
2N4378
MCR154·10
MCR154-20
MCR154-30
MCR154-40

400
600
800
1000
1200
1400
100
200
300
400

500
700
900
1100
1300
1500
200
300
400
500

MCR154-50
MCR154-60
MCR155-10
MCR155-20
MCR155-30
MCR155-40
MCR155-50
MCR155-60
MCR156-10
MCR156-20

500
600
100
200
300
400
500
600
100
200

600
650
200
300
400
500
600
650
200
300

MCR156-30
MCR156-40
MCR156-50
MCR156-60
MCR157-10
MCR157-20
MCR157-30
MCR157-40
MCR157-50
MCR157-60

300
400
500
600
100
200
300
400
500
600

400
500
600
650
200
300
400
1500
600
650

MCR158-50
MCR158-60
MCR158-70
MCR158-80
MCR158-90
MCR158-100
MCRI58-110
MCR158-120
MCR159-50
MCR159-60

500
600
700
800
900
1000
1100
1200
500
600

600
720
840
960
1080
1200
1300
1400
600
720

MCR159-70
MCR159-80
MCR159-90
MCRI59-100
MCR159-110
MCR159-120

700
800
900
1000
1100
1200

840
960
1080
1200
1300
1400

1600

200

3.0

30

500

1.6

219

I

246

1800

3.0·

150

10

J

20

1

10

J
20

1600

20

3.0

J

30

200'

1

219

J

100·

1

200·

246

J

100'

I

200

219

I

246

1
5-25

•

TRIGGER DEVICES
The ideal SCR or Triac complement is a trigger
developed to meet design and cost considerations.

switqhes for Triac control - there are more than 3 dozen
signal thyristor devices available that enable the right
metal or plastic device to be selected for any thyristor
power control application.

Motorola has the broadest line of signal triggers!
New unilateral switches for SCR triggering, bilateral

1

CASE 22
(TO·18)

, /"'"
CASE 29
(TO·92)

(00·7)

SILICON BIDIRECTIONAL SWITCH - SBS

SILICON UNIDIRECTIONAL SWITCH - SUS

BILATERAL TRIGGER DIACS

1N5759
1 N5760/MPT28
1 N5761/MPT32
1N5762
1N5758A
1N5759A
1N5760A
1N5761A
1N5762A

24
28
32
36
20
24
28
32
36

4.0
±4.0
±4.0
±4.0
±2.0
± 2.0
± 2.0
± 2.0
± 2.0

4·LAVER DIODES (PEAK PULSE CURRENT

=10 Amp@ PW =50 J..Is Max, IF =

5-26

150 mA Max)

I

CASE 182

UNIJUNCTION TRANSISTORS
Motorola Unijunction Transistors give you state-of-theart leadership in technology and performance because

__ .computerized testing ensures uniform results, faster
deliveries and user confidence.

__ .the Annular process furnishes fast-response, longtime-delay advantage as well as superior reliability and
stability in all applications.

... ready availability meets any demand.
...applications assistance is yours for the asking, like
AN-294, "Unijunction Transistor Timers and Oscillators," a valuable application note we'll send you .

. . .there are over 30 different metal and plastic Motorola
UJTs to meet your top performance need in most any
design from consumer to military.

!

Case 22
(TO·18)

!/

I

Case 22A

UNIJUNCTION TRANSISTORS - UJT

2N4871
MU4891
MU4892
MU4893
MU4894

MU851

5.0
5.0
2.0
2.0
1.0

2817

MU852

MU853
2N2646
2N2647
2N3980
2N4851
2N4852
2N4853
2N4948
JAN2N4948 (1)
2N4949
JAN2N4949 (1)
2N5431
JAN2N5431 (2)

~

Plastic
Case 29
(TO-92)

22A/l

0.56
0.70
0.70

0.75

0.85
0.85

35

2.0
2.0
0.4

0.56
0.68
0.68
0.56
0.70
0.70

0.1
0.1
0.05

2.0
4.0
4.0

28

12
0.2

4.0
B.O
1.0
2.0
4.0
6.0
2.0

35

300
300
360
300
300
300
360

35
35

300
300

om
0.1
0.1
0.05
0.01

200

I

I

5.0
2_0

(1) Meets the Requirements of MIL-S-19500/388

Case 28

(2) Meets the Requirements of MIL-S-19500/425

5-2.7

+100

80

I II

·50 to
+100

-50 to
+125

FIELD-EFFECT TRANSISTORS
reduces susceptibility to damage from
static-charge
buildup during handling
because of its increased voltage breakdown
capability.
All
Motorola
single-gate
MOSFETs have transient gate breakdown
voltages of greater than ±150 Vdc peak
(typical).

Motorola offers a line of field-effect
transistors
encompassing
the
latest
technology and covering the entire gamut of
potential applications. Included is a wide
variety of junction FETs and MOSFETs,
with N- or P-channel polarity. These FETs
include devices optimized for operation
from dc to UHF in switching and amplifying
applications.
Moreover, an exclusive silicon-nitride
passivation process now being employed on
all Motorola MOSFETs has greatly improved
MOSFET threshold stability with aging and
temperature change. This process also

•

The selection tables in this guide are
designed to permit a rapid selection of
specific field-effect transistors for a variety
of applications.
Six tables cover the major application
categories:

RF Amplifiers and Mixers

Table 1
· .. high performance single and
dual gate devices specifically
designed for RF applications

General-Purpose Switches
Table 4
· .. these devices are suitable for
med i u m -speed
sw itc hing
applications.

Choppers
Table 2
· .. FETs have no offset voltage,
and as a result, they are particularly
well
suited
for
chopper
applications.

Table 5
Matched Pairs
· .. pairs of carefully matched
devices for critical applications such
as differential-amplifier service.

General-Purpose Amplifiers
Table 3
· .. N- and P~channel field-effect
transistors designed for small-signal
amplification at low and moder;;lte
frequencies (to 30 MHz).

Micro-T Field-Effect Transistor Table 6
· .. where high density packaging is
required.

I

The information in the tables is necessarily brief to simplify selection. Complete specifications
fOr these devices are given in Volumes 1 and 2 of the Motorol,a Semiconductor Data Library.
Ask for Motorola's new comprehensive Designer's Manual entitled, "Understan'ding and
Designing with FET's."

5-28

FIELD-EFFECT TRANSISTORS (continued)
INDEX TO MOTOROLA FIELD-EFFECT TRANSISTORS

1
fij

TO-1S
Case 22

If

TO-72
Case 20

TO-76
Case 642

Case 28

~

TO-92
Case 29

Case 206

~

,

-"

Case 262

The following table lists the Motorola field-effect transistors with reference to selection tables .

2N3330
2N3796
2N3797
2N3821
2N3822
2N3823
2N3824
2N3909
2N3909A
2N3970
2N3971
2N3972
2N3993
2N3994
2N3994A
2N40S6
2N4067
2N4091
2N4092
2N4093
2N4220
2N4220A
2N4221
2N4221A
2N4222
2N4222A
2N4223
2N4224
2N4342
2N4351
2N4352
2N4360
2N4391
2N4392
2N4393
2N4416
2N4856
2N4856A
2N4857
2N4857A

3
3
3
3
3
1
4
3
3
2
2
2
3
3
3
3
3
2
2
2
3,4
3,4
3,4
3,4
3,4
3,4
1
1
3
4
4
3
2
2
2
1
2
2
2
2

2N4858
2N4858A
2N4859
2N4859A
2N4860
2N4860A
2N4861
2N4861A
2N5265
2N5266
2N5267
2N5268
2N5269
2N5270
2N5358
2N5359
2N5360
2N5361
2N5362
2N5363
2N5364
2N5457
2N5458
2N5459
2N5460
2N5461
2N5462
2N5463
2N5464
2N5465
2N5471
2N5472
2N5473
2N5474
2N5475
2N5476
2N5484
2N5485
2N5486
2N5555

2
2
2
2
2
2
2
2
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
1,3
1,3
1,3
2,4

2N5556
2N5557
2N5558
2N5638
2N5639
2N5640
2N5653
2N5654
2N5668
2N5669
2N5670
2N5716
2N5717
2N5718
3N124
3N125
3N126
3N140
3N155
3N155A
3N156
3N156A
3N157
3N157A
3Nl58
3Nl58A
3N169
3N170
3N171
MFE120
MFE121
MFE122
MFE2000
MFE2001
MFE2004
MFE2005
MFE2006
MFE2007
MFE2008
MFE2009

5-29

3
3
3
2
2
2
2
2
1
1
1
3
3
3
3,4
3,4
3,4
1
2,4
2,4
2,4
2,4
3
3
3
3
2,4
2,4
2,4
1
1
1
1
1
2
2
2
2
2
2

MFE2010
MFE2011
MFE2012
MFE2093
MFE2094
MFE2095
MFE3001
MFE3002
MFE3003
MFE3004
MFE3005
MFE3006
MFE3007
MFE3008
MFE3020
MFE3021
MFE4007
MFE4008
MFE4009
MFE4010
MFE4011
MFE4012
MFE5000
MMFl
MMF2
MMF3
MMF4
MMF5
MMF6
MMT3823
MPF102
MPF108
MPF109
MPF111
MPF112
MPF120
MPF121
MPF122
MPF161
MPF256
MP

2
2
2
3,4
3,4
3,4
3
2
2
1
1
1
1
1
2
2
3
3
3
3
3
3
3
5
5
5
5
5
5
6
1,3
1
3
3
3
1
1
1
3
3

•

FIELD EFFECT TRANSISTORS (continued)
TABLE 1 - RF AMPLIFIERS AND MIXERS

High performance single and dual gate devices specifically designed for RF applications. The
transistors are listed first in order of decreasing specified test frequency; then in order of
decreasing power gain (Gps) and noise figure (NFl.

N-CHANNEL J FETs Depletion
-

400
400
400
400
400

10
10
10
10
10

200
200
100
100
100

10

16
16

-

100
100
100
100 '
100

16
16

-

-

-

-

4.0
4.0
4.0
4.0
4.0

0.8
1.0
1.0
1.0
1.0

2.0
2.0
2.0
2.0
2.0

2N4416
2N5486
2N5485
MFE2000
MFE2oo1

5.0

2.0
2.0
2.0
1.0
3.0

-

2N4223
2N4224
2N3823#
2N5484
2N5668

-

-

2.5
3.0
2.5

-

2.5
2.5

-

-

3.0
4.0

-

2.0
4.0

3.0
3.0
3.0
2.5
3.5t

4.0
4.0

-

-

3.5t

2N5669
2N5670
MPF102
MPF108
MPF820

N-CHANNEL MOS FETs Depletion/Enhancement
400
244
244
200
200
200
200
200
200
105
105
104
104
100
100
60
60

10

-

-

4.5

-

12
12

18
17

-

14*

10

17
16
16
17
17

-

-

20
14*
20
20

-

-

-

4.0
5.0

-

-

5.0
4.5
4.5
5.0

-

5.0

20
206
20
20
206

0.02t
0.023t
0.03
0.2
0.023t

4.0
3.5

MFE3008
MFE121
3N140
MFE3004
MPF120

20

4.0
4.0

4.5

14

-

-

5.0
5.0

,0.023t
0.023t

-

I

MFE3005
MPF122
MFE122
MFE3007
MPF121

-

-

I

29

-

0.2
0.023t
0.023t
0.02t
O.023t

0.023t
0.023t
0.023t
0.02t
0.02t

15
15

20
29
29
20

4.0
4.0
3.5
3.5

-

-

4.0

!

Box Sort, Color Coded 2:1 I DSS Ratios

Dual
Dual
Dual
Dual

Gate - Mixer
Gate - Mixer
Gate
Gate

Dual Gate - Mixer
Dual Gate
Dual Gate

206

Dual Gate

20
206
20

4.0
4.0

MFE120
MPF122
MFE122
MFE3OO6
MFE3OO8

Dual
Dual
Dual
Dual
Dual

3.5
3.5

MPF121
MFE121

206
20

4~0

~

Gate
Gate - Mixer
Gate - Mixer
Gate
Gate - Mixer

Dual Gate
Dual Gate

t Typical
* Conversion Gain, Output frequency ~ 30 MHz
# 2N3823JAN Available

TABLE 2 - CHOPPERS

Because FETs have no offset voltage they are particularly well suited for chopper applications.
Devices in this table are designed for low rds(on). low C rss and fast switching time. The FETs are
listed first in order of decreasing V(BR)GSS. increasing rds(on). then in order of increasing C rss
and IDSS.

N-CHANNEL J FETs DEPLETION
-40

!

25
25
30
30
30

4.0
8.0
3.5
5.0
6.0

50
50
50
30
50

5-30

0.25
0.25
0.1
0.2
0.25

2N4856A
2N4856
2N4391
2N4091
2N3970

22

1

FIELD EFFECT TRANSISTORS (continued)
TABLE 2 (continued)

N-CHANNEL J FETs DEPLETION (continued)
-40

-30

-25

I

40
40
50
60
60

3.5
8.0
5.0
3.5
3.5
6.0
8.0
5.0
3.5
6.0
4.0
8.0
4.0
5.0
3.5
8.0
3.5
5.0
3.5
4.0

60
60
80
100
100
25
25
30
30
40
40
50
50
60
60
60
80
100
100
10
15
20
25
30
40
150

0.25
0.25
0.2
0.1
0.25
0.25
0.25
0.2
0.1
0.25
0.25
0.25
1000
0.2
0.25
0.25
1.0
0.2
0.25
1000

20
20
15
25
8.0
25
8.0
8.0
5.0
5.0

8.0
5.0
3.5
4.0
20

50
50
50
30
20
20
40
15
8.0
25
8.0
8.0
15
5.0
100

20
15
20
15
15
1.2

40
50
15
20
8.0
15

0.25
0.2
1.0
1000
3.0

2N4857A
2N4857
2N4092
2N4392
2N4858A
2N3971
2N4858
2N4093
2N4393
2N3972
2N4859A
2N4859
2N5638
MFE2006
2N4860A
2N4860
2N5653
MFE2005
2N4861 A
2N5639
2N4861
MFE2004
2N5654
2N5640
MFE2012

3.0
2.0
3.0
2.0
3.0

MFE2011
MFE2009
MFE2010
MOFE2008
MFE2007

j

10

2N5555§

29

-

MFE3002
3N169#
3N170#
3N171#

20

-

3N155A*
3N156A*
3N155*
3N156*
MFE3003
MFE3020
MFE3021

20

22

29
22

~

29
22
22
29
22
22
29
29
22

N-CHANNEL MOS FETs ENHANCEMENT
±30
±25

~

100
200
200
200

1.0
1.3
1.3
1.3

10t
10t
10t
10t

~

P-CHANNEL MOS FETs ENHANCEMENT
±35

~

±30
-25
-25

1.3
1.3
1.3
1.3
1.0
1.5
1.5

300
300
600
600
200
500
250

• Designers Data Sheet
#Low VGS(th), High Speed
§ High Speed, t(on) = 10 ns Max

0.25t
0.25t
LOt
1.0t
10t
10t
10t

-

-

-

!

642
642

tnA Max

TABLE 3 - GENERAL-PURPOSE AMPLIFIERS

This table includes a wide selection of N- and P-channel field-effect transistors designed for
small-signal amplification at low and moderate frequencies (to 30 MHz). The transistors are listed
in order of increasing Gate-Source Breakdown Voltage [V(BR)GSSl , then i.n order of decreasing
Zero-Gate Voltage Drain Current (lDSS) and Forward Transfer Admittance (Yfs).

N-CHANNEL J FETs DEPLETION
-20
-25

~

0.5/20
8.0/20
4.0/16
4.0/10

500/4000/8000
2000/6000

2.0/9.0

1500/5500

3500/7000

MPF111
2N5486
2N5459
2N5485
2N5458

5-31

29

!

Fo rmerly
Formerly
Fo rmerly
Forinerly

MP F 1 07
MFP105
MP F 1 06
MPF104

•

FIELD EFFECT TRANSISTORS (continued)
TABLE 3 (continued)

N-CHANNEL J FETs DEPLETION (continued)
-25

I

-30

-40

-50

I

2.0/20
1.0/25
1.0/5.0
1.0/5.0
0.5/24
6.0/13
5.0/15
5.0/15
4.0/10
2.0/6.0
2.0/6.0
2.0/5.0
0.5/3.0
0.5/3.0
0.5/2.5
9.0/18
7.0/14
4.0/8.0
2.5/5.0
1.5/3.0
0.8/1.6
0.8/4.0
0.5/1.0
0.2/1.0
0.05/0.25
3.0/9.0
2.0/10
1.5/4.5
1.0/3.0
0.5/2.5
0.4/1.4
0.2/2.0
0.1/0.7

200017500

100017500
1000/5000
3000/6000
800/6000
6.0*/2500/6000
2500/6000
1500/6500
2000/5000
2000/5000
1500/6500
1000/4000
1000/4000
1500/6500
2700/6500
2500/6000
2000/5500
1500/4500
1400/3200
1200/3600
500/2000
1000/3000
400/1600
200/1000
1200/3600
3000/6500
800/2400
400/800
1500/4500
3501700

500/2OdO
250/500

MPF102
MPF112
2N5457
2N5484
MPF109
MPF256
2N4222
2N4222A
2N5558
2N4221
2N4221A
2N5557
2N4220
2N4220A
2N5556
2N5364*
2N5363*
2N5362*
2N5361 •
2N5360*
2N5359*
2N5718
2N5358*
2N5717
2N5716
3N126
2N3822#
3N125
MFE2095
2N3821
MFE2094
3N124
MFE2093

29

I

Formerly MPF103

~ 2: 1 lOSS Ratio

Box Sort, Color Coded
2: 1 lOSS Ratio, Box Sort, Color Coded

20

Low Noise
Low Noise
Low Noise
Low Noise
Low Noise
Low Noise

2: 1 lOSS Ratios

Tetrode Connected
Tetrode Connected

Tetrode Connected

N·CHANNEL MOS FETs Depletion/Enhancement
±70
±30
±30
±30

5.0/15
2.0/6.0
0.5/6.0
0.5/3.0

10,000/20,000
1500/3000
700/3500
900/1800

MPF1000
2N3797
MFE3001
2N3796

262
22
20
22

2N4360
2N3909A
2N3909
2N3330
2N3993
2N4342
2N3994A
2N3994
MFE4012*
2N5462
MFE4011 *
2N5460
2N5476
MFE4008*
MPF161
MFE4007
2N5475
2N5474
2N5473
2N5472
2N5471
2N5270'
2N5465
2N5269*
2N5268*

29
20

IGSS = 20 mAdc (Max)
IGSS = 1.0 pAdc(Max)
IGSS = 1.0 pAdc (Max)

P-CHANNEL J FETs DEPLETION
+20

!

+25

~

+40

+60

~

3.0/30
1.0115
0.3/15

-

10/4.0/12
2.0/2.0/7.0/14
4.0/16
4.0/8.0
1.0/5.0
0.8/2.0
0.8/1.6
0.5/14
0.5/1.0
0.4/1.0
0.2/0.5
0.1/0.25
0.05/0.12
0.02/0.06
7.0/14
4.0/16
4.0/8.0
2.5/5.0

2000/8000
2200/5000
1000/5000
1500/3000
6000/12000
2000/6000
5000/10000
4000/10000
2500/5000
2000/6000
2200/4500
1000/4000
260/650
1000/3000
800/6000
900/2700
200/500
160/400
120/300
90/225
60/180
2500/5000
2000/6000
2200/4500
2000/4000

• Designers Data Sheet
# JAN and JANTX Available

5-32

!

,

29
20
29
20
29
20
20
29
20

j
29
20
20

2:1 lOSS
Formerly
2:1 lOSS
Formerly

Ratio,
MPF153
Ratio,
MPF151

2: 1 lOSS Ratio,
2:1 lOSS Ratio,
2:1 lOSS Ratio,
2.5:1 lOSS Ratio
2.5:1 lOSS Ratio
2.5:1 lOSS Ratio
2.5':1 lOSS Ratio
2.5:1 IO~ Ratio
2:1 lOSS atio,
Formerly MPF156
2:1 lOSS Ratio,
2: 1 lOSS Ratio,

FIELD EFFECT TRANSISTORS (continued)
TABLE 3 I continued)

P-CHANNEL J FETs DEPLETION lcontinued)
+60

!

2.0/9.0
1.5/3.0
1.0/5.0
0.8/1.6
0.5/1.0

1500/5000
1500/3500
1000/4000
1000/3000
900/2700

2N5464
2N5267*
2N5463
2N5266"
2N5265"

29
20
29

~

Formerly
2: 1 I DSS
Formerly
2:1 IDSS
2: 1 I DSS

MPF155
Ratio,
MPF154
Ratio,
Ratio,

P-CHANNEL MOS FETs ENHANCEMENT
±30
±30
±35
±35
±40
±50
±50

-/LOt
-/LOt
-/LOt
-/LOt
-/10t
-/0.25t
-/0.25t

1500/2500/1000/4000
1000/4000
2000/8000
1000/4000
1000/4000

2N4066
2N4067
3N157*
3N158"
MFE5000
3N157A"
3N158A"

642
642
20
20
6058-02
20
20

Dual
Dual
Dual

t nAdc
* Designers Data Sheet

TABLE 4 - GENERAL-PURPOSE SWITCHES
The devices in this table have low feedback capacitances (e rss ) and relatively low drain-source
resistance, [r ds{on)), making them suitable for medium-speed switching applications_ The
transistors are listed first in order of decreasing Gate-Source Breakdown Voltage [V (BR)GSS)'
then in order of increasing rds{on)' decreasing gate cutoff voltage [VGS{off) or VGS{th)) and
decreasing lOSS'

N-CHANNEL J FETs DEPLETION
-50

j
-30

I

-25

,

250
500
750
1000
1300
1600
2500
300
300
400
400
500
500
150

-

3.0
1.5
0.2
1.0
0.4
0.1
5.0
5.0
2.0
2.0
0.5
0.5
15

2N3824
3N126
3N125
3N124
MFE2095
MFE2094
MFE2093
2N4222
2N4222A
2N4221
2N4221A
2N4220
2N4220A
2N5555

20

6.5
4.0
2.5
5.5
4.5
2.5
8.0
8.0
6.0
6.0
4.0
4.0

5.0"
1.5"
2.0"
3.0·

3.0"
10·
10'
10'

2N4351
3N169
3N170
3N171

20

5.0·
3.2'
5.0'
3.2'
5.0'

5.0"
5.0'
5.0'
5.0'
3.0'

3N156At
3N155At
3N156t
3N155t
2N4352

20

-

Tetrode Connected
Tetrode Connected
Tetrode Connected

Low Noise
Low Noise
29

Low Noise
High Speed t(on)

=

10 ns max

N-CHANNEL MOS FETs
±30
±25

300
200
200
200

l

Complement to 2N4352
Low VGS(th). High Speed
Low VGS(th). High Speed
Low VGS(th). H igh ,Speed

P-CHANNEL MOS FETs
±35

~

±30

300
300
600
600
600

t Designers Data Sheet

5-33

!

Complement to 2N4351

•

FI ElD EFFECT TRANSISTORS (continued)
TABLE 5 - MATCHED PAIRS

Each type number in this table represents a pair of devices carefully matched for critical
applications such as differential·amplifier service. Each pair is packaged in a metal clip to maintain
pair identity. '

TABLE 6 - MICRO·T FIElD·EFFECTTRANSISTOR N·CHANNEl

Field·Effect Transistor designed for RF Amplifier applications where high density packaging is
required.
N-CHANNEL J FET DEPL.ETION

5-34

GERMANIUM POWER TRANSISTORS
This selector guide reflects .the "preferred" Motorola germanium power transistors and can be used as a quick reference to find
the best device for your applications.

ALLOY TRANSISTORS
Low·cost devices featuring high current gain and low saturation voltage.

3-AMP
IHlliH-I'REQUENCY
DRIVER
LOW 'CIO
Po=70W

10·3
(Ca.e 11)

10-41<"
(Ca.e 4·04)

f. = 0.4 MHz

HIGH·FREQUENCY
DRIVER
Po =20W

HIGH·FREQUENCY
DRIVER
Po = 20W

•

GENERAl·PURPOSE
SWITCH AND AMPLIFIER
Po= 106W

'.=0.25MHz

TO·3

(Ca.. 11)

HIGH·GAIN
GENERAl·PURPOSE
SWITCH AND AMPLIFIER
10-41("
(Ca.e4·04)

Po= l06W

f. = 0.35 MHz

ECONOMY LINE
GENERAl·PURPOSE
AMPLIFIER AND SWITCH
P.=57W
10·66
(Ca.e 80·02)

TO·3

(Ca .. 11)

f.= 350kHz

ECONOMY LINE
GENERAl·PURPOSE
AMPLIFIER AND SWITCH
P.=85W

,.= 0.3 MHz
TO ..41("

(Ca.. 4·04)

5-35

GERMANIUM POWER TRANSISTORS (continued)

10-AMP

~
[l[

CASE 8

HIGH-VOLTAGE
SWITCH
P,=56W
f, =.300MHz

GENERAL-PURPOSE
SWITCH AND AMPLIFIER
p,= l70W
f, = 0.25 MHz

TO-36
(Case 5)

HIGH-SPEED
SWITCH AND AMPLIFIER
p,= 106W
I, = 0.40 MHz

TO-3

(Case llA)

TO-41 (2)
(Case 4-04)

TO-41C,1)
(Case 4-04)

HIGH-GAIN
SWITCH AND AMPLIFIER
P,=106W
1,= 0.35 MHz

HIGH-GAIN
SWITCH AND AMPLIFIER
p,= 106W
1,= 0.35 MHz

MEDIUM-CURRENT
SWITCH
p,= 170W
,,=0.25 MHz

HIGH-CURRENT HIGH-GAIN SWITCH
p, = l70W
I, = 0.25 MHz

CASE 7

HIGH-CURRENT HIGH-GAIN SWITCH
p,= 170W
I, = 0.45 MHz

TO-3
(Case 3A)

TO.41 (2)

ECONOMY LINE
HIGH-CURRENT HIGH-GAIN SWITCH
p,= l70W
1,= 0.4 MHz

(Case 161)

CASE 118

"POWER-PAC" ASSEMBLY
MEDIUM VOLTAGE SWITCH
Po = 250W
8," = 0.33'C/W

VeEe

5-36

DIFFUSED BASE TRANSISTORS

Features graded·base profile for:

Low emitter-base resistance and high-temperature stability
High breakdown voltage capability
Higher frequency response, faster switching speeds

10-AMP

HIGH-SPEED
SWITCH
P.=70W

TO·3

(Case IlA)

IO-AMP
HIGH-VOLTAGE
SWITCH
P.=85W

TO·3

TO·41(2)

(Case IlA)

(Case 4·04)

TO·3

f,= 0.7 MHz

•

HIGH-VOLTAGE
MEDIUM-SPEED SWITCH

(Case 11)

P.=56W

f,=5.5MHz
f,= 1.0 MHz
10·41(2)

IMP3730, MP3731)

(Case 4·04)

20-AMP
HIGH-SPEED
HIGH-VOLTAGE SWITCH
P.=85W

TO·3

(Case IlA)

f,= 20 MHz

TO·41

(Case 4·04)

FOR MILITARY APPLICATIONS
JAN 2N174A

MI L-S·19500/13

JAN 2N1412

MIL·S·19500176

JAN 2N1554A

MIL-S-19500/331

JAN 2N297A

MI L-S-1950D/36

JAN 2N1412A

MI L-S-1950DI76

JAN 2N1556A

MI L-S-1950D/331

JAN 2N665

MI L-S-19500/58

JAN 2N1549A

MIL-S-19500/332

JAN 2N1556A

MI L-S-19500/331

JAN 2Nl011

MI L-S-1950D/67

JAN 2N1550A

MIL-S-19500/332

JAN 2N2079A

M I L-S-1950D/340

JAN 2N1120

MI L-S-1950D/68

JAN 2N1551A

MI L-S-19500/332

JAN 2N2528

MI L-S-19500/309

JAN 2N1165

MIL 5-19500/178

JAN 2N1552A

MI L-5-19500/332

JAN 2N2834

MIL-5-19500/310

JAN 2N1358

MI L-5-19500/122

JAN 2N1553A

MI L-5-19500/331

Also available as standard devices_

See notes page 5-38

5-37

DIFFUSED BASE TRANSISTORS (continued.)

25-AMP
")

MEDIUM·CURRENT "ADE"
SWITCH HIGH·GAIN
P.=85W
TO·3

(Case llA)
(.)

MEDIUM·CURRENT "ADE"
SWITCH HIGH·VOLTAGE
T0-41

P.=l06W
f.=0.4MHz

(2)

(Case 4·04)

HIGH·CURRENT
FAST SWITCHING
"ADE" SWITCH
CASE 161
(TO·41I
MP5692 Series

p. = 120W
fT =0.2 MHz

HIGH·CURRENT HIGH·VOLTAGE
"AOE"® SWITCH
TO·3
(Ca.. 3AI

TO.41 (21
1611

P.=120W

f T = 1.0 MHz

(Case

150-AMP
"POWER·PAC" ASSEMBLY
HIGH VOLTAGE SWITCH
P.=250W
9.c = 0.33'C/W
CASE 118

Notes:
(') For epoxy encapsulated "PAC" add "A" to device type (i.e. MPSOIA)
(2) TO·41. add the prefix "MP" in place of "2N" (i.e. MP2137)
(3ITO·41. order odd numbered devices (i.e. 2N1l63)
(4) Special order for TO·41; contact your local Motorola Sales office
(5) Alloy Diffused Epitaxial Process

5-38

SILICON POWER TRANSISTORS

This Selector Guide is published by Motorola to
help the designer choose the best silicon power
transistors for his new equipment and find
suitable replacements for devices used in older
designs. It is a comprehensive listing of the
industry's most complete line of PNP and NPN
silicon power transistors, and contains over 200

~

~

~
CASE 71-03

t

CASE 160A
(TO-59)

~

CASE 9
(TO-61)

CASE 1
(TO-3)

11

~~l
CASE 177
(T0-114)

~

CASE 11
(TO-3)

CASE 12
(TO-3)

~

~

CASF 80-02
(TO-66)

CASE 79-1
(TO-39)

rt\:

devices rated at currents between 100 mA and
60 amperes, and at voltages up to 600 volts.
The transistors are in fifteen popular cases,
including three low-cost plastic packages and
hermetically sealed cases capable of dissipating
up to 300 watts.

~

~
CASE 197
(TO-3)

CASE 188
(TO-63)

CASE 90-05

~
CASE 198-2

/

CASE 31-1
(TO-5)

i

, •
CASE 160
(TO-59)

CASE 199-04

TABLE OF CONTENTS
The first table of the guide is a numerical-alphabetical
index to all silicon power transistors manufactured by
Motorola. The number of the selection table in which
each device is further characterized is also listed.
COMPLEMENTARY TRANSISTORS
One reason for the wide use of Motorola power
transistors is the design flexibility that results from the
large number of "off the shelf" complementary
transistors in the line. For convenience in choosing
complements, a table of complementary power transistors is given following the index. A selection can be
made from the complements on the basis of maximum
collector current, case, and voltage.
SELECTION TABLES
The major part of this guide is composed of selection
tables. These tables list all Motorola silicon power
transistors in an order that makes it easy for the user
to find· a device that satisfies his requirements. Each

table contains devices in the same package, and the
table is separated first into NPN and PNP devices.
Within each table, the transistors are listed first in
order of increasing breakdown voltage, then in increasing order of current and hFE.
The tables list only the most basic characteristics of
each device. For complete information on any
Motorola power transistor refer to the individual data
sheet or the appropriate pages in the Semiconductor
Data Library.
If a standard part listed in this selector guide does not
fulfill all requirements, a special part might be
desirable. Motorola has the production capability and
flexibility to supply devices especially tailored to
specific OEM application needs. Where suitable power
transistors cannot be selected from this guide,
inquiries should be made at the nearest Motorola sales
office.

5-39

SILICON POWER TRANSISTORS

(continued)

INDEX
Table #
1
1
4
4

4
1
1

1
1

10
10
10
10

12
12
12
12
12
12
12
12
12
12
12
12
12
12
2
1

•

4
4

4
4

1

4

1
2

2

1
1
8
8
1
12
12
12
12
12
12
12
12
1
4
4

5-40

SILICON POWER TRANSISTORS (continued)
INDEX (continued)
Table #
7
6
7
6
7
6
7
7
6
7
5
5
5
5
5
5
7
7
7
7
7
7
7
7
7
7
7
7
7
7
7
7
7
7
7
7
7
7
7
7
7
7
7
7
7
7

5-41

•

SILICON POWER TRANSISTORS (continued)

COMPLEMENTARY POWER TRANSISTORS

Case 77-03

40
60
80

MJE720
MJE721
MJE722

MJE710
MJE711
MJE712

5
5
5

TO-5

40
60
80

2N4237
2N4238
2N4239

2N4234
2N4235
2N4236

2
2
2

Case 77-03

40
60
80

2N4921
2N4922
2N4923

2N4918
2N4919
2N4920

5
5
.5

40
60
80

MJE180
MJE181
MJE182

MJE170
MJE171
MJE172

5
5
5

30

MJE520

MJE370

5

30

MJE520K

MJE370K

7

Case 77-03

30

MJE3520

MJE3370

5

Case 199-04

40
60

MJE2520
MJE2521

MJE2370
MJE2371

7
7

40
60

MJE2522
MJE2523

MJE2490
MJE2491

7
7

40
60
80

MJE4921
MJE4922
MJE4923

MJE4918
MJE4919
MJE4920

7
7
7

60
80

2N3766
2N3767

2N3740
2N3741

4
4

40
60
80

2N4910
2N4911
2N4912

2N4898
2N4899
2N4900

4
4
4

40
60
80

2N5190
2N5191
2N5192

2N5193
2N5194
2N5195

5
5
5

Case 199-04

•

TO-66

Case 77-03

TO-66

55

2N3054A

2N6049

4

Case 77-03

40
40

MJE521
MJE3521

MJE371
MJE3371

5
5

Case 199-04

40

MJE521 K

MJE371 K

7

Case 77-03

60
60
80
80

MJE800
MJE801
MJE802
MJE803

MJE700
MJE701
MJE702
MJE703

13
13
13
13

TO-3

40
60

MJ480
MJ481

MJ490
MJ491

60
80

MJ4000
MJ4001

MJ4010
MJ40ll

12
12

Case 253

60
80

MJ4200
MJ4201

MJ4210
MJ4211

12
12

Case 77-03

40
40
40
60
60
60

MJE220
MJE221
MJE222
MJE223
MJE224
MJE225

MJE230
MJE231
MJE232
MJE233
MJE234
MJE235

5
5
5
5
5
5

80
80
80
100
100

MJE240
MJE241
MJE242
MJE243
MJE244

MJE250
MJE251
MJE252
MJE253
MJE254

5
5
5
5
5

5-42

SILICON POWER TRANSISTORS (continued)
COMPLEMENTARY POWER TRANSISTORS (continued)

Case 90-05

50

MJE205

MJE105

6

Case 199-04

50

MJE205K

MJE105K

7

Case 90-05

60
60
80
80

MJE1100
MJE1101
MJE1102
MJE 1103

MJE1090
MJE1091
MJE1092
MJE1093

13
13
13
13

TO-3

40
60
80

2N5067
2N5068
2N5069

2N4901
2N4902
2N4903

40
60
80

2N4913
2N4914
2N4915

2N4904
2N4905
2N4906

60
80

2N5869
2N5870

2N5867
2N5868

Case 90-05

40
60
80

2N5977
2N5978
2N5979

2N5974
2N5975
2N5976

6
6
6

TO-39

80
80
100
100

2N5336
2N5337
2N5338
2N5339

2N6190
2N6191
2N6192
2N6193

3
3
3
3

Case 77-03

25

MJE200

MJE210

5

Case 199-04

40
60
80

MJE5977
MJE5978
MJE5979

MJE5974
MJE5975
MJE5976

7
7
7

40
60

MJE2020
MJE2021

MJE2010
MJE2011

7
7

TO-3

100
120
140

2N5758
2N5759
2N5760

2N6226
2N6227
2N6228

TO-3

60
80

2N5873
2N5874

2N5871
2N5872

4
4

TO-66

60
80

2N6315
2N6316

2N6317
2N6318

4
4

TO-3

60
80

MJ1000
MJ1001

MJ900
MJ901

12
12

60
80

2N6055
2N6056

2N6053
2N6054

12
12

Case 80-02

60
80

2N6300
2N6301

2N6298
2N6299

12
12

Case 90-05

60
60

MJE2801
MJE3055

MJE2901
MJE2955

6
6

Case 199-04

60
60

MJE2801K
MJE3055K

MJE2901K
MJE2955K

7
7

TO-3

60
80

2N3713
2N3714

2N3789
2N3790

60
80

2N3715
2N3716

2N3791
2N3792

60
60
80

2N3055
MJ2840
MJ2841

2N4908
MJ2940
MJ2941

60
80

2N5877
2N5878

2N5875
2N5876

100
120
140

2N5632
2N5633
2N5634

2N6229
2N6230
2N6231

5-43

•

SILICON POWER TRANSISTORS (continued)
COMPLEMENTARY POWER TRANSISTORS (continued)

80
80
100
100

2N5346
2N5347
2N5348
2N5349

2N6186
2N6187
2N6188
2N6189

8
8
8
8

TO-3

60
80

MJ3000
MJ3001

MJ2500
MJ2501

12
12

Case 90-05

40
60
80

2N5989
2N5990
2N5991

2N5986
2N5987
2N5988

6
6
6

TO-3

60
80
100

2N6057
2N6058
2N6059

2N6050
2N6051
2N6052

12
12
12

MJE1660
MJE1661

MJE1290
MJE 1291

6
6

Case 90-05

40
60
40

MJ2801

MJ2901

60
80

2N5881
2N5882

2N5879
2N5880

60

2N3055

MJ2955

60
80
100

MJ4033
MJ4034
MJ4035

MJ4030
MJ4031
MJ4032

100
120
140

2N5629
2N5630
2N5631

2N6029
2N6030
2N6031

80

2N5303

2N5745

60
80
100

2N6282
2N6283
2N6284

2N6285
2N6286
2N6287

TO-3

60
80

2N5885
2N5886

2N5883
2N5884

TO-3

40
60
90

2N5301
2N5302
MJ802

2N4398
2N4399
MJ4502

TO-3

60
80

2N5685
2N5686

2N5683
2N5684

TO-3

TO-3

TO-3

5-44

12
12
12

12
12
12

SILICON POWER TRANSISTORS (continued)
TABLE 1 - TO-3

Case 1

~

Case 12

Case 11

Case 197

NPN
40

j
55
60

80

4.0
5.0
5.0
15
30

30/200
20/180
25/100
15/60
16/60

1.0
1.0
2.5
8.0
15

1.2
1.5
1.5
1.5
3.0

3.0
5.0
5.0
8.0
30

87.5
87.5
87.5
115
200

4.0
4.0
4.0
1.0
2.0

11
11
11
11
12

MJ480
2N5067
2N4913
MJ2801
2N5301

30
30
10
4.0
5.0

15/60
15/60

15
15
4.0
1.0
1.0

2.0
1.0
1.1
1.2
1.5

15
15
4.0
3.0
5.0

150
150
115
87.5
87.5

0.2
2.0
1.0
4.0
4.0

11
11
11
11
11

2N3771
MJ3771
2N3235
MJ481
2N5068

1.5
2.5
2.5
3.0
3.0

1.0
1.5
1.0
2.5
1.5

2.0
5.0
4.0
3.0
3.0

87.5
87.5
100
115
115

4.0
4.0
4.0
1.0
10

11
11
11
11
11

2N5869
2N4914
2N5873
2N3232
2N3445

1.5
1.0
1.0

5.0
5.0
5.0

-

-

0.8
8.0
1.0
1.4
1.0
1.0
3.0

5.0
10
7.0
10
10
15
30

115
150
150
150
150
115
160
150
150
200
200

10
2.5
4.0
2.0
2.5
1.0
4.0
0.2
2.0
4.0
2.0

11
11
11
11
11
11
11
11
11
11
12

2N3447
2N3713
2N5877
MJ2840
2N3715
2N3055
2N5881
2N3772
MJ3772
2N5885
2N5302

5.0
5.0
7.0
7.5
7.5

20/100
25/100
20/100

7.5
10
10
10
10
15
15
20
20
25
30

40/120
15/20/100
20/100
301-

15/60

5.0
3.0
4.0
3.0
3.0
4.0
6.0
10
10
10
15

50
5.0
5.0
5.0
7.0

15/60
20/80
20/100
25/100
20/100

25
1.0
1.5
2.5
2.5

1.0
1.5
1.0
1.5
1.0

25
5.0
2.0
5.0
4.0

300
87.5
87.5
87.5
100

2.0
4.0
4.0
4.0
4.0

197
11
11
11
11

2N5685
2N5069
2N5870
2N4915
2N5874

20/60

3.0
5.0
3.0
4.0
4.0

1.5
1.5
1.0
1.0

3.0
5.0
5.0
5.0

-

-

115
115
150
150
150

10
10
2.5
4.0
2.0

11
11
11
11
11

2N3446
2N3448
2N3714
2N5878
MJ2841

3.0
6.0
10
10
25

0.8
1.0
2.0
1.0
1.0

5.0
7.0
20
15
25

150
160
200
200
300

2.5
4.0
2.0
4.0
2.0

11
11
12
11
197

2N3716
2N5882
2N5303
2N5886
2N5686

7.5
3.0
5.0
8.0
10

0.8
1.0
2.0
1.0
1.0

7.5
3.0
10
10
10

200
150
150
200
200

-

1.0
1.0
1.0
40

12
11
11
11
11

MJ802
2N5758
2N5632
2N5629
2N6338

20
3.0
5.0
3.0
4.0
8.0

1.0
1.0
2.0
5.0
2.0
1.0

20
3.0
10
10
10
10

250
150
120
117
150
200

30
1.0
0.2
1.0
1.0

197
11
11
11
11
11

2N6274
2N5759
2N4348
2N3442
2N5633
2N5630

10
20

1.0
1.0

10
20

200
250

40
30

11
197

2N6339
2N6275

7.5
7.5
10
10
10
10
15
20
25
50
90
100

J
120

j

20/70
30/200
20/80

30
6.0
10
16
25
50
6.0
10
10
10
16
25
50

15/75
20/60

20/70
20/100
15/60
15/60
20/100

40/120
15/-

201100
20/100
30/20/100
15/60

20/100
15/60
251100
25/100

25/100
25/100

30/120
30/120
20/80
15/60

20/70
20/80
20/80
30/120
30/120

5-45

-

•

SILICON POWER TRANSISTORS (continued)
TABLE 1 (continued)

NPN
140

6.0
10

15/60
15/60

3.0
5.0

1.0
2.0

3.0
10

150
150

1.0
1.0

11
11

2N5760
2N5634

16
16
25
50
25

15/60
15/60
30/120
30/120
30/120

8.0
8.0
10
20
10

4.0
1.0
1.0
1.0
1.0

16
10
10
20
10

150
200
200
250
200

0.8
1.0
40
30
40

11
11
11
197
11

2N3773
2N5631
2N6340
2N6276
2N6341

50
5.0
3.5
5.0
6.0

30/120
30/90
30/40/120

20
1.0
0.4
0.4

1.0
0.8

20
1.0

-

30
2.5

-

-

-

-

6.0

5.5

250
100
125
100
80

197
11
11
11
11

2N6277
MJ4l0
MJ3029
MJ1800
MJ3260

8.0
2.0
2.0
3.5
5.0

15175

3.0
0.25
0.25
0.3
2.5

0.8

3.0

-

-

0.9

11
11
11
11
11

2N6306
MJ3026
MJ3027
MJ3028
MJ3430

5.0
8.0
2.0
3.5
10

30/90
20/180
3.75/15/35

1.0
3.0
0.5
3.0
2.5

0.8
0.8
1.0
2.0
0.7

11
11
11
11
11

MJ4ll
2N6307
2N3788
MJ3030
MJ431

30/90

1.0

-

-

20/80
30/90
12/60

0.5
1.0
3.0

MJ423
MJ9000
MJ413
MJ424
2N6308

500
1400'

~

3.5
5.0
5.0
3.5
2.5

30/90
15/35
30/90
30/90

1.0
2.5
1.0
1.0

-

1400'
1500'

4.0
2.5

-

30
30
40

3.0
3.0
4.0
5.0
5.0

j

I

150

150
200
250

~

275
300

I

325

I

350
350
400

7.5
5.0

2.5

125
80
80
100
125

1.0
3.0
1.5
3.0
2.5

100
125
100
125
125

2.5
5.0

0.8
2.0
0.8
0.8
1.0

1.0
6.0
0.5
1.0
3.0

125
125
125
100
125

2.5
2.5
50

11
11
11
11
11

-

2.5
0.7
0.8
2.5
5.0

2.5
2.5
1.0
3.5
2.5

100
125
100
100
10

2.8
2.5
2.5
2.8
7.5#

11
11
11
11
11

2N3902
2N5241
MJ425
2N5157
MJ105

-

2.0
5.0

3.0
2.5

125
10

7.5#

11
11

MJ8400
BU105

20/60
50/180
30/200
20/80
20/100

1.0
1.0
1.0
1.0
4.0

1.5
1.0
1.2
1.5
1.0

3.0
3.0
3.0
5.0
4.0

25
25
87.5
87.5
150

60
60
4.0
4.0
3.0

1
1
11
11
11

2N3021
2N3024
MJ490
2N4901
MJ2267

5.0
15
30
30
3.0

25/100
15/60
15/60
20/20/60

2.5
8.0
15
10
1.0

1.5
1.5
2.0
1.0
1.5

5.0
8.0
20
10
3.0

87.5
115
200
150
25

4.0
1.0
4.0
2.0
60

11
11
12
12
1

2N4904
MJ2901
2N4398
MJ450
2N3022

3.0
5.0
3.0
3.0
4.0

50/180
20/100
20/60
50/180
30/200

1.0
4.0
1.0
1.0
1.0

1.0
1.0
1.5
1.0
1.2

3.0
4.0
3.0
3.0
3.0

25
150
25
25
87.5

60
3.0
60
60
4.0

1
11
1
1
11

2N3025
MJ2268
2N3023
2N3026
MJ491

5.0
5.0
5.0
7.0
10

20/80
20/100
25/100
20/100
15/-

1.0
1.5
2.5
2.5
3.0

1.5
1.0
1.5
1.0
1.0

5.0
2.0
5.0
4.0
4.0

87.5
87.5
87.5
100
150

4.0
4.0
4.0
4.0
4.0

11
11
11
11
11

2N4902
2N5867
2N4905
2N5871
2N3789

10
10
10
15
15

20/100
20/100
30/-

3.0
4.0
3.0
4.0
6.0

-

-

1.0
1.0
1.1
1.0

5.0
5.0
4.0
7.0

150
150
150
150
160

4.0
4.0
4.0
4.0
4.0

11
11
11
11
11

MJ2940
2N5875
2N3791
MJ2955
2N5879

10
10
10
5.0
8.0

25/25/25/15/45
15175

2.5

2.5

-

PNP

45
45
55
60

20170

20/100

'BVCEX #Typ

5-46

SILICON POWER TRANSISTORS (continued)
TABLE 1 (continued)

PNP
60

-

16
25
30
50
5.0

1000/20/100
15/60
15/60
20/80

10
10
15
25
1.0

2.5
1.0
2.0
1.0
1.5

10
15
20
25
3.0

150
200
200
300
87.5

4.0
4.0
2.0
4.0

11
11
12
197
11

MJ4030
2N5883
2N4399
2N5683
2N4903

5.0
5.0
7.0
10
10

20/100
25/100
20/100
15/20/100

1.5
2.5
2.5
3.0
4.0

1.0
1.5
1.0
1.0

2.0
5.0
4.0
4.0

-

-

87.5
87.5
100
150
150

4.0
4.0
4.0
4.0
4.0

11
11
11
11
11

2N5868
2N4906
2N5872
2N3790
MJ2941

10
10
15
20
25

20/100
30/20/100
15/60
20/100

4.0
3.0
6.0
10
10

1.0
1.0
1.0
2.0
1.0

5.0
5.0
7.0
20
15

150
150
160
200
200

4.0
4.0
4.0
2.0
4.0

11
11
11
12
11

2N5876
2N3792
2N5880
2N5745
2N5884

50
30
6.0
10
16

15/60
25/100
25/100
25/100
25/100

25
7.5
3.0
5.0
8.0

1.0
0.8
1.0
1.0
1.0

25
7.5
3.0
7.5
10

300
200
150
150
200

2.0
1.0
1.0
1.0

197
12
11
11
11

2N5684
MJ4502
2N6226
2N6229
2N6029

t

6.0
10
16
6.0
10

20/80
20/80
20/80
15/60
15/60

3.0
5.0
8.0
3.0
5.0

1.0
1.0
1.0
1.0
1.0

3.0
7.5
10
3.0
7.5

150
150
200
150
150

1.0
1.0
1.0
1.0
1.0

11
11
11
11
11

2N6227
2N6230
2N6030
2N6228
2N6231

+

16

15/60

8.0

1.0

10

200

1.0

11

2N6031

~

80

90
100

t
120

140

5-47

-

•

SILICON POWER TRANSISTORS (continued)
TABLE2-TO-5Case31 (1)

NPN
40
60
80
100
120

1.0
1.0
1.0
1.0
1.0

30/150
30/150
30/150
40/150
40/150

0·25
0.25
0.25
0.25
0.25

0.6
0.6
0.6
0.6
0.6

1.0
1.0
1.0
0.25
0.25

6.0
6.0
6.0
10
10

1.0
1.0
1.0
30
30

2N4237
2N4238
2N4239
2N5681
2N5682

250
250
325
350

0.1
1.0
0.1
1.0

25/250
40/160
25/250
40/160

0.03
0.02
0.03
0.02

5.0
0.5
5.0
0.5

0.03
0.05
0.03
0.05

0.8
10
0.8
10

15
15
15
15

MJ420
2N3440
MJ421
2N3439

40
40
40
60
60

1.0
3.0
3.0
1.0
3.0

30/150
25/180
40/200
30/150
25/180

0.25
1.0
1.5
0.25
1.0

0.6
1.5
1.3
0.6
1.5

1.0
3.0
2.5
1.0
3.0

6.0
6.0
6.0
6.0
6.0

3.0
60
60
3.0
60

2N4234
2N3719
2N3867
2N4235
2N3720

60
80
80
100
120

3.0
1.0
3.0
1.0
1.0

30/150
30/150
30/150
40/150
40/150

1.5
0.25
1.5
0.25
0.25

1.3
0.6
0.75
0.6
0.6

2.5
1.0
1.5
0.25
0.25

6.0
6.0
6.0
10
10

60
3.0
60
30
30

2N3868
2N4236
2N6303
2N5679
2N5680

PNP

TABLE 3.- TO-39 Case 79(1)

NPN
60
60
80
80
80
100
100

3.0
4.0
3.0
5.0
5.0
5.0
5.0

30/150
20/100
30/150
30/120
60/240
30/120
60/240

1.0
4.0
1.0
2.0
2.0
2.0
2.0

0.7
1.0
0.7
1.2
1.2
1.2
1.2

2.0
4.0
2.0
5.0
5.0
5.0
5.0

6.0
10
6.0
6.0
6.0
6.0
6.0

40
4.0
40
30
·30
30
30

2N5334
2N4877
2N5335
2N5336
2N5337
2N5338
2N5339

5.0
5.0
5.0
5.0
5.0
5.0
1.0
1.0
1.0

25/180
25/180
30/120
60/240
30/120
60/240
20/20/20/-

2.0
2.0
2.0
2.0
2.0
2.0
0.5
0.5
0.5

1.2
1.2
0.7
0.7
0.7
0.7
1.0
1.2
1.5

5.0
5.0
2.0
2.0
2.0
2.0
0.5
0.5
0.5

10
10
10
10
10
10
5.0
5.0
5.0

30
30
30
30
30
30
40
40
30

MJ8100
MJ8101
2N61.90
2N6191
2N6192
2N6193
MJ4645
MJ4646
MJ4647

PNP
60
80
80
80
100
100
200
300
400

5-48

SILICON POWER TRANSISTORS (continued)
TABLE 4 - TO-66 Case 80-02

NPN
40
40
40
55
55

1.0
2.0
4.0
4.0
4.0

20/100
25/200
25/150
25/100
25/100

0.5
0.5
1.5
0.5
0.5

0.6
1.0
2.0
1.0
1.0

1.0
0.5
3.0
0.5
0.5

25
20
35
25
75

3.0
15
4.0
4.0
3.0

2N4910
MJ3101
2N4231
2N3054
2N3054A

60

1.0
2.0
3.0
4.0
7.0

20/100
25/200
40/160
25/150
20/100

0.5
0.5
0.5
1.5
2.5

0.6
1.0
2.5
2.0
1.0

1.0
0.5
1.0
3.0
4.0

25
20
20
35
90

3.0
15
10
4.0
4.0

2N4911
MJ2249
2N3766
2N4232
2N6315

1.0
2.0
3.0
4.0
7.0

20/100
25/200
40/160
25/150
20/100

0.5
0.5
0.5
1.5
2.5

0.6
1.0
2.5
2.0
1.0

1.0
0.5
1.0
3.0
4.0

25
20
20
35
90

3.0
15
10
4.0
4.0

2N4912
MJ2250
2N3767
2N4233
2N6316

7.0
7.0
7.0
7.0
2.0

30/120
60/240
30/120
60/240
25/100

2.0
2.0
2.0
2.0
0.75

1.2
1.2
1.2
1.2
1.0

7.0
7.0
7.0
7.0
0.75

40
40
40
40
40

30
30
30
30
10

2N5427
2N5428
2N5429
2N5430
2N5050

3.0
2.0
2.0
0.5
0.1

20i80
25/100
25/100
25/200
30/200

0.5
0.75
0.75
0.05
0.05

6.0
1.0
1.0

2.7
0.75
0.75

-

-

5.0

0.05

25
40
40
10'
15

0.2
10
10
10
15

2N3441
2N5051
2N5052
MJ2251
MJ3201

275
300
300

0.25
5.0
5.0
0.1
0.5

50/200
25/125
25/125
30/200
25/200

0.1
1.0
1.0
0.05
0.05

2.5
0.5
0.5
5.0

0.25
1.0
1.0
0.05

-

-

15
50
50
15
10"

10
20
20
15
10

2N3738
2N6233
2N6234
MJ3202
MJ2252

300
325
325

0.25
0.25
5.0

50/200
30/300
25/125

0.1
0.05
1.0

2.5
5.0
0.5

0.25
0.05
1.0

15
6.67"
50

10
15
20

2N3729
MJ400
2N6235

40
40
55
60

1.0
3.0
4.0
1.0
1.0

20/100
20/100
25/100
20/100
30/100

0.5
0.25
0.5
0.5
0.25

0.6
0.6
0.5
0.6
0.6

1.0
1.0
0.5
1.0
1.0

25
25
75
25
25

3.0
3.0
3.0
3.0
4.0

2N4898
MJ3701
2N6049
2N4899
2N3740

I

3.0
7.0
1.0
1.0
3.0

20/100
20/100
20/100
30/100
20/100

0.25
2.5
0.5
0.25
0.25

0.6
1.0
0.6
0.6
0.6

1.0
4.0
1.0
1.0
1.0

25
90
25
25
25

3.0
4.0
3.0
4.0
3.0

MJ2253
2N6317
2N4900
2N3741
MJ2254

70
1.0
1.0

20/100
25/100
25/100

2.5
0.5
0.5

1.0
3.0
3.0

4.0
1.0
1.0

90
40
40

4.0
60
60

2N6318
2N5344
2N5345

!

80

I

100
100
125
140
150
200
225

t

PNP

250
300

TABLE 5 - Case 77-03

NPN
25
30
30
40
40

5.0
3.0
3.0
1.5
3.0

45/180
25/25/40/20/100

2.0
1.0
1.0
0.15
0.5

0.75

2.0

-

-

0.15
0.6

0.15
1.0

5-49

15
25
40
2.0
30

65

-

3.0

1
1
3
1
1

MJE200
MJE520
MJE3520
MJE720
2N4921

•

SILICON POWER TRANSISTORS (continued)
TABLE 5 (continued) - Case 77-03

NPN
40

3.0
4.0
4.0
4.0
4.0

50/250
40/200
40/150
40/40/-

0.1
0.2
0.2
1.0
1.0

0.3
0.3
0.3

0.5
0.5
0.5

-

4.0
4.0
1.5
3.0
3.0

25/100
25/40/20/100
50/250

1.5
1.0
0.15
0.5
0.1

4.0
4.0
4.0
4.0
1.5

40/200
40/150
25/100
25/40/-

3.0
3.0
4.0
4.0
4.0

100
100
150
200

-

12.5
15
15
40
40

50
50
50

1.4
0.3
0.15
0.6
0.3

4.0
0.5
0.15
1.0
0.5

40
15
20
30
12.5

2.0
50

0.2
0.2
1.5
1.0
0.15

0.3
0.3
1.4
0.3
0.15

0.5
0.5
4.0
0.5
0.15

15
15
40
15
20

50
50
2.0
50

20/100
501250
20/80
25/40/120

0.5
0.1
1.5
0.2
0.2

0.6
0.3
1.4
0.3
0.3

1.0
0.5
4.0
0.5
0.5

30
12.5
40
15
15

4.0
4.0
4.0
0.5
0.5

40/200
25/40/120
251200
30/300

0.2
0.2
0.2
0.05
0.05

0.3
0.3
0.3
1.0
1.0

0.5
0.5
0.5
0.05
0.05

250
250
300
300
325

0.3
0.5
0.5
0.5
0.5

40/160
30/250
30/240
30/250
30/300

0.02
0.1
0.05
0.1
0.05

0.5
1.0

0.05
0.1

-

-

1.0
5.0

350
350

0.3
0.5

40/160
30/250

0.02
0.1

5.0
3.0
3.0
1.5
3.0

45/180
25/25/40/20/100

4.0
4.0
4;0
4.0
4.0

j
60

j
80

j

1
1
1
1
3

MJE180
MJE220
MJE221
MJE521
MJE3521

1
1
1
1
1

2N5190
MJE222
MJE721
2N4922
MJE181

-

1
1
1
1
1

MJE223
MJE224
2N5191
MJE225
MJE722

3.0
50
2.0
40
40

1
1
1
1
1

2N4923
MJE182
2N5192
MJE242
MJE241

15
15
15
20.8
20.8

40
40
40
15
15

1
1
1
1
1

MJE240
MJE244
MJE243
MJE341
MJE344

15
10

0.1
0.05

15
20
20.8
20
20.8

10
15

1
1
1
1
1

MJE3440
2N5655
MJE340
2N5656
MJE345

0.5
1.0

0.05
0.1

15
20

15
10

1
1

MJE3439
2N5657

2.0
1.0
1.0
0.15
0.5

0.75

20

-

0.15
0.6

0.15
1.0

15
25
25
20
30

65

-

1
1
3
1
1

MJE210
MJE370
MJE3370
MJE710
2N4918

40/200
40/150
40/40/25/100

0.2
0.2
1.0
1.0
1.5

0.3
0.3

0.5
0.5

-

-

1.2

4.0

15
15
40
40
40

1
1
1
3
1

MJE230
MJE231
MJE371
MJE3371
2N5193

4.0
3.0
1.5
3.0
3.0

25/50/250
40/20/100
50/250

1.0
0.1
0.15
0.5
0.1

0.3
0.3
0.15
0.6
0.3

0.5
0.5
0.15
1.0
0.5

15
12.5
20
30
12.5

1
1
1
1
1

MJE232
MJE170
MJE711
2N4919
MJE171

4.0
4.0
4.0
4.0
1.5

40/200
40/150
25/100
25/40/-

0.2
0.2
1.5
1.0
0.15

0.3
0.3
1.2
0.3
0.15

0.5
0.5
4.0
0.5
0.15

15
15
40
15
20

50
50
2.0
50

-

1
1
1
1
1

MJE233
MJE234
2N5194
MJE235
MJE712

3.0
3.0
4.0
4.0
4.0

20/100
50/250
20/80
25/40/120

0.5
0.1
1.5
0.2
0.2

0.6
0.3
1.2
0.3
0.3

1.0
0.5
4.0
0.5
0.5

30
12.5
40
15
15

3.0
50
2.0
40
40

1
1
1
1
1

2N4920
MJE172
2N5195
MJE252
MJE251

4.0
4.0
4.0

40/200
25/40/120

0.2
0.2
0.2

0.3
0.3
0.3

0.5
0.5
0.5

15
15
15

40
40
40

1
1
1

MJE250
MJE254
MJE253

-

3.0
50

-

PNP
25
30
30
40

60

j
80

j
100
100

5-50

3.0
50
50

2.0
50
50

.3.0
50

SILICON POWER TRANSISTORS (continued)
TABLE 6 - Case 90-05

NPN
40
40
40
40
50

5.0
8.0
12
15
5.0

20/120
20/120
20/120
20/100
25/100

2.5
4.0
6.0
5.0
2.0

0.6
0.6
0.7
1.8

2.5
4.0
6.0
15

-

--

60
60
60
60
60

5.0
8.0
10
10
15

20/120
20/120
20/70
25/100
20/100

2.5
4.0
4.0
3.0
5.0

0.6
0.6
8.0

2.5
4.0
10

-

-

1.8

60
80
80
80

12
5.0
8.0
12

20/120
20/120
20/120
20/120

6.0
2.5
4.0
6.0

40
40
40
40
50

5.0
8.0
12
15
5.0

20/120
20/120
20/120
20/100
25/100

60
60
60
60
60

5.0
8.0
10
10
12

60
80
80
80

15
5.0
8.0
12

75
90
100
90
65

2.0
2.0
2.0
3.0
2.0
2.0
2.0

15

75
90
90
90
90

3.0

2N5978
2N5984
MJE3055
MJE2801
MJE1661

0.7
0.6
0.6
0.7

6.0
2.5
4.0
6.0

100
75
90
100

2.0
2.0
2.0
2.0

2N5990
2N5979
2N5985
2N5991

2.5
4.0
6.0
5.0
2.0

0.6
0.6
0.7
1.8

2.5
4.0
6.0
15

2.0
2.0
2.0
3.0

-

-

75
90
100
90
65

2N5974
2N5980
2N5986
MJE1290
MJE105

20/120
20/120
20/70
25/100
20/120

2.5
4.0
4.0
3.0
6.0

0.6
0.6
8.0

2.5
4.0
10

2.0
2.0
2.0

-

-

0.7

6.0

75
90
90
90
100

2.0

2N5975
2N5981
MJE2955
MJE2901
2N5987

20/100
20/120
20/120
20/120

5.0
2.5
4.0
6.0

1.8
0.6
0.6
0.7

15
2.4
4.0
6.0

90
75
90
100

3.0
2.0
2.0
2.0

MJE1291
2N5976
2N5982
2N5988

-

-

2N5977
2N5983
2N5989
MJE1660
MJE205

PNP

5-51

-

-

•

SILICON POWER TRANSISTORS (continued)
TABLE 7 - Case 199-04

NPN
30
40
40
40
40

30
3.0
3.0
3.0
4.0

25/20/100
20/100
40/200
20/100

1.0
0.5
1.0
0.2
1.5

40
40
40
40
40

4.0
4.0
4.0
5.0
5.0

20/100
25/100
40/20/120
25/125

2.5
1.5
1.0
2.5
1 ..0

40
50
55
60
60

S.O
5.0
4.0
3.0
3.0

20/120
25/100
25/100
20/100
20/100

60
60
60
60
60

3.0
4.0
4.0
4.0
5.0

60
60
60
60
SO

-

-

0.6
0.6
0.7
0.7

1.0
1.0
1.0
1.5

0.7
0.6

1.5
1.5

-

-

0.6
1.0

2.5
3.5

4.0
2.0
0.5
0.5
1.0

0.6

4.0

1.0
0.6
0.6

40/200
20/100
20/100
25/100
20/100

0.2
1.5
2.5
1.5
2.5

5.0
S.O
10
10
3.0

25/125
20/120
20/70
25/100
20/100

SO
SO
SO
150
200

4.0
5.0
S.O
0.5
0.5

225
250
300
300
300
300
350
350
350

40
40
40
40
60
60
60
60
75
SO

-

MJE520K
MJE4921
MJE2522
MJE2520
MJE2480

2.0
2.0

MJE2482
MJE5190
MJE521 K
MJE5977
MJE2020

3.0
3.0
3.0
2.0

2.0
3.0

0.5
1.0
1.0

90
65
40
40
40

3.0
3.0

MJE59S3
MJE205K
MJE3054
MJE4922
MJE2523

0.7
0.7
0.7
0.6
0.6

1.0
1.5
1.5
1.5
2.5

40
60
60
60
75

3.0
2.0
2.0
2.0
2.0

MJE2521
MJE2481
MJE2483
MJE5191
MJE597S

1.0
4.0
4.0
3.0
0.5

1.0
0.6
1.1

3.5
4.0
4.0

3.0
2.0
2.0

-

-

0.6

1.0

SO
90
90
90
40

3.0

MJE2021
MJE59S4
MJE3055K
MJE2S01 K
MJE4923

20/S0
20/120
20/120
25/200
30/300

1.5
2.5
4.0
0.05
0.05

0.6
0.6
0.6
1.0
1.0

1.5
2.5
4.0
0.05
0.05

60
75
90
30
30

2.0
2.0
2.0
15
15

MJE5192
MJE5979
MJE5985
MJE341 K
MJE344K

0.5
0.5
0.5
0.5
0.5

40/200
30/250
30/250
30/240
40/200

0.1
0.1
0.1
0.05
0.1

2.5
1.0
1.0

0.25
0.1
0.1

10'
10
10

2.5

0.25

30
30
30
30
30

MJE3738
MJE5665
MJE5656
MJE340K
MJE3739

1.5
0.5
0.5
0.5

30/240
25/200
30/250
501250

0.5
0.05
0.1
0.05

3.5
1.5
1.0
1.5

0.5
0.1
0.1
0.1

50
30
30
30

10·
10
10·

30
40
40
40
40

3.0
3.0
3.0
3.0
4.0

25/20/100
20/100
40/200
25/100

1.0
0.5
1.0
0.2
1.5

-

-

0.6
0.6
0.7
0.6

1.0
1.0
1.0
1.5

40
40
60
40
60

3.0
3.0
3.0
2.0

40
40
40
40
50

4.0
5.0
5.0
8.0
5.0

40/20/120
25/125
20/120
25/100

1.0
2.5
1.0
4.0
2.0

-

-

0.6
1.0
0.6

2.5
3.5
4.0

-

60
60
60
60
60

3.0
3.0
3.0
4.0
4.0

20/100
20/100
40/200
25/100
30/100

0.5
1.0
0.2
1.5
0.25

0.6
0.6
0.7
0.6
0.6

-

-

-

-

2.0

-

-

10"

-

MJE2160
MJE2360
MJE5657
MJE2361

-

MJE370K
MJE4918
MJE2490
MJE2370
MJE5193

PNP

"TVp

5-52

-

2.0
3.0
2.0

-

60
75
80
90
65

-

MJE371 K
MJE5974
MJE2010
MJE5980
MJE105K

1.0
1.0
1.0
1.5
1.0

40
60
40
60
40

3.0
3.0
3.0
2.0
4.0

MJE4919
MJE2491
MJE2371
MJE5194
MJE3740

SILICON POWER TRANSISTORS (continued)
TABLE 7 (continued) - Case 199-04

,

/

60
SO
60
60
60

5.0
5.0
8.0
10
10

20/120
25/125
20/120
20/70
25/100

2.5
1.0
4.0
4.0
3.0

0.6
1.0
0.6
1.1

-

80
80
80
80
80

3.0
4.0
4.0
5.0
8.0

20/100
20180
30/100
201120
20/120

0.5
1.5
0.25
2.5
4.0

0.6
0.6
0.6
0.6
O.S

2.0
3.0
2.0
2.0

-

75
80
90
90
90

1.0
1.5
1.0
2.5
4.0

40
SO
40
75
90

3.0
2.0
4.0
2.0
2.0

2.5
3.5
4.0
4.0

MJE5975
MJE2011
MJE5981
MJE2955K
MJE2901K

-

MJE4920
MJE5195
MJE3741
MJE5976
MJE5982

TABLE 8 - TO-59

Case 160

Case 160A

NPN
80
80
80
80
100

7.0
7.0
7.0
7.0
7.0

30/120
30/120
SO/240
SO/240
30/120

2.0
2.0
2.0
2.0
2.0

1.2
1.2
1.2
1.2
1.2

7.0
7.0
7.0
7.0
7.0

60
60
SO
60
SO

30
30
30
30
30

1S0
160A
160
160A
1S0

2N5346
2N5477
2N5347
2N5478
2N5348

100
100
100

7.0
7.0
7.0

30/120
SO/240
60/240

2.0
2.0
2.0

1.2
1.2
1.2

7.0
7.0
7.0

60
SO
60

30
30
30

1S0A
1S0
1S0A

2N5479
2N5349
2N5480

SO
SO
80
80
80

7.0
7.0
7.0
7.0
10

25/180
25/180
25/180
25/180
30/120

2.0
2.0
2.0
2.0
2.0

0.7
0.7
0.7
0.7
0.7

2.0
2.0
2.0
2.0
2.0

60
SO
SO
SO
60

30
30
30
30
30

160A
160
1S0A
1S0
1S0A

MJ500
MJS700
MJ501
MJS701
2N6182

80
80
80
100
100

10
10
10
10
10

60/240
30/120
60/240
30/120
60/240

2.0
2.0
2.0
2.0
2.0

0.7
0.7
0.7
0.7
0.7

2.0
2.0
2.0
2.0
2.0

SO
SO
60
60
60

30
30
30
30
30

1S0A
1S0
160
160A
160A

2NS183
2N6186
2N6187
2N6184
2N6185

100
100

10
10

30/120
SO/240

2.0
2.0

0.7
0.7

2.0
2.0

60
60

30
30

160
160

2N6188
2N6189

PNP

5-53

•

SILICON POWER TRANSISTORS (continued)
TABLE 9 - TO-61 Case 9

80
80
80
80
100

5.0
5.0
7.5
7.5
7.5

20/90
50/150
20/60
40/120
20/60

2.0
2.0
3.0
5.0
3.0

1.0
1.0
1.2
1.0
1.2

2.0
2.0
3.0
3.0
3.0

115
115
115
115
115

10
10
10
10
10

2N1124
2N1725
2N3487
2N3490
2N3488

100
120
120

7.5
7.5
7.5

40/120
15/45
30/90

5.0
3.0
5.0

1.0
1.2
1.0

3.0
3.0
3.0

115
115
115

10
10
10

2N3491
2N3489
2N3492

10
20
20
20
20

1.0
1.2
1.2
1.2
1.2

10
20
20
20
20

150
250
250
250
250

30
30
30
30
30

MJ7000
2N6278
2N6279
2N6280
2N6281

TABLE 10 - TO-63 Case 188

NPN
100
100
120
140
150

30
50
50
50
50

20/100
30/120
30/120
30/120
30/120

TABLE 11 - TO-114 Case 177

NPN
MJ7200
MJ7201

5-54

SILICON POWER TRANSISTORS (continued)
TABLE 12
DARLINGTON TRANSISTORS
(Metal)
Case 11

Case 80-02

(TO-3)

(TO-66)

2.0
2.0
1.5
4.0
4.0

2.0
2.0
2.0
2.0
2.0

2.0
2.0
1.5
4.0
4.0

50
50
75
75
100

4.0
4.0

2.0
2.0
2.5
2.0
2.5

4.0
3.0
3.0
6.0
1.0

160
90
150
150
150

4.0

750/18000
1000/-

4.0
3.0
5.0
6.0
10

20
4.0
4.0
4.0
8.0

750118000
750/18000
750/18000
1000/750/18000

10
2.0
2.0
1.5
4.0

2.0
2.0
2.0
2.0
2.0

10
2.0
2.0
1.5
4.0

160
50
50
75
75

4.0
4.0
4.0

80
80
80
80
80

8.0
8.0
8.0
10
12

750/18000
750/18000
1000/1000/750/18000

4.0
4.0
3.0
5.0
6.0

2.0
2.0
2.0
2.5
2.0

4.0
4.0
3.0
5.0
6.0

100
160
90
150
150

4.0
4.0

80
80
100
100
100

16
20
12
16
20

1000/750/18000
750/18000
1000/750/18000

10
10
6.0
10
10

2.5
2.0
2.0
2.5
2.0

10
10
6.0
10
10

150
160
150
150
160

300
300
350

7.0
7.0
7.0

100/250/250/-

2.5
2.5
2.5

2.2
2.2
2.2

2.5
2.5
2.5

100
100
100

60
60
60
60
60

4.0
4.0
4.0
8.0
8.0

750/18000
750/18000

60
60
60
60
60

8.0
8.0
10
12
16

750/18000

60
80
80
80
80

1000/~

750/18000
750/18000
1000/~
1000/~

4.0
4.0

4.0

4.0

4.0
4.0
4.0
4.0

Case 253

253
80-02
11
80-02
11

MJ4200
2N6294
MJ4000
2N6300
2N6055

MJ4210
2N6296
MS4010
2N6298
2N6053

253
11
11
11
11

MJ1200
MJ1000
MJ3000
2N6057
MJ4033

MJ920
MJ900
MJ2500
2N6050
MJ4030

11
253
80-02
11
80-02

2N6282
MJ4201
2N6295
MJ4001
2N6301

2N6285
MJ4211
2N6297
MJ4011
2N6299

11
253
11
11
11

2N6056
MJ1201
MJ1001
MJ3001
2N6058

2N6054
MJ921
MJ901
MJ2501
2N6051

11
11
11
11
11

MJ4034
2N6283
2N6059
MJ4035
2N6284

MJ4031
2N6286
2N6052
MJ4032
2N6287

11
11
11

MJ3040
MJ3041
MJ3042

TABLE 13
DARLINGTON TRANSISTORS (Plastic)

Case 77-03

60
60
60
60
80

4.0
4.0
5.0
5.0
4.0

750/750/750/750/750/-

1.5
2.0
3.0
4.0
1.5

2.5
2.8
2.5
2.8
2.5

1.5
2.0
3.0
4.0
1.5

40
40
70
70
40

1.0
1.0
1.0
1.0
1.0

77-03
77-03
90-05
90-05
77-03

MJE800
MJE801
MJE1100
MJE1101
MJE802

MJE700
MJE701
MJE1090
MJE1091
MJE702

80
80
80

4.0
5.0
5.0

750/750/750/-

2.0
3.0
4.0

2.8
2.5
2.8

2.0
3.0
4.0

40
70
70

1.0
1.0
1.0

77-03
90-05
90-05

MJE803
MJE1102
MJE1103

MJE703
MJE1
MJE1

5-55

PLASTIC-ENCAPSULATED
SMALL-SIGNAL TRANSISTORS
Plastic-Encapsulated Small-Signal Silicon Transistors for
Industrial Applications
This Selector Guide is designed to help you select the right
silicon plastic transistor for your applications. A wide range
of device types in two basic package configurations are
listed in this Selector Guide.
The TO-92 - is the most popular, high·volumeplastic

package and will meet most of your high·performance,
low·cost requirements.
The Uniwatt Package - is designed for appl ications
requiring greater 'power dissipation than available with the
TO-92 package.

Motorola plastic-encapsulated transistors offer the features
that the design of industrial electronics equipment requires
- reliability, performance, convenience and economy.
RELIABILITY has been well proven by extensive environmental and life testing, and the use of hundreds of mi.llions
of these transistors in industrial and consumer applications.
Industria'i plastic transistors are encapsulated by a hightemperature pressure-molded process that produces a
rugged one-piece packa.ge resistant to humidity and shock.
Ask us for our new brochure entitled, "Some Plain Talk
About Motorola's TO-92 Plastic Transistor Reliability."
PERFORMANCE is assured by careful design and testing.
Geometric design and diffusion profi les are optimized to
excel in specified applications such as high·speed switching,
high·frequency amplification, and low-noise amplification.

Motorola plastic transistors are 100% performance tested
on high-speed, computer·controlled equipment, before shipment, to assure conformance to specifications.
CONVENIENCE in· use is enhanced by a number of
package variations - the popular D-shaped', Unibloc package which can dissipate 350-625' mW at an ambient temperature of 25°C, and Uniwatt package used for applications
requiring up to 10 watts dissipation.
ECONOMY is a prime concern of the industrial designer.
Motorola's highly efficient plastic product lines are the
most advanced in the industry. Devices are produced by
stripline techniques on highly mechanized equipment that
reduces labor costs and provides high uniformity and
quality.

Ask for Motorola's new comprehensive Designer's Manual entitled, "Motorola's Low·Cost Transistor Directory."
POWER DISSIPATION
Continuous pacKage improvements have enhanced the
power dissipation of Motorola's plastic encapsu'lated tran·
sistors. All devices in the nickel lead frame, TO-92 package can now dissipate 350 mW in addition to the following:
PD @ T A'" 25 0 C = 350 mW PD @ TC = 25 0 C = 1.0 W
Derate above 25 0 C =
2.8 mW/oC

Derate above 25 0 C =
8.0 mW/oC

eJA = 0.125 0 C/mW
OJC = 0.357 0 C/mW
TJ = -65 to +1500 C
All devices in the copper lead frame TO-92 package can
now dissipate 625 mW in addition to the following:

Derate above 25 0 C =
5.0 mW/oC

Derate above 25 0 C =
12 mW/oC

oJA = 2000 CIW

OJC = 83.3 0 CIW

TJ = -65 to+1500 C
All devices in the Uniwatt package - Case 152 - can
now dissipate 1.0 W in addition to the fol,lowing:
Pb @ T A = 25 0 C = 1.0 W
Po @ TC = 25 0 C = 10 W
0
Derate above 25,oC =
Derate above 25 C =
80 mW/oC
8.0 mW/oC
eJC = 12.5 0 CIW

T J = -55 to +1500 C

PACKAGE OUTLINES
Medium and low-power packages add flexibility to
Motorola plastic-encapsulated transistor lines
DESIGN STOCK
A recommended list of 10 devices that handle almost all prototype design requirements
INDEX TO MOTOROLA

0.213

if.ill

PLASTIC-ENCAPSULATED TRANSISTORS
Complete alpha-numeric index of Motorola devices

:J:'
f.OO5
o~i
,. C

O.Ol!t~~O.99!t
II
o.IDS

!f.lm,

.

0.190

"tJ.'ffiJ

Collector connected to tab

Case 29 (TO-92)
Low-Power package for
all Unibloc devices

,

Case 152
Medium-Power package
for Uniwatt devices

0
/
,

See page 9-41,
tab formed for
flush mounting

OEVICE SELECTION TABLES
Short-form specifications of devices intended for
specific applications. (For complete information,
send for, appropriate data sheet or refer to .the
Semiconductor Data Book.)
General-Purpose Saturated Switching Transistors
High-Speed Saturated Switching Transistors
General-Purpose Amplifier Transistors
Darlington Amplifier Transistors
Low-Noise Amplifier Transistors
High-Voltage Transistors
Medium-Power (UniwaU) Transistors

Table
Table
Table
Table
Table
Table
Table

2
3
4
5
6
7

PLASTIC ENCAPSULATED SMALL-SIGNAL TRANSISTORS (continued)
DESIGN STOCK
The transistor requirements of most small-signal industrial circuits can
be met by a limited number of versatile devices. The ten inexpensive
transistors listed below can satisfy most design requirements, while
minimizing the number of transistors that must be stocked.

GENERAL-PURPOSE SWITCHES AND AMPLIFIERS
LOW.cURRENT - TO 100 mA

LOW GAIN
HIGH GAIN

NPN
2N3903
2N3904

HIGH CURRENT - TO 500 mA
(these types generate Low Noise at Low Currents)

PNP
2N3905
2N3906

LOW GAIN
HIGH GAIN

NPN
2N4400
2N4401

PNP
2N4402
2N4403

HIGH SPEED SATURATED SWITCHES
LOW GAIN
HIGH GAIN

INDEX TO MOTOROLA
PLASTIC-ENCAPSULATED
SMALL-SIGNAL TRANSISTORS

NPN
2N4264
2N4265

This index includes all plastic-encapsulated small-signal transistors
available from Motorola. For information on devices for which
no Table Number is given, contact your nearest Motorola .Sales
Office.

•

5-57

PLASTIC ENCAPSULATED SMALL·SIGNAL TRANSISTORS!(continued)
The transistors in this table are characterized for general medium-voltage, mediumspeed switching applications. These transistors also may be used as general-purpose
amplifiers. All have base-emitter voltages of 4 to 6 volts, output capacitances of 4
to 20 pF, and power dissipation ratings of 350 mW. The devices are listed in order
of decreasing breakdown voltage (BVCEO), then in order of decreasing collector
test current (IC).

TABLE 1
GENERAL-PURPOSE
SATURATED
SWITCHING TRANSISTORS

NPN
40
40
40
40
40
40

500
500
150
150
10
10

25
35
100
50
100
50

0.1i
0.5
0.75
0.75
0.3
0.3

500
500
500
SOO
50
50

200
2SO
250
200
JOO
250

50
50
20
20
10
10

40
30
35
35
70
70

50
255
255
2SO
225

500/50
500/50
150/15
150/15
10/1.0
10/1.0

2N5845"
2N5845A"
2N4401
2N4400
2N3904
2N3903

2N4403
2N4402
2N3906
2N3905

30
25

2.0
2.0

SO
120

0.3
0.3

50
50

250
300

10
10

37'
37"

136'
136"

10/1.0
10/1.0

2N4123
2N4124

2N4125
2N4126

40
40
40
40
40
35

150
150
100
10
10
12

100
SO
50
100
50
30

0.75
0.75
0.3
0.4
0.4
0.2

500
500
100
50
50
24

200
150
150
250
200

20
20
SO
10
10

35
35
40
70
70
265

255
255
80
300
260
385

150/15
150/15
500/50
10/1.0
10/1.0
10/1.0

2N4403
2N4402
2N6067
2N39(l6
2N3905
MPS404M

2N3903
2N4400

30
25
25
'25
24

2.0
50
SO
2.0
12

50
100
30
120
30

0.4
2.0
1.0
0.4
0,2

50
JOO
JOO
50
24

200
150
100
250

10
50
50
10

-

ISS"
210
210
ISS"
385

10/1.0
JOO/30
JOO/30
1011.0
10/1.0

2N4125
MPS3638A
MPS3638
2N4126
MPS404t

2N4123

-

43"
90
90
43"
265

60

PNP

·Tvpical

tVEB

= 12 Vdc

~

~

2N3904
2N3903

2N4124

tVEB = 24 Vdc

TABLE 2
HIGH-SPEED
SATURATED
SWITCHING TRANSISTORS

The transistors listed in this table are optimized for high-speed saturated switching.
They are heavily gold doped and otherwise processed to provide very short storage
times and low capacitance. (Output capacitances below () pF). The power dissipation
is rated at 350 mW. The transistors are listed in order of decreasing breakdown
voltage (BVCEO), then in order of decreasing collector test current (IC).

NPN
30
20
15
15
15
15
12

10
10
30
30
10
10
10

25
20
40
30
40
20
100

0.25
0.3
0.35
0.5
0.25
0.6
0.35

10
10
100
300
10
10
100

350
300
300
350
200
300

10
10
10
10

30
30
30

0.2
0.15
0.16
0.15

10
10
10
10

600
700
500
500

~

10
10

16
20
23
25
12
40
23

JO
35
35
35
18
75
35

10/3.0
10/3.0
100/10
300/30
10/3.0
10/100/10

MPS834
MPS835
2N4264
MPS3846
MPS2369
MPS708,A
2N4265

10
10
10
10

40
20
40
20

32
40

50/5.0
10/1.0
S0/5.0
10/1.0

MPS3840
MPS-L09
MPS3639
MPS-L07

10
10
10
30

-

PNP
12
12
6.0
6.0

30

5-58

32
40

PLASTIC ENCAPSULATED SMALL·SIGNAL TRANSISTORS (continued)
TABLE 3
GENERAL-PURPOSE
AMPLIFIER TRANSISTORS

These general-purpose transistors are desIgned for small-signal amplification from dc
to low radio frequencies. They are also useful as oscillators and general-purpose
switches. The transistors are listed in order of decreasing breakdown voltage
(BVCEO), then in order of decreasing collector test current (IC)· PD = 350 mW at
TA =25 0 C unless otherwise specified.

NPN
80
80
80
60
50

10
1.5
1.5
10
1.0

SO/30/150
30/120
SO/250/-

50
80
80
50
30

100
1.5
1.5
100
0.5

MPS·A06'
MPS·H05
MPS-H04
MPS-A05'
2N5210

MPS·A56
MPS·H55
MPS·H54
MPS·A55
2N5087

50
50
45
45
45

1.0
0.1
20
10
10

150/100/600
15/100/400
40/160

30
30
500
200
200

0.5
0.5
15
10
10

2N5209
MPS·A09
MPS-H34'
MPS6566
MPS6565

2N5086

45
40
40
40
40

7.0
150
150
100·
100

40/100/300
SO/ISO
90/270
401120

500
200
250
390t
390t

15
20
20
50
50

MPS-H34
2N4400
2N4401
MPS6531
MPS6530

40
40
40
40
40

10
10
5.0
5.0
5.0

100/300
50/150
200/600
200/600
40/400

300
250
100
80
125

10
10
5.0
5.0
5.0

2N3904
2N3903
MPS-A16
MPS·A17
MPS·A20

40
40
30
30
30

5.0
4.0
100
8.0
4.0

25/30/30/30/-

300
400
390t
400
400

5.0
4.0
50
8.0
4.0

MPS-H37
MPM5006
MPS6532
MPS-H24'
MPS·H20

30
30
30
30
30

4.0
3.0
3.0
2.0
2.0

27/200
20/20190/180

300
400
500
250t
250

4.0
3.0
3.0
2.0
10

MPS-H32'
MPS·H07'
MPS-HOS'
MPS6513
2N4123

30
30
25
25
25

2.0
1.0
10
4.0
4.0

50/100
350/100/500
601-

250t
50
120t
650
650

2.0
0.5
2.0
4.0
4.0

MPS6512
2N5088
MPS5172
MPS-Hl0
MPS·Hl1

25
25
25
25
25

4.0
2.0
2.0
2.0
1.0

45/250/500
150/300
120/360

450/-

300
390t
390t
300
50

4.0
2.0
2.0
10
0.5

MPS-H19
MPS6515
MPS6514
2N4124
2N5089

20
20
20
20
20

500
350
4.0
4.0
4.0

50/200
50/200
20/200
20/200
20/200

60
60
375
375
375

10
10
4.0
4.0
4.0

MPS6560'
MPS6561,
MPS6568
MPS6568A
MPS-H02'

20
20
18

4.0
4.0
2.0

20/200
20/200
60/660*

300
300

4.0
4.0

MPS·H30
MPS·H31
MPS3721

80
80
80
80
60

10
10
1.5
1.5
10

50/250
SO/30/120
301150

100
50
80
80
100

50
100
1.5
1.5
50

MPS4356
MPS·A56'
MPS-H54
MPS-H55
MPS4355

60
60
50
50
40

10
10
1.0
1.0
150

100
50
40
40
200

50
100
0.5
0.5
20

MPS4354
MPS-A55,
2N5087
2N5086
2N4403

MPS-A05
2N5210
2N5209
2N4401

40
40
40
40
40

150
100
100
10
10

150
260t
260t
250
200

20
50
50
10
10

2N4402
MPS6534
MPS6533
2N3906
2N3905

2N44oo
MPS6531
MPS6530
2N3904
2N3903

40
40
40
20

5.0
2.0
2.0

125
340t
200t
600

5.0
2.0
2.0
5.0

MPS-A70
MPS6518
MPS6517
MPS-H81

MPS6514
MPS6513

25/-

50/150

601-

2N4402
2N4403
MPS6534
MPS6533
2N3906
2N3905

MPS6535

MPS6517
2N4125
MPS6516

MPS6519
MPS6518
2N4126
MPS6562
MPS6563

PNP

t typical

100/400
50/500
50/250/150/100/300
50/150

90/270
40/120
100/300
50/150
40/400

150/JOO
90/180
60/-

1= hfe @ f = 1.0 kHz

5-59

MPS-A06
MPS-H04
MPS-H05

PLASTIC ENCAPSULATED SMALL·SIGNAL TRANSISTORS (continued)
TABLE 3 (continued)
GENERAL-I'URPOSE
AMPLIFIER TRANSISTORS

PNP
40
30
30
30
25

2.0
100
2.5
2.0
50

501100

25
25
25
20
20

50
2.0
2.0

500

301250/500
120/360
50/200

350

50/200

301
201
501150

1001

200t
2601
600
200
150

2.0
50
2.5
10
50

MPS6516
MPS6535
MPS·H83"
2N4125
MPS3638A

100
340t
250
60
60

50
2.0
10
10
10

MPS3638
MPS6519
2N4126
MPS6562 .
MPS6563'

MPS6512
MPS6532
2N4123

MPS6515
2N4124
MPS6560
MPS6561

tTypical 'PO = 625 mW @TA = 25°C

TABLE 4
DARLINGTON
AMPLIFIER TRANSISTORS

TABLE 5
LOW-NOISE
AMPLIFIER TRANSISTORS

Darlington amplifiers are compound-connected transistors that provide extremely
high current gain and input impedance. Power dissipation is rated at 625 mW. These
devices are listed in order of decreasing breakdown voltage (BVCEO), then in order
of decreasing collector test current (IC).

10,000
5,000
20,000

125
125

MPS·A14
MPS·A13
MPS·A12

75,000
50,000

. 100

MPS·A66
MPS·A65

100

The small-signal transistors listed in this table are characterized for low-noise amplification at low frequencies. The po"wer dissipation is rated at 350 mW. The transistors are listed in order of decreasing breakdown voltage (BV CEO), then in order
of decreasing collector test current (IC)·

NPN
50
50
50

0.1
0.1
0.1

100/300

45
45
45
40

1.0

40
30
25
25
25
20

30
30
30

0.5
0.5
0.5

2N5210
2N5209
MPS·A09

10 Hz·15.7 kHz

100

1.0

MPS-AIB

10 Hz·15.7 kHz
1'0 Hz·15.7 kHz
10 Hz·15.7 kHz

100
40
300

10
10
10

MPS6566
MPS6565
2N3904

300
50
50
480'
480"
50

10
0.5
0.5

100

10 Hz·15.7 kHz
10 Hz·15.7 kHz
10 Hz·15.7 kHz
10 Hz·l0 kHz
10 Hz·l0 kHz
100 Hz

10
0.5

2N3903
2N50B8
2N50B9
MPS6521
MPS6520
MPS6571

6.0

500

200 MHz

800

5.0

MPS-A17

2.0
3.0
4.0
5.0
3.0
3.0

20
20
100
100

40
40
250
200
420'
420'

0.5
0.5
10
10
10
10

2N5087
2N5086
2N3906
2N3905
MPS6523
MPS6522

3.0
4.0
1.4"

20
20
100

800/-

1.5

100

0.1

100/400
40/160
40/-

4.0'
4.0'
5.0

100
100
100

0.1
0.1
0.1
0.1
0.1
0.1

300/900
4001120C
150/100/250/1000

6.0
3.0
2.0
3.0
3.0
1.2'

100
100
100
10

15

5.0

251250

50
50
40
40
25
25

0.1
0.1
0.1
0.1
0.1
0.1

250/800
150/500
60/30/150/100/-

10

to

200/600
tOO/600

20/-

10

1.0 kHz
1.0 kHz
Lo kHz

to

PNP

.

to
10

TYPical

5-60

to Hz·15.7 kHz
10
10
10
10
10

Hz-15.7
Hz·15.7
Hz·15.7
Hz·15.7
Hz·15.7

kHz
kHz
kHz
kHz
kHz

PLASTIC ENCAPSULATED SMALL-SIGNAL TRANSISTORS

(continued)

These high-voltage transistors are designed for driving neon bulbs and Nixie® indicator tubes, for direct line operation, and for other applications requiring highvoltage capability at relatively low collector current. See Table 7 Medium-Power
(Uniwatt) Transistors also. Power Dissipation is rated at 350 mW. These devices are
listed in order of decreasing breakdown voltage (BVeEO), then in order of decreasing
collector test current (Ie).

TABLE 6
HIGH-VOL TAGE
TRANSISTORS

NPN
300
200
160
140
120

10
10
10
10
10

40/40/80/250
60/250
50/300

0.5
0.4
0.15
0.15
0.2

20
20
10
10
10

501
50/100/300
100/300
60/-

10
10
10
10
10

MPS·A42
MPS·A43
2N5551
2N5550
MPS·LOl

80
50

1.0
1.0

60/400
60/400

0.2
0.2

1.0
1.0

60/300
60/300

10
10

2N4410
2N4409

300
200
150
120
100

10
10
10
10
50

40/40/60/240
401180
40/250

0.5
0.4
0.2
0.2

20
20
10
10
10

50/50/150/300
100/400
60/-

10
10
10
10
10

MPS·A92
MPS·A93
2N5401
2N5400
MPS·L51

PNP

0.25

® Registered Trademark of Burroughs Corporation.

For applications requiring higher power dissipation than that of the standard Unibioc package, Motorola has developed the Uniwatt case. In this plastic-encapsulated
package, which is slightly larger than the small-signal case, the collector is mounted
on a metal tab that extends out of the plastic. The tab can be attached to a heat sink
to conduct heat away from the junction. With a satisfactory sink, Uniwatt transistors can dissipate 5 to 8 watts. Without a heat sink, power dissipation at an
ambient temperature of 25 0 e is I watt. The transistors are listed in order of
decreasing collector test current (Ie).

TABLE 7
MEDIUM-POWER
(UNIWATT)
TRANSISTORS

NPN
300
180
120
100
80

10
10
10
250
250

60
40
40
40
30

250
200
150
100
100

MPS·U60

40/40/40/301100/-

0.75
0.5
0.5
0.4
0.6

30
200
200
250
250

60
100
100
50
50

10
50
50
200
250

1.0'
5.0
5.0
10
5.0

MPS·UlO
MPS-U04
MPS-U03
MPS-U07
MPS-U06

MPS-U57
MPS-U56

100/-

0.6
1.5
0.4
0.5
0.5

250
1000
150
1000
1000

50
1000
150
50
50

250
200
20
50
50

5.0
10
6.0
8.0
8.0

MPS-U05
MPS-U45
MPS-U02
MPS-U01A
MPS-UOl

MPS-U55
MPS-U95
MPS-U52
MPS-U51A
MPS-U51

General Purpose
Audio Transistor
Audio Transistor

20
250
250
250
1000

60
50
50
50
50

10
200
250
250
200

10
10
5.0
5.0
10

MPS-U60
MPS-U57
MPS-U56
MPS-U55
MPS-U95

MPS-Ul0
MPS·U07
MPS·U06
MPS-U05
MPS-U45

High-Voltage Amplifier
High-Voltage Amplifier
General Purpose
General Purpose
Darlington

150
1000
1000

150
50
50

20
50
50

6.0
8.0
8.0

MPS-U52
MPS-U51A
MPS-U51

25k!150k

50/300
601601-

High-Voltage Amplifier
High-Voltage 'Amplifier
High-Voltage Amplifier
High-Voltage Amplifier
General Purpose

General Purpose

Darlington

PNP
300
100
80
60
40

10
250
250
250
200

25k/150k

0.75
0.5
0.6
0.6
1.5

40
40
30

150
100
100

50/300
60/60/-

0.4
0.7
0.7

30130/100/-·
100/-

5-61

MPS-U02
MPS-UOl A
MPS-UOl

General Purpose
Audio Transistor
Audio Transistor

•

SMALL-SIGNAL
HERMETIC TRANSISTORS

This Selector Guide covers Motorola's broad line of silicon annular and germanium mesa hermetic transistors. It
includes over .500 proven transistors suitable for all low-level switching and amplifying applications: transistors with
PNP and NPN polarities, breakdown voltages to 400 V, capacitances below 3.0 pF, and collector current ratings to
3.0 amperes. For maximum convenience, transistors are available in all popular metal cases, and in ceramic and metal
packages containing two and four transistors. Many of the transistors are high-reliability devices that meet the
requirements of military and aerospace specifications.

SILICON ANNULAR TRANSISTORS
Motorola small-signal silicon transistors have demonstrated
exceptional long-term stability and reliability in the life test
laboratory and in thousands of applications. Their
reliability is well demonstrated by the extensive listings of
JAN and JAN TX parts in this guide.

CROSS SECTION OF
AN ANNULAR TRANSISTOR STRUCTURE

The variety, excellent characteristics and stability of
Motorola
transistors
are
made
possible by
such
developments as Motprola's Annular process, which
produces an annular band around the active geometry of

transistors to eliminate channeling in the bulk material of
the semiconductor material. This results in very low leakage
and high reliability. Motorola's Field Relief Electrode
(Equipotential Ring), stabilizes the surface of the transistor,
and Motorola's Epitaxial Structure permits ultra-high speed
devices and low collector resistance. In addition, the
geometries of Motorola transistors are designed to provide
optimum characteristics for the use intended.

Germanium mesa small-signal transistors are a step forward in reliability. Bonding the transistor die directly to the
header provides unparalleled mechanical strength not available in alloy or grown junction type deVices. Improved
stability and lower leakage currents are also important advantages of this unique method of fabricating germanium rf
amplifier and switching transistors.

INDEX TO SELECTOR GUIDE
SELECTOR TABLES
Silicon Amplifiers (600inW-IW)
2 Silicon Amplifiers (360mW-600mW)
3 Silicon Switching Transistors
4 Chopper Transistors
5 Low-Noise Transistors
6 Darlington Amplifiers
7 . Silicon Dual Transistors
8 Quad Transistors (Flat Pack)
9 Quad Transistors (Dual-ln-Line-Ceramic)
10 Quad Transistors (Dual-ln-Line-Plastic)
11 Germanium Mesa RF Amplifiers
12 Germanium Mesa Switches

5-62

SMALL-SIGNAL HERMETIC TRANSISTORS (continued)

TABLE 1 - SILICON AMPLIFIER TRANSISTORS
(600 mW to 1.0 WI

1/
TO·S

;;

·s

..it

I

• TO-39

·Motorola approved to supply JAN product

• "Motorola approved to supply JAN & JANTX product
Bold Face indicates Motorola preferred types
chosen using performance and cost as criteria.

IH;3

•

SMALL-SIGNAL HERMETIC TRANSISTORS (continued)

TABLE 2 - SILICON AMPLIFIER TRANSISTORS
(360 mW to 600 mW)

•
l;Jold Face Indicates Motorola Preferred Types - Chosen using Performance and Cost as Criteria
L.N. Recommended for Low Noise Applications

5-64

SMALL-SIGNAL HERMETIC TRANSISTORS (continued)

TABLE 3 - SILICON SWITCHING TRANSISTORS

o

TO-46

TO-18

o 2N2537
() 2N2538
2N2539
2N2540
2N2845
" 2N2846
2N2847

2N2242
2N2481**
a MM17448

o 2N350S"·

• 2N3734
o 2N3736

!

o TO-5

'0"
+

toff

• TO-39

• TO·12

* TO-52

'"Motorola approved to supply JAN product

·"Motorola approved to supplv JAN & JANTX Product
Bold Face indicates Motorola preferred types - chosen using performance and cost as the critena.

5-65

SMALL-SIGNAL HERMETIC TRANSISTORS

(continued)

TABLE 4 - CHOPPER TRANSISTORS

Transistors designed for chopper applications have low offset currents, low "on" voltage, high
"off" resistance, and fast sWitching times_ These devices are listed first in decreasing order of
breakdown voltage (BVCEO), then in order of decreasing maximum collector current (Ic max)
and dc current gitin (hFE)-

TO-5
Ca.. 31 (1)

.

35
30
30

100
500
100

30
20
50

40
30
50

3_0
3_0
15

0_8
2_0
0_8

14
12'
14

45
2_0
10

TO-46
TO-46
TO-46

2N2946
MM4052
2N5231

20
20
10
10

100
100
100
100

50
40
80
50

30
25
15
15

15
4.0
6.0
15

0.5
0.5
0.3
0.5

14
14
16
14

8.0
35
20
6.0

TO-46
TO-46
TO-46
TO-46

2N5230
2N2945
2N2944
2N5229

Min

TABLE 5 - LOW-NOISE AMPLIFIER TRANSISTORS

TO-18

These transistors are characterized for low-noise amplification at low frequencies. The transistors
are listed first in order of decreasing breakdown voltage (BVCEO), then in order of decreasing
maximum collector current (lc max) and dc current gain (hFE)'

Case 22 (1)

\

90
90
60
60

50
50
50
50

300/900
150/450
300/900
150/450

0.5
0.5
0.5
0.5

2.5
3.5
2.5
3.5

4.0
4.0
4.0
4.0

100
100
100
100

TO-18
TO-18
TO-18
TO-18

2N3799A
2N3798A
2N3799
2N3798

TO-46

Case 26

TABLE 6 - DARLINGTON AMPLIFIER TRANSISTORS

I

Darlington amplifiers are compound-connected dual transistors used in applications requiring very
high current gain and input impedance. The transistors are listed first in order of decreasing breakdown voltage (BVCEO), then in order of decreasing maximum collector current (Ic max) and
dc current gain (hFE)'

TO-72

Cas. 20 (10)

\

60
60
60
45
40

500
40
40
30
200

1600/8000
7000/50000
2000/10000
2000/10000
1200/-

PNP

TO-12
Ca.. 34A

5-66

1.0
1.0
1.0
1.0

10
10
10
0.1
10

30
10
10
10

30

200
150
150
150
150

TO-72
TO-72
TO-72
TO-72
TO-72

2N998
2N2724
2N2723
2N2725
2N2785

SMAll-8IGNAl HERMETIC TRANSISTORS

(continued)

TABLE 7 - SILICON DUAL TRANSISTORS
Devices Listed in Decending Order of Magnitude of hFE
Dualln-Housa Numbers (MOl are Electricallv Equivalent to the EIA Registered Counterpart

• MD918AF
MD1132
MD70BA
• M0708AF
M02369A
• MD2369AF

2N2919"
o 2N2978
MDBOOO

" CASE 555-0'

2N2643
2N2918
o 2N2977
·2N3044
2N2917
o 2N2976
2N2640
.2N3047
MD7OO2A

2N2662
2N2223
2N2721

2N2480A
2N24BO

M0918S
• MD918BF
M070BB
• MD708BF

2N2903
MD1120
MD3409

~
.

-

~

~

• CASE 610
N

'"

II.

~

2N2B44
2N2914
o 2N2973
.2N3045
2N2453
2N2913
o 2N2972
2N2841
.2N3048
MD7OO2

w
II.
.c

2N5794
MD2219A
.MD2219AF
2N5793
MD2218A
• MD2218AF

MD2219
• MD2219F
MD7000
M022'8
• MD2218F

M03725
• MD3725F

M091S
• M0918F
MD708
• MD708F
M02369
• MD2369F
2N3425

M07005
MD7OO4

CASE 554-07

• -Motorola approved to supplv JAN & JANTX product
Motorola preferred tvpes - chosen using performance and cost as criteria.

Bold Face

5-67

--

SMALL-SIGNAL HERMETIC TRANSISTORS (continued)
TABLE 8- QUAD TRANSISTORS (Flat Pack)

Each quad transistor contains four similar transistors that can be used to reduce space requirements. These transistors are listed first in order of
decreasing breakdown voltage (BVCEO), then in order of decreasing maximum collector current (lC max) and de current gain (hpE)·

NPN

-

-

45
60
60

75
350
350

150
0.1
0.1

45

lJO

-

1.0

1000

0.5
0.4
0.25

500
150
10

30
30
1000
600
600

250/150/30/100/300
40/120

0.35
0.35
0.52
0.3
0.4

1.0
1.0
500
150
150

60
60
60

600
50
50

100/300
300/900
300/900

0.4
0.2
0.2

40

1500

20/-

40
40
40

1000
600
50

20/40/120
l00/JOO

60
45
40
40
30

70
70
300
270
220

MQ2482
MQ9JO
MQ3725
MQ2219A
MQ2218

-

-

-

225
130
130

40

120

200

MQ2905A
MQ3799
MQ3799A*
MQ3762 }
2N5146

40
45

120
lJO

-

-

200
225
350

PNP
Case 607

TO·86

MQ3467
MQ2904
MQ3251

• Matched Quad

TABLE 9 - QUAD TRANSISTORS (Dual-in-Line-Ceramic)

Package count and associated assembly costs can be reduced significantly with quad-packaged transistors. Each low-cost device contains four
transistors in a ceramic dual-in-line package. This package, which is similar to the one used for many IIC's, is hermetic and can be easily handled
by most automatic insertion equipment.
Either four matched transistors or a pair of PNP devices and a pair of NPN complements are available.

NPN
40
40
JO
25
15

500
500
50
50
500

40/100/300/150/40/-

0.4
0.4
0.35
0.35
0.25

150
150
1.0
1.0
10

600
200
50
600
200
50
1.0
200

100/100/300
300/40/50/200
150/20/25/-

0.4
0.25
0.2
0.4
0.25
0.2
0.5
0.25

150
10
0.1
150
10
0.1
500
50

30
25

-

225
250

-

-

-

9.0#

15#

30#
50#

100#
225#

350
350
175
175
550

MHQ2221
MHQ2222
MHQ2484
MHQ24B3
MHQ2369

MH02907A
MHQ3251A
MHQ3799
MHQ2906
MHQ3250
MHQ3798
MHQ3467
MHQ3546

PNP
60
60
60
40
40
40
40
12

-

-

350
400
325
350
400
325

40
15#

120
25#

1000

-

-

30#
50#

100#
225#

COMPLEMENTARY

50
50
300
300

150/75/40/100/-

(Ceramic)

fT
NPN

45
40
30
30

TO·116
Case 632-02

0.25
0.25
0.4
0.4

1.0
1.0
150
150

-

-

-

-

175
175

PNP

I

400
400

130
130

MHQ6100A
MHQ6100
MHQ6001
MHQ6002

#Typ

TABLE 10 - QUAD TRANSISTORS (Dual-in-Line-Plastic)

Each quad transistor contains four similar transistors that can be used to reduce space requirements. All the advantages of dual-in-line packaging
at lower cost than ceramic packaging.

-

TO·116

Case 605-6

(Plastic)

5-68

SMALL-SIGNAL HERMETIC TRANSISTORS (continued)
TABLE 11- GERMANIUM MESA R. F. AMPLIFIERS

11
• TO-102

·2N2415
·2N2416
.2N3279
.2N3280
.2N3281
.2N3282
.2N3783
.2N3784
.2N3785

111/

o 2N499 *
o 2N499A'"

TO-5

2N502
2N502A,B*

NOISE
FIGURE
(typ)

.2N3127·
.2N3283

2N1142*
2N1142A
2NII43,A
2N1195'

.2N3284

.2N3285
.2N3286

.2N1742
• 2N700
• 2N700A *

!!
OTO-1B

t:.TO-107

I

.2N705*
.2N2273*
.2N3323
·2N3324
·2N3325
lMM2273

• TO-72

TABLE 12 - GERMANIUM MESA PNP SWITCHES

o 2N964A

o 2N965
') 2N966
o 2N967
o 2N985

• TO-72

• MM2273

.2N1499,A,B
.2N1500
·2N1754
.2N2048
62N393'"
2N404'
2N404A'
a MM404
oMM404A

o
a
a
o
a
o
o
a
o
a

2N705*
2N710
2N711
2NS27
2N96a
2N969
2N970
2N971
2N972
2N973

o
o
o
o
o
a
a
o

2N914
2N97S
2N2258
2N2259
2N2635
2N2955
2N2956
2N2957

2NI204,A
2NI494,A
2N1495
2N1496
2N2096
2N2097
2N2099
2N2100
2N2381
2N23B2
2N3BB3

Jl>m'J
llTO-24

II

'0',
o TO-1

"Motorola approved to supplV JAN product

Sold Face Motorola preferred types - chosen using performance and cost as cirteria.

5-69

R FTRANSISTORS
Motorola offers the industry's most complete selection of silicon RF transistors. In addition to
NPN and PNP low·noise small·signal transistors and ultra-fast current-mode switches, Motorola can
provide R F power transistors for all communications bands at frequencies to 1.0 GHz. Transistors
are available for most applications in either polarity, NPN or PNP, with a wide range of power
levels. Families of RF power transistors designed for optimum operation from a 12-volt supply are
available for mobile communications applications.
Many of Motorola's RF power transistors are Balanced Emitter Transistors (BET). These
multiple-emitter devices feature a thin-film nichrome resistor in series with each of the individual
emitters. The effect of these resistors is to distribute the current equally among the emitters and
reduce the localized heating that leads to second breakdown and destruction of the transistor.
Thus the Balanced Emitter Transistors make ideal output devices by virtue of their ability to
withstand large mismatches without danger of second breakdown.
This Selector Guide presents information on most of Motorola's small signal devices with fT
greater than 300 MHz and R F power devices with R F power outputs greater than 1.0 Watt at
frequencies greater than 2.0 MHz. Other transistors for RF applications may be found in the
Selector Guides for Small-Signal Hermetic Transistors and Plastic Encapsulated Small-Signal
Silicon Transistors.
Four tables in this Selector Guide cover the major application categories:

•

RF Power Amplifiers
· .. a wide variety of devices for communications and general amplifier applications.

Table 1

Low-Noise Small-Signal Amplifiers
· .. including devices designed specifically for CATV applications.

Table 2

UHF and Microwave Oscillators
· .. provide high outputs at frequencies to 2.0 GHz.

Table 3

High-Speed Current-Mode Switches
· .. ultra-fast switching for instrumentation applications.

Table 4

INDEX
The following table is a numerical-alphabetical index to Silicon RF transistors manufactured by
Motorola. The number of the selection table in which each device is further characterized is also
listed.

2N2857*
2N2947
2N2948
2N2949
2N2950
2N3137
2N3287

TO-72
TO-3
TO-3
TO-107
TO-102
TO-5
TO-72

2N3288
2N3289
2N3290
2N3291
2N3292
2N3293
2N3294

TO-72
TO-72
TO-72
TO-72
TO-72
TO-72
TO-72
TO-102
TO-3
TO-60
TO-39
TO-60
TO-72
TO-39

2N3296
2N3297
2N3375*
2N3553*
2N3632
2N3839
2N3866*

2,3
1
1
1
1
1
2
2
2
2
2
2
3
2
1
1
1
1
1
2
1,2

2N3866A*
2N3924
2N3925
2N3926
2N3927
2N3948
2N3950
2N3959*
2N3960*
2N3961
2N4012
2N4072
2N4073
2N4130
2N4427
2N4428
2N4957
2N4958
2N4959
2N5016
2N5031

TO-39
TO-39
TO-102
TO-60
TO-60
TO-39
TO-60
TO-18
TO-18
TO-102
TO-60
TO-18
TO-5
TO-3
TO-39
TO-39
TO-72
TO-72
TO-72
TO-60
TO-72

'JAN and JANTX Type, also available

·5-70
-_.-----

---

1
1
1
1
1
1
1.
4
4
1
1
1
1
1
1
1
2
2
2
1
2

2N5032
2N5070
2N5071
2N5090
2N5108
2N5109
2N5160

TO-72
TO-60
TO-60
TO-60
TO-39
TO-39
TO-39

2
1
1
1
1,3
2
1

2N5161
2N5162
2N5179
2N5583
2N5589
2N5590
2N5591
2N5635
2N5636
2N5637
2N5641
2N5642
2N5643
2N5644

TO-60
TO-60
TO-72
TO-39
1448-02
145A-01
145A-01
1448-02
1448-02
145A-01
1448-02
145A-01
145A-01
145A-01

1
1
2,3
4
1
1
1

RF TRANSISTORS (continued)
INDEX (continued)

2N5645
2N5646
2N5829
2N5835
2N5836
2N5837
2N5841

145A-01
145A-01
TO-72
TO-72
TO-46
TO-46
TO-72

1
1
2
4
4
4
4

2N5947
2N6080
2N6081
2N6082
2N6083
2N6084
2N6094

2N5842
2N5846
2N5847
2N5848
2N5849
2N5851
2N5852

TO-72
TO-102

4
1
1
1
1
4
4

2N6095
2N6096
2N6097
2N6136
2N6166
2N6255
2N6256

211 -01
211-01
211 -01

2N5862
2N5941
2N5942
2N5943
2N5944
2N5945
2N5946

145A-02
211 -01
211-02
TO-39

1
1
1
2
1
1
1

2N6304
2N6305
2N6367
2N6368
MM1500
MM1501
MM1553

TO-72
TO-72
211 -01
211-01
TO-107
TO-107

145A~1
145A~1
145A~2

TO-72
TO-72

244~1
244~1
244~1

144D~1
145A~1

145A-01
145A~1

145A-01
145A~1

211-01

2
1
1
1
1
1
1

145A~1
211~2

TO-39
249-01

145C~1

MM4018
MM4019
MM4049
MM8000
MM8001
MM8006
MM8007

TO-39
TO-39
TO-72
TO-39
TO-39
TO-72
TO-72

1
1
4
2
2
2
2

MM8008
MM8009
MM8010
MM8011
MRF501
MRF502
MRF8004

TO-107
TO-39
TO-107
TO-107
TO-72
TO-72
TO-39

3
1,3
3
3
2
2
1

2
2
1
1
3
3
1

• JAN and JANTX Type, also available

TABLE 1 - RF POWER AMPLIFIERS

A wide variety of devices for communications and general amplifier applications. The transistors
are listed first in order of increasing test frequency; then in order of increasing output power
rating.

NPN
27
30
30
30
30

12.5
30
12.5
30
25

3.5
3.0PEP
9.0PEP
12PEP
15

10
16
14
10
7.0

60
60
18
60
40

TO-39
TO-102
211-01
TO-3
TO-3

MRF8004
2N3296
2N6367
2N3297
2N2948

30
30
30
30
50

28
12.5
28
28
12.5

25PEP
40PEP
40PEP
80PEP
3.5

13
10
13
13
10

65
36
65
65
36

TO-60
211 -01
211-01
211-02
TO-102

2N5070
2N6368
2N5941
2N5942
2N5846

50
50
50
50
50

25
25
12.5
25
12.5

3.5
3.5
8.0
15
20

10
10
10
7.0
8.0

60
60
36
60
48

TO-107
TO-102
145A-01
TO-3

2N2949
2N2950
2N5847
2N2947
2N5848

50
50
70
76
100

40
50
50
24
7.5

7.5
8.0
8.0
9.0
8.8

150
150
150
175
175

12.5
28
28
28
28
27
44
28
13.6
13.6

75
75
100
0.25
0.5

7.0
8.2
4.5
10
10

48
65
80
65
65
65
100
65
40
40

175
175
175
175
175

12
28
12.5
13.6
12.5

1.0
2.5
3.0
3.0
4.0

10
10
7.8
8.2
12

40
65
36
36
36

TO-39
TO-39
TO-39
144B-03

175
175
175
175
175

13.6
28
13.6
13.6
28

4.0
4.0
5.0
7.0
7.0

6.0
9.0
5.9
5.5
8.4

36
65
36
36
65

TO-39
TO-102
TO-102
TO-60

t JAN Types Also Available
:): TripIer Output

5-71

145A~1
145A~2

TO-60
TO-3
TO-60
TO-60
145A·02
145C~1

211-02
TO-18
TO-39

145A~1

144B~3

2N5849
2N3950
2N4130
2N5071
2N3375t
2N5862
MM1553
2N6166
2N4072
2N4073
2N4427
2N3553t
2N6255
2N5589
2N6080
2N3924
2N3961
2N3925
2N3926
2N5641

RF TRANSISTORS (continued)
TABLE 1 (continued)

175
175

•

175
175

F5

13.6
13.6
28
12.5
28

10
12
13.5
15
20

5.2
4.8
5.9
6.3
8.2

36
36
65
36
65

145A-Ol
TO-60
TO-60
145A.ol
145A.ol

2N5590
2N3927
2N3632
2N6081
2N5642

175
175
175
175
175

12.5
13.6
12.5
12.5
28

25
25
30
40
40

6.2
4.4
5.7
4.5
7.6

36
36
36
36
65

145A.ol
145A.ol
145A.ol
145A.ol
145A.ol

2N6082
2N5591
2N6083
2N6084
2N5643

250
400
400
400
400

0.4
1.0
1.0
1.0
1.2

6.0
10
10
6.0
5.5

40
55
55
36
55

TO-39
TO-39
TO-39
TO-39
TO-60

2N3137
2N3866
2N3866A
2N3948
2N5090

400
400
400
400
470

20
28
28
13.6
28
28
28
28
28
12.5

2.5
7.5
15
20
0_5

6.2
5.7
4.8
4.6
7.0

60
60
65
60
36

144B.o3
144B.o3
TO-60
145A-Ol
249-01

2N5635
2N5636
2N5016
2N5637
2N6256

470
470
470
470
470

12.5
12.5
12.5
12.5
12.5

1.0
2.0
4.0
4.0
10

7.0
9.0
8.0
6.0
6.0

36
36
36
36
36

145A-Ol
244-01
244-01
145A-Ol
244-01

2N5644
2N5944
2N5945
2N5645
2N5946

470
470
500
1000
1000
1000

12.5
12.5
28
28
28
28

12
25
0.75
0.9
1.0
2.5:j:

4.7
4.0
10
4.5
5.0

36
36
55
55
55
65

145A.ol
145A-Ol
TO-39
TO-39
TO-39

2N5646
2N6136
2N4428
MMB009
2N510S

TO-60

2N4012

175
175
175
175
175

12.5
28
12.5
28
12.5

0.5
2.5
4.0
7.5
15

40
60
36
60
36

TO-39
TO-39
211 -01
TO-60
211 -01

MM4018
MM4019
2N6094
2N5161
2N6095

175
175
175
400

12.5
28
12.5
28

30
30
40
1.0

10
10
12
8.8
6.3
5.7
6.0
4.5
S.O

36
60
36
60

211-01
TO-60
211-01
TO-39

2N6096
2N5162
2N6097
2N5160

PNP

t JAN Types Also Available
:j: Tripier Output

TABLE 2 - LOW-NOISE SMALL-SIGNAL AMPLIFIERS
I neluding devices designed specifically for CATV applications. The transistors are listed first in
order of increasing test frequency, then in order of increasing noise figure.

NPN
200
200
200
200
200

15
15
15
6.0
6.0

2.7"
2.7"
3.0'
4.0"
4;5'

11.4'
11.4'
11
17 •
15'

'Typical

5-72

700
900
1200
800
600

TO-39
TO-39
TO-39
TO-72
TO-72

MMSOOO
MM8001
2N5109
MRF502
MRF501

RF TRANSISTORS (continued)
TABLE 2 (continued)

NPN (Continued)
200
200
200
200
200

900
350
350
300
300

TO-72
TO-72
TO-72
TO-72
TO-72

2N5179
2N3287
2N3288
2N3289
2N3290

250
1200
250
1100
250

TO-72
TO-39
TO-72
1440-01
TO-72

2N3294
2N5943
2N3291
2N5947
2N3292

1000
1000
1000
1000
1000

TO-72
TO"72
TO-72
TO-72
TO-72

2N5031
2N5032
2N3839
MM8006
2N2857t

4.5
5.0
5.5

14
14
12.5
14
12.5
12
12
15

1200
1000
1400

TO-72
TO-72
TO-72

2N6305
MM8007
2N6304

2.5
3.0
3.3
3.8

17
17
16
15

1200
1200
1000
1000

TO-72
TO-72
TO-72
TO-72

2N5829
2N4957
2N4958
2N4959

200
200
200
200
200

6.0
10
10
10
10
10
15
10
20
10

4.5
6.0
6.0
7.0
7.0
7.0'
8.0
8.0
8.5
9.0

450
450
450
450
450

6.0
6.0
6.0
6.0
6.0

2.5
3.0
3.4
3.8
4.5

450
450
450

5.0
6.0
5.0

10
10
10
10

15
17
17
17
17
14
11.4 •
16
10
16

PNP
450
450
450
450

'Typical
tJAN Type Also Available

•
TABLE 3 - UHF and MICROWAVE OSCILLATORS
The transistors are listed first in order of increasing test frequency; then in order of increasing
oscillator output power.

NPN
257
500
500
1500
1500
1680
1680
2000
2000
2000

'Typical

10
10
10
20
20
20
20
20
20
2.0

2.0
20
30
150
250
300'
300'
100
200
300

250
900
1000
1000'
1500'
1000
1200
1100'
1100'
1100'

tJAN Type Also Available

5-73

20
20
30
30
30
55
55
35
35
35

TO-72
TO-72
TO-72
TO-107
TO-107
TO-39
TO-39
TO-107
TO-107
TO-107

2N3293
2N5179
2N2857t
MM1501
MM1500
MM8009
2N5108
MM8011
MM8010
MM8008

R F TRANSISTORS (continued)
TABLE 4 - HIGH-SPEED CURRENT MODE SWITCHES

Ultra-fast switching for instrumentation applications is provided by these devices which feature
high fT and low rb'C c over a wide range of collector current. The transistors are listed first in order
of increasing collector current (test) and then in order of increasing fT.

NPN
10
10
10
10
10
25
25
50
100

4.0
4.0
10
10
6.0
4.0
4.0
6.0
3.0

800
1100
1300
1600
2500
1700
2200
2000
1700

15
15
25
40
5.0'
40
25
6.0'
6.0·

1.5
1.5
2.5"
2.'5· •
0.8
1.5
1.5
3.5
5.0

TO-72
TO-72
TO-18
TO-18
TO-72
TO-72
TO-72
TO-46
TO-46

2N5851
2N5852
2N3959t
2N3960t
2N5835
2N5842
2N5841
2N5836
2N5837

4000
1300

15
8.0'

1.25'·
5.0

TO-72
TO-39

MM4049
2N5583

'Typical
"Cob
tJAN Types Also Available

R F transistors are available in a variety of packages for many applications.

~I!
Case 1
TO.3

Case 31! 1)
TO·5

II
Case 22(1)
TO-18

Case 79(1)
TO-39

Case 1440-04
0.280" OIA.

Case 211-01
0.380" OIA.

Case 26
TO-46

tJ
Case 36
TO-60

!!

I
Case 20(10)
TO-72

I

Case 24
TO-l02

Case 23
TD-l07

Case 145A -02
0.500" DIA.

Case 145A-Ol
0.380" DIA.

Case 211-02
0.500" OIA.

Case 244-01
0.280" OIA.

5-74

Case 1448-03

Case 145C-Ol
0.500" OIA.

Case 249-01
0.280" DIA.

RF HYBRID CIRCUITS
Motorola has the capability to produce many diverse types of hybrid circuits for high-frequency
and high-power performance.
These hybrid circuits ·offer advantages in miniaturization, performance, economy, flexibility and
reliability.
This section lists standard RF hybrid circuits.

HYBRID WIDEBAND AMPLIFIER CIRCUITS
The following table shows hybrids designed for
CATV amplifier applications with a frequency range of
40 to 300 MHz. The devices shown below are
listed in increasing order of Noise Figure (NFl.

Case 270·01

8.5

-55

45

10
12

-60
-57

50

47

16
16
16

5-75

270
270
270

MHW560
MHW562
MHW561

MICROWAVE DEVICES
POWER VARACTOR MULTIPLIERS
Varactor mUltipliers take over at frequencies where transistors leave off. Motorola's line of
step-recovery multipliers represents a selection of the more popular frequency doublers, triplers
and high-order type. Output capabilities range from 15 watts to 450 MHz to 1 watt at 10 GHz.
The table is arranged in order of decreasing output frequency.

•

10,000
6400
6400
6000
6000
4000
4000
2400
2400
2000
2000
2000
2000
1000
1000
1000
750
450

1.0
0.25
0.2
2.0
2.0
7.2
5.0
0.75
0.6
14.5
10.4
7.2
6.0
25.1
24
11
26
15

5000
800
800
2000
2000
2000
2000
300
300
1000
1000
1000
1000
500
500
500
250
150

2.6
1.0
1.0
5.0
5.0
12
10
3.0
3.0
25
20
12
12
37
37
20
40
30

1 N5156
MV1817-1A
MV1817A
MV1810-1A
1 N5154
MV1811-1A
MV1811A
MV1816-1A
MV1816A

1N5157
MV1817-1 B
MV1817B
1N5155A
1 N5155
MV1811-1B
MV1811B
MV1816-1B
MV1816B

MV1808-1A
1 N5151

1 N5152A
1N5152

FIGURE 1 - Typical Doubling Porformanco

MV1811-1C
MV1811C
MV1809-1C
MV1809C
1N5153A
1 N5153
1N5150A
1 N5150
1N5149
MV1805C
MV1804C

MV1812D
MV1817-1D
MV1817D
MV1810-1D
MV1810D
MV1811·1D
MV1811D
MV1816-1D
MV1816D
MV1808-1D
MV1808D

MV1811-1J
MV1811J
MV1809·1J
MV1809J
MV1808-1J
MV1808J
MV1807-1J
MV1807J
1N4388
MV1805J
1N4387

FIGURE 2 - Typical Tripling Performanco

3Or---1N4387

4GYII -

PIN SWITCHING DIODES
PIN switching diodes designed for VHF band switching and general-purpose switching. Supplied in
the low-inductance Mini-L package and ideal for low-cost, high-volume requirements.

~ase226

~

Case 166-01
~(MiCrO-I)

ELECTRICAL CI:IARACTERISTICS

MPI-3401

166-01

35

0.7

5-76

1.0

3.0

0.15

MICROWAVE DEVICES (continued)
DUAL EPICAP TUNING DIODE

/'

{f

CASE 29(15)
TO-92

MINI-l ABRUPT JUNCTION TUNING DIODES

MV3501
MV3502
MV3503
MV3504
MV3505
MV3506
MV3507

6.117 .5
7.4/9.0
9.0/11
10.8/13.2
13.5/16.5
16.2/19.8
19.8/24.2

2.7
2.8
2.8
2.8
2.9
2.9
2.9

5-77

225
225
200
200
200
175
175

EPICAP TUNING DIODES

llcAse<5~"
/

CASE

~.E146 ~.-

/CASE 1

CASE 166-01

. tSE182
Il'CA

2.2

MV1860D
MVI-2908

2.5/2.7
2.0/2.8"

C4/C60
C2/C30

350'
325'

60
30

45
166

3.3

MV1862D
MVI-2099

2.6/2.8
2.2/2.9'

C4/C60
C2/C30

300"
300"

60
30

45
166

4.7

MV1863D
MVI-2100

2.6/2.8
2.4/2.9'

C4/C60
C2/C30

300'
300'

60
30

45
166

6.8

MV1864D
1N5139 (3)
1N5461A (4)
1N5441A (4)
MV2101
MVI-2101
MV2201
MV1620

2.7/2.9
2.7/2.9
2.7/3.1"
2.5/3.1 "
2.5/3.2"
2.5/3.3'
1.9/2.3'
2.0/2.2

C4/C60
C4/C60
C2/C30
C2/C30
C2/C30
C2/C30
C1/C10
C2/C20

300'
350
600
450
450
275'
300
300

60
60
30
30
30
30
25
20

45
51
51
51
182
166
182
51

8.2

MV1865D
1N5462A (4)
1N5442A (4)
MV2102
MVI-2102
MV1
MV1866D
1N5140 (3)
MV1866
1N5463A (4)
1N5443A (4)
MV2103
MVI-2103
MV2203
MV1624

2.7/2.9
2.8/3.1·
2.5/3.1·
2.5/3.2"
2.6/3.3'

C4/C60
C2/C30
C2/C30
C2/C30
C2/C30

300'
600
450
450
275"

60
30
30
30
30

45
51
51
182
166

2.8/3.0
2.8/3.0
3.0/3.1·
2.8/3.1"
2.6/3.1'
2.5/3.2'
2.6/3.3'
2.0/2.4·
2.0/2.3

C4/C60
C4/C60
C4/C60
C2/C30
C2/C30
C2/C30
C2/C30
C1/C10
C2/C20

250'
300
500
550
400
400
275'
200
300

60
60
60
30
30
30
30
25
20

45
51
51
51
51
182
166
182
51

12

MV1868D
1 N5141 (3)
MV1868
1N5464 (4)
1N5444A(4)
MV2104
MVI-2104
MV1626

2.8/3.0
2.8/3.0
3.0/3.1'
2.8/3.1"
2.6/3.1 "
2.5/3.2·
2.6/3.3'
2.0/2.3

C4/C60
C4/C60
C4/C60
C2/C30
C2/C30
C2/C30
C2/C30
C2/C20

200"
300
500
550
400
400
275"
300

60
60
60
30
30
30
30
20

45
51
51
51
51
182
166
51

15

MV1870D
1N1542 (3)
MV1870
1N5465A (4)
1N5445A (4)
MV2105
MVI-2105
MV830
MV2205
MV1628

2.8/3.0
2.8/3.0
3.0/32.'
2.8/3.1 •
2.6/3.1·
2.5/3.2'
2.6/3.3'
1.8/2.0
2.112.5'
2.0/2.3

C4/C60
C4/C60
C4/C60
C2/C30
C2/C30
C2/C30
C2/C30
C4/C25
C1IC10
C2/C20

200'
250
400
550
400
400
275'
30
200
250

60
60
60
30
30
30
30
30
25
20

45
51
51
51
51
182
166
51
182
51

18

1 N5143
MV1871
1N5466A (4)
1N5446A (4)
MV2106
MVI-2106
MV831
MV1630

2.8/3.0
3.0/3.2'
2.9/3.1'
2.7/3.1'
2.5/3.2'
2.7/3.3"
1.8/2.0
2.012.3

C4/C60
C4/C60
C2/C30
C2/C30
C2/C30
C2/C30
C4/C25
C2/C20

250
400
500
350
350
250"
25
250

60
60
30
30
30
30
30
20

51
51
51
51
182
166
61
51

10

See Footnote on page 5-80.

5-78

EPICAP TUNING DIODES (continued)

1N5467A
1N5447A (4)
MV1632
22

27

33

39

47

56

68

82

1N5144 (3)
MV1872
1N5468A (4)
1N5448A (4)
MV2107
MVI-2107
MV832
MV1634
1N5145
MV1874
lN5469A (4)
lN5449A (4)
MV2108
MVI-2108
MV833
MV1636
1 N5146
MV1876
1N5470A (4)
lN5450A (4)
MV2109
MVI-2109
MV834
MV2209
MV1638
1N5147
MV1877
1N5471A (4)
1N5451A (4)
MV2110
MV835
MV1640
1N6148 (3)
MV1878
1N5472A (4)
1N5452A (4)
MV2111
MV836
MV1642

30
30
20
3.2/3.4
3.2/3.3'

2.9/3.2"
2.6/3.2'

2.5/3.2'
2.7/3.3'
1.8/2.1
2.012.3

C4/C60
C4/C60
C2/C30

C2/C30
C2/C30
C2/C30
C4/C25
C2/C20

3.2/3.4

C4/C60

3.2/3.3'

C4/C60
C2/C30
C2/C30
C2/C30

2.9/3.2'
2.6/32.'

2.5/3.2'
2.7/3.3"

C2/C30

1.8/2.1
2.0/2.3

C4/C25

3.2/3.4
3.2/3.4'

C2/C20

2.9/3.2"

C4/C60
C4/C60
C2/C30

2.6/3.2·

C2/C30

2.5/3.2·
2.7/3.;3·
1.9/2.12
2.1/2.5"

C2/C30
C2/C30

C4/C25

2.0/2.4

C1IC10
C2/C20

3.2/3.4
3.2/3.4·

C4/C60
C4/C60

2.9/3.2'

C2/C30

2.6/3.2'
2.5/3.2'

C2/C30

1.912.12

C4/C25
C2/C20

2.012.4

3.2/3.4
3.2/3.4'

C2/C30

C4/C60
C4/C60

2.9/3.2'

C2/C30

2.6/3.2'

C2/C30
C2/C30
C4/C25
C2/C20

2.5/3.2'
1.9/2.15
2.0/2.4

1N5473A
1N5453A (4)
MV2112
MV837
MV1644

2.9/3.3"
2.6/3.3"
2.6/3.3·

1N5474A
1N5454A (4)
MV2113
MV838
MV1646

2.9/3.3·

C2/C30

2.7/3.3'
2.6/3.3'
2.0/2.18
2.0/2.4

C2/C30
C2/C30
C4/C25
C2/C20

1N5475A (4)
1N5455A (4)
MV2114
MV839
MV1648

2.9/3.3'
2.7/3.3'

1.9/2.15
2.012.4

2.6/3.3"

2.0/2.18
2.0/2.4

See Footnote on page 5-a0.

5-79

C2/C30

C2/C30
C2/C30
C4/C25

C2/C20

C2/C30

C2/C30
C2/C30
C4/C25
C2/C20

200'
25
250

60
60
30
30
30
30
30
20

51
51
51
51
182
166
51
51

200
300
500
350
300
200'
25
200

60
60
30
30
30
30
30
20

51
51
51
51
182
166
51
51

200
300
500
350
200
200'
20
150
200

60
60
30
30
30
30
30
25
20

51
51
51
51
182
166
51
182
51

200
300
450
300
150
20
200

60
60
30
30
30
30
20

51
51
51
51
182
51
51

200
300
400
260
150
15
200

60
60
30
30
30
30
20

51
51
51
51
182
51
51

300
·200
150
15
150

30
30
30
30
20

51
51
182
51
51

250
175
150
15
150

30
30
30
30
20

51
51
182
51
51

225
175
100
10
150

30
30
30
30
20

51
51
182
51
51

EPICAP TUNING DIODES (continued)

•

120

MV1652
MV2301

-/2.6
2.3/-

150

MV1654
MV2302

-/2.6
2.3/-

180

MV1656
MV2303

200

250t
250t

20
20

146
182

C2/C20
C2/C20

250t
250t

20
20

146
182

-/2.6
2.3/-

C2/C20
C2/C20

200t
200t

20
20

146
182

MV1658
MV2304

-/2.6
2.3/-

C2/C20
C2/C20

200t
200t

20
20

146
182

220

MV1660
MV2305

-/2.6
2.3/-

C2/C20
C2/C20

150t
150t

20
20

146
182

250

MV1662
MV2306

-/2.3
2.3/-

C2/C20
C2/C20

150t
150t

20
20

146
182

270

MV1664
MV2307

-/2.3
2.3/-

C2/C20
C2/C20

100t
100t

20
20

146
182

-/2.3
2.3/-

C2/C20
C2/C20

100t
100t

20
20

146
182

330

(1)
(2)
(3)
(4)
(5)

CT = ±30%
CT = ±20%
Add Suffix" AU for ± 50% CT Tolerance
Substitute "8" Suffix for ± 50% CT. Tolerance "C" Suffix for ± 20% CT
Capacitance Ratio is C2/C15

5-80

TUNING DIODE REGULATOR

CASE 182-01

Highly reliable temperature compensated monolithic integrated circuit voltage stabilizer
designed for use in television and FM radios that use variable capacitance diode tuners_

•
HYPER-ABRUPT JUNCTION TUNING DIODES

_.. designed with a capacitance change of greater than TEN TIMES for a bias change ranging from
2 to 10 volts. Provides tuning over broad frequency ranges, tuning AM radio broadcast band,
general AFC and tuning applications in lower RF frequencies.

MV1401
MV1403
MV1404
MV1405

550

14
10
10
10

175

120
250

5-81

200
200
200
200

146
51
51
51

HYPER-ABRUPT JUNCTION TUNING DIODES (continued)

CASE 226

...designed in the new low-inductance mini-L package for high volume requirements of UHF and
VHF TV tuning and AFC, general frequen<=y control and tuning applications, providing solid-state
reliability in replacement of mechanical tuning methods.

BB105A
BB105B
BB105G
MV3102
MV3103
MV3140
MV3141
MV3142

2.3/2.8"
2.0/2.3"
1.8/2.8"
20/25
19/26
-/2.3·
-/3.2"
-/3.2"

4.0
4.5
4.0
4.5
4.0
4.5
4.0
3.5

225
225
150
300"
200·
150
150
50

PLASTIC HOT-CARRIER DIODES

CASE 226

CASE 166-01

CASE 182-01

Hot-Carrier diodes are ideal for VHF and UHF mixer and detector applications as well as many
higher microwave frequency applications. They provide stable electrical characteristics by
eliminating the point-contact diode presently used in many applications. Motorola has the
capability of supplying these devices in a variety of packages.

MBD101 182-01
MBD501
1
MBD701'

4.0
50
70

1.0 (1)
1.0 (2)
1.0 (2)

0.6
1.2
1.2

0.25 (3)
0.20 (4)
0.20(5)

7.0

MBD102 226
MBD502
i
MBD702'

4.0
50
70

1.0 (1)
1.0 (2) .
1.0 (2)

0.6
1.2
1.2

0.25 (3)
0.20 (4)
0.20(5)

7.0

1.0 (1)

0.6

0.25 (3)

7.0

100
100
100
100

MICRO-I HOT-CARRIER DIODE

I

MBI-1011166-01

I

4.0

I

Note 1: Kakauer method

5-82
- - - - -----_._._----------------_.

MICRO·T TRANSISTORS AND DIODES
The following tables list the major characteristics of Motorola
transistors and diodes in Micro-T packages. Devices are
grouped in applications categories to simplify device selection. For more detailed information, refer to the individual
data sheet.

The Micro-T package is a tiny (0.085 inch diameter) injectionmolded plastic and ceramic package for applications requ iring extremely high component mounting density. Micro-T
transistors and diodes are also useful in hybrid circuits-being
easier to mount than unencapsulated semiconductor chips,
without special equipment and special operator training_

~
# CASE

Case 28

176

Case 166

TABLE I - GENERAL-PURPOSE AMPLIFIER AND SWITCHING TRANSISTORS

NPN

MMT3904
MMT3903
MMT2222
#MMCM2222
MMT76

40
40
30
30
20

10
10
150
150
10

1001300
50/150
100/300
100/300
50/400

0_2
0_2
0.4
0.4
-

10
10
150
150

40
40
40
40
20

150
150
10
10
10

100/300

0.4
0.4
0.25
0_25
-

150
150
10
10
-

-

300
250
200
200

-

10
10
20
20

-

241131125111
24
13
125
11
tnn ~ 16. tnfl - 160
tnn ~ 16. tnff - 160
241131125111

1011.011.0
1011.011.0
150115115
150/15/15
10/1.0/1.0

PNP

MMT2907
#MMCM2907
MMT3906
MMT3905
MMT75

100/300
100/300
50/150
50/400

200
200
250
200

-

50
50
10
10
-

tnn ~ 20, tnt! ~ 150
ton - 20, tn!1 ~ 150
25
25

150/15/15
10/1.011.0

18 1 140
1 18
140
18

150/15/15

115
15
140
15

10/1.011.0
1011.011.0

TABLE II - HIGH SPEED SATURATED SWITCHING TRANSISTORS
Case 28 (1)

#

Case 176

TABLE 'III - HIGH-SPEED NON-SATURATED SWITCHING TRANSISTORS
Case 28 (1)

MMT808

5_0

0_1

501-

100

5-83

0_1

I 1200 11.0

11.0

I 1.5

11.0

I 2.0

1.0

•

MICRO-T TRANSISTORS AND DIODES (continued)
TABLE IV - LOW NOISE AMPLIFIER TRANSISTORS
Case 28 (1)

MMT2484
#MMCM2484
MMT930
#MMCM930
MMT70

60
60
45
45
20

1.0
1.0
1.0
1.0
2.0

If! Case 176

250/250/150/150/150/-

60
60
60
60

-

500
500
500

3.0
3.0

10
-

500

-

-

-

1.0*

10

10 Hz to 15.7 kHz

-

-

10 Hz to 10 kHz
10 Hz to 10 kHz

PNP
0.1
0.1
2.0

'Typical

TABLE V - RF AMPLIFIER AND OSCILLATOR TRANSISTORS
Case 28 (1)

If! Case 176

NPN
MMT2857
MMT918
#MMCM918
MMT8015
MMT74
MMT807

15
15
15
10
12
5.0

3.0
3.0
3.0
1.0
3.0
1.0

30
20
20
25
25
25

3.8"
6.0
6.0
4.0
4.0'
2.0"

1.5
1.0
1.0
1.0
1.5
100

5.0

1.0

25

2.6"

100

450
60
60
1000
450

-

1000
600
600
1000
700
1200

4.0
10
10
6.0
4.0
1.0

3.0
0.55**

1200

1.0

o.a··

1.0
1.7
1.7

-

PNP
MMT809

*Typical
• ·Cob = V CB :: 0.5 Vdc

TABLE VI - N-CHANNEL JUNCTION FIELD-EFFECT TRANSISTORS
FOR RF AND MIXER APPLICATIONS
Case 28(5)

TABLE VII - SWITCHING DIODES

MMD6050
MMD6100
MMD6150
MMD7000
MMD7001

Series
Series

'Typical

5-84

OPTOELECTRONICS
Optoelectronic devices are designed for use in computer,
industrial and consumer equipment. Motorola's standard
line of optoelectronic products include light emitters, all
numeric displays, light detectors, and monolithic phototransistor and photodiode arrays- Also available from
Motorola are custom phototransistor and light emitting
diode (LED) arrays using discrete devices mounted on
printed circuit boards and custom monolithic arrays of
photodiodes and phototransistors.

Compactness, reliability and compatibility with integrated
circuits keynote light emitting diode advantages - as well
as perfect spectral matching of infrared (R) units to silicon detectors. They emit infrared or visible light when
forward biased. Motorola offers nine red and infrared,
fast switch ing types for flex ibility in package, performance and price.

LIGHT EMITTING DIODES
Motorola visible red (660 nM) gallium arsenide phosphide
emitters are available for use in panel and circuit

&
Jr
~

condition indicators, light modulators, alphanumeriC
displays and film annotation.

Actual Size

•
•

CASE BIA
Low Profile Lens

MLED610
(Clear)

660

1100
@50mA

1.6

MLED600
(Clear Red)

660

1100
@50mA

1.6

MLED630
(Clear Red)

660

1100
@50mA

1.6

Actual Size
Case 171(2)

Case 247

INFRARED EMITTING DIODES
Infrared (900 nM) gallium arsenide emitters are available
from Motorola for use in light modulators, shaft or
position encoders, punched card and tape readers, optical

case 234-02
Clear Plastic

~

'&

Actual Size

MLED60

900

•

MLED90

900

MLED900

Actual Size

Case 171(2)

•
•

Actual Size

CASE BIA
Low Profile Lens

~,

switching and logic circuits. They are spectrally matched
for use with silicon detectors.

550
@50mA
350

1.2

900

550
@50mA

1.2

MLED910

900

150
@50mA

1.2

MLED930

900

650
@100mA

1.2

Case 209·01

5-85

1.2

OPTOELECTRONICS (continued)

SEVEN SEGMENT VISIBLE READOUT
peripheral and airborne equipment; and for film annotation_

Visible red (660 nM) readouts are available for use as
digital displays for calculators, instruments, computer

,

Case 683
C..e683

Style A

~

~

MOR10

MOR10A

7-5egment, Decimal
Point on Right
Character Size 0.120 x 0.072

20

40

2.5

20

40

2.5

COUPLERS

•

include interfacing and coupling systems, phase and feedback controls, sol id-state relays and general-purpose switching circu its. They're offered in an economical, compact,
dual in-line plastic package.

Optoelectronic couplers are gallium-arsenide LEDs optically coupled to silicon photo transistors and designed
for applications requiring electrical isolation, high current
transfer ratios, small package size and low cost. They

Case 673·02

~

MOCIOOO
MOC100l
MOC1002
MOC1003
MOCll00

20
20
10
10
100

5-86

60
60
30
30

1500
2500
1500
500
1500

5.6
2.8
2.8
2.8
20

300
300
300
300
10

OPTOELECTRONICS (continued)
LIGHT DETECTORS

They are sensitive throughout the visible and near-infrared
spectral range with peak sensitivity typically at a wavelength of 0.8 micrometers. Much faster than the conventional photocells or mechanical contacts, these photo·
transistors have rise and fall times in low microseconds
when pulsed with a gallium arsenide light-emitting diode.
The devices fall in two major categories, dependent on
applications: (1) high density mounting (subminiature
size) and (2) low density mounting (miniature size).

Control Iight generated current flow with 24 different
PIN photodiodes, phototransistorsand photo Darlington's
for optimized optical performance in dc to high frequency
designs. Sensitive, fast and rugged, Motorola detectors
are also available in custom arrays of discrete devices pre·
assembled and pre-tested to your specifications. Motorola
phototransistors are high quality passivated Annular
devices providing a high order of stability and reliability.

HIGH DENSITY NPN SILICON
PHOTOTRANSISTORS
Subminiature NPN silicon phototransistors designed for
use in card and tape readers, pattern and character

Actual Size

&CASESIA
Low Profile Lens

•

recognition equipment, shaft encoders, or any design
requiring radiation sensitivity, stable characteristics and
high stability.

0.5
2.0
4.0

MRD601
MRD602
MRD603
MRD604

7.0

25

I

4.8**

j

Note 1: H is radiation flux density emitted from a tungsten source at 2870 K.

**Typ

LOW DENSITY NPN SILICON PHOTOTRANSISTORS

NPN silicon phototransistors designed for use in industrial

radiation sensitivity, stable characteristics and moderate
mounting density in arrays or single device applications.

inspection, processing and control systems, counters,
sorters, switching and logic circuits or any design requiring

I

CASE 210 (2)

MRD810

0.2

CASE 82-01
TO-18

MRD3050
MRP3051
MRD3052
MRD3053
MRD3054
MRD3055
MRD3056

0.02/0.0S*
0.05/0.2*
0.125/0.5*

0.25/1.0'
0.625/2.5'

0.3
0.4

1.5
2.0

0.02
0.04

100

Note 1: H is radiation flux density emitted from a tungsten source at 2870 K.
* MiniMax
** Typ

5-87

5.5**

0.1
0.2
0.110.4'

OPTOELECTRONICS (continued)
PIN SI.LICON PHOTQDIODES

PIN silicon photodiodes are designed. for. application in
laser detection, light demodulation, detection of radiation
from visible and near infrared light emitting diodes, shaft
or position encoders, switching an 50
• Output Logic Excursion Independent of Fanout
• Diode Protection on All Inputs

TO-116

L SUFFIX
CERAMIC PACKAGE
CASE 684

FUNCTION AND CHARACTERISTICS

6-27

INTEGRATED CIRCUITS

SPECIAL BIPOLAR LOGIC PRODUCTS
for
NUfJN APPLICATIONS

~
F SUFFIX
CERAMIC PACKAGE
CASE 607
TO-86

0

CERAMIC PACKAGE
CASE 618

'W'RX.

PLASTIC PACKAGE
CASE 648

- """ --

LSUFFIX
CERAMIC PACKAGE
CASE 620

L SUFFIX
CERAMIC PACKAGE
CASE 632
TO-116

PSUFFIX
PLASTIC PACKAGE
CASE 646
TO-116

~
~

-

L SUFFIX
CERAMIC PACKAGE
CASE 690

L SUFFIX
CERAMIC PACKAGE
CASE 684

(Additional mask-programmable memories are in the MQS device listing.)

•

Bipolar read only memory organized 8532 eight-bit words .

Compatible with

256-81t Read Only Memory

MDTL and all MTTL lines.

Open

collectors or2.0 kilohm pullup resistors at buffered output
bit lines. Truth table and output option specified by user.
Bipolar read only memory organized as 64 eight-bit words.
Open collectors or 2.0 kilohm pullup resistors at output.
Truth table and'output option specified by user.

512-6it Read Only Memory

1024-8it Read Only Memory·

MCM4004AL

690

1024·8it Aead Only Memory·

MCM400SAL

690

BipOlar read only memory organized 85256 four-bit words.
Input loading of -0.25 mA maximum. Typical address
time of 50 ns, typical chip ~Iect time of 25 ns. Open
collectors or 2.0 kilohm pull up resistors at output bit lines.
Truth ta.ble and

Bipolar programmable read only memory organized as
512-8it Programmable

MCM5003AL

0 to +700 C

684

MCM5303AL

--55 to +125 0 C

684

Read Only Memory

64 eight-bit words. F iald programmable by "blowing"
appropriate nichrome resistors to break. metalization
links.
Ninth bit available for circuit testing.
Open

512-81t
Read
128-8it Read Only Memory

Twenty-five gates with two 'custom layers of metalization
req uired to complete the circuit and obtain the desired
function. Compatible with MDTL and all MTTL lines.
·Standard options of the MCM4004 and MCM4006 are available as MCM4067 and MCM4068 8 inary-to-8 CD Number Converters (from MCM4004)
and MCM4069 and IVICM4070 Hollerlth-te-ASCII Converters (from MCM4006). Detailsaregiven on the MTTL Complex Functions device listing.

6-28

I
INTEGRATED CIRCUITS

OPERA TIONAL AMPLIFIERS
Motorola offers a broad line of operational amplifiers
to meet a wide range of usages. From low-cost, industry
standard types to high precision circuits the span
encompasses a large range of performance capabilities.

These linear integrated circuits are available as single,
dual, and quad monolithic devices in a variety of
package styles as we" as standard and beam-lead chips.

OPERATIONAL AMPLIFIERS
(See reverse side of sheet for dual and quad operational amplifiers and drivers.)

listed in order of increasing input bias current within temperature group.

INTERNALLY COMPENSATED

601
601
601
601,606,632,665"

601
601

0.007
0.03
0.04
0.09
0.09
0.25
0.5

7.5
10
10
12
12
7.5
6.0

-

Unity

10
10
30
25

70,000
70,000
25,000
SO,OOO
25,000
20,000

50

200

MLM310
MC1456
MC1436*
MCI456C
MC1436C
MLM307
MC1741C*

10
11
20
10
20
10
10

10
2.0
5.0
2.0
5.0
2.0
2.0

±15
±15
±28
±15
±28
±15
t15

20
1.0
1.0
1.0
1.0
1.0
1.0

300
40
23
40
23
10
10

30
2.5
2.0
2.5
2.0
0.57
0.8

601
601
601
601
601
601
601.606,626,632,646

10
4.5
10
10
10

tIS
±S.O
tIS
±15
tIS
±15
±S.O
+12,-6.0
±S.O

1.0
2.0
2.0
1.0
0.5
0.8
10
7.0
3.0

10
100

3.5
3.5
4.5

2.0
1.0
1.0
2.0
2.0
2.0
7.0
10
1.0

10
4.0
2.0
150
10
100

0.5
1.4
4.2
0.8
0.25
2.0
5.0
1.5
1.7

601
6026,606
601,632
601.606**
601,606,632,665**
6028,606,632
602A.606
601,606,632
6026,606

10

2.0

±15

1.0

10

0.5

601

MLM201A

10
4.0
10
10
10
10
3.0
3.5
4.0

2.0
1.0
2.0
2.0
2.0
2.0
7.0
10
1.0

±15

±S.O
±15
±15
±15
±15
t6.0
+12.·6.0
±S.O

1.0
2.0
1.0
2.0
0.5
0.8
10
7.0
3.0

10
100
10

0.5
1.4
0.8
4.2
0.25
2.0
5.0
1.5
1.7

601.626
6028,606,646
601
601,632,646
601,606,626,632,646
6028,606,632,646
602A,606
601,606,632
6028.606,646

MLM301A
MCI431
MC1748C*
MCI439*
MC1709C*
MC1433
MC1420
MC1712C
MC1430

NONCOMPENSA TED

Temperature Range
0.075
0.15
0.5
0.5
0.5
1.0
2.0
5.0
10

2.0
10
3.0
5.0
5.0
5.0
10
2.0
5.0

10
25
60
200
200
150
100
500
2000

50,000
2,500
50,000
50.000
25,000
40,000
1.000
2,500
4.500

-25 to +75°C Temperature Range

Io to +75°CI Temperature Range
0.075

2.0

10

SO,OOO

0.25
0.3
0.5
1.0
1.5
2.0
4.0
7.5
15

7.5
15
6.0
7.5
7.5
7.5
15
5.0
10

so
100
200
100
500
500
200
2000

25,000
I,SOO
20,000
15.000
15,000
30,000
7SO
2,000
3,000

4000

11

I

so

so
4.0
2.0
150
10
100

"'Use MeC prefix for nonencapsulated chip.

**Use MCBC prefix for nonencapsulated beam·lead device, use

Mea prefix for beam-lead device in flat ceramic package.
DEFINITIONS

SR

Slew Rate @ Unity Gain

Avol

Open-Loop Voltage Gain

VIO

Input Offset Voltage

Va

liB

Input Bias Current

'10

Input Offset Current

fc
BWp

Output Voltage Swing
Unity Gain Crossover Frequency
Power Bandwidth

6-29

MLM101A
MC1531
MCI539*
MC1748* '*
MC1709* **
MC1533
MC1520
MC1712
MC1530

OPERATIONAL AMPLIFIERS (Continued)

DUAL OPERATIONAL AMPLIFIFRS
Listed in increasing order of input bias current.

INTERNALLY COMPENSATED

601,632
632

601,626,632,646
632
601,626,632,646
·Use MeC prefix for nonencapsulated chip.

NONCOMPENSA TED

632
602B,607,632

632,646
602B,607 ,632,646

QUAD OPERATIONAL AMPLIFIERS
Internally Compensated
...for automotive applications

•

0.3

1,000

I

10

5.0

+15

5.0

0.6

20

646

MC3401

POWER DRIVERS
INTERNALLY COMPENSATED

High current gain 170 dB)
op ampl power booster

12

300

12

300

±15

1.1

12

0.8

614 MC1741 with high current MCH2870M
capability. ±300 rnA max

11

300

±15

-

1500

75

614 High current gain 170 dB)
op ampl power booster.

10 = 300 rnA max

0.5

5.0

200

50.000

o to +75° C Temperature Range
300

-

-

850

MCI438

10;;: 300 rnA max

0.5

6.0

200

20.000

11

300

±15

1.1

6-30.

12

0.8

614 MC1741 with high current MCH2870C
capability. ±3QO rnA max

INTEGRATED CIRCUITS

INTERFACE CIRCUITS
Interface circuits fit in the gray area between the
linear and digital realms. Usually these IC's perform the
necessary translation between an analog signal input and
the required digital logic levels or vice versa. To aid in

selection, the devices have been divided into five main
Drivers,
Receivers,
categories: Sense Amplifiers,
Comparators, and D/A Converters.

SENSE AMPLIFIERS
The sense amplifiers listed provided the necessary
translation from the outputs of core or plated-wire
memories to MTTL (unless otherwise noted) logic levels.
Unless noted, all devices are designed to operate from

±5.0 volt power supplies. The output of these sense
amplifiers changes logic states when the differential
input voltage exceeds a specified threshold level,
regardless of input polarity.

CORE MEMORY

independent gating, camplementary outputs, memory

data register

~
~
~

~
~
~
~

~
~

Dual channel with opencollector output, high sink
current capabilitv

Dual with independent
strobing

Same as MC7524·25 except
amplifier test points included

Same as MC7524·25 eHcept
NAND outputs

Same as MC7528·29 eHcept
NANO outputs

11
36

19
44

15
40

55

620

MC7520

8.0
33

22
47

15
40

55

620

MC7521

11
36

19
44

15
40

45

620

MC7522

8.0
33

22
47

15
40

45

620

MC7523

11
36

19
44

15
40

40

620

MC7524

8.0
33

22
47

15
40

40

620

MC7525

11
36

19
44

15
40

40

620.
648'

MC752S··

10
35

20
45

15
40

40

620

MC5528"

8.0
33

22
47

15
40

40

620.
648'

MC5529"

11
36

19

15
40

40

44

620.
648'

10
35

20
45

15
40

40

620

MC5534"

8.0
33

22
47

15
40

40

620.
648'

MC5535"

11
36

19
44

15
40

40

620.
648'

10
35

20
45

15
40

40

620

MC5538"

8.0
33

22
47

15
40

40

620.
648'

MC5539"

"Case 648 used with commercial-temperature-range devices only.

·*To be announced.

6-31

MC7529"
MC7534"

MC7535"
MC7538"

MC7539"

INTERFACE CIRCUITS (Continued)
SENSE AMPLIFIERS (continued)
CORE MEMORY (Continued)

O.SItS cycle time.
20"5 typ response time,
±6.0V power supply

14

O.4,us cycle time,
1.5V common·mode inputs,
1.0mV typ input offset

14

20

·5.0V

30

17

23

540

20

·6.0V

6028
606,

MCI540

MCI440

607,
632

MC1541

MC1441

35

632

MC1543

1.0

25

620

MCI544

MCI444

3.0

18

620

MCI546

MCI446

30

632

Compatible with MECL.
+5.0V, ~5.2V power supplies,
threshold insensitive
to supply variations,
complementary outputs

PLATED WIRE MEMORIES

AC-coupled,

decoded input channel selection,
wired-QR output capability.
output strobe capability ,
+5.0V, -6.0V power supply

DC-coupled, decoded input, 0.5 mV input offset,
output strobe capability, +5.0V, -6.0V power supply

6-32

INTERFACE CIRCUITS (Continued)

DRIVERS
Several types of interface drivers are tabulated in this
section: twisted-pair drivers for transmitting data over
long lines, RS-232 drivers for interfacing 'modems and

terminals, peripheral drivers for driving lamps, relays
and memories, and MOS clock drivers for providing the
required clock pulses to highly-capacitive loads.

TWISTED-PAIR LINE DRIVERS

~

Dual Driver/Receiver

~ with MECL Bia.Supply

~

~ Dual3-lnput Driver

51

MDTL.
MECL.
MRTL

6.9/10.4

5.0

13/13

632

MC1580

MDTL.
MTTL.
MRTL

6.9/10.4

5.0

15/13

632

MC1582

3.5/7.0

100

9.0/9.0

646#

632.

ual Driver with inhibit

inputs for party-line
driver applications

MC551 09

MC75109

MC55110

MC75110

MTTL
632.
6.5/15

100

9.0/9.0

646#

25/15

632

Differential Party-Line

Driver with push-pull

MDTL

18/26

MC75113t

outputs

#Case 646 used with industrial-temperature-range devices only.

tOto +7SoC Temperature Range

R8-232 LINE DRIVER

Quad Line Driver

MDTL.MTTL

-6.0
-9.0

+6.0

+9.0

+9.0

+13.2

*@3OOOohms, 15 pF

6-33

-9.0
-13.2

150/65*

632

MC1488

INTERFACE CIRCUITS

(Continued)

DRIVERS (continued)
MOS CLOCK DRIVERS

Dual MOS Clock
Driver with Strobe

~
~

MDTL,MTTL

2.0 MHz

5.0/·20

55

50

25

22

·55 to +125

632

MC1585

MTTL

4.0 MHz

5.0/·12

13

40

23

35

o to +70

646

MHP401

High-Speed Hybrid
MOS Clock Driver

~

PERIPHERAL DRIVERS

Dual Memory Driver with
logic inputs, 24-volt

MDTL,MTTL

600

25/25 (to source collectorsl
20/20 (to sink outputs)

MDTL,MTTL

300'

21/16

620,

648#

MC55325

MC75325

output capability

1.J

~
rr-1"1

~

Dual Peripheral Positive
AND Driver, plus two
noncommitted NPN
output transistors

Dual

632

MC75450

646

Peripheral Positive

AND Driver with logic
gate outputs internally

MOTL,MTTL

300'

connected

#Case 648 used with industrial-temperature-range devices only.
·Each transistor

6-34

17/18

626

MC75451

INTERFACE CIRCUITS

(Continued)

RECEIVERS
Mating with the driver types listed in the previous
section are the receivers tabulated in this section:

twisted-pair receivers for computer applications, and
RS-232 receivers to interface with similar drivers.

TWISTED·PAIR LINE RECEIVERS

~

Dual OriV9r/Receiver

MOTL,MECL,
MRTL,MTTL

±40

±:l.5

13/13

632

MCI580

MECL

±10

±:l.5

15/25

632

MC15S1

MOTL.
MRTL,
MTTL

±2.0

±:l.5

24/34

632

MCI583

Active Pullup

MOTL
MTTL

±40

±:l.5

32/28

632

MCI584

Active Pullup

MTTL

±25

±:l:o

17/17

IMC:55,10,7IM':75107

MTTL

±25

±:l.O

19/19

64Ei#ln~c5s1081MC7E 108

MOTL,MTTL

1.5

1.25

250

25/25

632

MCI489

MOTL,MTTL

2.25

1.25

1150

25/25

632

MCI489A

~ with MECL Bias Supply

:t;o::

:t>o::

Dual Line Receiver

~oual

Line Receiver
~with strobe inputs

Open
Collector

Outputs

Open

Collector
Output

#Case 646 used with industrial-temperature-range devices only.

RS·232 LINE RECEIVERS

Quad line Receiver

6-35

INTERFACE CIRCUITS (Continued)

COMPARATORS
A comparator provides a logical output in response to
the polarity of the differential voltage applied to the
inputs of the device. All comparators shown are
intended for operation from +12 V and ·6.0 V power

supplies, and interface to saturated logic levels.
Maximum differential input voltage is' ±5.0 V and
propagation delay time is 40 ns for all device types
shown.

·56 to +125°C Temperaturll Range
1.250

2.0

601.606.632 MC1110' •• Output impedance =
200 ohms
Dual, strobe capability
MC1514
632

20

2.5

4.0

-1.0

0

2.0

40

2.5
2.5

4.0

-1.0

0

-1.0

0

2.8
0.5

40

5.0

40

603-02.606.
632

MC1111'

1,250

2.0

20

150

3.5

15

Dual with outputs
wired OR. strobe
capability

oto +75°C Temperature Range
1.000

5.0

25

2.5

4.0

-1.0

0

1.6

40

601.606.
632.646

MC1110C'

1.000
700

5.0
5.0

25
100

2.5

4.0
5.0

·1.0
-1.0

0

1.6

40

632.646

MC1414

0

0.5

40

603-02.606.
632.646

MC1711C'

2.5

Output impedance "'"

200 ohms
Dual, strobe capability

Dual with outputs
wire.;QRed strobe

capability
*Use MCC prefix for nonencapsulated chip_
**Use MeaC prefix for nonencapsulated beam-lead device; use Mea prefix for beam-lead device in ceramic flat package.

DEFINITIONS

•

Avol
VIC

VIO
liB

Open-Loop Voltage Gain
Differential Voltage Range
I"put Offset Voltage
1nput Bias Current

VOH
VOL
lOs

Positive Output Voltage
Negative Output Voltage
Output Sink Current

tp

Propagation Delay Time

D/ A CONVERTER
The low-cost O/A converter described here finds wide
usage in communications, control, and instrumentation
systems. It provides a current output which is the

product of a digital word and an analog reference
voltage. Device types specified to greater accuracy and
resolution limits will be introduced in the near future.

DIAGITAL·TO-ANALOG CONVERTER

6-36

INTEGRATED CIRCUITS

HIGH FREQUENCY AMPLIFIERS
AGe capability or several gain options to provide extra
design flexibility.

Motorola's high-frequency amplifiers simplify the
design of receivers and signal processors. Many offer

de to75

2.5

10

50

25

22 min

6.0

1.8

1.0 M

100k

4.2

10

100

16

40@
35@

= 34 dB
= 40dB

35@
15@

=46dB
=52dB

loo@
5O@

=4.0 dB
=25dB

4.2
7.0
4.0

10

100

3.0

100M

4.0

1.0
1.0
1.0

30
250

16
lOOk
20

25

100

46- 52
(fixed)

100M

44
(AGC = 0)

1.0

52
40
20

45

Ves

Ves

±S.O

No

Ves

+6.0

602B,
606

MC1550

No

No

+6.0

602B

MC1552

No

No

+6.0

602B

MC1563

Ves

Ves

+12

601

MCI590

±6.0

603
632

MC1733

Ves

6-37

No

MC1445

MC1733C

J1 TI'~)]£ ill

INTEGRATED'CIRCUITS~ROM MOTOROLA
REG,ULATORS

Motorola offers a broad line of voltage regulat'ors
ranging from low-cost "F unctional Circuits" to
high-precision units. Regulators for positive and negative
voltages are available as well as a' unique floating

Ik IT ~)] 1M ill

regulator, "type MC1566L, whose maximum output
voltage and current are limited only by the external pass
transistor.

POSITIVE VOLTAGE REGULATORS

4.5

40

2.5.

37

2.5

37

2.5

17

2.0

37

200
500
200
500
200
500
150

50

2.0

0.06

~

8.5

40

9.0

0.015

2,7

40

8.5

40'

9.0

0.015

2.7

20

8.5

20

9.0

0.015

3.0

'38

9.5

40

3.5

0.030

0.05mV
0.13
0.05
0.13
0.05
0.13
0.05
0.15

13.0 1 30 18.5

50

2.0

0.06

0.05 mV

-

0.03
0.03
0.06
0.06
0.03
0.03
0.06
0.06

0.2
0.2
0.4
0.4
0.2
0.2
0.4
0.4
0.05 mV
0.13
0.05
0.13
0.05
0.13
0.05
0.20

2.7

1.8
17.5
1.8
17.5
1.8
12

0.68
0.68
.3.0
0.68
3.0
0.68
3.0
0.8

601
602A
614
602A
614
602A
614
603-D3.
632.607"

0.68

601

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

206A
643A
206A
643A
206A
643A
206A
643A

0.68
0.68
3.0
0.68
3.0
0.68
3.0
0.8

601
602A
614
602A
614
602A
614
603-D3.
632

-25 to +85 C Temperature Range
20

14.5 140 1

MLM105
MCI559'
MC156·1
MCI560
MC1723' ••

I MLM205

-10 to +7S·C Temperature Range
4.6
4.6
4.6
4.6·
4.6
4.6
4.6
4.6

32
32
32
32
17
17
17
17

200
200
200
200
200
200
200
200

3.0
3.0
3.0
3.0
3.0
3.0
3.0
3.0

-

4.5

30

30

8.5

40

2.0

0.06

32

3.0

35

9.0

35

12

0.030

2.5

32

3.0

35

9.0

35

12

0.030

2.5

17

3.0

20

9.0

20

12

0.030

2.0

37

20
200
500
200
500
200
500
150

3.0

2.5

3.0

38

9.5

40

4.0

0.030

.

-

-

oto +70 C Temperature Range

•

-

9.0
35
9.0 . 35
9.0
35
9.0
35
9.0
20
9.0
20
9.0
20
9.0
20

-

*Also available as nonencapsulated chip. use MCC prefix.
··Also available as nonencapsulated beam·lead device; use MCBC prefix, use

-

-

-

1.8
17.5
1.8
17.5
1.8
12

-

MFC4060A
MFC6030A
MFC4062A
MFC6032A
MFC4063A
MFC6033A
MFC4064A
MFC6034A
MLM305
MC1469'
MC1461
MCI460
MC1723C'

Mea prefix for device in ceramic flat package.

FIXED OUTPUT POSITIVE VOLTAGE REGULATORS

Junction Temperature Range
14.7

I 5.3

1000

12.0

I

30

I 7.0 I 25

10

0.04

l00mV

20

3.5

11

I MLM109K

I 25

10

0.04

l00mV

20

3.5

11

I MLM209K

I 7.0 I 25

10

0.04

l00mV

20

3.5

II

I MLM309K

-25 to +125°C Junction Temperature Range

I

1000

I 5.2 I

1000

14.7 15.3

12.0

I

30 17.0

12.0

I

30

oto +125·C Junction Temperature Range

14.8

DEFINITIONS
liB

Input Bias (Standby) Current

10

Output Voltage Range
Output Current

Regin

IVin-vOI

Input-Qutput Voltage Differential

RegL

Vin
Vref

Input Voltage

TCVO

Reference Voltage

Line Regulation Voltage
Load Regulation Voltage
Temperature Coefficient of Output Voltage
Power Dissipation

VOR

Po

6-38

REGULATORS (Continued)

NEGATIVE VOLTAGE REGULATORS

• Also available as nonencapsulated chip. use MCC prefix.

DUAL VOLTAGE REGULATORS

tPreset Voltage Range; range is adjustable by adding external resistors from ±14.S to ±20 Vdc.

SPECIAL-PURPOSE REGULATORS

A floating regulator.

can be used as a voltage
controlled current source.
*Limited only by the characteristics of the external series pass transistor.

6-39

INTEGRATED CIRCUITS

SPECIAL-PURPOSE CIRCUITS
The linear-integrated-circuits listed in this section
were developed by Motorola for the system design
engineer to fill special-purpose requirements as indicated

by the subheadings. Temperature ranges and package
availability are also tailored to provide versatility.

MULTIPLIERS

A four-quacfrant multiplier designed to operate with ±15-volt
supplies; has internal level-shift circuitry and voltage regulator.
Applications include multiply, divide, square root, mean square,

phase

detector,

frequency

doubler,

balanced

modulator/de-

modulator. electronic gain control.

X Input = 1.0%
Y Input = 2.0%

±1O

632

MC1495*

*Also available as a nonencapsulated chip. use MCC prefix.

BALANCED MODULATOR/DEMODULATOR

Balanced modulatorldemodulator designed tor use where the output
voltage is a ,product of an input voltage ~signal) and a switching
function (carrier).

LOW FREQUENCY CIRCUITS

POWER CONTROL CIRCUITS
Zero voltage switch for use in ae power switching with output capable of triggering triacs.

POWER DRIVERS

6-40

·10 to +750 C

MFC8070

INTEGRATED CIRCUITS

CONSUMER APPLICA TION SELECTOR GUIDE
... reflecting Motorola's continuing commitment to
semiconductor products necessary for consumer system
designs. The tabulation contains data for a large number
of components designed principally for entertainment

product applications. It is arranged to simplify first-order
of linear integrated circuit device lineups to satisfy primary functions for Television, Audio, Radio, Automotive
and Organ applications.

TELEVISION CIRCUITS
SOUND
646,647

MC1351

Interchangeable with ULN2111A

646,647

MC1357

Excellent AMR,
Interchangeable with CA3065

646,647

MC1356

626

MC1350

646.647

MC1352

Same as MC1352, with Opposite AGe for Tuner

646

MC1353

Low·Level Detection,

626

MC1330

Sound IF, Detector, Limiter,
ALldio Preamplifier

80 J.l.V. 3 dB Limiting Sensitivity.

Sound I F Detector
Sound IF Detector,
DC Volume Control, Preamplifier

3.5 V(RMSI Output, Sufficient for Single Transistor

Output Stage

VIDEO
1st and 2nd Video IF Amplifier

IF Gain @ 45 MHz - 46 dB typ,
AGe Range - 60 dB min

1st and 2nd Video IF,AGC

IF Gain@45 MHz - 53 dB typ, AGC Range - 65 dB min,

Keyer and Amplifier

"Forward AGe" Provided for Tuner

3rd I F and Video Detector

Low Harmonic Generation,
Reduced Circuit Cost and Complexity,

Reduced Shielding
AGC Keyer, AGC Amplifier,
Noise Gate, Sync Separator

High·Quality Noise Gate.
One IF AGC Output and Two Tuner AGe Outputs,
Adjustable AGe Delay

646

MC1345

Automatic Fine Tuning

High Gain AFT System,
Interchangeable with CA3064

646
686

MC1364

Chroma I F Amplifier and
SubcBrrier System

Includes Complete Chroma IF, AGe, de Gain
and Tint Controls, Injection Locked Oscillator,
Low Peripheral Parts Count

646

MC1398

Chroma Subcarrier System

Interchangeable with CA3070,
APe Chroma Reference System

648

MC1370

Chroma I F Amplifier

Interchangeable with CA3071.
Automatic and Manual Gain Control

646

MC1371

Chroma Demodu lators

Similar to MC1328 but with Luminance and
Blanking Inputs,
Internal Matrix Providers RGB Outputs

646,647

MC1326

Industry Standard Demodulator,
Low Differential Output de Drift

603'()2
646.647

MC132B

Dual Doubly Balanced Demodulator with
RGB Output Matrix and PAL Switch

646,647

MC1327

CHROMA

Dual Chroma Demodulator

6-41

CONSUMER APPLICATION SELECTOR GUIDE (Continued)

AUDIO CIRCUITS
PREAMPLIFIERS

DRIVERS

POWER AMPLIFIERS

Audio Power Amplifiers

12
12
20
22

3.0
3.0
100

4.0

8.0

626

1.0
1.0

3.5
5.0

16
16

10

'10

8.0

2.0

24

200

12

16

206A
643A
644A
641

0.5
0.25

RADIO CIRCUITS
IF AMPLIFIERS

IF Amplifier
Limiting FM-I F Amplifier
Limiting IF Ampl/Quadrature Detector
I F Amplifier
IF Amplifier, Nonsaturating Limiter

53
42

40

0.175
0.600

45

690
480

0.4
60

50

500

60

DECODERS

AUTOMOTIVE CIRCUITS
OPERATIONAL AMPLIFIER

6-42

MCI306
MFC4000B
MFC6070
MFC8010
MFC9020

CONSUMER APPLICATION SELECTOR GUIDE (Continued)

ORGAN CIRCUITS
FREQUENCY DIVIDERS

Dual Toggle Flip-Flop

1.0

RHYTHM
Dual Toggle Flip-Flop with Reset

4.0 to 16

1.0

15.5

643A

MFC6050

3-lnput AND Gate

4.0 to 16

-

15

643A

MFC6060

R-S Flip-Flop

4.0 to 16

1.0

15.5

643A

MFC60BO

J-K Flip-Flop

4.0 to 16

1.0

15.5

644A

MFCB050

ATTENUATOR

6-43

MICROCIRCUIT COMPONENTS

CONTENTS
Microcircuit Components Index
Introduction
General Information
Silicon Amplifier Transistors
Silicon Switching Transistors
Silicon Switching & Amplifier Transistors
Silicon NPN RF Transistors
Silicon PNP R F Transistors
Silicon Field-Effect Transistors
Silicon Power Transistors
Silicon High-Speed Switching Diodes
Silicon Zener Diodes
Thin-Film Resistors
Thin-Film Capacitors
Flip-Chips

7-3
7-6
7-7
7-13
7-16
7-22
7-28
7-30
7-34
7-36
7-42
7-44
7-50
7-56
7-60

MTTL Integrated Circuits (Beam Lead) Index

7-63

Linear Integrated Circuit Chips Index

7-112

7-1

•
7-2

MICROCIRCUIT COMPONENTS INDEX
TYPE
Table 1
(Page 7-36)
Table 2
(Page 7-38)
Table 3
(Page 7-39)
Table 4
(Page 7-40)

DEVICE IDENTIFICATION
Passivated Power Transistors
(Gold-Backed Transistor Die)
High Voltage Passivated Power Transistors
Darlington Power Transistors (Mesa)
(Chrome-Silver Backed)
Mesa Power Transistors
(Chrome-Silver Backed)

MMCD914
MMCD6100

Silicon Switching Diodes

MMC0100-300
to
MMC0100-221
MMC0100-330-1
to
MMC0100-221-1

Thin-Film Capacitors

MMC0101
MMC0101-1

Thin-Film Capacitors

MMCF708
MMCF929
MMCF930
MMCF2221,A
MMCF2222,A
MMCF2369
MMCF2484
MMCF2906.A
MMCF2907,A
MMCF3227
MMCF3250,A
MMCF3251.A
MMCF3798
MMCF3799
MMCFD914
MMCR100
MMCR105
MMCR110

Silicon Switching Transistor
Silicon Small-Signal Amplifier
Silicon Small-Signal Amplifier
Silicon Switching and Amplifier Transistors
Silicon Switching and Amplifier Transistors
Silicon Switching Transistor
Silicon Small-Signal Amplifier
Silicon Switching and Amplifier Transistors
Silicon Switching and Amplifier Transistors
Silicon Switching Transistor
Silicon Switching and Amplifier Transistors
Silicon Switching and Amplifier Transistors
Silicon Small-Signal Amplifier
Silicon Small-Signal Amplifier
Silicon Switching Diode
Thin-Film Resistors

MMCS709

Silicon Switching Transistor

MMCS910
MMCS918
MMCS929
MMCS930
MMCS0122
MMCS0123
MMCS0125
MMCS0130
MMCS0131
MMCS0134
MMCS0159
MMCSOl72
MMCS2192
MMCS2193

t

j
t

t
smcon Amp'r' n'n~"o"
Silicon Field-Effect Transistors

Silicon
Silicon
Silicon
Silicon

I

NPN RF Transistor
NPN R F Transistor
Switching and Amplifier Transistors
Switching and Amplifier Transistors
7-3

MICROCIRCUIT COMPONENTS INDEX
TYPE

DEVICE IDENTIFICATION

MMCS2221
MMCS2221A
MMCS2222
MMCS2222A
MMCS2369
MMCS2369A
MMCS2483
MMCS2484
MMCS2857
MMCS2894
MMCS2906
MMCS2906A
MMCS2907
MMCS2907A

Silicon Switching and Amplifier Transistors

MMCS3227
MMCS3250
MMCS3250A
MMCS3251
MMCS3251A

Silicon Switching Transistor
Silicon Switching and Amplifier Transistors

MMCS3252
MMCS3253
MMCS3444
MMCS3467
MMCS3468

5111,on 5wlt,hlng Tr""o"

MMCS3498

Silicon Switching and Amplifier Transistors

l

Silicon Switching Transistor
Silicon Switching Transistor
Silicon Amplifier Transistor
Silicon Amplifier Transistor
Silicon NPN RF Transistor
Silicon Switching Transistor
Silicon Switching and Amplifier Transistors

l
l
I

MMrC'JAOO
........ _v .... ,oJ...,

MMCS3500
MMCS3501

•

MMCS3506
MMCS3507
MMCS3546

Silicon Switching Transistors

MMCS3634
MMCS3635
MMCS3636
MMCS3637

511 ~on 5wltoh Ing

MMCS3724
MMCS3725
MMCS3762
MMCS3763

Silicon Switching Transistors

MMCS3798
MMCS3799

Silicon Amplifier Transistor
Silicon Amplifier Transistor

'r
~

AmplI"" T"n""o,"

j

7-4

MICROCIRCUIT COMPONENTS INDEX
TYPE

•

DEVICE IDENTIFICATION

MMCS3866

Silicon NPN RF Transistor

MMCS3903
MMCS3904
MMCS3905
MMCS3906

Silicon Switching and Amplifier Transistors

MMCS3959

Silicon Switching Transistor

MMCS4260

Silicon Switching Transistor

MMCS4400
MMCS4401
MMCS4402
MMCS4403
MMCS4957

Smcon Swach;ng .nr Ampl;!;" T"n';"o~

MMCS5087

Silicon Amplifier transistor

MMCS5088
MMCS5160
MMCS5583
MMCS5636

Silicon Amplifier Transistor
Silicon PNP RF Transistor
Silicon PNP RF Transistor
Silicon NPN RF Transistor

!

Silicon PNP RF Transistor

. MZC1.8B10
Thru
MZC200B10

Zener Diodes

MAC2.4A10
Thru
MZC200A10

Zener 0 iodes

7-5

r---------------------------INTRODUCTION

THE TREND TOWARD
HYBRID MICROCIRCUITRY

The electronics industry is increasingly turning to hybrid microcircuits for solutions to
problems that have not been solved by conventional monolithic or discrete circuitry. The
trend toward more use of hybrid circuits affects users in all segments of the industry, from
aerospace to consumer equipment. Among the major reasons for using hybrid circuits are
miniaturization, performance, economy, flexibility and reliability.
Hybrid microcircuits can be made very small, therefore, several microcircuits can be
assembled in a conventional integrated circuit package, while others may require special
packaging. A conventional circuit using discrete components can be converted to use
microcircuit components at greater savings in space, and without the extensive changes in
circuit design that would generally be required with monolithic circuitry. Hybrid circuits
can incorporate inductors and passive components with high values.
An interesting characteristic of hybrid microcircuits is that they can be highly complex,
and may incorporate power transistors, field-effect transistors, bipolar transistors, zener
diodes and passive components.

Consequently, hybrids operate at higher power levels and can be manufactured to
tighter tolerances. They also incorporate monolithic circuits, ensuring that a hybrid can
always be more complex than any single monolithic chip.
Hybrid circuits can be less expensive than conventional discrete circuits due to fewer
interconnections, and deposited components that can result in savings. The reduced
number of soldered connections increases reliability, thus making them attractive for
high-rei applications.
Hybrid circuits are more flexible than discrete circuits as they can be produced faster,
and manufactured with a relatively small investment in equipment and training. This makes
it possible for small equipment manufacturers to produce their own proprietary circuits.
An area in which hybrid circuitry is especially useful is in microwave applications.
Monolithic circuits are not useful above the VHF range, and discrete components may be
too large for maximum efficiency. Hybrid circuits, on the other hand, are ideal as they are
small and have minimum lead lengths. The ceramic substrates typical of hybrids provide
excellent microwave isolation that often cannot be achieved with other techniques.

7-6

MICROCIRCUIT COMPONENTS

GENERAL INFORMATION

STANDARD CHIP PROCESSING
The transistor and small-signal diode "chips" in Motorola'sStandard Microcircuit Components line are produced on the same well-proven production
lines that provide Motorola's standard encapsulated devices. They are subjected to the same rigid in-process controls used to insure the reliability and
performance of the eventual packaged components. I n fact, as shown in the
flow chart below, all wafer processing is completed before the wafers are
assigned either for subsequent encapsulation or for additional special testing
and handling involved in selling unencapsulated components.
As with standard encapsulated products, the entire test and inspection
sequence for chips is under the auspices of the Quality Control Department,
providing independent quality assurance completely disassociated from
production control.
CHIP PROCESSING AND QUALITY CONTROL SEQUENCE

Scribe
and
Break

Wafer

Processing

100% Visual

Visual

~

~

Carrier
Loading

Q.C. (Sample)

Chips are visually inspected and rejects

Wafers are visually inspected

removed. Typical rejection criteria:
• cracks intersecting active region

10r acceptable passivation

and metalization

• ink marking indicating electrical rejects

•

• miSSing or smeared metalization
• exposed silicon

7-7

Chip
Shipment

GENERAL INFORMATION (continued)

NON-STANDARD CHIP PROCESSING

The standard unencapsulated semiconductors described in the following sections meet a wide variety of
application requirements. Nevertheless, there may be
occasions when a designer can benefit from a nonstandard device for a specific circuit. To satisfy these
requirements, almost any device from Motorola's broad
line of conventional packaged semiconductors may be
obtained on a specially negotiated basis. Moreover,
though the electrical specifications of these speCial
chips are limited by certain test limitations, the customer can negotiate add itional tests. Please contact you r
Motorola sales representative for more information.

On special order, Motorola transistors other than those
listed in data sheets may be obtained in both wafer
and chip form. The following tables list test limitations for these devices. The tests indicated can be made
on a 100% basis.. The tests can also be negotiated on
a sampling basis.
Frequency and switching performance correspond to
the inherent capability of a particular product line;
dynamic specifications cannot be obtained by probing
a chip. Such parameters are measured with the chip
sealed in a standard encapsulated package and the resulting measurement includes the package parasitics.

TABLE" - Electrical Test Capability for 100% Wafer
Probing of "Special" Unencapsulated
Power Transistors

TABLE I - Electrical Test Capability for 100%
Probing of "Specia'" Unencapsulated
Small~Signal and RF Transistors

. _..... .

-~-.

BVCBO
BVCES
BVCEO
BVEBO
ICBO
ICES
lEBO
hFE
VCE(sat)
VBE(sat)
Vf

_~

_ ............. ..,.1

I
"t
.....'!!nIS

Parameter

Test Condition

BVEBO
BVCBO
BVCES
BVCEO
hFE

10"Ade-l0mA
50 "Ade-S.O mAde
50 "Ade-l.0 mAdt
1.0 mAdccl00 mAde
IC= 50 mAde-l.0 Ade
VCE = 1.0-20 Vdc

Limits

~~~-----

10 "Ade·LO mAde
10 "Adc·l.0 mAde
LOmAde-l0mAde
10 "Ade·l00 "Ade
0-200 V
0-200 V
0-200 V
100 "Ade-500 mAde
100 "Ade·500 mAde'
100 /lAde-5oo mAde'
0-500 mAde

0-300 V
0-300 V
0-300 V
0-300 V
10 nAde
10 nAde
10 nAde
0-1000
0-10 V
0-10 V
0-25 V

30 Vde
500 Vde @ 1.0 mAde
500 Vde @ 1.0 mAdc
500 Vde @ 1.0 mAdc

-

Minimum leakage currents will be the same as the minimum
currents listed for the breakdown voltages above. On high
voltage material (100 Vdc) we convert the breakdown voltage to leakage currents for test purposes. hFE is test equipment limited, higher currents are correlated .

• Accuracy above 250 mAde is not guaranteed due to
contact resistances, etc.

7-8

MICROCIRCUIT COMPONENTS

SHIPPING METHODS

HANDLING PRECAUTIONS
Standard microcircuit components listed in the data sheets
in this catalog are passivated devices, as are most special selections. However, many other unpackaged components, such
as high power thyristors, sJlicon mesa power transistors and

4_ Mesa Types - Mesa transistor chips have exposed
collector-base junctions, therefore, it is important that
the following procedures be implemented:

germanium power transistors, require special handling. Consequently their parameters cannot be guaranteed.

a_ Properly clean the die, prior to encapsulation,
i.e_, ultrasonic cleaning in a solvent such as Xylene or
Trichloroethy(ene_

For passivated devices, although the careand handling of unencapsulated semicon.ductors often require precautions outside
the experience of many equipment manufacturers, Motorola

b_ Completely coat the.exposed junction area with
Dow Corning 997 or equivalent.semiconductorcoating_

warrants that such devices meet or exceed the published (or
negotiated) specifications, provided three basic requirements
are met in the customer's establishment.

Moreover, Motorola's engineering staff is available for can·
sultation in the event of correlation or processing problems
encountered ·in the use of Motorola semiconductor chips. For
assistance of this nature, please contact your nearest Motorola
sales represent~tive.

1. Such devices are stored in an environment of no more

than 30% relative humidity_
2. Devices are processed in B..non·inert atmosphere not eM.·
ceeding 1000C, or in an inert atmosphere not exceeding 400oC.
3. Processing equipment conforms to the minimum stand·

Brds of equipment normally employed by semiconductor
manufacturers.

STANDARD CARRIER PACKAGES
To accommodate customers with both small and
large quantity requirements, Motorola supplies microcircuit components in two standard carriers, the DekaPak and the Multi-Pak_ These carriers are shown in
Figures 1 and 2_ Both contain individual compartments
to simplify user inventory recordkeeping and to protect
the chips during storage.

The Deka-Pak holds 10 small-signal chips, and is
ideal for prototype development_
The Multi-Pak is excellent for production use. Two
versions, both 2 inches square, are avai(able_ One
holds 400 small-signal chips, and the other is designed
for 100 large chips such as power transistors_

FIGURE 2 - MULTI-PAK

FIGURE 1 - DEKA-PAK

(1O-chip carrier)
I - - - - TOP VIEW
I

2.00 MIN

_I

T
2.00
NOM

COMPARTMENTEO TRAY

The Multi·Pak carrier is designed for production use. Two
versions are available, one holding 400 small chips, and one

To accommodate the customer with limited quantity requirements, the Oaka-Paie. carrier contains individual compartments

holding 100 large chips such as those used for power tran-

for 10 chips_

sistors. All of the carriers are 2 inches square. and are vacuum
sealed before shipment.

7-9

SHIPPING METHODS (continued)

OPTIONAL SHIPPING METHODS

CHIP OPTIONS

TABLE I - Specification Options

For large quantity use, or special applications, shipping
methods other than the standard Deka-Pak or MultiPak may be desired. Various packaging and shipping
options are available on a negotiated basis. For more
information on these options, please contact your
Motorola sales representative.

,.

CHIPS

100% probed. Rejects inked but
included in bulk shipment.
2. 100% probed. Electrical and
mechanical rejects removed.
3. Same as above, but sample tested
in a package to meet negotiated
acceptance criteria.

FIGURE 3 - K-PAK (1000-CHIP CARRIER)

Shipping
Options
See Figure 4
See Figure 2
and Figure 3
See Figure 2
and Figure 3

FIGURE 4 - STRAW-PAK PLASTIC VIAL
BULK SHIPMENT
COMPARTMENTED TRAY

~.
,

CROSS SECTION

This carrier holds 1000 chips. It is designed with individual
~mpartments for each chip. The chips are placed in the
carrier with the geometry side showing. (Verv small chips
may become inverted in transit.~

The Straw·Pak is a vial encompassing a straw that has one end
closed. The chips are inserted in the straw, and than the straw
is Dent ana p:liiied iii tha ill~:tic vh~! for !hipmen1:.

WAFER OPTIONS

FIGURE 6 - WAFER SHIPMENT (UNSCRIBEO)

Motorola unencapsulated transistors may be obtained
in waferform. The information in Table II gives the various specification verification and packaging options.

TABLE II - Specification Options

FOAM

FIGURE 5 - PLASTIC
BAG SHIPMENT

MYLAR
WAFER

Shipping
Options

MYLAR

1. Sample probed. Guaranteed
minimum yield.

See Figure 6

FOAM

2. 100% probed. Rejects inked.
3. 100% probed. Rejects inked,
scribed and broken. Wafer
is placed between two sheets
of mylar or filter paper and
vacuum sealed in a plastic bag.

See Figure 6

WAFERS

See Figure 5
PLASTIC
BOX

Wafer is 100% probed. Rejects
inked, scribed, and broken. Wafer
is placed between two sheets of
mvlar and vacuum sealed in a
plastic bag;

7.... 10

Wafers are shipped between two lavers of mvlar, sandwiched
between two lavers of polvfoam pressed together in a plastic
box. This prevents movement or damage to the wafer.

MICROCIRCUIT COMPONENTS

VISUAL INSPECTION

DEFINITION OF TERMS
the oxide for metalization contact to the emitter
and base regions.

Emitter-Base and Collector-Base Junctions. Theregion

where the base and col/ector, and the emitter and
base meet. The116 junctions will be defined on the
surface of the. chip as an oxide step.

Pre·Ohmic Alignment. The pOSitioning of the oxide
operling into which the metalization is placed.

Diffusion' Window. The opening etched through the
oxide to permit the diffusion of the emitter and

Passivated Region. Any region covered by glass (5102),
nitride, or other protective dielectric.

base.

Expanded Contact. Any pattern that has metalization
crossing a diffused junction.

Active Junction. A change in 'N'type to 'P' type dop-

ing or conversely, by a diffusion step. On discrete
transistors there are 2 active junctions, the col/ectorbase junction and the emitter-base junction.

Attached Foreign Material. A foreign substance that
cannot be removed when subjected to a nominal gas
flow. Lint, silicon dust, etc. are not considered
attached since they can be removed after die mount.

The Pre-Ohmic Window. The opening etched through

INSPECTION CRITERIA
Visual inspection is performed with a microscope using 40X·80X magnification for Silicon·Power Chips and
100X·125X for other devices.
SCRIBING DEFECTS
Excess Chip. A chip shall be rejected if a portion of an
adjacent chip with metalization is still attached to
subject chip.

window is covered with metalization.
FOREIGN MATERIAL DEFECTS
Bridged-Across Metal. A chip shall be rejected when
attached foreign material bridges across normally

Scribe Line Limits. A chip shall be rejected if a scribe
line touches or crosses an active junction area or a
metalized region.

separated metalized areas.
Particle Size Inside Active Area. A chip shall be
rejected when attached foreign material greater than

MECHANICAL DEFECTS
Inspect each chip to insure there are no cracks. or
breaks that:
Non·Expanded Contacts
(a) Touch the collector·base junction (NPN).

;1 mils. is found inside collector-base junction or on

the emitter·base bonding pads.
OXIDE DEFECTS

(b) Extend through the annular ring (PNP).

Exposed Silicon on Junction. A chip shall be rejected
if exposed silicon touches or crosses the collector·

Expanded Contacts
(a) Touch the collector·base junction (NPN).
(b) Extend through the annular ring (PNP).

base junction or the emitter-base junction.
Exposed Silicon Touching Metal. A chip shall be rejected if exposed silicon touches or extends under
the bonding pad metalization. (Expanded contacts
only.)

(c) Extend under any metalized bonding pad.
Inspect each chip to insure there are no cracks greater
than one mil. in length in a passivated region and ex·
tending toward an active area.

(Does not apply to

Silicon Power devices.)
Pre-Ohmic Alignment. The chip shall not contain
emitter pre,ohmic windows that cross the emitter-

Oxide Defect in Active Area. A chip shall be rejected
if an oxide defect occurs inside or on the collectorbase junction with a major dimension greater tnim
1 mil. (Does not apply to Silicon Power Devices.)

base junctions or base pre-ohmic windows that

Oxide Defect Crossing or Touching. A chip shall be

touch the emitter-base junction or cross the collector-base junction.

rejected if gross oxide defects, evidenced byalter·
nately colored bands (rainbow effect), emit from
two separate ohmic contacts and either touch or
cross each other, or cross the collector·base june·
tion. (Not applicable to line geometries with more
than 18 fingers totaL)

ALIGNMENT DEFECTS

Diffusion Window Alignment. No diffusion window
shall touch another diffusion window.
Metalization Alignment. The metalization must be
aligned so that at least 50% of the pre-ohmic

7-11

VISUAL INSPECTION (continued)

INSPECTION CRITERIA (continued)

metalized areas and if oxide is not clearly visible
between the pre·ohmic window and any adjacent
metalization not intended to make contact.

Oxide Defect Under Bonding Pads. A chip shall be reo
jected if an oXide defect extends under 25% of the
bonding pad.
Discontinuous Diffusion Lines. A chip shall be rejected
if any diffusion line is broken or missing.

Metal Corrosion. A chip shall be rejected if it exhibits
any corroded metal. Corrosion is a chemical reaction or process causing abnormalities in the metal·
ization. A rough metalization surface is not to be
considered corrosion.
Non-Expanded Contacts

METALIZATION DEFECTS
Expanded Contacts (finger geometries).
Missing Metahzation on Bonding Pads. A chip shall be
rejected when 25% of the metalization is missing
from a bOnding pad.

Missing Metalization. A chip shall be rejected when
more than 25% of the metalization is missing from
a bond ing pad.

Metalization Width at Oxide Step. Any chip shall be
rejected if the metalization width of any finger is
reduced greater than 25% at any oxide step. 75%
of the metal width must remain.

Lifted Metalization. A chip shall be rejected if it exhibits any lifted metalization. SI.ight undercutting
causing a lifted appearance is not cause for rejection.

Metalization Width In First 50 Percent of Finger. A
chip shall be rejected if the finger metalization is
narrower than 50% of its original design width or if
the finger width is reduced greater than 50% due to
a severe scratch or void in the first 50%ofthe finger.
A severe scratch is one whiCh exposes the under·
lying surface.
Fingers Isolated or Missing. A chip shall be rejected if
any finger is not 100% continuous over the first
50% of the finger (from the bonding pad). For line
geometries with more than 18 fingers, a chip shall
be rejected if over 10% of the fingers do not have
metalization covering the first 50% of each finger.
Bubbled Metahzation. A ch ip shall be rejected if it ex·
hibits any bubbled metalization on a bonding pad.
Lifted Metalization. A chip shall be rejected if it exhi·
bits any lifted metalization. Slight undercutting
causing a lifted appearance is not cause for rejection.
Bridged Metalization. A chip shall be rejected for
bridged metal shorting any two normally separated

Bubbled Metalization. A chip shall be rejected if it exhibits any bubbled metalization on a bonding pad.
Bridged Metalization. A chip shall be rejected for
bridged metal shorting any two normally separated
metalized areas.
Narrow Metal Widths In Relation To Design Width. A
chip shall be rejected if the metalization is narrower
than 50% of its original design width.
Metal Corrosion. A chip shall be rejected if it exhibits
any corroded metal. Corrosion is a chemical reaction or process causing abnormalities in the metalization. A rough metalization surface is not to be
considered corrosion.
METALIZED ANNULAR RING
Missing Metalization. A chip shall be rejected when a
metalized annular ring is not 100% continuous.
Bridged Metalization. A chip shall be rejected for
bridged metal shorting the metalized annular ring
with any other metalized area.

RECOMMENDED INCOMING INSPECTION PROCEDURES
Motorola with a detailed inspection report. In no
case will Motorola accept rejected material that the
customer has inspected 100%.

Motorola assures that the devices will meet the
customers' incoming visual inspection when inspected to
the visual criteria and LTPD limits specified in the data
sheet. Inspection must be performed at the power and
magnification indicated. Motorola guarantees dc parameters to LTPD limits specified in the data sheet.

B_ After the lot has passed incoming visual inspection,
samples are selected and subjected to electrical tests
of the dc parameters. If samples do not pass the
electrical tests, they shall be packaged separately
and identified with all the information from the
original package of chips. The shipping container
must be closed and secured. The entire lot together
with the test samples and a detailed inspection report shall be returned to Motorola. In no case will

Returned Components
It is suggested that the customer perform incoming inspection in the following sequence:
1. Visual
2. Test dc electrical parameters

Motorola accept,rejected material that the customer
has inspected 100%.

A. If the lot fails visual inspection, containers must be
closed and secured and the 'entire lot returned to

7-12

MICROCI RCUIT COMPONENTS
AMPLIFIER TRANSISTORS

SILICON TRANSISTORS
UNENCAPSULATED
AMPLIFIER TRANSISTORS

... with passivated Annular construction that provides high reliability
and consistent performance. These chips are identical to the chips
used in packaged Motorola transistors with 2N prefixes; i.e., the
MMCS910 chip is used in the Motorola 2N910 transistor. For more
detailed characteristic data, please refer to the equ ivalent Motorola
2N .... data sheet.

•

DC Current Gains to 300 Minimum

•

Breakdown Voltages to 100 Volts

•

Noise Figures as Low as 0.8 dB Typical

MMCS910
MMCS918
MMCS929
MMCS930
MMCS2483

MMCS2484
MMCS3798
MMCS3799
MMCS5087
MMCS5088

HANDLING PRECAUTIONS
MAXIMUM RATINGS

•

Although the care and handling of unencapsulated semiconductors often require

precautions outside the experience of

many equipment manufacturers, Motorola
MMCS910
MMCS918
MMCS929
MMCS930
MMCS2483
MMCS2484
MMCS5088

60
15
45
45
60
60

30

100
30
45
45
60
60
35

1.0
3.0
5.0
5.0
6.0
6.0
4.5

-

1
2
3
3
3
3
5

50

30
30
50
50
50

PNP

warrants that such devices meet or exceed
the published specifications, provided
three basic requirements afe met in the

customer's establishment.
1. Such devices are stored in an environment of no more than 30% relative
humidity.
2. Devices are die-:snd-wire bonded in
a noninert atmosphere not exceeding
l000C, or in' an inert atmosphere not ex-

ceeding 400"C.
3. Processing equipment conforms to
the minimum standards of equipment
normally employed in semiconductor
establishments.

Operating and Storage Junction

Temperature Range -65 to +200o C

Moreover, Motorola's engineering staff is
available for consultation in the event of
correlation or processing problems e~
countered in the use of Motorola semiconductor chips. For assistance of this nature. please contact your nearest Motorola
sales representative.

7-13

AMPLIFIER TRANSISTORS (continued)

NPN
MMCS9tO
MMCS918
MMCS929
MMCS930
MMCS2483
MMCS2484
MMCS5088

60
15
45
45
60
60
30

30
3.0
to
to
10
10
1.0

tOO
30
45
45
60
60
35

tOO
1.0
10
10
10
10
100

7.0
3.0
5.0
5.0
6.0
6.0
4.5

tOO
to
10
10
10
10
10

25
to
to
10
10
10
50

75
15
45
45
45
45
20

75/20/60/150/75/175/300/900

to'
3.0'
500
500
100
100
100

0.4
0.4
1.0
1.0
0.35
0.35
0.5

0.8
1.0
1.0
1.0
-

10
10
to
10
1.0
t.O
10

AC·PARAMETERS
Ihfel@

IC ,VCE '
mA

Volts

f

NF

MHz

dB

G) SMALL-5IGNAL CHARACTERISTICS

@
Hz

max

(lC

= 1.0 rnA, VCE = 10 V, f = 1.0 kHz.)

NPN
MMCS910
MMCS918
MMCS929
MMCS930
MMCS2483
MMCS2484
MMCS5088

15
t.7
8.0
8.0
6.0
6.0

8.0
8.0

-

-

4.0
4.0
4.0

-

85
2.0

-

2.5
6.0
1.0
1.0
2.0
2.0
2.0

50
4.0
0.5
0.5
0.5
0.5
0.5

10
10
5.0
5.0
5.0
5.0
5.0

20
tOO
30
30
30
30
20

14
6.5
5.0
4.0
5.0
4.0
4.0

1.0K
60M
10-15.7 K
to-15.7 K
10-15.7 K
10-15.7 K
10-15.7 K

0.8
0.8
1.6

0.5
0.5
0.5

5.0
5.0
5.0

30
30
20

t.Otyp
0.8 typ
3.0

1.0K
1.0K
10-15.7 K

PNP
MMCS379SG)
MMCS3799(!)
MMCS50S7

-

-

... AC parameter values are as specified in the standard 2N data sheets. (encapsulated devices).

PARAMETER LIMITATIONS AND WARRANTy
Probe Umitations allow 100% testing ~f low level de parameters only_ DC parameters have been selected to insure electrical characteristics
to an L TPD of 10 and ae parameters to an L TPD of 20. Visual inspection is performed to an L TPD of 10. See "Visual Inspection Criteria"
in General Information Section,

7-14

AMPLIFIER TRANSISTORS (continued)

MECHANICAL INFORMATION
MATERIAL - SILICON
FRONT METALIZATION - ALUMINUM
BACK METALIZATION - GOLD (Collector Contact.
ALL DIMENSIONS ARE IN MI LS

EMITTER

BASE

GEOMETRY 1

MMCS910

GEOMETRY 2

NPN

MMCS918

NPN

BASE

GEOMETRY 3

MMCS929
MMCS930

MMCS2483
MMCS2484

GEOMETRY 4

NPN

GEOMETRY 5

MMCS5088

7-15

NPN

MMCS3798

MMCS3799

MMCS5087

PNP

MICROCIRCUIT COMPONENTS

SWITCHING TRANSISTORS

UNENCAPSULATED
SWITCHING TRANSISTORS

SILICON TRANSISTORS

· .. with passivated Annular construction that provides high reliability
and consistent performance. These chips are identical to the chips
used in packaged Motorola transistors with 2N prefixes; i.e., the
MMCS709 chip is used in the Motorola 2N709 transistor. For more
detailed characteristic data, please refer to the equivalent Motorola
2N .... data sheet.
•

Breakdown Voltage to 80 Volts

•

Switching Times as Low as 3.5 ns

MMCS709
MMCS2369
MMCS2369A
MMCS2894
MMCS3227
MMCS3252
MMCS3253
MMCS3444
MMCS3467
MMCS3468
MMCS3506

MAXIMUM RATINGS

I

MMCS709
MMCS2369
MMCS2369A
MMCS3227
MMCS3252
MMCS3253
MMCS3444
MMCS3506
MMCS3507
MMCS3724
MMCS3725
MMCS3959

PNP

6.0
15
15
20
30
40
50
40
50
30
50
~2

I

MMCS2894
MMCS3467
MMCS3468
MMCS3546
MMCS3762
MMCS3763
MMCS4260

15

4.0
4.5
4.5
6.0
5.0
5.0
5.0
5.0
5.0
6.0
6.0

40
40
40
60
75
80
60
80
50
80
I

12
40
50
12
40
60
15

20

4.5

I

I

4.0
5.0
5.0
4.5
5.0
5.0
4.5

12
40
50
15
40
60
15

100
500t
200
500t
1000
1000
1000
3000
3000
1000
1000
30

1
2
2
2
4
4
4
6
6
4

HANDLING PRECAUTIONS
Although the care and handling of unencapsulated
semiconductors often require precautions outside
the experience of many equipment manufacturers.
Motorola warrants that such devices meet or ex-eeed

the published specifications, provided three basic requirements are met in the customer's establishment.

4
I

200
1000
1000
200
1500
1500
30

9

I

3. Processing equipment conforms to the minimum standards of equipment normally employed
in semiconductor establishments.
Moreover, Motorola's engineering staff isavailablefor
consultation in the event of correlation or pr.ocessing
problems encountered in the use of Motorola semiconductor chips. For assistance of this nature, please
contact your; nearest Motorola sales representative.

Temperature Range -65 to +200o C

15
EMITTER

BASE

fL ~
10

1.0

L

1.5
GEOMETRY 1

-I

~
10

1.8

MMCS709 NPN

I

t

4.0

L

1.0

1. Such devices are stored in an envir~ra!'Tlent
of no more than 30% relative humidity.

2. Devices are die-and-wire bonded in a noninert atmosphere not exceeding 100 0 C, or in an
inert atmosphere not exceeding 400°C.

3
5
5
7
5
5
10

Operating and Storage Junction

I"

4.0

~

-I 'of- -j

GEOMETRY 2

7-16

MMCS3507
MMCS3546
MMCS3724
MMCS3725
MMCS3762
MMCS3763
MMCS3959
MMCS4260

~~g~:~A

3.0

f--

MMCS3227

NPN

SWITCHING TRANSISTORS (continued)

ELECTRICAL CHARACTERISTICS (TA = 25°C)
VCE(sat) , VBE(satl
Volts
Volts
max
max

TYPE

@

IC
mA

NPN

MMCS709
MMCS2369
MMCS2369A
MMCS3227
MMCS3252
MMCS3253
MMCS3444
MMCS3506
MMCS3507
MMCS3724
MMCS3725
MMCS3959
PNP
MMCS2894
MMCS3467
MMCS346B
MMCS3546
MMCS3762
MMCS3763
MMCS4260

6.0
15
15
20
30
40
50
40
50
30
50
12

10
10
10
10
10
10
10
10
10
10
10
10

15
40
40
40
60
75
BO
60
BO
50
BO
20

10
10
10
10
10
100
100
10
10
10

4.0
4.5
4.5
6.0
5.0
5.0
5.0
5.0
5.0
6.0
6.0
4.5

10
10
10
10
10
10
10
10
10
10
10
10

50
400
400
200
500
500
500
1000
1000
500
500
50

5.0
20
20
20
40
60
60
30
40
40
60
10

20/200
40/120
30/100/300
30/90
25/75
20/60
40/200
30/150
60/150
60/150
40/200

10
10
30
10
500
500
500
1500
1500
100
100
10

0.35
0.25
0.2
0.25
0.5
0.6
0.6
1.0
1.0
0.42
0.42
0.2

0.B5
0.B5
0.B5
0.B5
1.3
1.3
1.3
1.4
1.4
1.2
1.2

-

3.0
10
10
10
500
500
500
1500
1500
500
500
1:0

12
40
50
12
40
60
15

10
10
10
10
10
10
10

12
40
50
15
40
60
15

10
10
10
10
10
10
10

4.0
5.0
5.0
4.5
5.0
5.0
4.5

10
10
10
10
10
10
10

10
100
100
10
100
100
50

6.0
30
30
10
30
50
12

40/150
40/120
25/75
30/120
30/120
20/BO
30/150

30
500
500
10
1000
1000
10

0.2
0.5
0.6
0.15
0.9
0.9
0.35

1.2
1.2
1.2
0.9
1.4
1.4
1.0

30
500
500
10
1000
1000
10

10

to

AC* PARAMETERS
Cob Cib

TYPE

•

NPN
MMCS709
MMCS2369
MMCS2369A
MMCS3227
MMCS3252
MMCS3253
MMCS3444
MMCS3506
MMCS3507
MMCS3724
MMCS3725
MMCS3959
PNP
MMCS2894
MMCS3467
MMCS3468
MMCS3546
MMCS3762
MMCS3763
MMCS4260

Ihf.1 @IC ,VCE,

pF
pF
max max

min

3.0
4.0
4.0
4.0
12
12
12
40
40
12
10
2.5

4.0
BO
80
80
300
300
70
70
2.5

6.0
25
25
6.0
18
lB
2.5

f

mA

Volts MHz

5.0
5.0
5.0
5.0
1.B
1.5
1.5
2.5
2.5
2.0
2,0
11

5.0
10
10
10
50
50
50
100
100
50
50
5,0

4.0
10
10
10
10
10
10
5.0
5.0
10
10
4.0

100
100
100
100
100
100
100
20
20
100
100
100

6,0 \3.2
100
1,6
100
1.4
6.0
\7.0
95
1.5
95
1.2
2.5
10

30
50
50
10
50
50
5.0

10
10
10
10
10
10
4.0

100
100
100
100
100
100
100

2.0
4.0

-

tel, t!
ton
ns
max

t., tf
toff"
ns
max

lBlB'
15'
20'
1420'
15'
20'
18,35
50,35
18,40
50,35
50,35'
18,40
18,40
65,40
65,40
18,40
45'
75'
75'
45'
2.4,3.0(1) 1.6,3.3(1)
70
10,30
10,30

100'
80,30
80,30
4835"
10,40
95,40
10,40
95,40
1.0,0.5(1) 1.0,1.0(1)

Test
Circuit
Fig. No.

1
2
3
2
5
5
5
7
7
9
9
12
4
6
6
8
11
11
13

• AC parameters are as specified in the standard 2N

data sheets (encapsulated devices)
(1) Typical Switching Times
PARAMETER LIMITATIONS ANO WARRANTY
Probe limitations allow 100% testing of low level de

parameters only. DC parameters have been selected
to insure electrical characteristics to an L TPD of 10
and ae parameters to an L TPD of 20.
Visual Inspection is performed to an L TPD of 10.
See "Visual Inspection Criteria" in General Informa-

tion Section.

MECHANICAL INFORMATION
MATERIAL - SILICON
FRONT METALIZATION - ALUMINUM
BACK METALIZATION - GOLD (Collector Contact!
ALL DIMENSIONS ARE IN MILS

GEOMETRY 3

MMCS2894 PNP

GEOMETRY 4 MMCS3252

7-17

~~~=

MMCS3724
MMCS3725

NPN

SWITCHING TRANSISTORS (continued)

MECHANICAL INFORMATION
MATERIAL -SILICON
FRONT METALIZATION - ALUMINUM
BACK METALIZATION - GOLD (Collector Contactl
ALL DIMENSIONS ARE IN MILS

r

~-----------29------------~

FIELD RELIEF
ELECTRODE!

EMI

./

.~

.

EMITTER
7.0DIA .

~

SLO(sq) . ._ _ _ _ _
' ..

BASE

6.0

DIA.

GEOMETRY 5

MMCS3467
MMCS3468

MMCS3762
MMCS3763

, ..

PNP

GEOMETRY 6

EMITTER

15

BASE

MMCS3506

MMCS3507

"I

~"~2.8
_
~

..

FIELD RELIEF
ELECTRODE!

2.2
GEOMETRY 7

GEOMETRY 9

MMCS3959

2.3
MMCS3546

PNP

GEOMETRY 10

NPN

tpatented bV Motorola - Patent No. 3.302,076

7-18

MMCS4260

PNP

NPN

SWITCHING TRANSISTORS (continued)

TEST CIRCUITS
FIGURE 1 - TURN-ON AND TURN-OFF TIME TEST CIRCUIT
VCC=+1.0V
- - ' - - - - , . - - - Yin
50

'T<0.5ns
TO SCOPE: Zin = 50

0.1 ~F

~VOUI
500

2.0 k
Vaa=-1.0V
Yin = +6.0 V
Vap-l.0

2.0 k

Vas' +5.0 Vd,

v

Yin =-4.0 V

Vaa

Yin RISE TIME.;; 1,0 ns

FIGURE 2 - SWITCHING TIME EQUIVALENT TEST CIRCUITS
-toff CIRCUIT - 10 mA

-ton CIRCUIT - 10 mA

IJ

I---

-1.5vJ-L

L

--l

+10.6: _ ~/I\

~

-:--l II I--

+3.0 Vo--'lNV----.

""" '--:t,
- __ -T

I

-L

<1.0ns

3.3k

CS·<4.0pF

I

_.oJ

I
I

-9.15 V

'i'

PULSE WIOTH (11) = 300 ns
DUTY CYCLE = 2.0%

+3.0 V0--"""----,
270

;f-;'
I

3.3 k

!.-< 1.0ns

_ J CS' <4.0 pF

PU LSE WIOTH (11) = 300 ns
DUTY CYCLE = 2.0%

°TotllIl shunt capaclUlnc, of telt jig and connectonl.

7i'L
L
--l

+lO.BV

11

0-'15

.---I

I---

On--T
_4'15V~!.-<1'0n'O

FIGURE 3 - SWITCHING TIME EQUIVALENT TEST CIRCUITS

---I IJ 1-

+3.0 Vo--'lNV--,

+3.0 Vo--VI/I_-.

270

+1.0.75 V

< 1.0 ns

3.3 k

I

_.oJ

PULSE WIOTH!ttl = 300 n'
DUTY CYCLE =2.0%

I

-"'31V
.3"'k-t---1

cst <4.0 pF

I
;T~'

I

_J Cst<4.0pF

PULSE WIDTH !tl) = 300 ns
DUTY CYCLE = 2.0%
tTDtal lIhuntciJpaciUlnca of taltJlg and connectors.

FIGURE 4 - SWITCHING TIME TEST CIRCUIT

Vas
100
0.1

~F

Vin~,

i-=- ,',

100

2.0 k

t----<> VOUI

TO SAMPLING SCOPE
Zin ;;.100 k
tr" 1.0 ns

,

FIGURE 5 - EQUIVALENT CIRCUIT FOR MEASURING
OELAY AND RISE TIMES
STORAGE AND FALL TIMES

r

59
PW.;; 200ns
RISE TIME.;; 2.0 ns
OUTY CYCLE'· 2.0%

+30Vo------'\1111~--.,

OSCI LLOSCOPE
200

+10.7n

Yin
-2.0

:;d-- -'co

Yin

59
+~Vo---"",~---~

-1
,.~
~0-_
l2

-8.7---13--j

7-19

I--

.....20'Y0v-....,r-t-1..

10<11<500",
lN916
12 < 5.0 lIS
13> to",
DUTY CYCLE =2.0% .. -3.0 V

SWITCHING TRANSISTORS

(continued)

FIGURE 6 - EQUIVALENT TEST CIRCUT
TURN·OFF

TURN-ON
59
·30 V Q---'"'VIf\r---,

59

·30 V o----'VV\r---.

ill:-+

SCOPE

SCOPE

8.8V

200

200

I

o --

I I
II

15

PW = 200 ns

- 1 1 1 9 = f -t 3

RISE TIME.; 2.0 ns
DUTY CYCLE = 2.0%

i--T

t2~

2.0<11 <500",
t2 < 5.0 ns
t3> 1.0",
DUTY CYCLE = 2.0%

lN916
+3.0 V ":"

FIGURE 7 - EQUIVALENT CIRCUIT FOR MEASURING
DELAY AND RISE TIMES
STORAGE AND FALL TIMES
19

19
+30 V

PW.;300 ns
RISE TIME.; 2.0 ns
DUTY CYCLE = 2.0%

+16.4 V
100
Vin

Vin

i;-

+30 V

Jl

V ,n

11

0

=:..J I--

·13.6 V

t3
":"

100

Vin

10<11<500ps
t2 < 5.0 ns
t3> 1.0",
DUTY CYCLE = 2.0%

lN916
~·3.0

V

":"

FIGURE 8 - SWITCHING TIME TEST CIRCUIT
·2.0

Vaa

v
62

PULSE WIDTH >200 ns
RISE TIME < 1.0 ns

100

Vout
0.1 "F

lin = 50

I
I

2.0 k

)

Vin 0

ton: Vaa = +3.0 V, Yin = ·7.0 V
toff: Vaa = -4.0 V, Yin = +6.0 V

I

;f~
100

Cs< 10 pF

I

I

~

i

~

~--

FIGURE 9 - SWITCHING TIMES TEST CI RCUIT

Vin=+9.7

+30 V

·3.8 V

JL

15

PULSE GENERATOR
tr, tf< 1.0ns

43

PW~1.0",

Zin = 50
DC <2.0%

1.0!,F

1------4)1-

0 62

1.0pF

t-----iE----o

1.0 k

TO SAMPLING
OSCILLOSCOPE

100

-+---'VVV---+-I'

Zin'" 100 k
tr < 1.0ns

7-20

SWITCHING TRANSISTORS (continued)

FIGURE 11 - SWITCHING TIME EQUIVALENT TEST CIRCUITS
TURN-OFF TIME

TURN·ON TIME
·30 V

30

:,~,----~<~.

+2.0VTI

30
·30 V o----'I/Itv---~

100

100

lN916
10<11 <500"s
12 < 10 ns
13> 1.0",
+4.0 V
DUTY CYCLE = 2.0%

RISE TIME" 2.0 ns
DUTY CYCLE = 2.0%

FIGURE 12 - TEST CIRCUIT

1

Vcc RC2

RCI

IL.J

RL2

You

: RS
I

"::"

_-'"

:'"~"'

RLl

---

-4:-

INPUT Z

ll..GROUNO
PLANES

~

VBB

Vin=Vou t=2V VBB-1V RC1=RC2
Vin=Vou t=IV
IC
RS
RC
RLl RL2
RE VEE Vee
RS
RC
RLl
mA Ohms Ohms Ohms Ohms Ohms Volts Volts Ohms Ohms Ohms
1.0 2.0 k 6.0 k 3.0 k 3.0 k 10k 10
16 1.0 k 6.0 k 1.2k
5.0 360 3.56k 400 450 2.0 k 10
47
175 1.0 k 200
10 160 1.0 k 200 250 3.0 k 30 26.3
75
300 100
20
62
300 100 150 1.0 k 20
16
25
150
25
30
28
157
66
116 1.0 k 30
13
8.0
77
0

RE!
VEE

The test circuit is designed to
simu late a series of cascaded
iden tical circuits, with input
Z eq ual to output 2.

VBS=0.5V RC1=RC2
RE VEE Vcc
RL2
Ohms Ohms Volts Volts
1.2 k 24 k 24
32
250 3.0 k 15
27
150 3.0 k 30
17
75
1.0 k 20
11
50 1.0 k 30
9.0

FIGURE 13 - TEST CIRCUIT

•

1

Vcc RC2

RCI

IIL

RL2

You

RLl

: Rs

INPUT Z

1_-"'--~GROUNO

"::"

~

PLANES

RE
VEE
The test circuit is designed to
simu late a series of cascaded

ideo tical circuits, with input
Zeq ual to output Z.

'~l"'
i

,,1VB8H

Vin=Voul=2V VBB=lV RCt=RC2
Vin=Voul=IV
Ie
RC
RE VEE VCC
RS
Rs
RLl RL2
RC RLl
mA Ohms Ohms Ohms Ohms Ohms Volts Volts Ohms Ohms Ohms
1.0 2.0 k 6.0 k 3.0 k 3.0 k 10 k 10
16 1.0 k 6.0k 1.2 k
47
5.0 360 3.56k 400 450 2.0 k 10
175 1.0 k 200
160 1.0 k 200 250 3.0 k 30 26.3
300 100
75
10
20
62
300 100 150 1.0 k 20
16
150
25
25
30
157
116 1.0 k 30
8.0
28
66
13
77
0

7-21

VBS=0.5V RC1=RC2
RL2 RE VEE VCC
Ohms Ohms Volts Volts
1.2k 24 k 24
32
250 3.0 k 15
27
150 3.0 k 30
17
11
75
1.0 k 20
9.0
50 1.0 k 30

MICROCIRCUIT COMPONENTS
SWITCHING & AMPLIFIER
TRANSISTORS

SILICON TRANSISTORS

UNENCAPSULATED
SWITCHING AND AMPLIFIER TRANSISTORS
... with passivated Annular construction that provides high reliability
and consistent performance. These chips are identical to the chips
used in packaged Motorola transistors with 2N prefixes; i.e., the
MMCS2192 chip is used in the Motorola 2N2192 transistor. For more
detailed characteristic data, please refer to the equivalent Motorola
2N .... data sheet.
•

DC Current Gain to 100 Minimum

•

Breakdown Voltages to 175 Volts

MMCS2192
MMCS2193
MMCS2221
MMCS2221A
MMCS2222
MMCS2222A
MMCS2906
MMCS2906A
MMCS2907
MMCS2907A
MMCS3250
MMCS3250A
MMCS3251
MMCS3251A
MMCS3498

• tr to 300 MHz

MAXIMUM RATINGS

MMCS3499
MMCS3500
MMCS3501
MMCS3634
MMCS3635
MMCS3636
MMCS3637
MMCS3903
MMCS3904
MMCS3905
MMCS3906
MMCS4400
MMCS4401
MMCS4402
MMCS4403

,
MMCS2192
MMCS2193
MMCS2221
MMCS2221A
MMCS2222
MMCS2222A
MMCS3498
MMCS3499
MMCS3500
MMCS3501
MMCS3903
MMCS3904
MMCS4400
MMCS4401

40
50
30
40

60

80

30
40
100
100
150
150
40
40
40

60
75
60
75
100
100
150
150
60
60
60

40

60

40
60
40
60
40
60
40
60
140
140
175
175
40
40
40
40

60
60
60

5.0
8.0
5.0
6.0
5.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0
6.0

1000
1000
800
800
800
800
500
500
300

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

600

300
200
200

600
600

1
1
2
2
2
2
1
1
1
1
6
6
7
7

PNP
MMCS2906
MMCS2906A
MMCS2907
MMCS2907A
MMCS3250
MMCS3250A
MMCS3251
MMCS3251A
MMCS3634
MMCS3635
MMCS3636
MMCS3637
MMCS3905
MMCS3906
MMCS4402
MMCS4403

60
50
60
50
60
140
140
175
175
40
40
40
40

600
600
600
200
200

200
200
1000
1000
1000
1000
200
200
600
600

Operating" and Storage Junction
Temperature Range

-65 to +200 o C

7-22

3
3
3
8
5
5
5
5
4
4
4
4
5
5
8
8

HANDLING PRECAUTIONS
Although the care and handling of unen-

capsulated semiconductors often require
precautions outside the experience of
manyequ ipment manufactu rers, Motorola

warrants that such devices meet or exceed
the published specifications, provided
three basic requirements are met in the
customer's establishment.
1. Such devices are stored in an environment of np more than 30% relative
humidity.

2. Devices are die-an~wire bonded in
a noninert atmosphere not exceeding
1---'V'IV--+-{

10k
I

~+::;

0
CS<4.0pf*

;i::CS<4.0 pF*

I

-91V--

I

___:

1--<10ns

7-26

lN916

I
I
---~

SWITCHING & AMPLIFIER TRANSISTORS (continued)

FIGURE 7 - EQUIVALENT TEST CIRCUIT
DELAY AND RISE TIME

STORAGE AND FALL TIME
-3.0 V

~
+05~_u
-IO.BV ~ 300ns

-3.0 V

,"' \'yr

10k

I

0

275

I
I
I

10k

>-~~~~~~

;t-::: CS <40 PF*

I-..-

__ J

DUTY CYCLE = 2.0%

I

;F

---109V

---I

It

10<11

I CS<4.0 pF*
I

lN916

I--

__ .J

<500~s

DUTY CYCLE = 2.0%
·Total shunt capacitance of test jig and connBCton;.

FIGURE 8 - SWITCHING TIME EQUIVALENT TEST CIRCUITS
TURN-OFF TIME

TURN-ON TIME

£

~~~~~1~~~~E.i.""

--1.

+30V

+30 V

200

+lSV

I.Ok

o

r-

1.0to100jJS,
DUTY CYCLE = 2.0%

.L,",,·":,~ k_~+·L

200

I

I

>---'l',.,.O..

:v

-2.0V-1

---i

~

1_

<2.0ns
- -

~ ~20",

"Total shunt capacitance of

~st

-"I CS*<10pF
__ J

SCOPE RISE TIME < 4.0 ns

...J

_L
I

-4.0 V

jig, connectoTS, and oscilloscope.

FIGURE 9 - SWITCHING TIME EQUIVALENT TEST CIRCUIT
TURN-ON TIME

£

TURN·OFF TIME

tf

-30 V

200

20",

+14V

10k

o

4

100

<20",

+20V- 1

-16 V

-30 V

o
I
;T~

I

es* < 10pF

I

1.0k

>-~~~~[

': Cs· < 10pF

I

~10tD100IJ.S'

DUTY CYCLE = 2.0%

__ J

-16 V

~

SCOPE RISE TIME <4.0

III

I

~1.atol00IJS.

DUTY CYCLE" 2.0%

"Total shunt capac:itance of test jig, connectors, lind oscilloscope

7-27

I

-!-

---'
+4.0 V

MICROCIRCUIT COMPONENTS
NPN RF TRANSISTORS

SILICON TRANSISTORS

UNENCAPSULATED
NPN RF TRANSISTORS
These devices are passivated Silicon RF transistor chips. The
MMCS2857, MMCS3866, and MMCS5636 chips are identical to the
chips used in the packaged Motorola transistors with 2N prefixes;
i.e., the MMCS2857 chip is used in the Motorola 2N2857 transistor.
The MMCS0159 and MMCSOl72 are electrically similar to the
MM1605, MM1606, MM1607 series and MM8002 respectively.
•

Power Outputs to 8.4 Watts Typical at 400 MHz

•

fT to 2000 MHz Typical

MMCS0159
MMCS0172
MMCS2857
MMCS3866
MMCS5636

HANDLING PRECAUTIONS
Although the care and handling of unencapsulated
semiconductors often requ ire precautions outside

the experience of many equipment manufacturers,
Motorola warrants that such devices meet or exceed
the published specifications, provided three basic requirements are met in the customer's establishment.
1. Such devices are stored in an environment

MAXIMUM RATINGS

of no more than 30% relative humidity.

2. Devices are die-and-wire bonded in a noninert atmosphere not exceeding 100°C. or in an
inert atmosphere not exceeding 400°C.

NPN
MMCS0159
MMCS0172
MMCS2857
MMCS3866
MMCS5636

10
15

I

3.0

20
40

30

3.5
2.5
3.5
4.0

30
55

30
35

60

70
400
40
400
400

2

3
2

4

Operating and Storage Junction
Temperature Range -65 to +2000 C

3. Processing equipment conforms to the minimum standards of equipment normally employed
in semiconductor establishments.
!I-.10:l"e::'.'$l", !'Y"otui'uia'~ engineeringS't8TT isavailabie fur
consultation in the event of correlation or processing
problems encountered in the use of Motorola semiconductor chips. For assistance of this nature, please
contact your nearest Motorola sales representative.

MECHANICAL INFORMATION
MATERIAL -SILICON
FRONT METALIZATION - ALUMINUM
BACK METALIZATION - GOLD (Collector Contacti
ALL DIMENSIONS ARE IN MILS

GEOMETRY 1

GEOMETRY 2

MMCS0159

7-28

MMCS0172

MMCS3866

NPN RF TRANSISTORS (continued)

ELECTRICAL CHARACTERISTICS ITA = 25°C)
BVCEO
TYPE

Vo~ts
mm

IC

@

I

BVCBO

@

Vo.. ts
mIn

I

mA

IC

BVEBO @
Volts

IlA

I

min

NPN

MMCS0159
MMCSOl72
MMCS2857
MMCS3866
MMCS5636

10
30
15
30
35

1.0
5.0
3.0
5.0
200

20
40
30
55
50

100
100
1.0
100
100

IE

ICBO

ICIl~O"

mA

VCB
VCE·
Volts

@

hFE

max

0.1
0.1
0.01
0.1
5.0

3.0
3.5
2.5
3.5
4.0

@

I

minImax

4.0
28*
15
28'

0.01
20*
0.01
20*
1000

I

25/200
30/30/150
10/200
5.0/-

30

IC
rnA

25
50
3.0
50
200

AC* PARAMETERS
TYPE

Ccb
Cob"
pF
max

IT

rb'Cc

Gpe

MHz
typ

ps
typ

dB
typ

NPN

MMCS0159
MMCSOl72
MMCS2857
MMCS3866
MMCS5636

1.0
3.5*
1.0
3.0*
20

2000
1500
1500
800
-

15

-

15
-

11.4
15
11
7.0

-

@

I

f

MHz

Pout
Pout{osc)
Watt
typ

"I

@

Pin

8.

VCE
Volts

Watt

8.

NF @

f

JMHZ

dB
typ

1

200
450
400
400

-

0.035*
1.3
8.4

-

0.1
2.0

10
28
28

-

500
400
400

J

f

MHz

200
450

2.7
4.0
-

-

-

-

• AC parameter values are as specified in the standard 2N or MM data sheets. (encapsulated devices)

PARAMETER LIMITATIONS AND WARRANTY
Probe limitations allow 100% testing of low level de parameters only. DC parameters have been selected to insure electrical characteristics to
an L TPD of 10 and ae parameters to an L TPD of 20. Visual inspection is performed to an L TPD of 20. See "Visual Inspection Criteria" in
General Information Section •

•

MECHANICAL INFORMATION
MATERIAL -SILICON
FRONT METALIZATION - ALUMINUM
BACK METALIZATION - GOLD (Collector Contact)
ALL DIMENSIONS ARE IN MILS

BASE

1.0 RADIUS

GEOMETRY 3

BASE
GEOMETRY 4

1.0 RADIUS

MMCS2857

7-29

MMCS5636

MICROCIRCUIT COMPONENTS
PNP RF TRANSISTORS

Microcircuit COIllponents

UNENCAPSULATED

SILICON PNP RF
TRANSISTORS

UNENCAPSULATED PNP RF TRANSISTORS
These devices are passivated silicon high-frequency transistor chips.
The MMCS5160 and MMCS5583 chips are identical to the chips
used in the packaged Motorola transistors with 2N prefixes, i.e.,
the MMCS5160 chip is used in the Motorola 2N5160 transistor.
The MMCS4957 is electrically similar to the 2N4957, 2N4958,
2N4959 Series.
•

MMCS4957
MMCS5160
MMCS5583

fT to 1000 MHz Typical

•

Gpe to 18 dB Typical

•

Pout to 1.2 Watt Typical

HANDLING PRECAUTIONS
Although the care and handling of unencapsulated
semiconductors often require precautions outside
the experience of many equipment manufacturers,

Motorola warrants that such devices meet or exceed
the published specifications, provided three basic re-

MAXIMUM RATINGS

quirements are met in the customer's establishment.
1. Such devices are stored in an environment
of no more than 30% relative humidity_
2. Devices are die-and-wire bonded in 8 non-

inert atmosphere not exceeding 100 o e, or in an
inert atmosphere not exceeding 400°C.

PNP
MMCS4957

30

30

3.0

30

1

MMCS5160

40

60

4.0

400

2

MMCS5583

30

30

3.0

500

2

Operating and Storage Junction
Temperature Range -65 to +200 o C

3. Processing equipment conforms to the minimum standards of equipment normally employed
in semiconductor establishments.
Moreover, Motorola's enginearingstaff isavailabla for
consultation in the event of correlation or processing
problems encountered in th~ IJ~ of Mo,!()rol~ ~mi­
conductor chips. For assistance ofthis nature, please
contact your nearest Motorola sales representative.

MECHANICAL INFORMATION

•

MATERIAL -SiliCON
FRONT METALIZATION - ALUMINUM
BACK METALIZATION - GOlO (Collector Contact!
ALL DIMENSIONS ARE IN MI LS

GEOMETRY 1

MMCS4957

GEOMETRY 2

7-30

MMCS5160, MMCS5583

MMCS4957,MMCS5160, MMCS5583 (continued)

ELECTRICAL CHARACTERISTICS (T A
BVCEO
TYPE
Volts
min

@

I

= 25°C I

IC

BVCBO

mA

Volts
min

@

I

IC

BVEBO

/"A

Volts
min

@

I

'E

'CBO

I'A

I'A
max

@

VCB

I

hFE

Volts
min

@

&

IC

I

PNP
MMCS4957

30

MMCS5160

40

MMCS5583

30

1.0

VCE

I

mA

Volts

30

100

3.0

100

0.1

20

20

2.0

10

5.0

60

100

4.0

100

1.0

28

10

50

5.0

10

30

10

3.0

100

0.05

20

25

100

2.0

AC* PARAMETERS
Ccb

fT

rb'C.

G pe

pF
max

MHz
min

ps
typ

dB
typ

MMCS4957

0.8

1000

4.0

18

450

-

-

-

-

3.2

450

MMCS5160

4.0

400

-

8.0

400

1.2

0.16

28

400

-

-

MMCS5583

5.0

1000

8.0

-

-

-

-

-

-

-

-

TYPE

PNP

@

I

f

Pout

MHz

Watt
typ

@

Pin
Watt

I

&

VCE

&

I

Volts

I

f

MHz

NF

@

dB

I

ty"

f

MHz

• AC parameter values Bre as specified in the standard 2N or MM data sheets. (encapsulated devices)

PARAMETER LIMITATIONS AND WARRANTY
Probe limitations allow 100% testing of low level de parameters onlv. DC parameters have been selected to insure electrical characteristics to
an LTPO of 10 and ae parameters to an LTPO of 20. Visual inspection is performed to an LTPD of 20. See "Visual Inspection Criteria" in
General I nformation Section.

MECHANICAL INFORMATION

•

MATERIAL - SILICON
FRONT METALIZATION - ALUMINUM
BACK METALIZATION - GOLD (Collector Contactl

FIGURE 1 - NOISE FIGURE AND POWER GAIN TEST CIRCUIT

FIGURE 2 - TYPICAL NOISE FIGURE versus FREQUENCY
B.O
5.0

**0.4-B.0 pF

'"
~

Vin~*500PF
RG=50n

L,

w

* Button type capacitors

** Variable air piston type capacitors

**
1.0-10
pF

~ *500pF

bt-9
+12V

0PF

1

1. L1 - silver plated brass bar, 1.0
in.lg by 0.25 in O. o.
2. L2 - silver plated brass bar, 1.5
in.lg by 0.25 in O. O. Tap is
0.25 in. from collector.
3. L3 -1I210m of AWG No.IBwire
0.25 in. from and parallel to l2.
4. The noise source is a hot·cold body
(AI L type 70 or equivalent) with a
test receiver (AIL type 136 or
equivalent).

4.0 -

V

VCE = 10 Vde
IC" 2.0 mAde

'"=>

'";;:w
'"0z

..:

/

3.0

../
2.0

z

1.0

o
0.1

0.2

0.3

0.4

0.5

f, FREQUENCY (GHz)

MMCS4957

7-31

0.7

1.0

MMCS4957, MMCS5160, MMCS5583 (continued)

FIGURE 4 - CAPACITANCE

FIGURE 3 - COLLECTOR-BASE TIME CONSTANT

!

30

3.0

MMCS4957

I-

~

Z

«

t;;
z

20

V

8

,.;::w
w

'"
;i\
0
'"

~
0

10

.......

7.0

-...... -

5.0

'"z
«

I-

-e

1.0

r-....

;::

--'

5.0 Vd:,......--

'"
.i

w

U

-

............ ::--.

"- ~

"~

,/

/

VCE = 2.0 Vde

MMCS4957

2.0

~

-'-'

0.7

",-

10 Vde

Cob

0.5

0.3

3.0
1.0

2.0

3.0

5.0

7.0

10

1.0

5.0

3.0

IC, COLLECTOR CURRENT (mAde)

20

10

VR, REVERSE VOLTAGE (VOLTS)

FIGURE 5 - CURRENT-GAIN-BANDWIDTH PRODUCT
~

5.0

MMCS4957

~

~

c

~
'"\:i

3.0

2.0

i<
c

f.--

;i\

~

z

I

z

-

VCE - 10 Vde

I-

~

OJ

~

0.5

-

--............

I'- r-.......

~ 1.0
,.:.

~

2.0 Vde

_-'---'-_-'-----I...-.I.-.I.---I...I...,JITL......L-_ _-'------'

1...1

2.0

3.0

5.0

7.0

10

20

IC, COLLECTOR CURRENT (mAde)

FIGURE 6 - OUTPUT POWER versus FREQUENCY
1.3
1.2

~
I-

~
'"~
~

I-

~
l=>
c

1.1
1.0
0.9

'" '" '" "'"

MMCS51S0

0.8

'" "
."'-.

~

"-

0.7
O.S

~ 0.5
0.4
0.3
200

300

FIGURE 7 - OUTPUT POWER versus INPUT POWER
1.3

MMCS51S0

VCE 1= 28 v!e

1.2

..........

. . . . i'-.

~

"""

"'-

'"

~
I«

3'"

Pin = 150 mW

.~

~

,,/'

0.9
0.8

./

/
,/

0.7
~
=> O.S
c

l-

l~mW

~ 0.5

~mw

400

1.1
1.0

I-

r--...

'"

//

0.4
0.3
SOO

i""

V
10

t, FREUUENCY (MHz)

/"'"

"'"

/

./'

./'

vr20 Vde

./

V
13.5 Vde

..... ""'"

I---I -

/
/

t =175 MHz
20

40
Pin, INPUT POWER (mW)

7-32

........ I-

-60

100

•

MMCS4957, MMCS5160, MMCS5583 (continued)

FIGURE B - CURRENT-GAIN-BANDWIDTH PRODUCT

t;

1.0

e

=>

0.9

~

0.8

'"
6

0.7

;a
I

"'
G
J:'

'\
\\

/

;;: 0.4

'"

~

lL'

/

0.5

z

'~"

V

V

3:: 0.6

e
z

t-

,,-

MMCS5160

1/

0.3
0.2
0.1

10

20

50

30

100

200

IC. COLLECTOR CURRENT (mAl

FIGURE 9 - CURRENT·GAIN-BANDWIDTH PRODUCT

t;

2.0

=>

e

MII\I~J5~83

VCE

~

'"e

l-

3:: 1.0

/

e
z

I

;;:

0.7

1/

'"

~

0.5

~

10 Le

'"z

t;; 30

'"""

5.~ ~

i,...-'f.-

./

1::
~ 20

;::

/

~
e"'

~

10

g

7.0

~
10

20

30

50

200

100

---

w

\

0.3
5.0

MMCS5583

!;;

II

"'G

.t'

V

/

;a
z

/

V

0

FIGURE 10 - COLLECTOR·BASE TIME CONSTANT
50

300

t=

500

20

40

IC, COLLECTOR CURRENT (mAdel

MMCS5583
20
w

10

'"

7.0

'"d

3.0

I-

U

~

~ r-..

r-- r-r-

5.0

1.0
0.1

5.0 Vde

~ .....

0.3

0.5

1.0

3.0

5.0

10

VR, REVERSE VOLTAGE (VOLTSI

7-33

f- I-

I--'~

~

10

50

'"z

0

J.

FIGURE 11 - CAPACITANCES

~

VCB

IOYde

5.0

IC, COLLECTOR CURRENT (mAl

•

1--- r---

30

50

60

100

MICROCIRCUIT COMPONENTS
FIELD-EFFECT
TRANSISTORS

SILICON TRANSISTORS

UN ENCAPSU LATED
FIELD-EFFECT TRANSISTORS
These devices are passivated Field-Effect transistor chips electrically
similar to those listed in Table 1. For more detailed characteristic data,
please refer to the appropriate Motorola data sheet.
• MOS FETs are Silicon Nitride Passivated
• MOS and Junction Types

MMCS0122
MMCS0123
MMCS0125
MMCS0130
MMCS0131
MMCS0134

• P·Channel and N·Channel

Appl ieation

25

±30

±30

30

ILow Power Switch

±30

30

ILow Power Switch

P-Channel
IMMCS0123

25

Application

HANDLING PRECAUTIONS
MMCS0130

25

25

-25

10

20

2

VHF Amplifier and

MMCS0131

25

25

-25

10

20

3

Mixer
General-Purpose Audio

4

and Switching
Chopper

MMCS0134

30

30

-30

10

-

General-Purpose
Amplifier

Temperature Range -65 to +200o C

TABLE 1

MMCS0122
MMCS0123
MMCS0125
MMCS0130
MMCS0131
MMCS0134

warrants that such devices meet or exceed
the published specifications, provided
three basic requirements are met in the
customer's establishment.
1. Such devices are stored in an en·
vironment of no more than 30% relative
humidity.

Operating and Storage Junction

DEVICE

Although the care and handling of unen-

capsulated semiconductors often require
precautions· outside the experience of
manyequipmentmanufacturers. Motorola

- CROSS REFERENCE CHART

ELECTRICAL CHARACTERISTICS SIMILAR TO:
2N4351
2N4352, 3N155, 3N155A, 3N156, 3N156A, 3N157, 3N157A,
3N158 3N158A
2N5460, 2N5461 , 2N5462, 2N5463, 2N5464, 2N5465, MPF161,
MFE4007 MFE4008 MFE4009 MFE4010 MFE4011 MFE4012
2N3821, 2N3822, 2N3823, 2N3824, 2N4223, 2N4224, 2N5668,
2N5669 2N5670 MPF 102
2N4220, 2N4220A, 2N4221, 2N4221A, 2N4222, 2N4222A,
2N5457 2N5458 2N5459
2N4091 , 2N4092, 2N4093, 2N4391 , 2N4392, 2N4393, MFE2004,
MFE2005, MFE2006

7-34

2. Devices are die·and·wire bonded in
a noninert atmosphere not exceeding
1000C. or in an inert atmosphere not exceeding 4000C.
3. Processing equipment conforms to
the minimum standards of equipment
normally employed in semiconductor
establishments.
Moreover, Motorola's engineering staff is
available for con~ultation in the event of
correlation or processing problems encountered in the use of MotorOla semi·
conductor chips'. For assistance of this nature, please contact your nearest Motorola
sales representative.

FIELD-EFFECT TRANSISTORS (continued)

ELECTRICAL CHARACTERISTICS IT A = 25 0 C)

MMCS0125

40

0.2/8.0

5.0

0.5/14

0.5/7.5

2500/6000

75

7.0

2.0

PARAMETER LIMITATIONS AND WARRANTY

Probe limitations allow 100% testing of low level de parameters only. DC parameters have been selected to insure electrical characteristics
to an L TPD of 10 and ae parameters to an L TPD of 20. Visual inspection is performed to an L TPD of 20. See "Visual Inspection Criteria"
in General I nformation Section.

MECHANICAL INFORMATION
MATERIAL - SILICON
FRONT METALIZATION - ALUMINUM
BACK.METALIZATION - GOLD (Substrate)
ALL DIMENSIONS ARE IN MILS

S=Source
G= Gate
0", Drain

·Sourteand Drain

MMCS0122
MMCS0123

GEOMETRY 2

MMCS0126
MMCS0130

~--------25--------·~1

*Sourceand Drain
"Source and Drain Interehangeable
.GEOMETRY 4

Substrate

7-35

S=Source*
0= Drain"
MMCS0134 Gate Contact: Substrate

MICROCIRCUIT COMPONENTS
POWER TRANSISTORS

Motorola's passivated transistors have excellent high·
speed characteristics which make these devices particu·
larly well suited for switching and high·frequency
amplifier applications. They also are used in low·fre·
quency amplifier applications where moderate Safe Oper·
ating Area can be tolerated. Passivated transistor chips
are especially useful in hybrid circuits because they requ ire
fewer handling, cleaning and protective precautions than
Mesa·type transistors.

The devices listed below are available in the following four
styles unless otherwise noted:
1.
2.
3.
4.

unmounted chip
chip mounted on button
chip on button with wires
power pill

These devices are all gold backed except BOLD FACE
type indicates availability with chrome·silver backing also.

TABLE 1 - PASSIVATED TRANSISTORS
Gold-Backed Transistor Die

NPN

PNP

~ Standard

Chip
Tvpe

';;

E

Device

"

TVpe

0

Chip
TVpe

CI
MJC044
A
MJC5681 C
MJC5682 C

MJC440
MJC4237

MJC076

MJC423e
MJC5334

iviJCfBu

A
A

B

2N5681
2N5682

MJ440
2N4237

2N423e
2N5334
MJE180

MJC4239 A
MJC5335 F

2N4239
2N5335

MJC181
MJC1B2

B
B

MJE1Bl
MJE182

MJC220
MJC221
MJC222

0
0
0

MJE220
MJE221
MJE222

MJC4788 G
MJC223
0
MJC224
0
MJC225
0
MJC3766 C
MJC240
0

2N4788
MJE223
MJE224
MJE225
2N3766
MJE240

MJC241
0
MJC242
0
MJC3767 C
MJC243
0
MJC244
0

MJE241
MJE242
2N3767
MJE243
MJE244

MJC200
MJC082

MJE200

MJC5336
MJC5337
MJC533e
MJC5339

0
G

~

~

Standard

E

Device

"

TVpe

0

IC
Amp
Max

VCBO

V CEO

h FE @ IC

h FE @ IC

Volts

Volts

Min

Amp

Min

Amp

1.0
1.0
1.0

60
100
120

60
100
120

30

0.25
0.25
0.25

-

-

5.0
5.0

1.0
1.0

3.0

30
30
40

30
30
40

VCE(sad@ IC
Volts
Max
Amp

fT
MHz

Po

Min

Watts

1.0
0.5
0.5

1.0
1.0
1.0

3.0
30
30

10
10

1.5
1.0
0.75
0.5
0.6
0.75
1.5
1.0
1.0
0.76
1.5
0.6
0.7
0.75

60
60
60
3.0
3.0

1.0
0.6
0.7
0.75
0.9
0.9

3.0
3.0
1.0
0.75
1.0
1.5
3.0
3.0
1.5
1.0
3.0
1.0
2.0
1.5
1.5
3.0
1.0
2.0
1.5
1.5
1.5

0.8
0.6

2.0
1.0

-

-

0.6
0.6
1.0
0.8
0.6

1.0
1.0
4.0
2.0
1.0

1.0
0.8
0.6
0.6
0.6

0.6
2.0
1.0
1.0
1.0

1.0
0.6

0.5
1.0

0.75
2.0
0.7
0.7
1.2

2.0
5.0
2.0
2.0
5.0

CI

F

A
F

ELECTRICAL CHARACTERISTICS

~

';;

2N5336
2N5337
2N5338
2N5339

MJC043
MJC5679
MJC5680

A
F
F

MJC3021
MJC3024
MJC3719
MJC430
MJC4234
MJC3867
MJC3022
MJC3025
MJC007
MJC3720
MJC3023
MJC4235

F
F
F
A
A
F
F
F
F
F
F
A

2N3720
2N3023
2N4235

MJC3868
MJC170
MJC3026
MJC4236

F
B
F
A

2N3868
MJE110
2N3026
2N4236

I
80

MJC6303
MJC171
MJCI72

F
B
B

2N6303
MJE171
MJEI72

100

MJC230
MJC231
MJC232
MJC3740
MJC3740A

E
E
E
C
C

MJE230
MJE231
MJE232
2N3740
2N3740A

2N5679
2N5680
2N3021
2N3024
2N3719
MJ430
2N4234
2N3867
2N3022
2N3025

MJC233
MJC234
MJC235

E
E
E

MJE233
MJE234
MJE235

MJC250
MJC3741
MJC3741A
MJC251
MJC252

E
C
C
E
E

MJE250
2N3741
2N3741A
MJE251
MJE252

MJC253
MJC254

E
E

MJE253
MJE254

MJC210
MJC067
MJC8100
MJC8101
MJC6190
MJC6191
MJC6192
MJC6193

E
G
G
G
G
G
G
G

MJE210
MJB100
MJB10l
2N6190
2N6191
2N6192
2N6193

I I

45
45
50
60

I

I

4.0

60

j

45
45
50
60

I

40
60

80
80
80
60
BO

30
30

j

20
40
40
40

80

5.0

45
60
60
80
80
80
100
100

20
50
20
25
30
40
20
50
20
20
20
30
30
30
30
50
30
30
30
30
30

40
40
40
60
60

70
80

100
100
100

40
40

I

40
40
25

40
40
30

30
40
25

40

100
100

40
25

25

70
30
25
25
30

60
60
80
80
80
100
100

7-36

60
30

60

-

-

25
20
10
20

1.0
0.5
1.0
3.0

-

-

1.0
1.0
0.5
0.25
0.25
1.5
1.0
1.0
0.18
0.5
1.0
0.25
1.0
1.5

15
20

1.0
2.0
3.0

C.5

10

1.5

1.0
0.25
1.0
1.5
0.5
0.5

-

-

10
15
20
10
10

1.0
2.0
3.0
1.5
1.5

0.2
0.2
0.2
0.26
0.25
4.0
0.2
0.2
0.2
0.6
0.2
0.25
0.25
0.2
0.2
0.5
0.2
0.2

20
20
10
10
10

2.0
1.0
1.0
1.0
1.0

0.5
2.0
2.0
2.0
2.0
2.0
2.0
2.0

25

10

1.0

-

-

-

20
20
10

20
10

2.0
1.0
1.0
1.0
2.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

45

2.0

20
15
10
10
20
10

20

15
15
20
40
20
40

-

5.0
5.0
5.0
5.0
5.0
5.0

e,e

-

-

-

-

-

I I

60
60
60
30
60
60
3.0

25
25
6.0

I

25
25

-

60
3.0
60
60
50
50

6.0
25
6.0
6.0
6.0
12.5
25
6.0
6.0
6.0
12.5
12.5

50
50
50
4.0
4.0
30
50
50
50
16
40
4.0
4.0
40
40
15
40
40

15
15
15
25
25
10
15
15
15
20
15
25
26
15
15
20
15
15

65
30

16

60
60

50

10

POWER TRANSISTORS (continued)
TABLE I - PASSIVATED TRANSISTORS (continued)
NPN
~

i2

Chip
Typa

PNP
Standard
Device
Typa

~

Standard
\I
E Device
0
Typa
e

Chip
Typa

CI

CI
MJC500
MJC6700
MJC501
MJC6701

MJC5427 G
MJC5428 G
MJC5429 G
MJC5430 G

2N5427
2N5428
2N5429
2N5430

MJC3445
MJC3447
MJC3446
MJC3448

K
K
K
K

2N3445
2N3447
2N3446
2N3448

MJC5477
MJC5346
MJC5478
MJC5347
MJC5479
MJC5348
MJC5480
MJC5349

G
G
G
G
G
G
G
G

2N5477
2N5346
2N5478
2N5347
2N5479
2N5348
2N5480
2N5349

• MJC070
MJC6338
MJC6339
MJC6340
MJC6341

I
H
H
H
H

• MJC7000

I

MJ7000

•
•
•
•
•
•
•
•

I
I
I
I
I
I
I
I

2N6274
2N6278
2N6275
2N6279
2N6276
2N6280
2N6277
2N6281

• MJC7200 J
• MJC7201 J

MJ7200
MJ7201

MJC6274
MJC6278
MJC6275
'MJC6279
MJC6276
MJC6280
MJC6277
MJC6281

EL':CTRICAL CHARACTERISTICS
PD
fT
IC VCBO VCEO hFEO IC hFEO IC VCE(sat)@ IC
Amp
Volts
MHz
Max~
Volts Volt. Min Amp Min Amp
Amp Min W_
Max

G
G
G
G

MJ500
MJ6700
MJ501
MJ6701

7.0

7.5

I
MJC6182
MJC6186
MJC6183
MJC6187
MJC6184
MJC6188
MJC6185
MJC6189

G
G
G
G
G
G
G
G

2N6182
2N6186
2N6183
2N6187
2N6184 t
2N6188
2N6185
2N6189

I

MJC069

10

60
60
80

1

I

25

100
100

80
80
100
100

60
60
80
80

80

80

30
60
30
60

I I

5.0

0.7

2.0

30

60

I

,

40

115

0.5
0.5
0.5
0.5

20
40
20
40

3.0
5.0
3.0
5.0

0.6
0.8
0.6
0.8

3.0
5.0
3.0
5.0

10

30
30
60
60
30
30
60
60

2.0

20
20
40
40
20
20
40
40

5.0

1.2

10

30

60

20
30

10
10

-

-

12

25

3.0
1.0

25
10

30
40

200

40
20
40

100

,

15

20
40
20
40

20

,

I

2.0

I

100
100

100

25

2N6338
2N6339
2N6340
2N6341

60
60
80

,

I I

,
-

60
120
140
160
180

60
100
120
140
150

30

100

100

20

10

10

30

1.0

10

30

150

50

120
120
140
140
160
160
180
180

100
100
120
120
140
140
150
150

30

20

10

50

1.2

20

30

250

60
60

100
120

80
100

20
20

20
20

10
10

60
60

1.0
1.0

20
20

20
20

300
300

1

l I I r

I I

* Indicates availability in unmounted chip style only.
Geometry

•

•

B

A

•

C

•

D

e"""

E

1

•

F

0. 009

0.013

J..

11

0.011

H--,t

O.OOS

0.022
0.060" x 0.060"

•

G

0.030" x 0.040"

•

0.060" x 0.060"

H

"m

•

0.041" x 0.051"

II

0.112" x 0.112"

0.028

0.170" x 0.170"

J
0.216

~
0'~23

r-II- --l h

0.014

0.043" x 0.050"

0.240" x 0.240"

• I ndicates actual size
Chip geometri.e~ are subject to changewithout notice as mod if icatklns are made.

7-37

0.275" x 0.275"

0.070" x 0.070"

•

K

0.150" x 0.150"

POWER TRANSISTORS (continued)

The devices listed below are available in four styles:
1. unmounted chip
2. chip mounted on button
3. chip on button with wires
4. power pill
These devices are all gold-backed except BO LD FACE
type indicates chrome·silver backing only.

TABLE II - HIGH VOLTAGE PASSIVATED TRANSISTORS
NPN
~

\i
E

Chip
Type

.
0

PNP
Standard
Device
Type

~

Chip
Type

\i
E

II

ELECTRICAL CHARACTERISTICS
Standard
Device
Type

hFE@ IC
IC
VCBO VCEO hFE@ IC
Amp
Max Volts Volts Min Amp Min Amp

VCE(sat)@ IC
Volts
Max
Amp

PD

fT
MHz
Min

Watts

CI

CI
MJC420
MJC421

L
L

MJ420
MJ421

0.1
0.1

275
350

250
325

25
25

0,01
0.01

25
25

0.3
0.3

5.0
5.0

0.03
0.03

15
15

2.5
2.5

MJC3440
MJC3439

L
L

MJE3440
MJE3439

0.3
0.3

350
450

250
350

30
30

0.002
0.002

40
40

0.02
0.02

0.5
0.5

0.05
0.05

15
15

15
15

MJC341
MJC344
MJC5655
MJC2251
MJC2252
MJC340
MJC5656
MJC345
MJC5657

C
C
C
C
C
C
C

0.5

175
200
275
300
300
300
325
325
375

150
200
250
225
300
300
300
325
350

25
30
30
25
25
30
30
30
30

0.05
0.05
0.1
0.05
0.05
0.05
0.1
0.05
0.1

20

0.15

1.0
1.0
1.0

0.05
0.05
0.1

--

-

15
15
10
10
10

20.8
20.8
20
10
10

C

MJE341
MJE344
2N5655
MJ2251
MJ2252
MJE340
2N5656
MJE345
2N5657

0.1
0.05
0.1

10
20
10

20
20.8
20

MJC3440 L
MJC3439 L

2N3440
2N3439

300
450

250
350

-

-

30

0.05
0.05

15
15

10
10

L

MJC350

C

MJE350

1.0
1.0

-

-

15

0.25

-

-

15

0.25

15

0.25

1.0
5.0
1.0

0.002

40
40

0.02
0.02

0.5
0.5

-

-

-

-

-

-

-

MJC2160 M MJE2160

1.5

300

300

30

0.5

1.0

1.0

3.5

0.5

-

50

MJC3738 C
MJC3739 C

2N3738
2N3739

3.0
3.0

250
325

225

300

40
40

0.1
0.1

25
25

0.25
0.25

2.5
2.5

0.25
0.25

10
10

20
20

MJC6233 K
MJC6234 K
MJC6235 K

2N6233
2N6234
2N6235

5.0
5.0
5.0

250

225

25

3.0
3.0
3.0 '

20

50

25
25

10
10
10

5.0

275
325

1.0
1.0
1.0

2.5

300

2.5
2.5

5.0
5.0

20

50
50

350

20

Geometry

•

c

•

K

L

•

M

, [io.009

o.o~I'§1J
I

l!2.J

0.030

0.009-11-1

0.060" x 0,060"

0.150" x 0.150"

0.040" x 0.050"

• Indicates actual size

Chip geometries are subject to change without notice as modifications are made.

7-38

0.080" x 0.080"

POWER TRANSISTORS (continued)

gain monolithic circuit. Utilizing the epitaxial·base process.
the devices listed below provide excellent Safe Operating
Area anCil both N PN and PNP polarity. Because the
collector-base junctions of these transistor chips are not
passivated. careful handling and cleaning precautions must
be followed and the chip must receive a protective coatingDow Corning 997 or equivalent - to prevent contamination
of the chip when mounted (see Handling Precautions.
page 7-9).

The devices listed below are available in four styles:
1. unmounted chip
2. chip mounted on button
3. chip on button with wires
4. power pill
Motorola Darlington devices consist of a driver and output
transistor interconnected. with two resistors to form a high·

TABLE III - DARLINGTON TRANSISTORS (Mesa)
Chrome-Silver Backed
PNP

NPN

~E

0

MJesOO
MJCBOI
MJC6294
MJC4000
MJCB02
MJes03
MJC6295
MJC4001

N
N
N
N
N
N
N
N

MJE800
MJE801
2N6294
MJ4000
MJEB02
MJEB03
2N6295
MJ4001

MJC700
MJC701
MJC6296
MJC4010
MJC702
MJC703
MJC6297
MJC4011

N
N
N
N
N
N
N
N

MJE700
MJE701
2N6296
MJ4010
MJE702
MJE703
2N6297
MJ4011

4.0

MJCll00
MJCll0l
MJCll02
MJCll03

0
0
0
0

MJEll00
MJEll0l
MJEll02
MJEll03

MJC1090
MJC1091
MJC1092
MJC1093

0
0
0
0

MJE1090
MJE1091
MJE1092
MJE1093

5.0

MJC6300
MJC6055
MJC1000
MJC6301
MJC6056
MJC100l

0
0
0
0
0
0

2N6300
2N6055
MJ1000
2N6301
2N6056
MJ100l

MJC629B
MJC6053
MJC900
MJC6299
MJC6054
MJC901

0
0
0
0
0
0

2N629B
2N6053
MJ900
2N6299
2N6054
MJ901

B.O

MJC3000 P
MJC3001 P

MJ3000
MJ3001

MJC2500
MJC2501

P
P

MJ2500
MJ2501

MJC6057 P
MJC6058 p
MJC6059 p

2N6057
2N605B
2N6059

MJC6050
MJC6051
MJC6052

P
P
P

MJC4033 Q
MJC4034 Q
MJC4035 Q

MJ4033
MJ4034
MJ4035

MJC4030
MJC4031
MJC4032

MJC6282 Q
MJC6283 Q
MJC6284 Q

2N6282
2N6283
2N62B4

MJC6285
MJC6286
MJC6287

Chip
Type

0

"

Chip
Type

~

CI

•

ELECTRICAL CHARACTERISTICS

~E

Standard
Device
Type

Standard
Device
Type

IC VCBO VCEO hFE @ IC hFE@ IC
Amp
Max Volts Volts Min Amp Min Amp
60

60

I I
I l

BO

BO

750
750
750
1000
750
750
750
1000

1.5
2.0
2.0
3.0
1.5
2.0
2.0
3.0

100
-

4.0

-

-

-

100

4.0

3.0
4.0
3.0
4.0

-

-

VCE(satl@ IC
Volts
Amp
Max
2.5
2.B
2.0

-

1.5
2.0
2.0
~

2.5
2.B
2.0

1.5
2.0
2.0

-

-

2.5
2.B
2.5
2.B

3.0
4.0
3.0
4.0

lhiel

Po

Min

Watts

-

40
40
60
75
40
40
60
75

4.0

4.0

-

60
60
BO
80

60
60
BO
BO

750

-

-

60
60
60
BO
BO
80

60
60
60
BO
BO
BO

750
750
1000
750
750
1000

4.0
4.0
3.0
4.0
4.0
3.0

100
100
750
100
100
750

B.O
B.O
4.0
8.0
B.O
4.0

2.0
2.0
2.0
2.0
2.0
2.0

4.0
4.0
3.0
4.0
4.0
4.0

10
10

60
80

60
BO

1000
1000

5.0
5.0

-

-

2.5
2.5

5.0
5.0

-

150
150

2N6050
2N6051
2N6052

12
12
12

60
80
100

60
80
100

750
750
750

6.0
6.0
6.0

100
100
100

12
12
12

2.0
2.0
2.0

6.0
6.0
6.0

4.0
4.0
4.0

150
150
150

Q
Q
Q

MJ4030
MJ4031
MJ4032

16
16
16

60
BO
100

60
BO
100

1000
1000
1000

10
10
10

--

-

-

2.5
2.5
2.5

10
10
10

-

150
150
150

Q
Q
Q

2N6285
2N6286
2N6287

20
20
20

60
80
100

60
80
100

750
750
750

10
10
10

100
100
100

20
20
20

2.0
2.0
2.0

10
10
10

4.0
4.0
4.0

160
160
160

I

I

l

4.0
4.0

4.0
4.0

Geometry

•

N

0.OB6" x 0.OB6"

•

o

•

0.120" x 0.120"

p

0.156" x 0.156"

• Indicates actual size
Chip geometries are subject to change without notice as modifications are made.

·7":'39

•

a

0.200" x 0.200"

70

l
75
90
90
75
90
90

POWER TRANSISTORS (continued)

The devices listed below are available in four styles:
1. unmounted chip
2. clJip mounted on button
3. chip on button with wires
4. power pill

Mesa transistor chips are constructed by the Epi-Base
proce!'S and provide the best combination of ruggedness.
low saturation voltages and frequency respOnse (good
switching) of any process in the industry. Transistors constructed by this process are well su ited for ampl ifier and
medium-speed switching applications. Because the collector-base junctions of these transistor chips are not passivated. careful handling and cleaning precautions must be
followed and the chip must receive a protective coating i.e .•
Dow Corning 997 or equivalent. to prevent contamination
of the chip when mounted (see Handling Precautions.
page 7-9).

These devices are all chrome-silver backed except BOLD
FACE type indicates availability in gold backing also.

TABLE IV - MESA TRANSISTORS
Chrome-Silver Backed

NPN

PNP

~ Standard

<;;

ELECTRICAL CHARACTERISTICS

~

Standard

E

Device
Type

<;;

IC
Amp
Max

VCBO

V CEO

hFE@ IC

hFE@ IC

Volts

Volts

Min

Amp

Min

Amp

40
40
60
60
80
80

40
40
60
60
80
80

20

0.5

10

1.0

60
80

60
80

25
25

0.1
0.1

VCE(sat} @ IC
Volts
Max
Amp

fT
MHz

Po

Min

Watts

3.0
3.0
3.0
3.0
3.0
3.0

25
30
25
30
25
30

10
10

20
20

.

Device

MJC4910
MJC4921
MJC4911
MJC4922
MJC4912
MJC4923

R
A
R
A
R
A

2N4910
2N4921
2N4911
2N4922
2N4912
2N4923

MJC4898
MJC4918
MJC4899
MJC4919
MJC4900
MJC4920

A
A
A
A
A
A

2N4898
2N4918
2N4899
2N4919
2N4900
2N4920

1.0

MJC2249
MJC2250

R
R

MJ2249
MJ2250

MJC2253
MJC2254

A
A

MJ2253
MJ2254

2.0
2.0

MJC520

A

MJE520

MJC370

A

MJE370

3.0

30

30

25

1.0

-

-

-

-

-

25

MJC5190
MJC480
MJC521
MJC488
MJC5191
MJC481
MJC5192
MJ(;3054"

R
R
R
A
R
R

2N5190
MJ480
MJE521
MJE488
2N5191
MJ481
2N5192
2N3Q54A

MJC5193
MJC490
MJC371

R
R
R

2N5193
MJ490
MJE371

4.0

4.0
3.0

1.5
1.0

2.0
4.0

-

--

0.6
0.4

-

-

2N5194
MJ491
2N5195
2;";6049

4.0
3.0
4.0

0.5
0.6
0.4
0.6

55

25

10
10
7.0
5.C

3.0

1.0

1.0
1.5
1.0
1.5
0.5

4.0
2.0
4.0
2.0
3.0

40
87.5
40
40
40
87.5
40

~v

1.5
1.0
1.0
1.5
1.5
1.0
1.5
0.5

10
10

R
R
R
R

40
40
40
50
60
60
80

25

MJC5194
MJC491
MJC5196
MJC6049

40
40
40
60
60
60
80

MJC5067
MJC4913
MJC4231A
MJC5068
MJC5869
MJC5977
MJC4914
MJC2055
MJC4232A
MJC5978
MJC5069
MJC5870
MJC4915
MJC4233A
MJC5979

2N5067
5 2N4913
R 2N4231
R 2N5068
R 2N5859
5 2N5977
5 2N4914
S MJE2055
R 2N4232
5 2N5978
R 2N5069
R 2N5870
5 2N4915
R 2N4233
5 2N5979

MJC4901
MJC4904
MJC6212
MJC4902
MJC5867
MJC5974
MJC4905

R

2N4901
2N4904
2N6212
2N4902
2N5867
2N5974
2N4905

~

40
40
40
60
60
40
60

5.0
5.0
3.0
5.0
3.0
5.0
5.0

I

5

2N6213
2N5975
2N4903
2N5868
2N4906
2N6214
2N5976

70
70
80

1.0
2.5
1.5
1.0
1.5
2.5
2.5
4.0
1.5
2.5
1.0
1.5
2.5
1.5
2.5

7.0
7.0
10
7.0
5.0
7.0
7.0

MJC6213
MJC5975
MJC4903
MJC5868
MJC4906
MJC6214
MJC5976

20
25
25
20
20
20
25
20
25
20
20
20
25
25
20

0.4
1.0
0.7
0.4
1.0
0.6
1.0
1.1
0.7
0.6
0.4
1.0
1.0
0.7
0.6

1.0
2.5
1.5
1.0
2.0
2.5
2.5
4.0
1.5
2.5
1.0
2.0
2.5
1.5
2.5

4.0
4.0
4.0
4.0
4.0
2.0
4.0
2.0
4.0
2.0
4.0
4.0
4.0
4.0
2.0

87.5
87.5
75
87.5
87.5
75
87.5
75
75
75
87.5
87.5
87.5
75
75

MJC205
MJC5758
MJC5759
MJC5760

5
T
T
T

MJC105
MJC6226
MJC6227
MJC6228

5
T
T
T

MJE105
2N6226
2N6227
2N6228

6.0

MJC5983 T
MJC5984 T
MJC5986 T

2N5983 MJC5980
2N5984 MJC5981
2N5985 'MJC5982

T
T
T

2N5980
2N5981
2N5982

MJC2840
MJC5877
MJC2801
MJC3113
MJC3715
MJC2841
MJC6878
MJC3714
MJC3716

MJ21140
2N6877
MJE2801
2N3713
2N3715
MJ2841
2N6878
2N3714
2N3716

T
T
T
T

MJ2940
2N5875
MJE2901
2N3789
2N3791
MJ2941
2N5876
2N3790
2N3792

Chip
Type

E
0

Type

Chip
Type

CI

R
R

R

T
T
T
T
T
T
T
T

T

2

CI

MJE205
2N5758
2N5759
2N5760

MJC2940
MJC6875
MJC2901
MJC3789
MJC3791
MJC2941
MJC5876
MJC3790
MJC3792

5
R
R
R

5
S
R

S
R
R

S
R

T
T
T
T
T

I

5.0

40
40
50
60

l j

80

90
100

0.6

1.0

IIII I I

30
40
25
26

30
20

25
25

10
7.0
7.0
5.0
7.0
10
7.0

-

0.5
0.5

3.0
5.0
5.0
3.0
5.0
3.0
5.0

-

2.5
2.5

-

-

1.0
1.0

75

50
100
120
140

50
100
120
140

25
25
20
15

20
3.0
3.0
3.0

-

-

5.0
5.0
5.0

6.0
6.0
6.0

1.0
1.0
1.0

3.0
3.0
3.0

1.0
'1.0
1.0

66
150
150
150

8.0
8.0
8.0

60
80
100

40

60

20
20
20

4.0
4.0
4.0

7.0
7.0
7.0

8.0
8.0

0.6
0.6
0.6

4.0
4.0
4.0

2.0
2.0
2.0

90
90
90

10

60
50
50
80

20
20

3.0
4.0
3.0
1.0
1.0
3_0
4.0
1.0
1.0

1.0

-

2.0
4.0

150
150

4.0
4_0
2.0
4.0
4.0
4.0

150

I

80

60

l

100
100

7-40

j
80

I

25

26
50
20
20
26
50

-

-

B.O

-

5.0

8.0

1.0

5.0

15
30

3.0
3.0

0.8

5.0
5.0

5_0
15
30

8.0
3.0
3.0

1.0
1.0
0.8

5.0
5.0
5.0

-

-

-

-

-

-

-

90

I

POWER TRANSISTORS (continued)

TABLE IV - MESA TRANSISTORS
NPN

(continued)

PNP

~ Standard

t
E
2

Chip
Type

Device

f

Chip
Type

Type

ELECTRICAL CHARACTERISTICS

E

2

Standard
Device
Type

JC

V CBO

V CEO

hFE@ IC

h FE @ IC

Amp
Max

Volts

Volts

Min

Amp

Min

Amp

VCE(sat) @ IC
Volts
Max
Amp

fT
MHz
Min

Po
W~ts

CJ
MJC5632 U 2N5632
MJC5633 U 2N5633
MJC5634 U 2N5634

MJC6229
MJC6230
MJC6231

V
V
V

2N6229
2N6230
2N6231

10
10
10

100
120
140

100
120
140

25
20
15

5.0
5.0
5.0

5.0
5.0
5.0

1'0
10
10

1.0
1.0
1.0

7.5
7.5
7.5

1.0
1.0
1.0

150

MJC5989 V
MJC5990 V
MJC5991 V

2N5989
2N5990
2N5991

MJC5986
MJC5987
MJC5988

V
V
V

2N5986
2N5987
2N5988

12
12
12

60
80
100

40
60
80

20
20
20

6.0
6.0
6.0

7.0
7.0
7.0

12
12
12

0.6
0.6
0.6

6.0
6.0
6.0

2.5
2.5
2.5

100
100
100

MJE1660
MJE1661
MJ2802
2N5881
2N5882
MJE3055
2N3055

MJC1290
MJC1291
MJC2902
MJC5879
MJC5880
MJC2955
MJC29.55A

V
V

15

40
60
70
60
80
100
100

40
60
60
60
80

20
20
15
20
20

15
15

60

20
20

5.0
5.0
5.0
5.0

12
12
10
10

1.8
1.8
1.5
1.0
1.0
1.1
1.1

15
15
8.0
7.0
7.0
4.0
4.0

3.0
3.0

60

5.0
5.0
8.0
6.0
6.0
4.0
4.0

10
10

T
T

MJE1290
MJE1291
MJ2902
2N5879
2N5880
MJE2955
MJ2955

-

90
90
117
160
160
90
115

2N5629
2N5630
2N5631

MJC6029
MJC6030
MJC6031

W 2N6029
W 2N6030
W 2N6031

16
16
16

100
120
140

100
120
140

25
20
15

8.0
8.0
8.0

4.0
4.0
4.0

16
16
16

1.0
1.0
1.0

10
10
10

1.0
1.0
'1.0

200
200
200

MJC5303 W 2N5303
MJC3772 W MJ3772

MJC5745

W 2N5745

20
20

80
100

80

60

15
15

10
10

5.0
5.0

20
20

1.0
1.0

10
10

2.0
2.0

200
150

MJC5885 W 2N5885
MJC5886 W 2N5886

MJC5883
MJC5884

W 2N5883
W 2N5884

25
25

60
80

60
80

20
20

10
10

5.0
5.0

20
20

1.0
1.0

15
15

4.0
4.0

200

MJC5301
MJC3771
MJC53.02
MJC802A

30

40
50
60
100

40

40
60
90

15
15
15

5.0
5.0
5.0

30
30
30

'-

-

0.75
1.0
0.75
0.8

10
15
10
7.5

2.0

25

15
15
15
7.5

200
150
200
200

60
80

60
80

15
15

25
25

5.0
5.0

50
50

1.0
1.0

25
25

2.0
2.0

MJC1660
MJC1661
MJC2802
MJC5881
MJC5882
MJC3055
MJC3055A

V
V

T
U
U
T
T

MJC5629 X
MJC5630 X
MJC5631 X

W
W
W
W

'MJC5685 Y
'MJC5686 y

CJ

T
V
V

2N5301
MJ3771
2N5302
MJ802

MJC4398

W 2N4398

MJC4399
·MJC4502

W 2N4399
W MJ4502

2N5685
2N5686

MJC5683
MJC5684

Y
Y

I

2N5683
2N56S4

50
50

-

-

-

4.0
4.0

!

I

200

300
300

'" Indicates availability in unmounted chip style only.

Geometry

•
-j

•

1-

T

S

0.019-j

0010

±I 1$
0.010~ ~

•

•
• ~0034
tL
Jj
L
~
L~"=
".00' t""~~~
T "~"
T ~ ~ ~ ~T 0.046~ ~
T
T
-I ~0.019

•

R

0.070"xO.090"

1m

0.029

'0.069

0020

•

V

U

0.100"xO.100"

W

0.020

0.120"xO.140"

•

II ..

X

~

O.165"xQ:165

0.0360

;--1

r--

y
0 . 035

il~IJ"
..
I rT~]+ T[~H= ~11114
0018J

~.~ ~

0.150"xO.150"

0.018

0.032

~tL

k-0.084

0.0360

JtL~0.0560

0.200"xO.200"

0.190"xO.216"

-Indicates actual size
Chip geometries are subject to change without notice as modifications are made.

7-41

0:049~
.'

I-- .

.
0.037
0.275" .0<0.275"

~f

HIGH-SPEED
SWITCHING DIODES

" ________M_I_C_R_O_C_IR_C_U_I_T_C_O_M_P_O_N_E_N_T_S__~

SILICON SWITCHING DIODES

UNENCAPSULATED
HIGH-SPEED SWITCHING DIODES
These devices are passivated High-Speed Switching diode chips.
The MMCD914 has electrical characteristics similar to the lN914.
The MMCD6100 is the same chip as used in the Motorola MSD6100.
•

Reverse Voltage to 100 Volts

MMCD914

•

Forward Current to 225 mA

MMCD6100

•

Reverse Recovery Time of 4.0 ns

MAXIMUM RATINGS
TYPE
MMCD914
MMCD6100

I

Vb

v~lis
75
100

'-

·U

1<

mA

mA

mA

75

225
200

500
500

-

101
• 1SU:';~.

"ot!ts
-65 to +150
-55 to +135

Geometry
1
1

HANDLING PRECAUTIONS
Although the care and handling of

Operating and Storage Junction
Temperature Range -65 to +200 o C

unen~

capsulated semiconductors often require

precautions outside the experience of
manyequipmentmanufacturers, Motorola

warrants th~t such devices meet or exceed
the published specifications, provided
three basic requirements are met in the

MECHANICAL INFORMATION

customer's establishment.

MATERIAL -SILICON
FRONT METALIZATION - ALUMINUM

1. Such devices are stored in an environment of no more than 30% relative
humidity.

BACK METALIZATION - GOLD (Cathode Contactl

2. Devices are die-and-wire bonded in
a noninert atmosphere not exceeding
100o e, or in an inert atmosphere not exceeding 4000C.

ALL DIMENSIONS ARE IN MILS

ANODE

3. Processing equ ipment conforms to
the minimum standards of equipment
normally employed in semiconductor
establishments.
Moreover, Motorola's engineering staff is
available for consultation in the event of
correlation or processing problems encountered in the use of Motorola semiconductor chips. For assistance of this nature, please contact your nearest Motorola
sales representative.

GEOMETRY 1

MMC0914

MMCD6100

7-42

HIGH-SPEED SWITCHING DIODES (continued)

ELECTRICAL CHARACTERISTICS (TA = 25°C)
V(SRI@
I(SRI 100l'A
Volts

=

TYPE



...

-~27V

r-.t

Q

t---

a:

60V
100V

3.0

5.0 7.0

10

20

30

50

70

10

!f

1.0
0.4

100

_........

0

I 1000C

0.6

250C:-f -550C
0.8

1.0

1.2

+

1.4

TRUE
RMS
VOLT
METER

0

/: I 0

NOISE DENSITY (VOLT5 PER SQUARE ROOT BANDWIDTH) =

~ 7.0

OVERALL V;;N

m

WHERE: BW = FILTER BANDWIDTH (Hz)
VO", = OUTPUT NOISE (VOLTS RMS)

;;; 5.0

•

I

AMPLIFIER

7O~)z
250pA
5O~T... 25°C

z:

I

FIGURE 5 - NOISE DENSITY MEASUREMENT METHOD

FIGURE 4 - TYPICAL NOISE DENSITY

o

I

VF. FORWARO VOLTAGE (VOLTS)

100

~

I

/

TJ =1500C

2.0

VR. REVERSE VOLTAGE (VOLTS)

~

20

5.0

III
2.0

50

~

3:
a:

'" '"

100

«

/

/1:/

200

3.0
2.0
1.0
1.0

V
2.0

3.0

5.0 7.0

10

20

Vz. ZENER VOLTAGE (VOLTS)

30

50

70

100

The input voltage and load resistance are high so that the zener diode
is driven from a constant current source. The noise of the amplifier
is low so that it is negligible comperad to that of .the test zener. The
filter bandpass is known so that the noise density can be calculated
from the formula shown.

7-49

MICROCIRCUIT COMPONENTS
THIN-FILM RESISTOR

THIN· FILM RESISTOR

UNENCAPSULATED
THIN-FILM RESISTOR
This data sheet lists a series of mUlti-tap 10-percent resistor chips
designed for the manufacturer of hybrid circuits. These chips are
particularly useful for trimming production circuits and for building
prototype circuits. These chips have gold-alloy backing that is suit·
able for eutectic bonding directly to a metalized substrate; or may be
bonded to a kovar or ceramic tab and then attached to the substrate
using epoxy adhesive or other suitable methods. Electrical connection
from the aluminum bonding pads of the resistor chip to other circuit
elements is accomplished using conventional wire bonding techniques.

MMCR100

HANDLING PRECAUTIONS
Although the care and handling of unencapsulated semiconductors often require

precautions outside the experience of
many equipment manufacturers, Motorola

RESISTOR CHARACTERISTICS

warrants that such devices meet or exceed

Characteristic
Power Rating @ T A = 25°C
Derate to 0 at 200"e
Temperature Coefficient Resistance

Value

Unit

250 max

mW

-30 to +300

ppm/oC

See Figure 1

Shunt Capacitance to Substrate
Breakdown Voltage to Substrate
Resistance to Substrate
Voltage Coefficient
Drift @ 50% rated Power and 125°C

1.0 max

pF

400 min
10 lb min

Volts
Ohms

O.(X)1 max

%/Volt

0.05 max
0.01 max

%/first 100 hours
%/1000 hours

the published specifications, provided
three basic requirements are met in the
customer's establishment.
1. Such devices are stored in an environment of no more than 30% relative
humidity.
2. Devices are die-and-wire bonded in
an inert atmosphere not exceeding400o C.
3. Proc8$Sing equipment conforms to
the minimum standards of equipment
normally employed in semiconductor
establishments.
Moreover, Motorola's engineering staff is
8IIaiiabie for consultation in the event of
cor",lation or processing problems encountered in the use of Motorola semiconductor chips. For assistance of this nature,please contact your nearest Motorola
sales representative.

TYPE DESIGNATIDN

MMCR1DIJ.D25

~-,,~... ,..•_/.~ .."~"..
Multi-tap Resistor

TABLE 1
RESISTANCE VALUES
PART NUMBER
OHMS/D
MMCR1DIJ.025
MMCR10D·050
MMCR101J.10D
MMCR1002DD
MMCR10D·3DO

25
50
10D
20D
300

END·TO·ENQ
RESISTANCE
2.150 Ohms
5.500 Dhms
11.DDD Ohms
22,000 Ohms
33,ODO Dhms

Each resistor chip is divided into 10 sections of 1 square,
and 10 sections of 10 squares.

7-50

MMCR100 (continued)

CONSTRUCTION DETAILS
CONTACT METALIZATION - ALUMINUM
BACKING - GOLD-ALLOY

SUBSTRATE - N-TYPE SILICON - 3 to 8 MILS THICK
ISOLATION LAYER - 10,000 il.. SILICON DIOXIDE

RESISTOR ELEMENTS - NICKLE-CHROMIUM ALLOY
All dimensions are in mils

34

CHIP GEOMETRY

•

+300

FIGURE 1 - NICHROME TEMPERATURE

FIGURE 2 - EQUIVALENT CIRCUIT OF

COEFFICIENT RESISTANCE

THIN·FILM RESISTOR CHIP

,
~

+100

'"

u

o

E
:

R

+100

~

1

""",-

~

>-

0

·100

o

10

40

60

80

r

SUBSTRATE
cs
....- - -....- - -....... CONTACT

I'---100

110

140

160

Ohms per Nichrome Square

7-51

MICROCIRCUIT COMPONENTS
THIN-FILM RESISTORS

THIN·FILM RESISTORS

UNENCAPSULATED
THIN-FILM RESISTORS
This data sheet lists a series of 5 and 10 percent resistor chips designed for the manufacturer of hybrid microcircuits. These chips may
be used in conjunction with screened or deposited resistors or may replace them entirely. The chips have a gold-alloy backing that is suitable for eutectic bonding directly to a substrate; or they may be bonded to a kovar or ceramic tab and then attached to the substrate using
epoxy adhesive or other suitable methods. Electrical connection from
the aluminum bonding pads of the resistor chip to other circuit elements is accomplished using conventional wire bonding techniques.

MMCR105
MMCR110

HANDLING PRECAUTIONS
Although the care and handling of unen-

capsulated semiconductors often require
precautions outside the experience of
many equipment manufacturers, Motorola

warrants that such devices meet or exceed
the published specifications, provided
three basic requirements are met in the
customer's establishment.
1. Such devices are stored in an en·
vironment of no more than 30% relative
humidity.

RESISTOR CHARACTERISTICS
Characteristic

Value

Unit

Power Rating @ T A = 25°C Derates to 0 at 200°C

250 max

mW

Temperature Coefficient Resistance (See Figure 1)

-30 to +300

ppm/oC

1.0 max

pF

Shu~t C~pac!t~nce

to S!..!bstrtlte

2. Devices are die·and·wire bonded in
an inert atmosphere not exceeding 400°C.
3. Processing equipment conforms to
the minimum standards of equipment
fiurmal:y

6mp~oyad

iil :oemiccmh.!ct!!!"

Breakdown Voltage to Substrate

400 min

Volts

establishments.

Resistance to Substrate

1015 min

Ohms

Voltage Coefficient

0.001 max

%/Volt

0.05 max
0.01 max

%/first 100 hrs.
%/1000 hr•.

Moreover, Motorola's engineering staff is
available for consultation in the event of
correlation or processing problems en·
countered in the use of Motorola semi·
conductor chips. For assistance of this n.
ture, please contact your nearest Motorola
sales representative.

Drift @ 50% rated power and 12SoC

TYPE DESIGNATION
MMCR105·301

MOTOROLA MICROCIRCUIT

RESISTOR

COMPONENT4~

RESISTANCE TOLERANCE·

RESISTANCE VALUE IN OHMS. Thafirst

two d.ig.its rep~~sent significant figures; the
last digit speCifies the number of zeros to
follow. (300 OHMS is illustrated)

"RESISTANCE TOLERANCE
SYMBOL

RESISTANCE TOLERANCE
PERCENT

101
102
105
110
120

1
2
5
10
20

7-52

NOTE: Only 5 and 10 percent tolerance resistors are available as
standard products and are listed in ·t-tlis data sheet. 1, 2
and 20 percent resistors ere available on special order.

MMCR 105, MMCR 11 0 (continued)

TABLE 1- RESISTANCE VALUES
Nominal
Resistance
In Ohms

•

Pad
Part Number
5% Resistors

Part Number

Geometrv

Terminations

10% Resistors

Figure

(Noto 11

MMCR110-100

1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1

A-8
A-8
A-8
A-8
C-D
C-D
C-D
C-D
C-D
C-D
8-D
A-D
A-D
A-D
A-D
A-D
A-D
A-D

L

l,;-U

2
2
2
2
2
2
2
2
2
2
2
2
2
2
2
2
2
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
4
3
4
4
4
4
4
4
4
4
4
4
4
4
4

C-D
C-D
C-D
B-D
B-D
8-D
B-D
A-D
A-D
B-D
A-D
A-D
A-D
A-D
A-D
A-D
A-D
A-B
A-8
A-8
A-8
A-B
A-8
A-B
C-D
C-D
A-B
C-D
C-D
C-D
C-D
C-D
C-D
C-D
A-D
A-D
A-D
A-D
A-D
A-D
A-O
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C

10
11
12
13
15
16
18
20
22
24
27
30
33
36
39
43
47
51

MMCR105-100
MMCR105-110
MMCR105-120
MMCR105-130
MMCR105-150
MMCR105-160
MMCR105-180
MMCR105-2oo
MMCR105-220
MMCR105-240
MMCR105-270
MMCR105-300

~;

~~~~~~:~~

IVIMl,;H

MMCR105-680
MMCR105-750
MMCR105-820
MMCR105-910
MMCR105-101
MMCR105-111
MMCR105-121
MMCR 105-131
MMCR105-151
MMCR105-161
MMCR 105-181
MMCR105-201
MMCR105-221
MMCR105-241
MMCR105-271
MMCR105-301
MMCR105-331
MMCR105-361
MMCR105-391
MMCR105-431
MMCR105-471
MMCR 105-511
MMCR105-561
MMCR105-621
MMCR105-681
MMCR105-751
MMCR105-821
MMCR 105-911
MMCR105-102
MMCR105-112
MMCR105-122
MMCR105-132
MMCR105-152
MMCR 105-162
MMCR105-182
MMCR105-202
MMCR105-222
MMCR105-242
MMCR105-272
MMCR105-302
MMCR105-332
MMCR105-362
MMCR105-392
MMCR105-432
MMCR 105-472
MMCR105-512
MMCR105-562
MMCR105-622
MMCR105-682
MMCR105-752
MMCR105-822
MMCR105-912

MMCRll0-680

68
75
82
91
100
110
120
130
150
160
180
200
220
240
270
300
330
360
390
430
470
510
560
620
680
750
820
910
1000
1100
1200
1300
1500
1600
1800
2000
2200
2400
2700
3000
3300
3600
3900
4300
4700
5100
5600
6200
,6800
7500
8200
9100

MMl,;H1 Ub-OjOjO

MMCR105-360
MMCR105-390
MMCR105-430
MMCR105-470
MMCR105-510

MMCR110-120
MMCR110-150
MMCR110-180
MMCR110-220
MMCR 11 0-270
MIVIl,;H

IU-Oj,jU

MMCRll0-390
MMCRll0-470
IU-"OU

MMCRll0-820
MMCRllO-l01
MMCRll0-121
MMCR110-151
MMCRllO-181
MMCR 110-221
MMCR 110-271
MMCRll0-331
MMCRll0-391
MMCRll0-471
MMCR 110-561
MMCR 11 0-681
MMCRll0-821
MMCR110-102
MMCR110-122
MMCRll0-152
MMCR110-182
MMCR110-222
MMCRll0-272
MMCR 11 0-332
MMCR110-392
MMCRll0-472
MMCR 11 0-562
MMCR 110-682
MMCR110-822

7-53

MMCR105, MMCR110 (continued)

TABLE I - RESISTANCE VALUES (continued)
Pad

Nominal
Resistance
In Ohms

Part Number
5% Resistors

10,000
11,000
12,000
13,000
IS,OOO
16,000
18.000
20,000
22,000
24,000
27,000
30,000
33,000
36,000
39,000
43,000
47,000
SI,OOO
S6,OOO
62,000
66,000
7S,OOO
82,000
91,000
100,000

MMCR105-103
MMCR105-113
MMCR105-123
MMCR10S-133
MMCR10S-1S3
MMCR10S-163
MMCR10S-183
MMCR10S-203
MMCR 10S-223
MMCR10S-243
MMCR10S-273
MMCR105-303
MMCR10S-333
MMCR 105-363
MMCR10S-393
MMCR 105-433
MMCR 105-473
MMCR10S-SI3
MMCR10S-S63
MMCR10S-623
MMCR10S-683
MMCR10S-7S3
MMCR10S-823
MMCR105-913
MMCR105-104

Geometry
Figure

Part Number
10% Resistors

MMCRllO-l03

Terminations
(Note 1)

S
S
S
S
S
S
S
S
S
S
S
S
6
6
6
6
6
6
7
7
7
7
7
7
7

MMCRllO-123
MMCRll0-1S3
MMCR110-183
MMCR110-223
MMCR110-273
MMCRll0-333
MMCR110-393
MMCR110-473
MMCR 11 O-S63
MMCRll0-683
MMCR 11 0-823
MMCRll0-104

A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C
A-C

Note 1: The nominal resistance is measured between pad terminations as listed. Other resistances are available on the chip,
with approximate values as shown in the schematic with the geometry. but these values are not tested or guaranteed.

CONSTRUCTION DETAilS
SUBSTRATE - N-TYPE SILICON - 3 to 8 MILS THICK
CONTACT METALIZATION - ALUMINUM
ISOLATION LAYER - 10,000 A SILICON OIOXIDE
BACKING - GOLD-ALLOY
All Dimensions in mils
RESISTOR ELEMENT - NICKEL-CHROMIUM ALLOY

R

Geometry 1

R

R

2R

Geometry2

A~O

B

R
R
1R
Geometry3 A~D

B

R

C

7-54

1R

A~D

B

C

C

MMCR105, MMCR110 (continued)

R
Geometry 5

A

R

R

~

C

Geometrv6

B

R

A~C

B

EOUIVALENT CIRCUIT OF THE THIN-FILM
RESISTOR CHIP
R

~

·l~CS

......--~_>-__-4.

R

SUBSTRATE CONTACT

R

Geometry7 A~C
B

FIGURE 1 - NICHROME TEMPERATURE
COEFFICIENT RESISTANCE

•

+300
.

+200

,
~

GEOMETRY

~

1'--.

1
2
3

~

-............. r-

4

-

5
6
7

-100
20

40

60

80

100

120

140

160

OHMS PER NICHROME SQUARE

7-55

Number of
Nichrome
Squares

1
4

60
101
350
·1132
1588

MICROCIRCUIT COMPONENTS
THIN-FILM CAPACITORS

Microcircuit COIllponents
UNENCAPSULATED
UNENCAPSULATED THIN-FILM CAPACITORS

THIN· FILM CAPACITORS

This data sheet lists a series of capacitor chips designed for the
manufacturer of hybrid circuits. These chips are particularly useful
for trimming production circuits and for building prototype circuits.
These chips have gold-alloy backing that is suitable for eutectic bonding directly to a metalized substrate; or may be bonded to a kovar or
ceramic tab and then attached to the substrate using epoxy adhesive
or other suitable methods. Electrical connection from the aluminum
bonding pad of the capacitor chip to other circuit elements is accomplished using conventional wire bonding techniques.

MMCQ100-330
TO
MMCQ100-221
AND
MMCQ100-330-1
TO
MMCQ100-221-1

HANDLING PRECAUTIONS
Although the care and handling of unen-

capsulated semiconductors often require
precautions outside the experience of

CAPACITOR CHARACTERISTICS
Characteristic
Di55jp~tivi1

Value

factor @ 1.0 k.Hz

0.02

% Max

Temperature Coefficient of Capacitance

+25± 10

ppm/DC

500
190
75
50

-

<2.0

%

-55 to +125

DC

Dielectric Time Constant
(Megohm-Microfarad @ +25 0 C)

106

s

Tolerance

10

%

80 MHz
150 MHz
@ 200 MHz
@ 250 MHz

Q (Typical) @
@

Drift {after 3000 Hours

@

1250 Cl

Operating Temperature Range

many equipment manufacturers, Motorola
warrants that such devices meet or exceed
the published specifications, provided
;:h;~ b~~ic req!.!!!"eml1lnts are m'1lt in the
customer's establishment.

Unit

1. Such devices are stored in an environment of no more than 30% relative
humidity.

-

2. Devices are die-and-wlre bonded in
an inert atmosphere not exceeding400o C.

-

3. Processing equipment conforms to
the minimum standards of equipment
normally employed in semiconductor
establishments_
Moreover, Motorola's engineering staff is
available for consultation in the evelit of
correlation or proceSSing problems encountered in the use of Motorola semiconductor chips. For assistance of this nature, please contact your nearest Motorola
sales representative.

AVAILABLE PACKAGES

TYPE DESIGNATION

--TlY:----=;

a "- 1" designates a package of 10 units
no "- '" designates a pac.kage of 400 units

MMCQ100-330

Motorola Microcircuit Component

Capacitor

7-56'

'quare
Capacitor

Capacitor Value in pF
l~t two digits = capacity
=# of zeros

3rd digit

MMC0100·330to MMC0100·221 AND MMC0100·330·1 TOMMC0100·221·1 (continued)

CONSTRUCTION DETAILS
CONTACT METALIZATION - ALUMINUM
BACKING - GOLO-ALLOY

Bonding
Islands

40

•

r------------------------40----------------------~
CHIP GEOMETRY

All

DlllleflSl()IlStfl

Mllilineteis

Device Type

Device Type

Capacity

MMC0100-330
MMC0100-390
MMC0100-470
MMC0100-560
MMC0100-680
MMC0100-820
MMC0100-l0l
MMC0100-121
MMC0100-151
MMC0100-181
MMC0100-221

MMC0100-330-1
MMC0100-390-1
MMC0100-470-1
MMC0100-560-1
MMC0100-680-1
MMC0100-820-1
MMC0100-101-1
MMC0100-121-1
MMCOloo-151-1
MMC0100-181-1
MMC0100-221-1

33pF
39 pF
47 pF
56pF
68 pF
82 pF
100pF
120pF
150pF
180pF
220pF

7-57

Breakdown Voltage
100
100
95
90
85
80
75
65
50
40
20

Vdc
Vdc
Vdc
Vdc
Vdc
Vdc
Vdc
Vdc
Vdc
Vdc
Vdc

MICROCIRCUIT COMPONENTS
THIN-FILM CAPACITORS

UNENCAPSULATED THIN-FILM CAPACITORS

UNENCAPSULATED

This data sheet lists a series of capacitors on one chip d~signed for
the manufacturer of hybrid circuits. These chips are particularly
useful for trimming production circuits and for building prototype
circuits. The chip has a gold·alloy backing that is suitable.for eutectic
bonding directly to a metalized substrate; or may be bonded to a
kovar or ceramic tab and then attached to the substrate using epoxy
adhesive or other su itable methods. Electrical connection from the
aluminum bonding pads ofthe capacitor chip to other circu it elements
is accomplished using conventional wire bonding techniques.

THIN· FILM CAPACITORS

MMCQ101
MMCQ101-1

HANDLING PRECAUTIONS
Although the care and handling of unen·
capsulated semiconductors often require

precautions outside the experience of

CAPACITOR CHARACTERISTICS

many equipment manufactu rars, Motorola

Characteristic

Value

Dissipation Factor @ 1.0 kHz

Unit

0.02

% Max

+25±10

ppmloC

500
190
75
50

-

<2.0

%

-55 to +125

°c

Dielectric Time Constant
(Megohm· Microfarad @ +250 C)

106

5

Maximum DC Working Voltage

100

Vdc

Tolerance

± 10

%

Temperature Coefficient of Capacitance

a

{Typical! @ 80 MHz

150MHz
@ 200 MHz
@ 250 MHz
@

Drift (after 3000 Hours @ 1250 CI

Operating Temperature Range

TYPE DESIGNATION

warrants that such devices meat or exceed
the published specifications. provided

three basic requirements ara met in the
customer's establishment.
1. Such devices are stored in an en·
vironment of no more than 30% relative
humidity.
2. Devices are die~and'wire bonded in
an inert atmosphere not exceeding400o C.
3. ProceSSing equipment conforms to
the minimum standards of equipment
normally employed in semiconductor
establishments.
Moreover. Motorola's engineering staff is
available for consultation in the eveM of
correlation or processing problems encountered in the use of Motorola semi·
conductor chips. For assistance of this nature. please contact your nearest Motorola
sales representative.

AVAilABLE PACKAGES
a -1" designates a package af 10 units
no "-1" designates a package of 400 units
II

MMGUlOl

Motorola Microcircuit Component

---------7f\. . .------Capacitor

7-58

Binary Capacitor

MMC010l, MMC010l·l (continued)

CONSTRUCTION DETAILS
CONTACT METALIZATION - ALUMINUM
BACKING - GOLD ALLOV
All dimensions are in mils

0-------- 4 0 - - - - - - - - t - ,

1 -.,.

~---------------------------------------,I--

r-------------l

I
,

Top

EI.C~

I

I

ICDII'- -lllr-11J
I

r- - - ,

I

~i
I
I

B

II

C

I

L -- _.J

I

0

I

L_

1

I

I

:
I
! :------: I
I I
I I
A

I

I

I

:!
!!
!
I L_-.J L _____ -.J

I
I
I

L ____________ -.J
~----------------------------------------~.--~
CHIP GEOMETRY
A = 16 pF, B =B.O pF, C =4.0 pF, 0 = 2.0 pF. E = 1.0 pF

BINARY CAPACITOR CONNECTION CODE
Capacity

Required
1 pF
2pF
3pF
4pF
5pF
6pF
7 pF
8pF
9pF
10pF
11 pF
12pF
13pF
14pF
15pF
16pF

Capacity

Code
E

o
E+O
C

C+E
C+D
C+D+E
B

B+E
B+O
8+0+E
B+C
B+C+E
B+C+D
B+C+D+E
A

7.J,59

Required

Code

17 pF
18 pF
19 pF
20pF
21 pF
22 pF
23pF
24pF
25pF
26 pF
27 pF
28 pF
29 pF
30pF
31 pF

A+E
A+O
A+D+E
A+C
A+C+E
A+C+O
A+C+O+E
A+8
A+B+E
A+B+D
A+B+O+E
A+B+C
A+B+C+E
A+B+C+D
A+B+C+O+E

FLIP-CHIP MICROCIRCUIT COMPONENTS
A Flip-Chip is a completely passivated device, with solder bumps, designed for high volume, low
cost, automated handling and assembly into hybrid microcircuits.

Features of the Flip-Chip are:
All devices exactly same size and configuration
Chips are sawn apart for square corners and edges
Bumps are easy to use - 95/5 solder (3350 C)
Active face completely passivated
Back side identification and orientation
High assembly yields
Easy repairability
Easy tweezer and hot plate assembly

SI LICON SWITCHING TRANSISTORS (T A = 250 C)

500

MMCF3227

A

20

MMCF708

A

15

50/150

MMCF2369

A

15

40/150

iCC/3GO

10

J

0.25

10

0.4

J

0.25

4.0

500

6.0

300

4.0

500

·See page 7-62 for illustration.

SILICON AMPLIFIER TRANSISTORS (TA = 25°C)

NPN
MMCF2484

B

60

MMCF929

B

45

MMCF930

B

45

10

50

J

l

175/-

0.1

6.0

50/-

I

8.0

100/-

PNP
150/450
300/900
·See page 7-62 for illustration.

7-60

8.0

,

30

FLIP-CHIP MICROCIRCUIT COMPONENTS (continued)

SILICON SWITCHING AND AMPLIFIER TRANSISTORS

NPN
MMCF2221A

0
0
0
0

40
30
30

1 1

MMCF2906A

E

60

10

MMCF2907A

E

MMCF3250A
MMCF3251A

F
F

MMCF2906

E

MMCF2907

E

MMCF3250

F

MMCF3251

F

!
!

MMCF2222A
MMCF2221
MMCF2222

10

500

40/150
100/300

40

40/150
100/300

150

!

0.4

150

0.4
0.5
0.5

1

250

!

PNP

40

40/150

150

0.5

150

200

500

100/300

0.5

150

200
200

50/150

150
10

0.25

10

200
250

100/300

10

0.25

10

300

500

40/150

0.5

100/300

150
150

200

500

150
150

200

50/150

10

0.25

250

200

100/300

10

0.25

10
10

500 ..

·See page 7-62 for illustration.

SILICON SWITCHING DIODE (TA = 25°C)

·See page 7 -62 for illustration.

7-61

0.5

200
300

GEOMETRY

A

B

o

E

F

G

7-62

~
O~

BEAM LEAD

L

MCBC5400/MCB5400F SE R I ES

BEAM LEAD

INTEGRATED CIRCUITS

INDEX
Change Notice
General Information
Introduction
Beam Lead Technology
Beam Lead Reliability Assurance Steps
Packaging
Typical Characteristics
Breadboarding Suggestions
Maximum Ratings

Page
7-64
7-65

DEVICE SPECI FICATIONS
•

MCBC5400,
MCBC5401,
MCBC5402,
MCBC5404,
MCBC5405,
MCBC5410,
MCBC5420,
MCBC5430,
MCBC5440,
MCBC5450,
MCBC5451,
MCBC5453,
MCBC5454,
MCBC5460,
MCBC5472,
MCBC5473,
MCBC5479,

MCB5400F
MCB5401F
MCB5402F
MCB5404F
MCB5405F
MCB5410F
MCB5420F
MCB5430F
MCB5440F
MCB5450F
MCB5451F
MCB5453F
MCB5454F
MCB5460F
MCB5472F
MCB5473F
MCB5479F
MCB54140F

Quad 2-lnput NAND Gate
Quad 2-lnput NAND Gate (Open Collector)
Quad 2-lnput NOR Gate
Hex Inverter
Hex Inverter (Open Collector)
Triple 3-lnput NAND Gate
Dual 4-lnput NAND Gate
a-Input NAND Gate
Dual4-lnput NAND Buffer
Expandable Dual 2-Wide 2-lnput AND-OR-INVERT Gate
Dual2-Wide 2-lnput AND-OR-INVERT Gate
Expandable 4-Wide 2-lnput AND-OR-INVERT Gate
4-Wide 2-lnput AND-OR-INVERT Gate
Dual 4-lnput Expander for AND-OR-INVERT Gate
J-K Flip-Flop
Dual J-K Flip-Flop
Dual Type 0 Flip-Flop
4-lnput AND Driver with NOR Strobe
7-63

7-71
7-73
7-75
7-77
7-79
7-81
7-83
7-85
7-87
7-89
7-92
7-94
7-97
7-99
7-101
7-105
7-109
7-112

CHANGE NOTICE
BEAM TO PIN CROSS REFERENCE
MCBC5400 (CHIP) versus MCB5400F (FLAT PACK)
In complying with recent EIA agreements, Motorola beam-lead
devices now in production conform to the new standard beam
numbering system; i.e., the notched beam (beam 1) will be located
in the .Iower left·hand corner when viewing the chip with the
geometry face down.
The new beam number arrangement for Motorola devices is
shown below.

MCBC5400
MCB5400F

BEAM NO.
PIN NO.

14
1

1
2

2

3

4

3

4

5

5
6

6
7

7
8

8
9

9
10

10
11

11
12

12
13

13
14

MCBC5401
MCB5401F

BEAM NO.
PIN NO.

14

1
2

2
3

3
4

4
5

5
6

6
7

7
8

8
9

9
10

10
11

11
12

12
13

13
14

MCBC5402
MCB5402F

BEAM NO.
PIN NO.

16

1
2

2

3

3

4

4
5

5
6

6
7

8
8

9
9

10
10

11
11

12
12

13
13

14
14

MCBC5404
MCB5404F

BEAM NO.
PIN NO.

16

1
2

2

3

6

8

9

4

4
5

5

3

6

7

8

9

10
10

11
11

12
12

13
13

14
14

MCBC5405
MCB5405F

BEAM NO.
PIN NO.

16

2
3

3
4

4
5

5
6

6
7

8
8

8
9

10
10

11
11

12
12

13
13

14
14

MCBC5410
MCB5410F

BEAM NO.
PIN NO.

14

2

3

8
9

9

6

6
7

7

,4

4
5

5

3

10

10
11

11
12

12
13

13
14

MCBC5420

BEAM NO.

4

5

6

7

8

9

10

11

12

2

3

4

5

6

7

8

9

10

11

12

13

14

2
3

3

5
6

6
7

7
B

9

4

4
5

8

2

9

10

10
11

11
12

13

14

1
2

2
3

3
4

4

5

6

9

6

7

7
8

8

5

9

10

10
11

11
12

12
13

13
14

2

4
5

6

7

8

9

3

3
4

5

2

6

7

8

9

10

10
11

11
12

12
13

13
14

1
2

2
3

3
4

4

5

7
8

9

6

6
7

8

5

9

10

10
11

11
12

12
13

13
14

1
2

2
3

3
4

4
5

5
6

6
7

7
8

8
9

10

10
11

11
12

12
13

13
14

1

2
3

3
4

4
5

5
6

6
7

7

8

9

8

9

10

10
11

11
12

12
13

13
14

2
3

3

4

7

5

5
6

6

4

7

8

8
9

10

10
11

11
12

12
13

13
14

3
4

4
5

6
7

8
8

10
10

11
11

12
12

13
13

14
14

MCBS420F

2
1
2

3

2

0"'"

~""'l
.--11 .. l'ilV.

MCBC5430
MCB5430F

BEAM NO.
PIN NO.

12

MCBC5440
MCB5440F

BEAM NO.
PIN NO.

14

MCBC5450
MCB5450F

BEAM NO.
PIN NO.

14
1

MCBC5451
MCB5451F

BEAM NO.
PIN NO.

14

MCBC5453
MCB5453F

BEAM NO.
PIN NO.

14

MCBC5454
MCB5454F

BEAM NO.
PIN NO.

14

MCBC5460
MCB5460F

BEAM NO.
PIN NO.

14

MCBC5472
MCB5472F

BEAM NO.
PIN NO.

16
1

2
1

2

2
2

3

7-64

5

6

8

9
9

9

9

GENERAL INFORMATION
MCBCS400/ MCBS400F Series
BEAM LEAD INTEGRATED CIRCUITS

FIGURE 1 - TYPICAL MTTL CIRCUIT
MCBC5400IMCB5400F Series

INTRODUCTION

•
I

The MTTL MCBC5400/MCB5400F series of transistortransistor 'logic is a medium-speed, high noise immunity
family of saturating integrated logic circuits designed for
digital logic applications requiring clock frequencies to 30
MHz and switching speeds in the 12-15 ns range under
moderate capacitive loading.
The beam lead sealed-junction technology used in this
MTTL family makes the devices useful in military, aerospace, and commercial applications that require a high
degree of reliability under environmental conditions of
severe temperature extremes, mechanical shock, and high
humidity. The beam lead products employ a silicon nitride
dielectric that hermetically seals the chip, eliminating the
need for a hermetic package. The beam leads are gold
cantilevered structures extending from the chip. These
beams bond readily to, a gold metalized substrate providing
one of the most reliable interconnection systems known for
semiconductor devices.
The circuits in the MCBC5400/MCB5400F series are
identified by a multiple emitter input transistor and an
active pullup in the upper output network as shown in
Figure 1.
The multiple emitter input configuration offers the
maximum amount of logic capability in the minimum
physical area and provides improved switching characteristics during turnoff. Clamp diodes are provided at each
of the inputs to limit undershoot that occurs in typical
applications such as driving long interconnect wiring. The
active pullup output configuration provides low impedance
in the high output state. The resulting low impedances in
both states provide excellent ac noiSe immunity and allow
high-speed operation while driving large capacitive loads.
These beam lead MTTL units have the same electrical
characteristics as the conventional flat-pack units and may
be used interchangeably with them. This eliminates the
need for electrically redesigning equipment for improved
reliability after the successful performance of prototype or
pre-production units with conventional devices.

bile ions. Ions impinging on the surface of the finished circuit can
cause high leakage current and reduction of current gain. Silicon

nitride passivation applied over the o,:(ide prevents contaminants
that can result in such degradation from reaching the oxide.

Metalization
The metalization on the Motorola beam lead integrated circuits
of platinum silicide ohmic contacts topped by layers of titanium
and platinum. These in turn are followed by two layers of gold.
The first gold layer provides the chip intraconnection and the
second, thicker layer forms the cantilevered beams that connect the
chip to the outside world (see Figure 21. This metallzation method
has the ability to withstand conditions of high humidity over extended periods of time without degradation or the formation of
undesirable inter-metallics. It is also capable of being bonded to a
gold-metalized substrate and provides a highly relaible gold-to-gold
bond, which is easily made and readily inspectable. Bonds have also been made to ·other substrate metal materials without difficulty.
During the bonding process, beam lead devices lift off the
substrate surface. which, with the ductility of the gold metal beams
and the high quality bond, allows the device to withstand wide
variations in temperature without fflilure due to fatigue.

Separation Etch
Conventional integrated circuits are separated with a "scribe and
break" technique lIIhich not only results in a yield loss due to cracking of the die, but can also result in minute cracks, which do not
immediately reveal themselves and can cauSf! device failure at a
latar date. The beam lead sealed-junction devices are separated by
chemically etching through the silicon from the back side, thereby
avoiding mechanical stresses andlor other latent defects.

BEAM LEAD TECHNOLOGY
Junction Sealing
In conventional integrated circuits. the

P~N

junctions are

pr~

tected by a layer of silicon dioxide. This oxide. while acting as an
insulator and providing a degree of protection, is permeable to mo~

7-65

GENERAL INFORMATION

MTTL

MCBC5400/MCB5400F Series
BEAM LEAD INTEGRATED CIRCUITS

TABLE 1
Beam Lead Reliability Assurance Steps
I. Chips

A. Tests performed after wafer separation etch
1. Post separation etch visual inspection (backside)
2. Wafer electrical probe (1 OO%dc test per data sheet at 2SoC.
B. Tests performed on a bondep sample after die transfer and
pick
1. Beam integrity
a) Bond qualification samples to test header
b) Push die off header from metallization side
c) Each beam must withstand 2.00 gm. min.
2. Junction seal integrity

a) Electrical test
b) Apply NaCI over die
c) Aeverse bias input junctions at T A = 300°C for eight
hours in forming gas atmosphere

d) Electrical test
3. Electrical qualification
a) Package sample
b) DC parameters at all temperatures per data sheet
c) AC test per data sheet

1. Lot stress screening
a) Temperature cycling:-6SoC to lS00C min; 10 cycles
b) Water immersion: boiling water (""100°C; 1 hour)
c) Electrical measurements: de leakage parameters
d) Stabilization bake: T A = 17SoC min; 24 hours min
e) High tempereture reverse bias (cost option)
C. Testing after package cleaning and marking
1. Electrical tests
a) Final dc test per data sheet at 2SoC (100%)
2. A and QA final outgoing inspection
a) Burn in screen (cost option)
b) Group A - visual/mechanical inspection per MILSTD-883. method 2009. Group A tests are performed
on every lot on a sample basis.
'DC electrical measurements per data sheet (sample)
AC electrical measurements (sample)
c) Group B environmental testing per MI L-STD-883
Class A as applicable. These tests are performed
periodically during the manufacturing period on a
production lot of a representative circu it type. The
circuit type selected each period is changed routinely
and is representative of all structurally similar devices
produced on the same line by the same processes
during that period.

C. I nspection after die pick and sort

1. 100% high power visual inspection
2. A and QA sample high power inspection

d) Group C - life testing per MI L-STD-883 Class A as
applicable. These tests are performed periodically on

II. Packaged Devices
A. Inspection after die bond
1. Sample visual inspection
B. Testing after encapsulation

at least one lot of every circuit family produced dur·

ing that period.

FIGURE 2 - BEAM LEAD SEALED JUNCTION TRANSISTOR
Thin Gold

Silicon Nitride

ITr~~f~;

/I-----,---fi• • •-.,--,---fi• • •-----,--i• • •-.--.--,-Il• • •-.,----i

Platinum
Titanium

Silicon Nitride

Silicon Dioxide

Reliability Processing
Conventional integrated circuits have establish~d an outstanding
reputation for reliability. Beam lead integ~ated circu.its provide

The ali-gold beam lead interconnection system eliminates the
sources of conventional bond failure. These processes are com-

even higher reliability by eliminating the major failure modes of

pletely documented by in-process speCifications and are carefully

conventional circuits. Most failures in conventional Integrated cirwits are due to contaminants reaching the active. chip or to failure

monitored for adherance to process requirements and inspection

standards by the Motorola Aeliability and Quality Assurance De-

in the bonds between the package and th'e chip. 8eam lead technology solves both of these problems. The silicon nitride hermetically seals the chip so that even a leaking package causes no failure.

partment. In addition, the tests itemized in Table 1 are conducted

on all lots from which die are taken for sale either as dice or packaged circuits.

7-66

GENERAL INFORMATION

MTTL

MCBC5400/MCB5400F Series
BEAM LEAD INTEGRATED CIRCUITS

Mechanical Properties
The beam leads, which are cantilevered from each die, ';Ire

tested for beam-strength, hardness, ductility and adhesion to the
chip by suitable tests to demonstrata that the die are readily bondable and will be reliable under extreme temperature and mechanical
stress conditions.

F SUFFIX

F SUFFIX

CERAMIC PACKAGE
CASe 607

CERAMIC PACKAGE
CASE 651

T0-86

0.00'

--.lmi

+= ~.{~I:D~

O.~3

T.P.

D.OIi6

Packaging and Handling

SEATING
PLANE

The MCBC5400/MCB5400F series of beam lead ..aled-junction
digital integrated circuits is available in the chip form and in a
%" x %" ceramic flat package. The shipping carrier for chips is a
2" square gla.. plate on which the chips are placed. A thin layer
of polymer film covers the plata and retains the chips in place. The
chips do not adhere to the film when it is lifted to remove them
from the carrier. Care must be exercised when removing the chips
from the carrier to ensure that the beams are not bent. This is most
easily done by using a vacuum pick-up for this purpose.

O.C30

ij]jij

0.100

T.P.

0.050

=1,
0.010

T.P.

1-.1

D.2~

om
Lead T identified by color dOl or bV tlbow on IHd.
All JEDEC dimensions and notes apply

TYPICAL CHARACTERISTICS

Devices formerly using Case 651 are now manufactured in Case 607.
Either package may be shipped during the transition.

The following summary present. the typical operating
characteristics of the MTTL MCBC5400/MCB5400F series.
Unle.. otherwise indicated, the parameters are defined for
VCC =+5.0 volts and T A =+250 C.
Supply Voltage Operating Range
Operating Temperature Range

z

BREADBOARDING SUGGESTIONS
When breadboarding with any form of high-speed, high-per·
formonce TTL, the designer must continually be aware of the fact
that he is working with the fastast form of saturating logic available in the industry today. The switching speeds, especially the
frequencies associated with the very fast rise and fall timeS of the
Circuits, are in the R F range and good high-frequency layout techniques should be used. The following breadboarding suggestion.
have been included to help the designer in his initial circuit layout.
In many cases the breadboarding suggestions will have to be modified to meet the requirements of the designer's specific application.

4.5 to 5.5 volts

= -55 to +1250 C

Output Drive Capability
Other Gate. (Output Loading Factor) = 10
Capacitance = 600 pF

•

Output Impedance
High State = 70 ohms (unsaturated) nominal
Low State = 10 ohms nominal

Power and Ground Distribution

Output Voltage Swing = 0.2 to 3.5 volts typical

Spacial care should be taken to insure adequate distribution of
pawer and ground sYstems. The typical rate of change of currents
and voltages for a single MTTL gate is in the range of 107 A/s and
loB Vis respectively. These figures reflect the necessity for a lowimpedance power supply and ground distribution system. if transients are to be minimized and noise margins maintained. The use
of AWG No. 20 wire or larger is often required. For printed circuitry, line widths of 100 mils or more are often necessary. A
ground plane is desirable when using a large number of units.

Input Voltage Limits
+5.5 volts maximum
-0.5 volt minimum
Switching Threshold

Weiglit",O.197gr8ms
Lead 1 identifiedbv color dot orbv elbow on laad.
Top Cov&rmadeofsilasticmalarial.

= 1.5 volts nominal

Input Impedance
High State = 400 k ohm. nominal
Low State = 4.0 k ohms nominal
Worst-Case DC Noise Margin
High State - 0.400 volt minimum
Low State - 0.400 volt minimum
Power Dissipation (1 I
Basic Gate z 10 mW typ/gate
Basic Flip-Flop = 40 mW typ/pkg

Bypassing
To reduce supply tranSients, the breadboard should be bypassed
at the point where power is supplied to the board and at intervals
throughout the board. The use of a single bypass capacitor at the
output terminal of the power supply is not adequate in a breadboard utilizing the fast rise and fall time MTTL circuits. A comparatively large. low-inductance type capacitor (in the 1.0 "F range)
is suggested at the point where power and ground enter the board.
In mony cases it has been found that distributing 0.01 "F capaci·
tors for every eight packages throughout a breadboard is adequate
to suppress normal switching transients. It is also suggested that a
bypass capacitor be placed in close proximity to any circuit driving
a large capacitive load.

Switching Speeds (21
Average Propagation Delay = 13 ns per gate typical
30 ns per flip-flop typical
Rise Time = 2.5 ns typical
Fall Time = 1.5 ns typical
Maximum Flip-Flop Clock Frequency = 20 MHz typical

7-67

GENERAL INFORMATION

MTTL

MCBC5400/MCB5400F Series
BEAM LEAD INTEGRATED CIRCUITS

Power Dissipation

from external noise. If the inputs are returned to the supply voltage, care should be taken to insure that the supply voltage does

The standard supply voltage of the MTTL logic circuits is +5.0
Vdc. The typical average dc power dissipation is given for each

not exceed the maximum rated input voltage of 5.5 volts. If the
supply can exceed 5.5 volts, the unused inputs must be returned to
a lower voltage. The total number of inputs that can be tied to the
output of any driving gate is 50. (This is defined as high state output loading factor.) It should be noted that the low state output
loading rules must still be maintained. The minimum logical "1"
level, VOH = 2.4 V minimum for the high-state output loading,
with Vtho = 0.8 V, IOH = -0.4 mA, and VCCL.

MTTL circuit. (1) It should be noted that the totem pole output

common to all high level MTTL circuits has an associated ae power
dissipation factor. This factor results from the timing overlap of
the upper and lower output transistors during the normal switching
operation and is typically 0.30 mW/MHz/output for a 15 pF load.
This ae power dissipation should be added when calculating the

total power requirements of the MTTL circuits.

The unused inputs of the various flip-flops may be tied back to
their associated outputs. To determine which outputs are related
to each set of inputs by internal feedback, refer to. the circuit
schematics.
The inputs at any unused gate in a package should be grounded.
This places the gate in its lowest power condition and will help to
eliminate unnecessary power drain.

Unus8d Inputs and Unused Gates
The unused inputs of any MTTL logic circuit should not be left

open, and can either be tied to the used inputs or returned to the
supply voltage. This will reduce any potential problems resulting

(1)

IpOL + IpDH
Po =

2

(Vee)

where IpOL and IpDH are the typical de current drains at

Vee =

±5.0 V.

Expanders and Expander Nodes

(2)The switching characteristics of the MTTL family are defined
with respect to the associated transistions of the voltage waveforms.

The ORing nodes of all the MTTL AND-OR·INVERT gates are
made available for expanding the number of AN D gates to 6
(MC5450F) or 8 (MC5453FI. Since these are comparatively highimpedance nodes. care should be taken to minimize capacitive load-

The average propagation delay is defined as the average of the turnon delay and the turn-off delay measured from the 1.5 V point of
the input to the'.5 V point of the associated output transition or:

ingon the expander terminals if switching speed is to be maintained.

ton + toff

tj;d :;:

--2-- ns.

When an expander is to be used with an expandable AND·ORINVERT gate. it shouid be piaCt:'u as close as possible to the sate

from the 10% to the 90% V level. Fall time is defined as the nega-

being expanded. The increase in average propagation delay as a
function of capacitance added to the expander nodes is typically

tive transition of the output from the 90% to the 10% V level.

1.0 ns/pF.

Rise time is defined as the positive going transition of the output

SIGNAL LINE NOISE IMMUNITY
LOGIC "0" LEVEL

LOGIC "1" LEVEL

GROUND LINE NOISE IMMUNITY

POWER SUPPLY NOISE IMMUNITY

7-68

GENERAL INFORMATION

MTTL

MCBC5400/MCB5400F Series
BEAM LEAD INTEGRATED CIRCUITS

SIGNAL LINE NOISE IMMUNITY
w

o

LOGIC "0" LEVEL

6

....~

LOGIC "1" LEVEL

LOGIC "0" REFERENCE
LEVEL = 0.2 VOLTS

:;
~iii 4

LOGIC "1" REFERENCE
LEVEL = 3.5 VOLTS

I\.

q::;
WO

~~2

~
0W

\

"

oz

0
0

~

3.--_G_R_O.,.U_N_D_L_I~N_E.,--N_O_I_S_E_I..,M_MU_N_I_T_Y,......_ _....,

U)

50

100
150
PW, NOISE PULSE WIDTH

200

250

50

(ns)

....

....
~

iii

q::;
wO

~?

.

~

w

5
Z

61---------+---------+----------~--------4

"-

:;

~~5 41----"..__
..,..-~----+-----..j..------I

21---'-..,--+----+----+----I------l

~

It----p---+----+--........-.,---/


Power Supply Drain

'PDH
'WL

V

V

Switd!ing Por_
Turn..OD Delay
Turn-OU Delay

A,a

Z

Vdc

'"

..
8.0

'PBL

A,'

,..'

tpLH

A,.

22"

14

I v.. , I v...

Test Umlts

Characttristic
Input

13

T£5T !:U!P.9,!T/VOLTAGE YAWfS (An T~ahP'tI.)
Volts

I

lOA

11

V
A

V

mMc

mAdc

V

mAde

V

Pulse Pulse
I.
Out
A
A

•
•

B

v

B

V

Grotmd lapatl to pte. DDt UDder teat.
**Tqted only at HOC.
tOaly one output: abould be aborted at a Ume.

7-72

A,S*'

MCBC5400/MCB5400F series

QUAD 2-INPUT "NAND" GATE
WITH OPEN COLLECTOR

MCBC5401*
MCB5401F*
This device consists of four 2-input NAND gates with no
output pullup network that is produced using beam lead sealed

1/4 OF CIRCUIT SHOWN

junction technology. These devices are particularly useful in
highly reliable systems using hybrid beam lead assembly techniques, or standard flat package assembly techniques.

3

2

Positive Logic: 3

=~
1'+2

Negative Logic:: 3""

Input Loading Factor == 1

Output Loading Factor"" 1 0
Total Power Dissipation

GND
11

Propagation Delay Time

VOLTAGE WAVEFORMS AND DEFINITIONS

40 mW typ/pkg

c

= 35 ns typ

SWITCHING TIME TEST CIRCUIT

Vee
TP out

+ 5.0 Vdc

1,----,.+-1----3.0 V
90%

'H----l.5V

tpd_
t+ = 12 ns
t- = 6.0 ns

=

TP out

PRF
1.0 MHz, 50% duty cycle
Generator Zout 50 ohms

~

r

10%
-+~---OV

--t

~2.4 V min

~'.5V

______-====:.::-_-~--~~~ max

+2.4 Vdc

A L = 400 ohms for tpd_ test.
4.0 k ohms for tpd+ test.

CT '" 15 pF = total parasitic capacitance, which includes probe. wiring. and load
capacitances.
High impedance Pl"obes (>1.0 megohm) must be used for tests:

• F suffix:: 1/4" x 1/4" ceramic package (Case 651), MCBC-prefixed devices are unencapsulated. Beam numbers are the same as the pin numbers for flat-packaged
devices. See General Information section for package and chip details.

7-73

~

ELECTRICAL CHARACTERISTICS

n
tll
n

Test procedures are shown for only one

gate. The other gates are tested in the same
manner. Further, test procedures are shown

~=O-3

for only one input of the gate under test.

To complete testing, sequence through re-

;=0-5
109=tJ-a
12=0-14
13

maining inputs.

Characteristic
Input

U1

...~
mA
IOL

V1L

TEST CURRENT /VOlTAGE VALUES
Volts
VR2
V1H V1HH
VR1
V'h

16

0.4

2.4

5.5

4.5

5.0

~

(All Temperatures)

1

2.0

(")

tll

V,hO VCEX

VCC

VCCL

VCCH

0.8

5.0

4.5

5.5

5.5

U1
~

o....

."

Test Limits
Pin MCBC5401/MCB540lF
-55 to +125°C
Under
Symbol Test Min Max Unit

IOL

V1L

VI~

V1HH

VR1

VR2

V'h 1

V'hO

VCEX

VCC

VCCL

VCCH

Gnd

TEST CURRENT / VOLTAGE APPLIED TO PINS LISTED BELOW:

8::J

Forward Current

IF

1

-

-1. 6

mAde

-

1

-

-

2

-

-

-

-

-

-

4

11'

Leakage Current

IRI

1

-

40

!lAde

-

-

1

-

-

-

-

-

-

-

-

4

2,11'

IR2

1

-

1.0

mAde

-

-

-

1

-

-

-

-

-

-

4

2,11'

VOL

3

0.4

Vde

3

-

-

-

-

1,2

-

-

4

-

11'

ICEX

3

-

0.25

mAde

-

-

-

-

1

-

-

2

3

-

4

-

11'

IpDH

4

-

22

mAde

-

-

-

-

-

1,2,6,7,9,
10,12,13

-

-

-

-

-

4

11

IpDL

4

-

8.0

mAde

-

-

-

-

-

-

-

-

-

-

-

4

1,2,11 *

Pulse
In

Pulse
Out

.......

..!..s

~

Output
Output Voltage

Output Leakage Current

Power Requirements
(Total Device)
Power Supply Drain

Switching Parameters
Turn-On Delay

t pd _

1,3

-

15**

ns

1

3

2

-

-

-

-

-

-

4

-

-

11

Turn-Off Delay

tpd+

1,3

-

45**

ns

1

3

2

-

-

-

-

-

-

4

-

-

11

*Ground inputs to gates not under test.
**Tested only at 25<.1 C.

~.
::J

C

~

MCBC5400/MCB5400F series

QUAD 2-INPUT "NOR" GATE

MCBC5402*
MCB5402F*

~
."ML".~
This device consists of four 2-input NOR gates that is produced using beam lead sealed junction technology. These devices are particularly useful in highly reliable systems using
hybrid beam lead assembly techniques or standard flat package
assembly techniques.

1/4 OF CIRCUIT SHOWN

4

Vee

4k

4 k

1.4 k

100

3
Positive Logic: 3"
Negative Logic: 3"

'1'+"'2
f"';'2

Input Loading Factor = 1
Output Loading Facto~ = 10

1 k

lotal Power Dissipation

= 48

mW typ!pkg

Propagation Delay Time = 10 ns typ

GND
11

Package No.11 I 21 31 41 51 61 7T8T 9 T10T11T12113T14
IBeamNo. 1161112131415T6T8 91011121314
Pin numbers on drawings are for devices in the flat package.

SWITCHING TIME TEST CIRCUIT

VOLTAGE WAVEFORMS ANO DEFINITIONS

•

, . . . - -....- - - - - 3 . 0 V

'k-----1.5V

90UO.51'S
10%

'-----0 V
MMD6150
or Equiv.

50%

50
tt- "" t+

t+

V,H

< 15 ns

+2.4 Vdc

PRF'O.- 1.0 MHz

Generator ZOtJt

MMD7000
or Equiv.

~

50 ohms

CT"" 15 pF "" total parasitic capacitance, which includes probe, wiring, and load

capacitances.
-The coax delays from input to scope and output to scope must be matched.
The scope must be terminated in SO·ohm impedance. The 9S0-ohm resistor and
--::he scope termination impedance constitute a 20: 1 attenuator prObe. Coax shall
be CT-070-50 Of" equivalent.

*F suffix = 1/4" x 1/4" ceramic package (Case 651) MCBC-prefixed devices are unencapsulated. See General Information section fOI package and chip details.

7-75

2.4 V min

1.5

V

s:
("')

ELECTRICAL CHARACTERISTICS
Test procedures are shown for only one

~=D-3
~=D-5

gate. The other gates are tested in the same
manner. Further, test procedures are shown
for only one input of the gate under test.

To complete testing, sequence through re-

~

U1

~

9~8

maining inputs. Pin numbers used are for
devices in the flat package.

.N

,o~

s:

'2~'4
'3~

("')

10H

"IL

TEST CURRENT /VOLTAGE VALUES (All Temperatures)
Volts
VIH VIHH
VR•
VOl
V,hl
V,hO Vcc

0.4

0.4

2.4

rnA

10L
16

Characteristic
Input
Forward Current

-...J

~

5.5

4.5

I

5.0

I

0.8

5.0

VCCL

VCCH

4.5

5.5

"T1

no
:J

....

Test limits
Pin MCBC5402/MCB5402F
-55 to +125°C
Under
Symbol Test Min Max Unit

IOL

10H

VIL

TEST CIJRRENT /VOLTAGE APPLIED TO PINS LISTED BELOW:

:J
C
CD

VIH

VIHH

IF

1

-

-1. 6

mAde

-

-

I

IRI

1

-

40

MAde

-

-

-

1

-

IR2

1

1.0

mAde

-

-

-

1

VOL

3

-

0.4

Vdc

3

-

-

-

VOH

3

2.4

-

Vdc

-

3

-

-

ISC

3

-20

-55

mAde

-

-

-

-

IpDH

4

-

27

mAde

-

-

IpDL

4

-

16

mAde

-

-

r--"

Leakage Current

I

2.0

~
~
N

O'l

VRI

VR•

V,hl

2

-

-

V,hO

VCC

VCCL

-

-

-

-

-

VCCH

Gnd

4

11'

4

2,11*

4

2,11*

I

I

Output
Output Voltage

-

1

-

-

-

4

2,11*

2

4

1,11 *

-

-

J

Short-Circuit Current

-

-

-

-

-

-

1,2,6,7,9,
10,12,13

-

-

-

-

4

1,2,3,11*

-

4

11

-

4

1,2,11*

Power Requirements
(Total Device)
Power Supply Drain

Switching Parameters
Turn -On Delay
Turn-Off Delay

.

-

Pulse
In

Pulse
Out

tpd_

1,3

-

15**

ns

1

3

-

-

tpd+

1,3

-

22**

ns

1

3

-

-

Ground inputs to gates not under test.
"'*Tested only at 25°C.

-

-

-

-

-

-

4

-

-

2,11*

4

-

-

2,11*

0..

MCBC5400/MCB5400F series

HEX INVERTER

MCBC5404*
MCB5404F*
This device offers six independent inverting gates
in a single package. Each gate consists of a single input
driving an output inverter.

1/6 OF CIRCUIT SHOWN

1~14

4

3~2

5~6

7~8

9~10
13~12

14

"1

Positive Logic: 14 =

Input Loading FactOr -= 1

Output Loading Factor = 10

GND
11

Total Power Dissipation == 60 mW typ/pkg

Propagation Delay Time

= 13

ns typ

SWITCHING TIME TEST CIRCUIT ANO WAVEFORMS

Vee

•

+5.0 Vdc

~--..t--t-:=--3.0

'irl----1.5 V

400

-+~';':';':""'-GND

PULSE

or Equiv

t+oo;12nS}
•.
t- = 6.0 ns
10% to 90'b pOints
.
50
PR F = 1.0 MHz, 50% duty cycle
Generator Zout~50 ohms

CT

= 15 pF

tPd_~tPdt 2.4Vmin

MMD6150

GENERATOR

=

TP out

1.5V
_ _ _ _ 0.4 V max

MMD7000

-=.....::=---

or Equiv

total parasitic capacitance. which includes probe, wiring, and load capacitances.

The coax delays from input to scope and output to scope must be matched. The scope must
be te"minated in 50-ohm impedance. The 9SC-Ohm resistor and the scope termination im-

pedance constitute a 20: 1 attenuator probe. Coax shall be CT -070-50 or equivalent.
*F suffix::. .114" x 1/4" ceramic package (Case 651). MCBC·prefixed devices are unencapsulated. Beam numbers are the same as the pin numbers for flat-packaged
devices. See General Information section for package and chip details.

7-77

GND

ELECTRICAL CHARACTERISTICS

s:
(')

Test procedures are shown for only one
inverter. The other inverters are tested in
the same manner.

OJ

'-V- '4
3-V- 2
s-V,-V- s
9-V- ,O
,3-V-,2

~
~

•.J:a

s:

6

Characteristic
Input

,

(')

m
10L

10H

V"

TEST CURRENT /VOLTAGE VALUES (All Temperatures)
Volts
V.,
V.2
",H V,HH
V'hl
V'hO Vee

16 .

-0.4

0.4

:!.4

mA

5.5

4.5

I

5.0

2.0

-T

0.8

Test Limits
Pin MCBC5404/ MCBS404F
-ss to +12S'C
Under
Symbol Test Min Max Unit

10L

10H

V"

V'H

V,HH

V.,

VR2

-

-

I

-

-

-

-

I

-

-

-

-

-

-

I

-

-

-

-

-

1

5.0

~

.J:a
."
VeeL
4.5

VeeH

8::J

15.5

~.
::J

C

mT CURRENT /VOLTAGE APPLIED TO PINS LISTED BELOW:

Forward Current

IF

I

-

-1.6

mAde

Leakage Current

'RI

I

-

40

/lAde

IR2

I

1.0

mAde

-

VOL

14

-

0.4

Vdc

14

VOH

14

2.4

Vdc

-

14

Isc

14

-20

-55

mAde

-

-

IpDH

4

-

33

mAde

-

-

IpDL

4

-

12

V,hl

V,hO

CD

B:

VeeL

VeeH

Gnd

-

4

11-

-

-

4

11-

-

-

4

11-

4

-

11-

Vee

-..J
-..J

co

Output
Output Voltage

Short-Circuit Current

Power Requirements
(Total Device)
Power Supply Drain

mAde

Switching Parameters

-

Pulse
In

Pulse
Out

-

-

-

-

-

-

-

I

-

4

-

11-

-

-

-

-

-

4

1,11,141t:

-

1,3,5,7,

-

-

-

4

11

-

-

-

-

4

1,3,5,7,9,11,13

-

4

-

-

11-

-

4

-

-

11-

-

-

-

-

-

Turn-On Delay

'pd-

14

-

15**

ns

I

14

-

Turn-Off Delay

tpd+

14

-

22**

ns

I

14

-

-

9,13

-

-

*Ground inputs to inverters not under test.
**Tested only at 25°C.

MCBC5400/MCB5400F series

HEX INVERTER
(Open Collector)

MCBC5405*
MCB5405F*
This device consists of six independent inverting
gates with no output pullup circuits. It can be used
where theWired-OR function is required,or for driving
discrete components.

1/4 OF CI RCUIT SHOWN

Vee
4
l-C>o---14
3-C>o---2
4k

1.4 k

5-C>o---S
7-C>o---S
9-C>o---10
14
13-C>o---12
1 k
Positive Logic: 14;;::

11

'1

Input Loading Factor"" 1
Output Loading Factor = 10
Total Power Dissipation = 60 mW typ/pkg
Propagation Delay Time = 35 ns typ

GND

SWITCHING TIME TEST CIRCUIT AND WAVEFORMS

•

TP out

Vee
+5.0 Vdc

~--V-+-::-::---3.0
~----1.5V
------~+_---------~-------GND

tPd-~r

PULSE
GENERATOR
TP out

--I

~1.5V

___________---=====________ _____

t+=12nS}
t- = 6.0 ns
10% to 90% Points

~

50

PRF = 1.0 MHz
Duty Cycle'" 50%

PW = 0.5,..1
Zout~50

.n
RL

= 400 ohms for tpd_ telt.
4.0 k oh rnS for tpd+ test.

CT = '5 pF

~2.4vmin

= total

parasitic capacitance, which includes probe, wiring. and load

·F suffix = 114" x 1/4" ceramic package (Case 651), MCBC-prefhced devices are unencapsl,llated. Beam numbers are the same as the pin numbers for flat·packaged
devices. See General Information section for package and chip details.

7-79

capacitanc~.

-_~~~

max

s:

ELECTRICAL CHARACTERISTICS

n

Test procedures are shown for only one
inverter. The other inverters are tested in
the same manner.

\XI

@
~

o

'--{>--14
3--{>--2
5--{>--6
7--{>--S
--{>--

tTl

~

S

n

\XI

tTl
~

o

tTl
"T1

10

mA

12

-...J

cb
o

Test Limits
Pin MCBC5405/MCB5405F
55 to +125'C
Under
Test Min Max Unit

Characteristic
Input

Symbol

Forward Current

IF

1

-1.6

mAde

Leakage Current

IRI

1

40

/lAde

IR2

I

1.0

mAde

VOL

14

0.4

ICEX

14

0.25

-

IpDH

4

-

IpDL

4

1m

VCEX

16

5.5

VIL

I

0.4

TlST CURRENT/VOLTAGE VALUES (All Temperatures)
Volts
V1HH
VR,
VthO Vee
VR•
Villi
V'h'
2.4

I 5.5 I

4.5

Output Leakage Current

5.0

I

2.0

I

0.8

10 ,

VCEX

VIL

V,H

V,HH

VR,

VR•

V,h'

V'hO

33**

tpd_

Turn-Off Delay

tpd+

.

t,14
1,14

Ground inputs to inverters not under test.
**Tested only at 25 0 C.

-

I

II

5.50

Vcc

VCCl

VeeH

Gnd

-

-

4

11*

4

11*

4

11*

-

-

-

-

1

-

-

Vd<.:

14

-

-

-

mAde

-

-

-

-

1

1,3,5,7,

-

-

4

11

-

-

4

1,3,5,7,9,11,13

1

14

mAde

-

mAde

Switching Parameters
Turn-On Delay

4.50

-

1

-

1

9,13
12**

I

VeeH

-

-

Power Requirements
(Total Device)
Power Supply Drain

5.0

Vcc,

TEST CURRENT/VOLTAGE APPLIED TO PINS LISTED BELOW:

Output
Output Voltage

I

8::J

Pulse
In

Pulse
Out

15**

ns

1

14

55 **

ns

1

14

-

-

-

-

4

-

4

4

II'

4

11*

11*

-

11*

~.
::J
C
CD

.e:

MCBC5400/MCB5400F series

TRIPLE 3-INPUT "NAND" GATE

MCBC5410*
MCB5410F*
8EAM LEAD

.~
~
1.1

~.

..... - - ~

'---'

The device consists of three 3-input NAND gates. each gate
may be used as an inverter, or two gates may be cross·coupled
to form bistable circuits. 8eam lead sealed junction technology
is used to manufacture these devices. They are particularly useful in highly reliable systems using hybrid beam lead assembly
techniques or standard flat package assembly techniques.

1/3 OF CIRCUIT SHOWN

4

Vee
1.4 k

4k

100

3

140--++-+

1 k

=~

Positive Logic:

3

Negative Logic:

3 '" 1 + 2 + 14

Input Loading Factor::::: 1
Output Loading Factor = 10
Total Power Dissipation'" 30 mW typ/pkg
Propagation Delav Time

GND

= 10 ns

typ

11

SWITCHING TIME TEST CIRCUIT AND WAVEFORMS

Vee
+5.0 Vdc

1,----..1-+--:----3.0 min

400

'1<+----1.5 V
----~~-----_+~------GND

PULSE

GENERATOR

MM06150

tPd_~Pd' 2.4 V

or Equiv

t+ = 1201 }
t-

= 6.0 01

.
10% to 90% POintS

PRF=1.0MHz

Dutv Cvcl.
PW

= 0.5111

Zout~50

TPout
50

MM07000
or Equiv

= 50%

U

.'

-=
CT = 15 pF :;; total par•• itic capacitance. which includes probe, wiring, and load capacitances.
The coax delavs from input to scope and output to scope must be matched. The scope must
be terminated in 50·ohm impedance. The 950'ohm resistor and the scope termination im·
pedance conltitute a 20: 1 attenuator probe. Coax shall be CT -070-50 or equivalent .

• F tuffix = 1/4" )( 1/4" ceramic package (Case 651). MCBC-prefhced devices are un~
encapaulated. Seam number. are the .ame a. the pin number. for flat-packaged
device•• See General Information section for package and chip detail ••

7-'-.81

min

1.5 V

__'_ _ _ 0.4 V max

GNO

s

ELECTRICAL CHARACTERISTICS

C')

Test procedures are shown for only one
gate. The other gates are tested in the same

ttl

Q

manner. Further, test procedures are shown

for only one input of the gate under test.
To complete testing, sequence through re-

~
~

maining inputs.

.0

S

J=D- 3

C')

OJ

!=L)-s

10,
16

-....I
I

Forward Current

Leakage Current

CO
IV

Test Limits
Pin MCBCS41 0/ MCBS41 OF
-SS to +12SoC
Under
10,
Symbol Test Min Max Unit
[F

1

-I. 6

mAde

[R1

1

40

/lAde

[R2

1

-

1.0

mAde

VOL

3

-

0.4

Vdc

VOH

3

2.4

-

Vdc

[SC

3

-20

-55

mAde

[PDH

4

-

16.5

mAde

[PDL

4

-

6

mAde

Output
Output Voltage

Short-Circuit Current

V"

-0.4

0.4

2.4

mA

1~=D-13

12

Characteristic
Input

1011

TEST CURRENT/VOLTAGE VALUES (All Temperatures)
Volts
V.,
V.2
V,hl
V'H V'HH
V'hO Vcc

I

10H

-

V"

V'H

-

4.5

5.0

-

-

3

-

-

-

V'HH

V.,

V.2

-

2,14

-

-

-

-

1

-

-

I

2.0

0.8

5.0

~
.....

I

o

VCCl

VCCH

."

4.5

5.5

8::l
....

:;'

TEST CURRENT /VOLTAGE APPLIED TO PINS LISTED BELOW:

1

3

5.5

U'I

1

-

-

2,14

Vcc

Vcc ,

VCCH

Gnd

-

-

-

4

11'

-

-

-

4

2,11,14*

-

-

-

-

4

2,11,14*

4

-

11'

-

4

-

11'

-

-

4

1,2,3,8,11*,14

1,2,14

-

I::
C1I

V'hO

V'hl

-

1

-

Power Requirements
(Total Device)
Power Supply Drain

Switching Parameters
Turn-On Delay
Turn-Off Delay

'pd-

1,3

'pd+

1,3

-

Pulse
In

Pulse
Out

-

-

,2,6,7,8,9
10,12,14

-

-

-

-

-

-

15**

ns

1

3

-

!!,14

-

22**

IlS

1

3

-

2,14

-

-

_1_-

*Ground inputs to gates not under test.
**Tested only at 25°C.

-

4

11

-

-

4

1,2,11,14*

-

4

-

11'

-

4

-

11'

__

-

Eo

I

L.-_ _ _
fDUAL4-INPUT

..NAND ..

GAT~------------------------'

MCBC5420*
MCB5420F*

This device consists of two 4-input NAND gates.
These gates may be cross-coupled to form a set reset
flip-flop.

1/2 OF CIRCUIT SHOWN

Vee
4

4 k

1.4 k

1:~

100

13

2

14

2

12
130-++-+
14 0--+--+--+.....
Positive L.ogic : 2 = 1 .12.,3.,4
Negative Logic: 2

=1+

12

+

13

+

14

1 k

11

Input Loading Factor

=,

Output Loading Factor"" 10
Total Power Dissipation = 20 mW typ/pkg
Propagation Delay Time = 10 ns typ

GND

SWITCHING TIME TEST CIRCUIT AND WAVEFORMS

Vee
+5.0 Vdc

1r--.....,r+-=~--3.0 min

400

~----I.SV

------~~-----~~~~--GND

PULSE

GENERATOR

MMD6150·

tPd_~Pd+ 2.4Vmin

or Equiv
t+= 12n5 }
t6.0 ns
10%

=

.

to 90% POints

PRF = 1.0 MHz
Duty Cycle"" 50%

TP out
SO

MMD7000
or Equiv

--------=~~~----GND

PW = 0.51's
Zout""SO S!

CT

=

15 pF

= total

parasitic capacitance. which includes probe. wiring, and load capacitances.

The coax delaY$ from input to scope and output to scope must be matched. The scope must
be terminated..in 50-ohm impedance. The 950-ohm resist~r· and the scope termination impedance constitute a 20: 1 attenuator probe. Coax shall be CT -070-50 or equivalent.

-F suffix'" 1/4" x 1/4" ceramic package (Case 651)_ MCBC-prefixed devices are unencapsulated. Beam numbers are the sam.• as the pin number. for flat-packaged
devices. See General I nformatlon section for package details.

7-83

1.5 V
_ _ _ _ 0.4 V max

S

ELECTRICAL CHARACTERISTICS

n

Test procedures are shown for only one

\XI

gate. The other gates are tested in the same

~

manner. Further, test procedures are shown
for only one input of the gate under test.
To complete testing, sequence through re·

.j::ro

N

.0

maining inputs.

1:~

13

S

n

\XI

2

(1'1

14

.j::ro

:~10

10L

9

Characteristic
Input
Forward Current

,

10H

V'L

Tm CURRENT /VOLTAGE VALUES (Ali Temperatures)
Volts
V,., V,HH
VOl
V,hl
VOl
V'hO VCC

-0.4

0.4

2. ,(

mA
16

Test Limits
Pin MCBCS420/MCB5420F
-55 to + 125'(
Under
Symbol Test Min Max Unit

I

I 5.5 I

4.5

5.0

I

2.0

l

o. s

.1 5.0

N

I
VCCL

VCCH

4.50

5.50

8::J

~.

::J
C

TEST CURRENT/VOLTAGE APPLIED TO PINS LISTED BELOW:

(\)

~

10L

10H

'F

1

-1. 6

mAde

'R1

1

40

J-LAdc

IR2

1

-

1.0

mAde

VOL

2

-

0.4

Vdc

V OH

2

2,4

-

Vdc

'SC t

2

-20

-55

mAde

-

-

4

-

11

mAde

-

-

4

-

4

mAde

-

-

Pulse
In

Pulse
Out

V'L

VIIH

V,HH

1

Vo,

VOl

V'h'

12,13,14

-

-

-

-

V'hO

VCC

VCCL

VCCH

Gnd

4

11'

4

11,12,13,14'

4

11,12,13)4*

-..J

00

Leakage Current

.jOo

"

-

-

-

1

Output
Output Voltag;e

Short-Circuit Current

-

2

4

-

11*

-

4

1,2,11 ,12,13,14*

-

4

11

-

4

1,11,12,13,14*

-

11*

1

12,13,14

2

11*

4

1,12,13,
14

-

-

Power Requirements
(Total Device)
Power Supply Drain

'PDH
(PDL

Switching Parameters
Turn-On Delay

'pd-

Turn-Off Delay

tpd+

1.2

1,2

-

i,6,7,s,9,
12,13,14

-

15**

ns

1

2

12,13,
14

22**

ns

1

2

12,13,
(4

4

4
-

*Ground inputs to gate not under test.
**Tested only at 25" C.
tOnly one output should be shorted at a time.

o

."

-

- - - _._--

-----

11*

MCBC5400/MCB5400F series
8-INPUT "NAND" GATE

.....-.~

MCBC5430*
MCB5430F*

This device is an a-input NAND gate. It is useful when
processing a large number of variables, such as in encoders
and decoders.
Beam lead sealed junction technology is used to manufacture these devices. They are particularly useful in highly

Vee
4

4k

1.4 k

reliable systems using hybrid beam lead assembly techniques
or standard flat package assembly techniques.

100

2 _ _ _-,
3

IIII III
g::=C3

5

~,

6

~ 12

5
6
7
8
9
10

----,J,!::::::::I""I

8
9
10-----'

'"

~~4~

Positive Logic:

12'= 2 • 3 .5 • 6 .7 .8 .9 .10

1 k
~

Negative Logic:
12 = 2

~ ~

+3 +

6

+6 +

7 + 8 + 9 + 10

I nput Loading Factor"" 1

Output Loading Factor = 1 0

Total Power Dissipation'" 1 0 mW typ/pkg

11

GND

Propagation Delay Time

= 10 ns typ

SWITCHING TIME TEST CIRCUIT AND WAVEFORMS

•

Vee
+5.0 Vdc

~--++-c-::.,-:--3.0

400

'\rt----1.5 V

TP out

PULSE

GENERATOR

-+Cl..=~-GND

tPd-~Pd+ 2.4 V

MMD6150
or Equiv

TP out

t+ "" 12 ns }
t- "" 6.0 ns

10% to 90% points

PRF "'" 1.0 MHz, 50% duty cycle
PW = 0.5 p.s

50

MMD7000
or Equiv

____.__-====~c:::-:.---~~~ max

Zaut~50 ohms

CT

=:

15 pF '" total parasitic capacitance. which includes probe, wiring, and load capacitances.

The coax delays from input to scope and output to scope must be matched. The scope must
be ter.minated in 50"ohm impedance. The 950-ohm resistor and the scope termination impedance constitute a 20: 1 attenuatar probe. Coax shall be CT -070-50 or equivalent.

-F suffix = 1/4" x 114" ceramic package (Case 651). MCBC-prefixed devices are unencapsulated. Beam numbers are the same as the pin numbers for flat-packaged
devices. See General Information section for package and chip details.

7-85

min

1.5 V

S
n

ELECTRICAL CHARACTERISTICS
Test procedures are shown for only one input. To complete testing, sequence through
remaining inputs in the same manner.

txJ

n

tTl

fl
s(")

,0
3

txJ

tTl

12

~

:=:=JI

-

rnA

10

IOL
16

,

Characteristic
Input

Symbol

Forward Current

'F

2

-1. 6

mAde

Leakage Current

IR1

2

40

J1Adc

IR2

2

1.0

mAde

VOL

12

0.4

Vdc

V OH

12

2.4

ISC

12

-20

-55

mAde

IpDH

4

-

6.0

mAde

IpDL

4

-...J

00
Ol

Test Limits
Pin MCBC5430( MCB5430F
55 to +125°C
Under
Test Min Max Unit

-

10H

VOL

-0.4

0.4

TEST CURRENT /VOLTAGE VALUES (Ali Temperatures)
Volts
VRl
VR2
Vth1
V,hO Vee
V'H V1HH
2.4

5.5

4.5

I

5.0

2.0

0.8

5.0

4.50

(")

VeeH

Veel

I

o

...
:::J

5.50

:::J

C

TEST CURRENT (VOlTAGE APPLIED TO PINS LISTED BElOW:
10l

10H

VOL

V'H

V'HH

2

VRl

VR2

Vth1

V'hO

Vee

CO

0..

VCCl

3,5,6,7,8,
9,10
2
2

VeeH

Gnd

4

11

4

3,5,6,7,8,9,10

4

3,5,6,7,8,9,10

Output
Output Voltage

Short-Circuit Cur-rent

12

Vdc

2,3,5,6,7,
8,9,10
2

3,5,6,7,
8,9,10

12

4

11

4

11

4

2,3,5,6,7,8,9,10,11,12

-

4

11

-

4

2,3,5,6,7,8,9,10,11

Power Requirements
Power Supply Drain

2,3,5,6,7,
8,9,10

2.0

mAde

Switching Parameters

Pulse
In

Pulse
Out

Turn - On De lay

lpd_

2,12

15**

ns

2

12

3,5,6,,',
8,9,HI

4

11

Turn-Off Delay

tpd+

2,12

22**

ns

2

12

3,5,6,'1,

4

11

'--

**Tested only at 25'" C.

8,9,10

W

o

."

MCBC5400/MCB5400F series

DUAL 4-INPUT "NAND"

BUFFER

MCBC5440*
MCB5440F*

This device consists of two 4~input NAND power gates that
are produced using beam lead sealed junction technology. These
devices are particularly useful in highly reliable systems using

CIRCUIT SCHEMATIC
1/2 OF CIRCUIT SHOWN

hybrid beam lead assembly techniques or standard flat package

assembly techniques.

Vee

4 k

500

[seam]

100

No.

[141
( 111
(12J
(13J
A

(5J

B

z

Co--+-+-4

(6J
(7J

Do--+-++~

(8J

Flat
Pkg.
Pin

:~~z

14~
6

E

9

H

B7~F
y

2

[IJ

10 [9J

Positive Logic: Z = A. B. C. 0
Negative Logic: Z = A + B

+C +

0

Gnd
Input Loading Factor = 1

Output Loading Factor = 30
Total Power Dissipation = 50 mW typ/pkg
Propagation Delay Time = 13 ns typ

Vee = Pin 4 (3J
Gnd = Pin 11 (101

•

SWITCHING TIME TEST CIRCUIT AND WAVEFORMS

Vee
+5.0 Vdc

1r---~-i--::=--3.0

133

V

'1ct'----1.5V

- - -......+------+-'~--- a V

PULSE

GENERATOR

tPHL~PLH 2.4 V

MMD6150
or Equiv
TP out

t+=12ns
6.0 ns

t- =

MMD7000
or Equiv

PRF -1.0 MHz. 50% duty cycle

Generator

Zout~50

- - - - 0 . 4 V max
---==='-----Gnd

ohms

CT

=

15 pF :::: total parasitic capacitance, which includes probe, wiring, and load capacitances.

·F suffix = 1/4" x 1/4" ceramic package (Case 607). MCBC~prefixed devices Bre unencapsulated.
See General Information section for package dlmenllons.

7-87

min

1.5 V

MCBC5440, MCB5440F (continued)

14-Beam Ch ip

Beams true position within 0.002 total

ELECTRICAL CHARACTERISTICS
Test procedures are shown for only one

gate. The other gate is tested in the same
manner. Further, test procedures are shown
for only one input of the gate under test.

To complete testing, sequence through remaining inputs.

z

FUNCT'ON

A

Beam No.

14

Vee

G

H

FllltPk@.PinNo.

Y

e

o

10

11

'12

13

11

12

13

14

GND

10

v = Vce = Pin 4 13J
Gnd - Pin 11 [10J

Ch.racteristic
1..,.n
Forward Current
Leakage Current

TIS'Limits
Pin iMC8C5440/MC85440F
55
to +125°C
Under
SYmbol TIS' Min Max Unil
-1.6

.

-1.2

'F

'R'

A

.0

"Ad,

r,.,

A

1.0

mAde

0.'

Vd,

0.4

2.4

5.5

4.5

'.0

2.0

0,8

5.0

4.5

5.5

TEST CURRENT/VOLTAGE APPLIED TO PINS LISTED BROW,
1m

Pin 11 [Beam 101
is grounded for
aU tests in addition to the pins
listed below:

Gnd

",Ade

A

mT CURRENT/VOLTAGE VALUES (All Temper.'ures)
Volts

I

mA

A

B,C,D
A

V
A

V

B,CtO'"

V

A,atC,D,Z-

Output
Output Voltage

Short-Circuit Current

VOL
VOB

...

lOS'

-20

A,B,C.D

a,C,D

Vd,
-70

mAd'

'7

mAd'

8.0

mAd,

V

V

A

P...... Requi....ents
(Tot., om..)
Power Supply Drain

'PDB

V

'PDL

V

Switching Parameters

All

V

Inputs

A,B,C,D'"

PuI.. Pul..
In

Out

Turn-On Delay

A

B,C,D

V

Turn-Off Delay

A

B,CtD

V

·Ground inputs to gate not under test.

··Tested only at 2SDC.
tOaly ODe outpUt should be shorted at a Ume.

7-88

MCBC5400/MCB5400F series

EXPANDABLE DUAL
2-WIDE 2-INPUT
"AND-DR-INVERT" GATE

MCBC5450*
MCB5450F*

Thisdevice consists of two AND-DR-INVERT gates,
one of which isOR expandable. Each gate is made up
oftwo 2·inputAND gates ORed together and inverted.
Up to four MC5460(7460 expander gates may be
ORed with the device at the expander points.

1/2 OF CIRCUIT SHOWNt

2

4

Vee

3 _ _- , - ,
4k

1.4 k

4k

100

5 _ _,--_"

r--,

12

13 _ _

14--,--_/
Emitter

1 -----------'

Collector
13

2-----------'

12

14

30-++---.
50--+-+--+-.
Positive Logic:

12

= (3e5)

+ (13.14) + (Expanders)

Negative Logic:

12"" (3 +5). (13 +14) • (I;xpandel's)

GND
Input Loading Factor"" 1

11

Output Loading Factor == 10
Total Power Dissipation = 28 mW typ/pkg
Propagation Delay Time = 13 ns typ

t Other half of circuit omits expander inputs.

SWITCHING TIME TEST CIRCUIT ANO WAVEFORMS

Vee

•

+5.0 Vdc

1.5 V
------~1_--------~-----GND

400

tPd-~tPd+
2.4 V

TP ou.t

PULSE

GENERATOR
MMD6150
or Equiv
t- =- 6.0

PRF

ns

90%'points
MMD7000
or Equiv

= 1.0 MHz

Duty Cycle::: 50%
PW = 0.5 JJS
Zout~50

---====--:..-:..-:..-:..-=~~ci max

50

t+=12n5 }10%to

H
CT = 15 pF ::: total parasitic capacitance, which includes probe, wiring, and load capacitances.
The coax delaVI from input to scope and output to scope must be matched. The scope must
be terminated in 50*ohm impedance. The 950-ohm resistor and the scope termination im-

pedance constitute a 20: 1 attenuator probe. CoaK shall be CT ~070-50 or equivalent.

=

1/4" x 1/4" ceramic package (Case 651). MCBC'prefixed devices are un·
encapsulated. Beam numbers are the same as the pin numbers for flat-packaged
devices. See General Information section for package and chip details.

·F suffix

7-89

min

1.5 V

ELECTRICAL CHARACTERISTICS
Test procedures are shown for only one

3:

gate. The other gate is tested in the same
manner. Further, test procedures are shown
for only one input of the gate under test.
To complete testing, sequence through re~
maining

0
a::J

n

in~u~ts.
5
13

Emitter

~
0

12

~

1~

S

COllector 2

6BD7

_

V... o

16
-0.4
0.411-0.15 -0.15
o.a
138
0,4
5.5
2.4
5.5
4.5
5.0
Test Umits
Pin MCBCS4S0/MCBS4SOf
'IEST CURRENT/VOLTAGE APPLIED TO PINS LISTED BELOW,
Under
(All Temperalu~).
Symbol Test Min Ma. Unit
10<
10H
I., I..
1)(3
I.. REX@ V.. ,H

z®
lost

AI,

2.'

'......

-20

All

Inputs,Z

Power Requirements
Power Supply Dl'ain

mAde

'PDH

...

IpDL

Switching Parameters

All

'.......

mAd,

I,
Turn~On

Delay

Turn~orf

Delay

"'Tesled only at 25°C.

Puis.
0",

tpHL

A,?

IS'

C,D,E,F,G,H

tpLH

A,Z

,,"

C,D,E,F,G,H

tOnly one output should be shorted at a Ume.

CDSeeFigure 1.
@SeeFlgure2,
@SeeFlgure3.

.....
All

Pulse

7-95

MCBC5453, MCB5453F (continued)

FIGURE 2 - VSE TEST CIRCUIT

FIGURE 1 - lEX TEST CIRCUIT

~~---"'-----I

I
I
Iz

lex

FIGURE 3 - VOL TEST CIRCUIT

-'-'--'--1~--"'- -

-

-

-,

I
I
I

7-96

z

MCBC5400/MCB5400F series

4-WIDE 2-INPUT
"AND-OR-INVERT" GATE

MCBC5454*
MCB5454F*

This device consists of four 2-input AND gates ORed together and inverted.

CIRCUIT SCHEMATIC

Beam lead sealed junction technology is used to manufac-

ture these devices. They are particularly useful in highly reliable systems using hybrid beam lead assembly techniques or
standard flat package assembly techniques.

Vee

4k

4 k

4 k

71\ Ti \71
A

0..-

B

0..-

e
o

4k

T,

1.4 k

~~~~
J

J"

Flat
[Boam] Pkg.
No. Pin

o

(2)

~

z

"

3

(4)

5

(5)

6

(6)

7

[7J

B

[8J

9

12 [11]

[12J 13

E
F
G
H

[13) 14
1 k

Positive Logic:
Z = (A • B)

+ (e

• 0)

+ (E

• F)

+ (G

• H)

+ 0)

• (E

+ F)

• (G

+ H)

Negative Logic:
Z

Gnd

= (A

+ B)

• (e

Input Loading Factor

=1

Output Loading Factor = 10

Vee = Pin 4 (3)
Gnd = Pin 11 (10)

Total Power DisSipation = 22 mW typ/pkg
Pr.opagation Delay Time = 13 ns typ

SWITCHING TIME TEST CIRCUIT AND WAVEFORMS
Vee
+5.0 Vdc
High
Impedance
Probe

1,---"""'"'-11-9-0-%--3.0 V

400

'1<+----1.5 V
10%
-----L1--------~------OV

tPHL~PLH 2.4 V
TP out

t+ = 12
t-

= 6.0

MM06160
or Equiv.

n5

ns

---====-=-=::::::==~~dV mo.

PA F = 1.0 MHz. 50% duty cycle

MM07000
or Equlv.

Generator Zout~50 ohms

CT

= 15 pF = total

parasitic capacitance. which includes probe. wiring, and load capacitances.

·F suffix = 1/4" x 1/4" ceramic package (Case 607). MCBC-prefixed devices are unencapsulated.
See General Information section for package dimensions.

7-97

min

1.5 V

MCBC5454, MCB5454F (continued)

14-Beam Chip

Beams true position within 0.002 total

ELECTRICAL CHARACTERISTICS
Test procedures are shown for only one
input of this device. To complete testing.

sequence through remaining inputs in the
same manner.
A

c

Vee

o
10

v = Vee = Pin 4 [3)
Gnd =Pin 11 [10)

mA
1m I I""
16

Characteristic
Input

Tost Umits
Pin iMaC5454/MCBS4541
S5
to +125'C
Under
Symbol Tes' Min Max Uni,
-1.6

Forward Current

'F

A

Leakage CUrrent

'R'
'R'

A

••

/-lAde

A

I..

mAde

Output
OItput Voltage

VOL

,..

VOH

Short-Circuli Current

-2.

'OS'

...

~Q.

<4

TEST CURRENT lYOlTAGE VAlUES (All Temperatures)
Vol..
VII I V" I Vthl
Vtho Vee I Vee,
V.I V.. VIMH

._.

2.'

... ... . ...

I",

I""

VOl

V'M

V...

Tun-Ofr Delay

V.. ,

V...

Vee

Vea

12

13

14

I VCCM
5.5

PlD 11 [Beam 101
,. p'OWIdedfOl'
aU • • • I. adcI~
tioD. to tile pias
listed belor.

VeeH

Gnd

V

A

V

B,C,D,B,F,O.a

V

B,C.D.E,F,G,B

C,D,l,F,GtR

A.S

B,D,r,H

Vde

V

Ate,

E,G

'PDM

'.5

mAde

IpDL

8 .•

mAde

V

-.

A,Z

",

A,Z

'Z"

In
A
A

AD_,Z

V

All

Pul ..

tpLH

V"

Vdl'

nlAcic

'PHL

VII

A

mA-de

-55

Switching Paramotors
TUrD-OIl Delay

... ... ...

TEST CURRENT /VOLTAGE APt'lIED TO PINS USTED aaow,

PDWtI' Requirements
Power Supply Draln

I

2.•

11

V

AD_

Pul ..
Out
B

V

C,D,S,F,G,.

B

V

C,DIS,PtG,B

-Te.ted Only at I5~C.

fOal, one output sIIould be shorted at a time.

7-98

MCBC5400/MCB5400F series

DUAL 4-INPUT EXPANDER
FOR "AND-OR-INVERT" GATES

MCBC5460*
MCB5460F*

... 0

81:AM LEAD

Vee

reeaml

4 k

lNo.J

.~

Flat
Pkg.
Pin
A

ZO

A

Bo---+"
Oo---++-f-+

~

This device consists of two 4-input OR expanders for use
with the AND-OR-INVERT gates. A maximum of four expander gat•• can be added to the MCB5450 or MCB5453 expandable gates without seriously affecting their operation.
Beam lead sealed junction technology is used to manufacture
these devices. They are particularly useful in highly reliable
systems using hybrid beam I.ad assembly techniques or standard flat package assembly techniques.

CIRCUIT SCHEMATIC
1/2 OF CI RCUIT SHOWN

ZI

e o--+-+-"

.
~

3~eOlleR

Zl

0.4

Vdc

1501

~Adc

-0.3

Gnd

mAde

A

Output
Output Voltage

11 [Beam 101

groonded for all
tests in addItion
to the pins listed
below:

B,C,D
A,B,

zo

v

ZI

e,D

ZI

zo

a,C,D
A,B,

mAde

e,D

ZI

Power Requirements
(Total Device)
Power SupplyDrain

4.0

mAde

2.5

mAde

Switching Parameters
Turn-On Delay
Turn-Off Delay
·Resistor to ground.
tResistor to VCCL.

*See test circuit.

ZI, Yl
All
Inputs

Pulse

""

30··

~
A

B,e,t

A

~,e,D

tVOL measured between pins ZI and ZOo
'Tested only at low temperature limit; i. e., at -5S"C for MCB5460.
·"Tested Goly at 25" Cj times include delay of expandable gate.

7-100

I,Y

All [nputs

MCBC5400/MCB5400F series
J-K FLlP·FLOP

MCBC5472*
MCB5472F*

REAM LEAD

12

K114
K2 1
K3,13
RESET

i

'.

This negative·edge·clocked J·K flip·flop operates on the master·slave principle.
Three K inputs are ANDed together, and three J inputs are ANDed together. SET
and RESET inputs are also available. The device helps minimize package count in
J·K flip·flop applications requiring AN D gating into the J or K inputs. Beam lead
sealed junction technology is used to manufacture these devices. They are particu·
larly useful in highly reliable systems using hybrid beam lead assembly techniques
or standard flat package assembly techniques.

SET 3

Jl 7
J2 8
J3 9
CLOCK 2

~
~
.) ~

10

LOGIC DIAGRAM

6

tn

J = Jl • J2. J3
K = Kl. K2 .K3

tn+l

J

K

0
0
1
1

0
1
0
1

Q
Qn
0
1

10

6

6n

Input Loading Factor:
J, K = 1

CI:OCi(. SET.

Q12

RESifT

5 --n-L.../
Jl 7
J2 8
J3 9

RESE'T = 2

Output Loading factor"'" 1 0

'-->-"""t1r--"" 3
14
1
13

SET

Kl
K2
K3

Total Power Dissipation"" 40 mW typ/pkg
Propagation Delay Time = 30 n$ tvp
Max Operating Frequency"" 20 MHz typ

5
4

•

6
5

6

8
8

9 10 11 12 13 14
9 10 11 12 13 14

Q12o-----+---------~,

~~----_+;_+-_+;_+_----+-~------~~----~GND

Jl 7

14 Kl

J2 8

K2

J3 9

13 K3

L-______::==::=============+::============::==~======j_---ol1
*F suffix = 1/4" x 1/4" ceramic package (Case 651) MCBC-prefixed devices are un·
encapsulated. See General I nformation section for package and chip details.

7·101

GND

MCBC5472, MCB5472F (continued)

OPERATING CHARACTERISTICS

a

Data must be applied to the J·K inputs while the clock is low.
When the clock input goes to the positive logic "1" state, the data
at the J and K inputs is transferred to the master section, where it
is stored until the clock changes to the positive logic "0" state.
Data at the J and K inputs must not be changed while the clock is
high. When the clock returns to the positive logic "0" state, information in the master section is transferred to the slave section.
Application of a logic "0" to the SET input will force the a
output to the logic "1" state, and application of a logic "0" to the

RESET input will force the
output to the logic "1" state. The
SET and RESET inputs override the clock.
Since no charge storage is involved in this flip-flop, rise and fall
times are not important to its operation. Clock fall times as long
as 1.0 /.IS will not adversely affect the operation of the flip-flop.
The clock pulse need only be wide enough to allow the data to
settle in the master section. This time, which is the setup time for
a logic "1 ", is 20 ns minimum.

SWITCHING TIME TEST CIRCUIT

Vee
+5.0 Vdc

Coax·

,

r----

--,
5

Q

400

'

I
MMD6150
or Equiv.

r

50

t+ "" 12 ns
t- = 6 ns
f

}

10% to 90% points

"" 10 MHz for waveform A
1.0 MHz for waveforms 8, E. and F

Two pulse generators afe required and must be slaved together for testing

RESET. Only one pulse generator is required for J, K, and

S"ET and

C"COC'K tests.

·The coax delays from input to seope and output to scope must be matched. The
scope must be terminated in 50-ohm impedance. The ~50-ohm resistor and the
scope termination impedance constitute a 20: 1 attenuator probe. Coax shall be
CT-070-50 or equivalent .
•• A load is connected to each output during the test.

= 15 pF ::: total parasitic capacitance, which includes probe, wiring, and load
capacitances.
CT

7-102

MMD7000
or Equiv.

MCBC5472, MCB5472F (continued)

TEST PROCEDURES

(Letters shown in test columns refer to waveforms.)
INPUT
TEST

SYMBOL

Toggle Frequency

Q

~

J, K

fTOg

A

A

2.4 V 2.4 V

Turn-On Delay

tpd-

8

8

2.4 V

Turn-Off Delay

tpd+

8

8

Turn-On Delay

'sd-

Turn-Off Delay

'sd+

VEN
VINH

Enable Voltage
Inhibit Voltage

2.4 V 2.4 V
2.4 V 2.4 V
2.0 V
0.8 V
B

B

fj

S
2.4 V

2.4 V 2.4 V
E
E
2.4 V 2.4 V
2.4 V

a

t

t

C

C

0

0

F

G

F

G

H
H

t

t

*

2.4 V

tOutput shall toggle with each Input pulse.
:f: Output shall NOT toggle.

LIMITS

Min

15
10
10

*

t

-

-

*

-

-

V

---------4---"'------2.4 Vmin

1.5

TP out

V

' -_ _ _ 0.4 V max

y ,..-___

GNO---------t----------

2.4 V min

tpd+

o

TP out

1.5 V

GNO :===========~======_O.4

•

V

max

, - - - - - - - - - - - - 3.0V
1.5 V

·-------0 V

3.0V

'------"--1--- 0

V

,,-------2.4 V

min

G

TP out

GNOJ-~.I--'
d+--=_:::::::=:::::::::0.4 Vmax
t S-

-

H

TP out

,

T

2.4 V min

1.5 V

0.4 V max

GND

7-103

MHz

-

,---"'"\.·---------3.0 V

C

Unit

-

40
25
40
25

VOLTAGE WAVEFORMS AND DEFINITIONS

. . . .------0

Max

ns
ns
ns
ns

ELECTRICAL CHARACTERISTICS

:s::

(')

Test procedures are shown for only one J
and on. K input. plus the SET. ~.
and CLOCK inputs. To complete tasting.
....u.n.. through r.maining J and K inputs in the same manner.

SEf3
Jl
J2
J3

CiJ5Ci<

7
8
9
2

Il'

(')

en
~

W
Q

a

.

N

s:

(')

12

OJ

TEST CURRENT/VOLTAGE VALUES (All Temperatures)
Volts
VIL VIH VIHH
VR
V'hl V'hO VCCL VCCH

10

mA

Characteristic
Input
';'-I

.....

Forward Current

J
K

IF

set

~

Reset

Clock
Leakage Current

J
K

~I

set
Reset

Clock

J
K

~2

Set
Reset
Clock

7
14
3
5
2
2
7
14
3
5
2'*
7
14
3
5
2

OUtput
Output Voltage

Short-Circuit Current

IOH

16

-0.4

-

-_.
-

-1. 6
-1. 6
-3.2

l
40
40
80

t
1.0

IOH

VIL
7
14
3
5
2
2

--

J

-

-

/lAde

-

--

1
mAde

1 1

2.0

-

-

-

VIHH

VR

Vthl

--

-

-

-

-

7
14

-

2,5*,8,9
1,2,3*,13
1,2,7,8,9,13,14
1,2,7.8,9,13,14
1,5*,7,8,9,13.14
1,3* ,7,8,9,13,14

-

-

-

-

-

-

-

-

-

0.4
0.4

Vde
Vde

10
12

-

VOH

10
12

2.4
2.4

-

Vde
Vde

10
12

ISC

10
12

-20
-20

-57
-57

mAde

-

-

-

IPD

4
4

-

20
20

mAde
mAde

-

-

-

----

4.5

VIH

10
12

mAde

5.5

0.8

4.5

8:J
....

5.5

:J

-

-

2.4

N
'"T1

C

TEST CURRENT/VOLTAGE APPLIED TO PINS LISTED BELOW:

mAde

-

0.4

VOL

Power Requirements
Power Supply Drain

IOL
Test Limits
Pin MCBC5472/MCB5472F
Under
55 to +125°C
Symbol Test Min Max Unit IOL

en
~

-

-

-

3

5
2

-

-

-

-

-

-

-

-

7
14
3
5
2

-

-

-

-

-

-

CI>

a.

Vtho VCCL VCCH

Gnd

---

11

-

--

-

-

-

-

-

-

-

-

-

5
3

3
5

4
4

-

--

3
5

5
3

1,7,8,9,13,14
1,7,8,9,13,14

-

-

-

--

-

-

-

-

4

J

J

4

2,5,8,9,11
1,2,3,11,13
2,7,8,9,11
1,2,11,13,14
1,2,5,7,8,9,11,13,14

1
4

1

2,5,8,9,11
1,2,3,11,13
2,7,8,9,11
1,2,11,13,14
1,3,5,7,8,9,11,13,14
11
11

4
4

-

--

-

4
4

2,5,10,11
2,3,11,12

-

-

4
4

5,11
3,11

-

*Momentarily ground pin prior to taking measurement.
**Under normal operating conditions this current is negative. This test guarantee:s that positive leakage current will not exceed the limit shown.

11
11

MCBC5400/MCB5400F series

DUAL J-K FLIP-FLOP

MCBC5473*
MCB5473F*

~

. . .M . . . .

IB~::l
[lJ

::yQ

This negative-edge-clocked dual J-K flip-flop operates
on the master-slave principle. The device is quite useful
for simple registers and counters where multiple J and K
inputs are not required.

flat

[3J

1

e

3

K

5

12 [11J

1y'

[7J

5

c'

[16J 10

K'

[51
13 [18J

[2J

2

Vce

[6J

~

Q'

9[14J

0'

8 [13J

LOGIC DIAGRAM
(1/2 OF DEVICE SHOWN I

R'

R

Pin 4 [4J
[161

6

tn

Gnd~Pinll

Input Loading Factor:
J, K = 1

~

tn+1

J

K

Q

0
0
1
1

0
1
0
1

Qn

5

Q

.-----.--r~ReMt

0
1

an

K

ReSet, CiOck :: 2
Output Loading Factor"" 10
Total Power Dissipation = 80 mW typ/pkg
Propagation Delay Time:: 30 ns typ
Operating Frequency::: 15 MHz typ

CIRCUIT SCHEMATIC

1112 OF DEVICE SHOWN I

r-----~--------_1~--------~--------~----_1~----------~OVCC

130

•

1.6 k

4 k

4k

1.6 k

Qo---....- -•

130

+----1~------05

L-----____~----------_+------~~__--~~~~--------~----_+-<)Gnd

L--.------------------------~~-1~---o~

K

• F suffix == 1/4" x 1/4" ceramic package (Case 607). MCBC prefixed devices are unencapsulated.
See General I nformation section for package dimensions.

7-105

MCBC5473, MCB5473F (continued)

OPERATING CHARACTERISTICS

a

the output to the logic "1" state. The Reset input overrides the clock.

Data must be applied to the J-K inputs while the clock
is low. When the clock input goes to the positive logic
"1" state, the data at the J and K inputs is transferred to
the master section, where it is stored until the clock changes
to the positive logic "0" state. Data at the J and K inputs
must not be changed while the clock is high. When the
clock returns to the positive logic "0" state, information
in the master section is transferred to the slave section.

Since no charge storage is involved in this flip-flop, rise
and fall times are not important to its operation. Clock
fall times as long as 1.0 /.Is will not adversely affect the
operation of the fl ip-flop. The clock pu lse need only be
wide enough to aMow the data to settle in the master
section. This time, which is the setup time for a logic "1"
is 20 ns minimum.

Application of a logic "0" to the Reset input will force

SWITCHING TIME TEST CIRCUIT

Vee
+5.0 Vdc

Hi9h~

Hi9h~

Impedance
Probe

Impedance
Probe

r--l
>-- J

I
l

PULSE

I

"TPin

GENERATORJI--+--o

Q--<>

.

o--f-ole

r

50

t+=12nl}
t- = 6.0 ns
10% to 90% Points

~P:.'
,

1MMD6150
or Equiv.

r
,~

MMD7000
or Equiv.

-=

• A load is connected to .ach output during the tftt.

f == 15 MHz for waveform A
1.0 MHz for waveform, Band C

Two pulse generatorsa,e required and must be slaved together for tid telts.
CT == 15 pF "" total parasitic capacitance, which includes probe, wiring, and load capacitances.

7-106

MCBC5473, MCB5473F (continued)

TEST PROCEOURES
(Letters shown in test columns refer to waveforms.)
INPUT
TEST

SYMBOL

Toggle Frequency

fTog

LIMITS

Q

Q

C

J, K

A

A

A

2.4 V

t

t

Min

Max

Unit

15

-

MHz

Turn·On Delay

tpHL

e

B

2.4 V

D

D

'0

40

ns

Turn-Off Delay

tpLH

B

B

2.4 V

E

E

10

25

ns

Turn-On Delay

tsd-

e

B

C

G

-

40

ns

Turn-Off Delay

'sd+

B

B

C

-

F

-

25

ns

Enable Voltage

VEN

B

2.0 V

2.4 V

t

t

t

-

-

Inhibit Voltage

VINH

B

0.8 V

2.4 V

*

*

:j:

-

-

tOutput shall toggle with each input puise,

:t: Output shall

NOT toggle.

VOLTAGE WAVEFORMS AND DEFINITIONS

A. B

----t

TPin

\

3.0V
1.5V

I--- 20 nS min ------ "\:

OV

500 ns
C

25 ns min

Reset

•

3.0 V

1.5~\\

TPin

1.5 V

OV

I

D

2.4 V min

_~.5V

TP out

-tpHL

0.4 V max

Gnd

I

E

2.4 V min

~tPL:r

TP out

1.5 V
0.4 V maK

Gnd

F

0 .4 V max

.-1}

2.4 V min

I-- t,d-

Gnd

,_..:~========:::i=="=·=5=V======0.4
f-tSd+

G

TP out

2.4 V min

_~'5V

TP out

Gnd _ _ _ _ _ _ _ _ _ _ _ _ _ _ _

7-107

V max

MCBC5473, MCB5473F (continued)

ti

ELECTRICAL CHARACTERISTICS
Test procedures are shown for only one
flip-flop.

The other flip-flop is tested in

U'O'

a°

C

the same manner.

K

C'

0'

K'

R

R'

~

~

10

11

13

"

TEST CURAENTNOLTAGE VALUES IAn T.........II...'

,.

'OL

Pin

Input
Forward Current

J
K

;r-;
aoai
LeakagaCurrent

J
K

;r-;
CiOCk
J
K

Symbol

Tul

'F

J

'.,
'.2

ReMt

ClOCk
OutpUt
Output Voltage

K

Cl

-3.2
40
40
80
80

J

I.D

J

K

~

K
R

D.4
D.'

VOL

'osl

Mb
-1.6
-1.6
-3.2

C

0

a
0
a

V"

V'H

0.'

2.'

...

V,MH

v.
4.'

V .. ,

2.•

...

v...

VCC

'.0

....

VCCL

Pin"

VeeH

2.'
2.'
-20
-20

'OL

'OH

V'L

V'H

mAde

V,HH

V.

V .. 1

V ...

Vee

to tne pins listed
below:

VCCL

~

o

a

C.'

V

C·

R

+

+

a

C.JJ-.K.R

~~.

V

mAd<

~

~

V.,
V,",
V",
V.,

-57
-57

God

VeeH
V

C••
C••
C.J
J,K.R

~
"".,

[BNm16!

grounded for all
t... in~ItIOl'l

5.50

TEST CURAENTIVOLTAGE APPLIED TO PINS LISTED BELOW:

-55to+1ZSOC

Min

C

VOH
Shon-CircuitCurrent

.
.

-0.4

Ten Limih
MCBC6473(MCB6473F

U....,

Char.:teristie

V~b

'.,..

C)'
J*,K,R

V

C,J,K
C,J,K

V

0,0"

V

R,O"

'-Requi.......m
ITOUI 0ev6ce1

Power Supply Dr.in

'PO

'D
40

mAd<

Both

rnA'"

-Ground inputs to flip-flop not under test.
··Momentarily ground pin prior to taking mllllSUrement to set flip-flop in ttl. desired ,tete. I'f pin is also in anotturr column, the pin must be returned to mat VOltage or CUrT'IIn! for m_rernent.}
:j;Only one output should be shorted at "timl.
tUnder normal oplrll1ing coMlitions thiscurT'IInt is nlgative. This tast gulrantees thlt positive 111k", current will not exceed the timit shown.

7-108

a

Both R InpuD

MCBC5400/MCB5400F series

DUAL TYPE D FLIP-FLOP

MCBC5479*
MCB5479F*

.".,~~
This dual type 0 flip-flop triggers on the positive edge of the clock input. During the clock
transition the state of the D input is transferred
to the Q output. The device is useful in shift
registers and simple counters.

CIRCUIT SCHEMATIC
1/2 OF DEVICE SHOWN

VCC

[Beam]
No.

Flat
Pkg
Pin

[131

B

[61

DO QO

6

aD

CO

[71

9

[141

10 [151

AD
[51

Set

5

[19114

SI

[11

[21

CIOCk~rcr;=====~:;:~--f

[31

'-_ _ _ _.,

2

bl Ql

13 [181

Cl

12 [171

01

Rl

3

tn
D
0
1

tn+1
Q

1

Q

~

J

1
0

Input Loading Factor:
o~1

set,

o

Clock = 2
R....t~3
Output Loading Factor = 10
Total Power Dissipation = 84 mW typ/pkg
Propagation Delay Time = 16 os tvp
Operating Frequency"" 30 MHz tvp

Gnd

VCC

~ Pin 4 141

Gnd

~

Pin 11 1161

Clock o------,-HH

LOGIC DIAGRAM
1/2 OF DEVICE SHOWN

s.no-~-----------~

*F suffix = 1/4" x 1/4" ceramic package (Case 607). MCBC prefixed devices are unencapsulated.
See General Information section for package dimensions.

7-109

MCBC5479, MCB5479 F (conti nued)

Nitride
lip

I

24-Beam Chip

L

0~~5r-

--

--24

0.074
0.077

0.0025
MIN

Geometry Side

II

1

I

0.010

MIN
I ___ I---.l
T
--1.
I ---- - I f
Down

il

0.0045-L
0.0025
Beams true position within 0.002 total
0.0070
--i t- MIN
0.074 _ _ _--I

I--

if.Ol7

ELECTRICAL CHARACTERISTICS
Test procedures are shown for only one
flip-flop.

The other flip-flop is tested in

so

51

DO aD

01 al

the same manner.

co

aD

Cl

~

01

~
'0

"

'3

,.

TEST CURRENT/VOLTAGE VALUES (All Tamperaturn)

Volu

mA

uPi.
.._
Characteristic
Input
Forward Currenl

.Jl

S..

~
Clock

D

leakage Current

So,

Symbol

T...

'F

D
5

'.,

Output
Output Voltage

Short-Clrcu.tCurrent

Power Requirements
ITotelOtrvice)
Power SuPP'y Drain

-

a

VOH

Q
Q

'ost

a

'PO

1:1

V'HH

VR'

Vth 1

VthO

Vee

VCCL

VCCH

16

-0.'

0.'

2.'

5.5

'.5

2.0

0.8

5.0

4.50

5.50

....

mAd,

.~

+

O,S

'OL

'OH

V'L

V'H

-3.2
40
.0
120
80
'.0

•

#Adc

•

Vd,
Vd,

-57

-20

-57

mAde:
mAde

30
30

mAde
mAde

Vth 1

VthO

Vee

VCCL

+

5
V

v

a

CO,Cl
CO,Cl,OO
CO,Cl
Cl,DO,SO
Cl,AO

V

C.s

Q

G..

V

V

C',D,R
C·,S

a

VCCH

•
•

5

+

W,
Vd,

.•

VR,

C,S
C",D,R
C',S

mAde

2.'
2.'
-20

V'HH

Pin 11 [Beam 16]
grounded for aI'
tests In addition
to the pins listed
balow'

TEst CURRENTIVOL TAGE APPLIED TO PINS LISTED BelOW:

Unit

O.
0.4

Q

VOL

V'H

·3.2

•

C

'.2

V'L

M..
·1.6

Reset

.Jl

'OH

MCBC5479IMCB5479F
Test Limits
..oSlO -tl25oC

Min

Clock

...§-'""
,~
Gnd t P H L :

INPUT

c

0

II

I

, - - - - - 2 . 4 V min

1~1.5V
=

0.4Vmax

tsd+

7-111

Q

l!

2.4V 2.4 V

a

LIMITS
Min
20

Max
30

n.

30

n.

2.
2.

n.

for ••

A

'PHL

B

0

2.4 V 2.4V G

F

tpLH

B

C

2.4V 2.4V

F

G

....-

B

C

•

2.4 V G

F

-

B

0

2.4V

·0

-

.....

·Connect to Q,
tOutput shall totII'e with ...::h Input pul ••

,
E

SYMBOL

•

t

F

t

Unit
MH,

n•

MCBC5400/MCB5400F series

4·INPUT "AND" DRIVER
WITH "NOR" STROBE

MCB54140F*

....... ~
High
Level

Vee

8

10
4.

500

This device is a dual buffer element in a hybrid
configuration with a high performance NPN silicon
transistor similar to the 2N3253. to allow the output
stage to operate to 40 volts with sink current capability
of 250 mAo The device may also be used in conjunction
with other saturated logic forms.

100

4.

500

100

High

AND

L.evel

l~

3

4o-t+-H

9

7

Strobe

Positive Logic:
Negative Logic:
5
GND

9
Strobe

8' = 1 •
8=

(1

2. 3 • 4 + '9
3 + 4)9

+2 +

6
AND

Total Power Dissipation == 20 mW typ/pkg
Propagation Delay Time"" 70 ns typ

SWITCHING TIME TEST CIRCUIT AND WAVEFORMS

40 Vdc

200
10W

TP out

I

<10pF
Wiring

-= Capacitor

·F suffix = 1/4" x 1/4" ceramic package (Case 651).
See General I nformatiOn section for package details.

7-112

s:
C')
OJ

ELECTRICAL CHARACTERISTICS

~
.....

Test procedures are shown for only one
input. The other inputs are tested in the
same manner.

~

"T1

8:::l

...
:::l

C
tD

High

E::

l.evel

AND
6

8

1

2
3
4
7

9
Strobe

TEST CURRENTNOLTAGE VALUES IAII Temperaturesl

-...J

mA

I

IOL

w

250 1

Under

Output Voltage

Output Leakage Current
Forward Current
Power Drain Current

are left open.
-Tested only at 2SoC

48

1 -0.4

VIL

VIH

0.41 2.4 ~

VCEX

VR

40

L4.51

V'h 1
2.0

V", 0

Vcc

VCCL

0.80

5.0

4.5

V max

VCCH
1

5.5

I

8.0

TEST CURREi\lTIVOLTAGE APPLlEO TO PINS LISTED BELOW,

Test

Min

Max

Unit

IOL

IOLB

IOLB 1

IOHB

VIL

VIH

VCEX

VR

Vth 1

V"'O

Vcc

VCCL

VCCH

V max

.Gnd

VOL

8
8

-

Vde
Vde

8
8

-

6
6

-

-

1.2,3,4.9

-

-

-

-

1,2,3,4,9

-

10.
10

-

-

5,7
5,7

6

-

0.4

Vde

6

-

-

-

1

-

10

-

-

5,7

VOHB

6

2.1
2.1

-

Vde
Vdc

-

-

-

VOLB

-

-

-

0.50
0.50

-

6
6

..-

-

-

-

-

-

10
10

-

5,7
5,7

40

",Adc

1.0

mAde

200

,.Ade

IRI

1

IR2

1

ICEX

8

-

IF

1

-1.6

mAde

IPDH
I max

10

-

40

mAde

10

-

20

mAde

Switching Times

Pins not listed

16

IOHB

Symbol

6

Reverse Current

IOLB 1

MCB54140F
-65 to +l25OC

Pin
Characteristic

Volts

10LB

'Pd-

1,8

'pd+

1,8

-

-

-

-

1,2,3,4,9

-

1,2,3,4,9

1

-

-

-

-

-

-

-

Pulse In

-

-

-

-

-

-

-

1

-

-

-

-

-

-

-

1,10

-

2,3,4,5,7

,

2,3,4,5,7

I

5,7

10

2,3,4

I

-

-

8

6

10

10

5,7

-

-

-

-

10

-

-

-

-

-

10

-

-

10

-

-

-

1,5,9

Pulse Out

160'

ns

I

8

220'

ns

1

8

-

-

10

5,7
5,7

I

I

LINEAR
INTEGRATED CIRCUIT CHIPS

INDEX
Page
7-115

General Information
Standard Features for Linear Integrated Circuit Chips
Features for Beam Lead Chips
Standard Chip Processing
Non-Standard Chip Processing
Handling Precautions
Standard Carrier Packages
Ordering Information
Recommended Incoming Inspection

Device Specifications
MCC1536/MCC1436
MCC 1539/M CC 1439
MCC 1558/M CC 1458
MCC 1563/MCC 1463
MCC 1569/MCC 1469
MCC1595/MCC1495
MCC1709/MCC1709C
MCC171 O/MCC171 OC
MCC1711/MCC1711C
MCC 1723/MCC 1723C
MCC1741/MCC1741C
MCC 1748/MCC 1748C
MCBC1709
MCBC1710
MCBCl723
MCBC1741
MCBC1748

High-Voltage Compensated Operational Amplifier
Operational Amplifier
Dual Compensated Operational Amplifier
Negative-Power-Supply Voltage Regulator
Positive-Power-Suppiy Voitage RegulatOi
Four-Quadrant Multiplier
Operational Amplifier
Differential Comparator
Dual Differential Comparator
Positive or Negative Voltage Regulator
Compensated Operational Amplifier
Operational Amplifier
Beam-Lead Operational Amplifier
Beam-Lead Differential Comparator
Beam-Lead Voltage Regulator
Beam-Lead Operational Amplifier
Beam-Lead Operational Amplifier

7-114

7-117
7-119
7-121
7-123
7-125
7-127
7-129
7-131
7-133
7-135
7-137
7-139
7-141
7-145
7-147
7-149
7-153

r------------------------GENERALINFORMATION-----------------------,

STANDARD FEATURES for LINEAR INTEGRATED CIRCUIT CHIPS
ISee MCC prefix data sheets for device specifications)
All linear integrated circuit chips ....
• are 100% electrically tested to sufficient parameter limits (min/max) to permit distinct identification
as either premium or industrial versions
• employ phosphorsilicate passivation which protects the entire active surface area including metalization interconnects during shipping and handling
• are 100% visually inspected to the criteria of MIL-STD-883, Method 2010.1, Condition B
• incorporate a minimum of 4000

A gold

backing to insure positive adherence bonding.

FEATURES for BEAM LEAD CHIPS
(See MCBC prefix data sheets for device specifications)
Beam lead linear integrated circuit chips ....
• are processed to the same criteria as the digital beam lead integrated circuits to insure the same
reliability and performance features.

STANDARD CHIP PROCESSING
The industry·standard linear integrated circuits offered in Motorola's Microcircuit Components line
are subjected to the same in-process controls as Motorola's standard encapsulated devices. The chip
processing and quality control requirements are designed to insure reliability and performance of
the finished product.
The processing and quality control flow chart shows that all wafer processing is completed prior to
wafer assignment for subsequent encapsulation or special testing required for unencapsulated devices.

•

Wafer Assigned to Production
of Encapsulated Devices

Wafer
Processing

:--

100%
Visual Inspection

r-

Wafer
ClaSSification
100% Probe

J

,-

L

·Chips are visually inspeCted to MIL·STO-S83,
Method 2010.1, Condition 8, and rejects removed.

7-115

Scribe

and
Break

Visual
Inspection
Q.C. (Sample)

:--

r--

100% Visual
Inspection·
Carrier Loading

Chip
Shipment

~

~-----------------------GENERALINFORMATION----------------------~

NON-STANDARD CHIP PROCESSING
The industry standard unencapsulated integrated circuits are selected to meet a wide variety of
application requirements. Nevertheless, there may be occasions when a designer can benefit from a
non·standard device for a specific circuit requirement. To satisfy these requirements, almost any
device from Motorola's extensive line of linear integrated circuits may be obtained on a specially nego·
tiated basis. Although the electrical specifications of these chips are limited by certain test limitations,
the customer may negotiate additional tests. Moreover, various ch ip technologies such as solder-bump
and chrome-silver backing are available on a specially negotiated basis.

HANDLING PRECAUTIONS
Metalization interconnect passivation on all chips provides protection in shipping and handling.
However, care should be exercised to prevent damaging the bonding pads. A vacuum pickup is useful
for this purpose, tweezers are not recommended.
There are four basic requirements for handling devices in the customer's establishment:
1. Store devices in a covered or sealed container.
2. Store devices in an environment of no more than 30% relative humidity.
3. Process devices in a non·inert atomosphere not exceeding 1000 , or in an
inert atmosphere not exceeding 4000 C.
4. Processing equipment should conform to the minimum standards of equipment
normaliy employed by semiconductor manufacturers.
Motorola's engineering staff is available for consultation in the event of correlation or processing
problems encountered in the use of Motorola semiconductor chips. For assistance of this nature,
please contact your nearest Motorola sales representative.

STANDARD CARRIER PACKAGES
The non-spijj type shipping cafilsi COiis;sts of g compartmentalized tray and fitted transparent
cover. Each chip is placed in its compartment, geometry side up, so that incoming visual inspection
may be performed prior to breaking the carrier seal. The shipping carrier is designed to:

• provide maximum device protection
•

permit the customer to remove only a portion of the devices - the carrier can be resealed

• provide a storage container for the unused devices.
Additional package techniques are under development to facilitate handling, visual inspection and
chip storage.
Various packaging and shipping options are available on a negotiated basis. For more information
on these options, please contact your Motorola sales representative.

RECOMMENDED INCOMING INSPECTION
Motorola certifies that the devices have been subjected to the visual criteria of MI L-STD-883,
Method 2010.1, Condition B.
Should the lot fail the customer's incoming visual inspection, the entire lot, with the package seals
intact, shall be returned to Motorola. Incoming visual inspection should be performed prior to breaking
the package seals. In no case will Motorola accept a partial return of devices.

7-116

MCC1536
MCC1436

~~________O_P_E_R_A_T_IO_N_A__L_A_M_P_L_IF_I_E_R_S~

Advance InforITIation

OPERATIONAL AMPLIFIER CHIP
MONOLITHIC SI LICON
INTEGRATED CIRCUIT

HIGH VOLTAGE, INTERNALLY COMPENSATED
MONOLITHIC OPERATIONAL AMPLIFIER CHIP

EPITAXIAL PASSIVATED

· .. designed for use as a summing amplifier, integrator, or amplifier
with operating characteristics as a function of the external feedback
components.
The MCC1536 and MCC1436 employ phosphorsilicate passivation
that protects the entire die surface area, including metalization interconnects. All dice have a minimum gold·backed thickness of 4000
Angstroms. The interconnecting metalization and bonding pads are
of evaporated aluminum.
•

Maximum Supply Voltage -- ±40 Vdc

•

Output Voltage Swing ±30 Vpk(min)(V+ = +36 V, V- = -36 V)
±22 Vpk(min)(V+ = +28 V, V- = -28 V)

•
•
•

Input Bias Current - 20 nA max
Input Offset Current - 3.0 nA max •
Fast Slew Rate - 2.0 V Ip.s typ
•

•
•

Internally Compensated
• AVOL - 500,000 typ
Characteristics I ndependent of Power Supply Voltages (±5.0 Vdc to ±36 Vdc)
MAXIMUM RATINGS (TA

4
(Substrate)

3

Offset Voltage Null Capability
Input Over-Voltage Protection

2

MCC1536/MCC1436

= +25 0 C unless otherwise noted)
Symbol

MCC1536

Power Supply Voltage

v+

+40

Differential 1nput Signal (1)

Vin

Common·Mode I nput Swing

CMVin

Rating

V-

= lv-I = 28 Vdc, Vo = 0)

I

MCC1436

Unit

+34

Vdc

I

-40

-34

±IV+ + IV-I-3!

Volts

-llv

Volts

+V+,

1-3!

TSC

5.0

s

Operating Temperature Range

TA

-55 to +125

Junction Temperature Range

T stg

°c
°c

Output Short Circuit Duration (V+

(1) The absolute voltage applied to either input terminal must not e)(ceed + V+. -(

CIRCUIT SCHEMATIC

-65 to +150

Iv-I -3)
EaUIVALENT CIRCUIT

ROllt

4 V-

ISUBSTRATE!

v-

This is advance information and specifications are subject to change without notice.

7-117

v,

MCC1536, MCC1436 (continued)

ELECTRICAL CHARACTERISTICS IV+

= +28 Vdc, V-=-28Vdc, TA = +250 C unless otherwise noted)

Input Bias Current

Input Offset Current

Input Offset Voltage

Differential Input Impedance (Open-Loop, f $'5.0 Hz)

Parallel Input Resistance

(V o '" ±. 10 V. RL '" 100 k ohms)
(V o =±10 V, RL :: 10 k ohms)
Power Bandwidth (Voltage Follower)

IAV'" 1, RL == 5.0 k ohms, THO$' 5%, Vo

==

40 Vp-p)

Output Voltage Swing (RL = 5.0 k ohrml
V+ = +28 Vdc, V- == -28 Vdc
V+' ::: +36 Vdc, V- == -36 Vdc
Power Supply Sensitivity (del
V- '" constant, As ~ 10 k ohms

S+

V+ = constant, As S 10k oh ms

S-

Power Supply Current

'0+

'0DC Quiescent Power Dissipation

See current MC1536/1436 data sheet for additional information.

PACKAGING AND HANDLING

MCC1536IMCC1436 BONDING DIAGRAM

The MCC1536/MCC1436 operational amplifier is now available
in die (chip) form. The phosphorsilicate passivation protects the
metalization and active area of the die but care must be exercized
when removing the dice from the shipping carrier to avoid scratching the bonding pads. A vacuum pickup is useful for the handling
of dice. Tweezers are not recommended for this purpose.
The non·spill type shipping carrier consists of a compart·
mentalized tray and fitted cover. Die are placed in the carrier
with geometry side up.

1-_---68

---."-11

All dimensions are nominal and
in mils (10-3 inches).
Die Dimensions
Thickness = 8.0
Bonding Pads = 4.0 x 4.0

7-118

~f

\ ' -_ _ _ _O_P_E_R_A_T_I_O_N_A_L_A_M_P_L_I_FI_E_R_S---'

MCC1539
MCC1439

Advance Infor:rn.ation
OPERATIONAL AMPLIFIER CHIP
INTEGRATED CIRCUIT

MONOLITHIC OPERATIONAL AMPLIFIER CHIP
... designed for use as a summing amplifier, integrator, or amplifier
with operating characteristics as a function of the external feedback
components. For detailed information see Motorola Application
Note AN·439.

MONOLITHIC SILICON

The MCC1539 and MCC1439 employ phosphorsilicate passivation
that protects the entire die surface area, including metalization interconnects. All dice have a minimum gold-backed thickness of 4000
Angstroms. The interconnecting metalization and bond ing pads are
of evaporated aluminum.
•

Low Input Offset Voltage - 3.0 mV max

•
•

Low Input Offset Current - 60 nA max
Large Power·Bandwidth - 20 Vp.p Output Swing at 20 kHz min

• Output Short-Circuit Protection
• Input Over-Voltage Protection
• Class AB Output for Excellent Linearity
• Slew Rate - 34 VIJJ.s typ
MAXIMUM RATINGS (TA = +25 0 C unless otherwise noted)
Rating

Power Supply Voltage
Differential Input Signal
Common Mode Input Swing
Load Current

Symbol

Value

Unit

V+
V-

+18
-18

Vdc
Vdc

Vin

±[v++lv 11

Vdc

CMVin

+v+,-Iv-I

Vdc

IL

15

mA

~48

- - _. . (Substrate)

.1

All dimensions are nominal and
in mils (10-3 inches).

Die Dimensions

Continuous

Output Short Circuit Duration

ts

Operating Temperature Range

TA

-55'0+125

°c

Junction Temperature Range

TJ

-65'0+150

°c

Thickness = 8.0
Bonding Pads = 4.0 x 4.0

FIGURE 2 - EaUIVALENT CIRCUIT

FIGURE 1 -CIRCUIT SCHEMATIC
7~--------~~~----~--~------_-~----.

v'

INPUT LAG
8~--------+--~----~
INVERTING INPUT

2o-"Nv-...,.......,....{

40

Ik

,

t----I~+-<> OUTPUT

Ik

40

3O-~~~~----r---~

NON·INVERTING INPUT

vSUBSTRATE 40-___________
......_ _ _......._______________...._____.......- '
*PatefitPending

This is advance information on a new intrOduction and specifications are subject to change without notice.

7-119

MCC1539, MCC1439 (continued)

ELECTRICAL CHARACTERISTICS (v+ = +15 Vde, V- = -15 Vde, TA = +250 C unless otherwise noted I

kHz

Step Response
Gain'" 1000, no overshoot,

ns
ns
VII's

Gain

= 1000, 15% overshoot,

ns
ns
VII's

ns

Gain = 100, no overshoot,

ns
Gain

= 10. 15% overshoot.

Gain

= 1, 15% overshoot,

Vout

5+

mAde

10+
10-

mW

Po
See current MC1539/1439 data sheet for additional information.

PACKAGING AND HANDLING
The MCC1539/MCC1439 operational amplifier is now available
as a single monolithic die or encapsulated inthe TO·99 and TO-116

hermetic and plastic packages. The phosphorsilicate passivation
protects the metalization and active area of the die but care must
be exercised when removing the dice from the shipping carrier to
avoid scratching the bonding pads. A vacuum pickup is useful for

handling of dice. Tweezers are not recommended for this purpose.
The non-spill type shipping carrier consists of a compartmentalized tray and fitted cover. Die are placed in the carrier
with geometry side up.

7-120

MCC1558
MCC1458

l . . ____

O_P_E_R_A_T_I_O_N_A_L_A_M_P_L_I_FI_E_R_S----'

Advance InforIllation

(DUAL MC1741)

DUAL
OPERATIONAL AMPLIFIER CHIP
INTEGRATED CIRCUIT

DUAL MC1741
INTERNALLY COMPENSATED, HIGH PERFORMANCE
MONOLITHIC OPERATIONAL AMPLIFIER CHIP

MONOLITHIC SILICON

· .. designed for use as a summing amplifier, integrator, or amplifier
with operating characteristics as a function of the external feedback
components.
The MCC1558 and MCC1458 employ phosphorsilicate passivation
that protects the entire die surface area, including metalization interconnects. All dice have a minimum gold-backed thickness of 4000
Angstroms. The interconnecting metalization and bonding pads are
of evaporated aluminum.
•

No Frequency Compensation Required

•

Short-Circuit Protection

•

Wide Common-Mode and Differential Voltage Rar.ges

•

Low·Power Consumption

•

No Latch Up

2

14 12

MAXIMUM RATINGS (TA = +250 C unless otherwise noted)
Rating

Symbol

MCC1558

V+

+22

+18

-22

-18

Power Supply Voltage

V-

Differential Input Signal
Common~Mode

I nput Swing

MCC1458

Unit
Vdc

Vin

±30

Volts

CMVin

±15

Volts

Output Short Circuit Duration

ts

Continuous

Operating Temperature Range

TA

-55 to +125

Junction Temperature Range

TJ

-65 to +150

FIGURE 1 - CIRCUIT SCHEMATIC

°c
°c

FIGURE 2 - OFFSET ADJUST

r-~--------~----~----------~----------~-ov'

"
"
OUTPUT
2(12)

'0
vThe letters without parenlhesis ropresent the pin numbers for 112 of th e dual circuit,
letters in parenthetis represent Ihe pin numbers for the other half.

This is advance information on a new introduction and specifications are subject to change without notice.

7-121

MCC1558, MCC1458 (continued)

ELECTRICAL CHARACTERISTICS (v+ '" +15 Vdc, V- ~ -15 Vdc, T A'" +250 C unless oth:--e_'W",;,_e_n.."ot_ed_I_ _ _~-
2.0 k 3.0 k

5.0 k

-

I'..... ........

""""

10 k

1\

lOOk
t, fREQUENCY (Hz)

I"

80
iii

z:

2

0
0

~

60

~

40

1

3

+1 0

.........

r-.....r-.

:g

0

'"

r--.....r-.
r;.....r-.

RLL

..........

~

r--..... . . .
2

"- .....

3

.......... ~

r-..... ..... r-.

.......... r-.

0

1'--",

4

0

-5. 0
100

1.0 k 2.0 k 5.0 k 10 k

f. fREQUENCY

lOOk

0

1.0 M

100

1.0k 2.0k

5.0k10 k

1.0M

100 k

t, fREQUENCY (Hz)

(Hz)

FIGURE 7 - COMMON SWING
versus POWER SUPPLY VOLTAGE

FIGURE 6 - VOLTAGE GAIN
versus POWER SUPPLY VOLTAGE
100

8

0

/
0

10M

FIGURE 5 - OPEN LOOP
VOLTAGE GAIN varsus FREQUENCY

R1.l

+5 0

~ t:- ~
1.0 M

100

I

1

CURVE 1

r-...
i' ..... 1'-

r--_

FIGURE 4 - VOLTAGE GAIN
versus FREQUENCY
+6 5
+60

,

"1'-,

'\

I\.

RL =10 k

~~

Power Bandwidth

~ 8. 0

0
1.0 k

\ \

2\.

\

>

1\ '

L

i

....--- -

IE
~

~
g

L

y

2

//

8.0

;

6. 0

8

4. 0

~

2. 0

'-'

10

IS

20

V+ and V-, POWER SUPPLY VOLTAGE (VOLTS)

0

./""

-CMV

0

0;;

I
5.0

6
I4

~

/'"

/ / .....+CMV"
#"

5.0

10

15

V+ and V-, POWER SUPPLY VOLTAGE (VOLTS)

7-143

20

MCBC1709,MCB1709F (continued)

TYPICAL CHARACTERISTICS (continued)
(V+ = +15 Vdc, V-. -15 Vdc, TA = +250 C unless otherwise noted.1
FIGURE B - POWER DISSIPATION
........ POWER SUPPLY VOLTAGE

700
600

I I I
SAf~ OPER~nNG lRfA

500

25

AT REDUCED TEMPERATURE

~

400

75
300

/

200

V

0

;....
;:;

~

/

...:&'"

~

If

0

J
0/
1

o /

II

~

$! 3. 0

i
s

1.0 kH',\[\.
2. 0
100 Hj)<1\

V

1.0

V

0
1.0

~I

lA:I'-f'-E."

BW - 10 kHzl\

~;

/

j

0

:§. 4.0

~<

V

II

0

I 4.0

~0;

V
/

1/

0

5. 0

125

/

1/

FIGURE 9 - INPUT NOISE
VOLTAGE ..fsu,SOURCE RESISTANCE

I

.

V

0

V-

100

1\

1.Ok

Rs. SOURCE RESISTANCE (OHMSI

E..t QUIESCENT - -V...
QUIESCENT ~ 0 V

SAfE OPERlTlNG lREA
AT r y TErERArRE

6.0

8.0 10
12
14
16 18
V+ and V-, POWER SUPPLY VOLTAGE (Vtlel

20

FIGURE 10 - BONDING DIAGRAM

rlnput Frequency Compensation

Ne

~

v+

Outout

PACKAGING AND HANDLING

Output lag

Ne

Ne
Ne
Ne

Ne
Ne

L

The MCBC1709 beam-lead sealed-junction line.' integrated circuit is available in chip form (non-encapsulated)
as shown In the outline dimensional drawing. The shiPRlng

carrier for chips is a 2" square glass pleta on which the
chips are placed. A thin laver of polymer film covers the
plata and ratains the chips in place. The chips do not adhere
to the film when It Is lifted to remove them from the
carrier. Care must be aKarci.d when removing the chips
from the carrier to ansure that the beams .r, not bent.
A vacuum plekup is useful for this .,urDose.

LV-Non.inverting Input
Inverting Input

Input Frequency Compensation

Silicon Thickness =2.0 mils nominal

7-144

10 k

,---I

l ____

D_I_FF_E_R_E_N_T_I_A_L_C_O_M_P_A_R_A_T_O_R_____

MCBC1710
MCB1710F

Advance Inforn-.ation
DIFFERENTIAL COMPARATOR
INTEGRATED CIRCUIT
MONOLITHIC SILICON

MONOLITHIC DIFFERENTIAL

VOLTAGE COMPARATOR
Beam-lead sealed-junction technology and fabrication make the
MCBCl710 and MCB1710F devices excellent choices for military,
aerospace, and commercial appliCations. These devices are designed
for use in level detection, low-level sensing, and memory applications.

~
..... ~
~

BEAM LEAD

.-

• Differential I nput Characteristics Input Offset Voltage = 1.0 mV
Offset Voltage Drift = 3.0/J-VtC
•

BEAM-LEAO CH IP

Fast ,Response Time - 40 ns

•. Output Compatible With All Saturating Logic Forms Vo = +3.2 V to -0.5V Typical
•

Low Output Impedance - 200 ohms
MCBC1710

MAXIMUM RATINGS ITA = 25°C unless otherwise noted)
Rating

Value

Unit

VCC

+14

Vdc

F SUFFIX

VEE

-7.0

Vdc

CERAMIC PACKAGE
CASE 606
(TO-91)

Symbol"

Power Supply Voltage

VIO

±S.O

Volts

VICR

±7.0

Volts

Peak Load Current

IL

10

mA

Power Dissipation (package limitations)

Po

SOO
3.3

mW
mW/oC

°c
°c

Differential Input Signal
Common Mode I nput Swing

Flat Package

De.rate above TA :: +25 OC

MCB1710F

Operating Temperature Range

TA

-55 to +125

Storage Temperature Range

T stg

-65 to +150

I

SCHEMATIC PIN CONNECTIONS

Chip

·Svmbols conform to JE DEC Engineering Bulletin No.1 where applicable.

EQUIVALENT CIRCUIT

CIRCUIT SCHEMATIC

Vee
Vee

8 0 - - - - + ' - - -.....
NON

OUTPUT

A

Gnd

This is advance IOformation on 8 new introduction and specifications are subject to change without notice.
See Packaging Information Section for outline dimensions.

7-145

0

VEE

MCBC1710, MCB1710F (continued)

ELECTRICAL CHARACTERISTICS (Vcc

=+12 Vdc

VEE

=-6 0

Vdc TA

= 25 0 C unless otherwise notedl

Symbol

Characteristic

Input Offset Voltage
(VO = 1.4 Vdcl
Input Bias Current
(VO = 1.4 Vdcl

MCBCI710IMCB1710F
Min
Typ
Max

Unit

VIO

-

1.0

2.0

mVdc

liB

-

12

20

/lAdc

ro

-

200

-

Ohms

Positive Output Voltage
IVin ;;'5.0 mV, 0 ';;;10 ';;;5.0 mAl

VOH

2.5

3.2

4.0

Vdc

Negative Output Voltage
(Vin ;;'-5.0 mV)

VOL

-1.0

-0.5

0

Vdc

Output Sink Current
(Vin ;;'-5.0 mV, Vout ;;'01

Is

2.0

2.5

-

mAdc

Common Mode Rejection Ratio

CMRR

-

100

-

dB

Propagetio n De lay Time
For Positive and Negative Going Input Pulse

tpd

-

40

-

ns

Power Supply Current
(VO';;;O Vdcl

10+
ID-

6.4
5.5

9.0
7.0

mAdc

DC Quiescent Power Dissipation

PD

-

115

150

rrIIV

Output Resistance

(VO

= -7.0 Vdc, RS ';;;200 ru

·Symbols conform to JEDEC Engmeering Bulletin No.1 where applicable.
S.8 current MC171011710C data sheet for additional information.

BONDING DIAGRAM

12 - BEAM CHIP
0.0004

ir.DOO6

Ne

Vee

cdJJ

Ne

== 0=6+

NC

~

NC

-t

GROUND

TIl
0.035
BSe

0.042
iJ]49

0.0020

ii:Oi!45

PACKAGING AND HANDLING
The MCBC1710 beam-lead sealad-junction linear integrated circuit is available in chip form (non ...ncapsulatedl as shown in the

polymer film covers the plate and retains the chips in place. The
chips do not adhere to the film when it is lilted to remove them

outline dimensional drawing. The shipping carrier for chips is a 2"

from the carrier. Care must be exercised when removing the chips
from the carrier to ensure that the beam$ are not bent. A vacuum

square glass plate on which the chips are placed. A thin layer of

pickup is useful for this purpose.

7-146

,------1

MCBC1723
MCB1723F

~~_________O_PE_R_A_T_I_O_N_A_L_A_M_P_L_IF_I_E_R_S~

I

Advance InforIDation
MONOLITHIC VOLTAGE REGULATOR

VOLTAGE REGULATOR
INTEGRATED CIRCUIT

~

The MCBC 1723fMCB 1723F is a positive or negative voltage
regulator designed to deliver load current to 150 mAde. Output
current capability can be increased to several amperes through use of
one or more external pass transistors. Beam-lead products employ a
silicon-nitride dielectric that hermetically seals the chip. eliminating
the need for a hermetic package. The beam leads are gold cantilevered structures extending from the chip. These beams bond readily
to a gold metalized substrate providing one of the mo" reliable
interconnection systems known for semiconductor de'·'ces.

8'AMLEAD~

• Output Voltage Adjustable from 2 Vdc to 37 Vdc
• Output Current to 150 mAdc Without External Pass
Transistors
• 0.01% Line Regulation
• Adjustable Short-Circuit Protection
MAXIMUM RATINGS

BEAM-LEAD
CHIP

(TA' +250 C unless otherwise noted)

Rating

Value

Unit

Vin(p)

60

Vpeak
Vde

Svmbol'

Pulse Voltage from VCC to Vee (60 ms)

Vin

40

Vin-VO

40

Vde

Maximum Output Current

IL

150

mAde

Current from Vraf

Iref

15

mAde

Operating Temperature Range
Junction Temperature Range

TA

-55 to +125

TJ

-65 to +160

°c
°c

Continuous Voltage from VCC to VEE
Input.()utput Voltage Differential

.

Symbols conform to JEDEC Engintlermg Bulletin No.1 where apphcable.

FIGURE 2 - CIRCUIT SCHEMATIC

FIGURE 1 - TYPICAL CIRCUIT CONNECTION

Vee

r--T---'-1r~--1---T--~~~-----Ki

17

1111

100

10

1.0 k

~

~ +40
o
>

(VOLTAGE FOLLOWER)

11111~HO<15%11111

~

+80

z

;;:

I

0

>

'"

~

\
\

'::; .16
0
>
>~

FIGURE 4 - OPEN LOOP FREQUENCY RESPONSE

28

+20

-20
1.0

100 k

10 k

~

10

1.0 k

100

~

10 k

~

"

lOOk

1.0M

f, FREQUENCY (Hz)

f, FREQUENCY (Hz)

FIGURE 5 - OUTPUT VOLTAGE SWING
varsus LOAD RESISTANCE

10M

FIGURE 6 ~ COMMON-MODE REJECTION
RATIO varsus FREQUENCY
., 100

:s
o

......

!;;
~

o

80

~

t"-

Ul
::;

c
o

60

'!'

"'~

z

'"
~
o
'-'

~

<,?

40

20
100

10

+10

90

~
'":::>'-'

BO

~ +5.0

~
o

~
;!'
/'"

j
fil
N

:::; -5.0

~

o

1.0M

FIGURE 8 -INPUT BIAS CURRENT
versus TEMPERATURE

FIGURE 7 - INPUT OFFSET CURRENT
varsus TEMPERATURE

..

100 k

f, FREQUENCY (Hz)

RL, LOAD RESISTANCE (OHMS)

>-

10k

1.0 k

"

~

--.......- --

~
>z
w

70

"'"'-'

'"

60

~

50

0;

'\.

"'

r--....

>:::>

"-

;!'

40

..........

I'-...

~ ......

~

SLOPE CAN BE EITHER POLARITY

..... "--

30

I----

z

-10
-55

-25

+25

+50

+75

+100

+125

TA, AMBIENT TEMPERATURE (DC)

20
-55

-25

+25

+50

+75

TA, AMBIENT TEMPERATURE (OCI

7-151

+100

+125

MCBC1741, MCB1741F (continued)

TYPICAL CHARACTERISTICS {continuedl
{v+ = +15 Vdc, V- = -15 Vdc, TA = +25 0 C unless otherwise noted. I
FIGURE 9 - POWER DISSIPATION venus
POWER SUPPLY VOLTAGE

FIGUR E 10 - OUTPUT NOISE versus SOURCE RESISTANCE

100

1.4 r---r--r-..-rrrrr---,---r-TTTr.A""V-=7.1o=00:-r11--r-'IT
ITTlII"
I

70
~

.§

z
;::
~

20

'"~

10

6

7.0

0

iii;:;
~

"-

./

30

5.0
4.0
3.0
2.0

/

V

V

./

Vout=o-

-

~

10

--

1.0

.§

f-f-...:::>z f-...... 0.6 f-:::>
f-0
oj 0.4

14

18

+

Rl

I III

AV = 1001

11

I
10 k

1.0 k

II' and V-, POWER SUPPLY VOLTAGE (VOLTS)

RS, SOURCE RESISTANCE (OHMS)

FIGURE ll-BONDING DIAGRAM

Ii

o.062W

- . [OB9

1 OOOJ~
lip

TYP

o~

tJ~~

Geometry
Side Down
+

CCJ!I
~

'I:!EWiO.OSS~
J I
Silicon Thickness:: 2.0 mils nominal

PACKAGING AND HANDLING

The MCBC1741 beam-lead .. aled-junction linear integrated circuit is availabla in chip form (non-encapsulated)
as shown in the outline dimensional drawing. The shipping

carrier for· chips Is 8 2" square gl••s plate on which the
chips are placed.

A thin layer of polymer film covers the

plate and retains the chips in place. The chips do not adhere
to the film when It is lifted to remove them from the
carrier. Care must be exercised when removing the chips
from the carrier to ensure that the beam. are not bent.
A vacuum pickup is useful for this purpose.

7-152

R2

Vn

I I III III

Nitride

__ ' _ Rl

RJ

0.2
o. 1
100

22

~

I+-I - RS - R3i R1 + R2
R2 +-+--f-tTt"m
AV'iii
I II II

IP

~
;:; 0.8

/
6.0

1"1

1.2 1---+-++t+H+t---l--l-+-:J;.!o'fH.

V

50
40

lOOk

,--------,f

l . . ____

O_P_E_R_A_T_IO_N_A_L_A_M_P_L_IF_I_E_R_S---'

MCBC1748
MCB1748F

Advance Inforxnation

OPERATIONAL AMPLIFIER
INTEGRATED CIRCUIT

HIGH PERFORMANCE MONOLITHIC
OPERATIONAL AMPLIFIER

~

Beam-lead sealed-junction technology and fabrication make the MCBC1748
and MCB1748F devices. excellent choices for use as a summing amplifier,
integrator, or amplifier with operating characteristics as a function of the
external feedback components. Beam-lead products employ a silicon-nitride
dielectric that hermetically seals the chip, eliminating the need for a hermetic
package_ The beam leads are gold cantilevered structures extending from the
chip. These beams bond readily toagold metalized substrate providing oneof
the most reliable interconnection systems known for semiconductor devices_
•
•
•
•
•
•
•

.EAMLEAD~

Noncompensated MCBC1741
Single 30 pF Capacitor Compensation Required For Unity Gain
Short-Circuit Protection
Offset Voltage Null Capability
Wide Common-Mode and Differential Voltage Ranges
Low-Power Consumption
No Latch Up

MAXIMUM RATINGS

BEAM-LEAD CHIP

IT A = +25 0 C unless otherwise noted)

Rating
Power Supply Voltage
Differential Input Signal

Value

Unit

V+
V-

+18
-18

Vdc

:t5.0

Volts

:tV

Volts

Vi"

Common Mode I "put Swing

CD

MCBC1748

Symbol

CMV,n

Load Current

IL

10

Output Short Circuit Duration

ts

5.0

s

Power Oissi patlon

Po

500

3_3

mW
mW/oC

Operating Temperature Range

TA

-55 to +125

uc

Storage Temperature Range

T stg

Dt;trate above T A = +250 C (F lat Package)

FSUFFIX
CERAMIC PACKAGE
CASE 606
ITO-91)

mA

°c

-65 to +150

CD For supplV \loltages less than ±. 15 V. the Maximum I "put Voltage

MCB1748F

SCHEMATIC PIN CONNECTIONS

I~~!~ Package I ~ I~I; I~ I~ I~ I~ I~ I

is equal to the Supply Voltage.

FIGURE 2 - OFFSET ADJUST AND
FREQUENCY COMPENSATION

FIGURE 1 - CIRCUIT SCHEMATIC

H

COMPENSA nON

r-~---------~---'------------~-----1----~-Ov'
G

"
OUTPUT

..

OffSET E
NULL

AO---+--1-----r--~
OFFSET NULL
AND
1.0k

COMPENSATION

L-~

____

~

__-+____

4-~

__

~

v____4-__________4--op

This Is advance Information on a new Introduction .nd specifications ere subject to ch.nge without notice.
See Packaging Information Section for outline dimensions.

7-153

MCBC1748 r MCB1748F (continued)

ELECTRICAL CHARACTERISTICS IV+ = +15 Vdc, V- = -15 Vdc, TA = +25 0 C unless otherwise noted)
Characteristics

Unit

Symbol

Min

Typ

Max

200,000

-

75

-

ohms

-

AVOL

50,000

Output I mpedance I I = 20 Hz)

Zo

-

Common Mode I nput I mpedance II = 20 Hz)

lin

-

200

-

Megohms

Output Voltage Swing I R L 10 k ohms)
RL = 2 k ohms IT A = -55 to +125 0 C)

Vo

±12
±10

±14
±13

-

Vpk

Common-Mode I nput Voltage Swing

CMVin

-

±13

-

Vpk

Common-Mode Rejection Ratio If -100 Hz)

CMrej

-

90

-

dB

Ib

-

0.08

0.5

"Adc

I nput Offset Current

lio

-

0.02

0.2

"Adc

Input Offset Voltage IRS ';;;lOkn)

Vio

-

1.0

5.0

mVdc

Open-Loop Voltage Gain,lVo - +10 V, RL = 2.0·k ohms)

Input Bias Current

Step Response IVin 20mV,C c -30pF,
RL =2kn,cL = 100pF)
Rise Time

tr

-

Overshoot Percentage
Slew Rate

dVout/dt

-

0.3
5.0
0.8

-

I'S
%
VII'S

Short-Circuit Output Current

ISC

-

25

-

mAde

Parallel Input Capacitance

Rp
Cp

-

2.0
1.4

-

Megohms
pF

Power SupplV Sensitivity
V-= constant, RS ';;;10 k ohms
V+ = constant, RS';;;1O k ohms

S+
S-

-

30
30

150
150

1.67
1.67

2.83
2.83

mAdc

50

85

mW

Oifferentiallnput Impedance IOpen-Loop, I - 20 Hz)

Parallel Input Resistance

I'VIV

Power Supply Current

-

10+
10-

-

Po

DC uuiesceflt ruwSi Dissipation
IVo=O)

IS-BEAM CHIP

BONDING DIAGRAM

O.OO25~1-;

TY,

It-!

H:::'1¥

N;~:~. ,,..r:l.[L!tLti::-:==j--i!i
OtfsetNull

Nt

0.052

Nt

i

Nt

Offset Null and Complmliion
SilicClnThicknllSl "'2.0 millnomi..1

Silicon Thitkn.$$= 2.0 mils normal

PACKAGING AND HANDLING
The MCBC1748 beam-lead sealed-junction linear integrated
circuit is available in chip form Inon-encapsulated) as shown in the
outline dimensional drawing. The shipping carrier for chips is 8 2"
square glass plate on which the chips are placed. A thin layer of

polymer film covers the plate and retains the chips In place. The
chips do not adhere to the film when it is lifted to remove them
from the carrier. Care must be exercised when removing the chips
from the carrier to ensure that the beams are not bent. A vacuum
pickup is useful for this purpose.

7-154

NOTES

7-155

NOTES

7-156

PACKAGING and HARDWARE
PACKAGING
Lead Tape Packaging Standards for
Axial-Lead Components

Page 8-2

HARDWARE
MH745
MH746

Rectifier - zener diode mounting hardware

Page 8-4

MK10
MK15
MK20
MK25
MK30
MK35

Power transistor mounting hardware

Page 8-5

•
8-1

LEAD TAPE PACKAGING STANDARDS FOR AXIAL-LEAD COMPONENTS

1.0

SCOPE

This document covers packaging requirements for the
following axial·lead components for use in automatic
testing and assembly equipment: Motorola Case 51
(00·7), Case 52 (00·13). Case 59 (00-41). and Case
17. Packaging, as covered in this document, shall con·
sist of axial· lead components mounted by their leads
on pressure'sensitive tape, either wound onto a reel or
folded in an oriented manner in a container (ammuni·
tion pack).
2.0

is 0.062 inch to 0.750 inch less than
dimension of reel. See F igu re 3.

3.3.5 A row of components must be centered
between the tapes ±.. 0.047 inch. In addi·
tion, individual components may deviate
from center of component row ± 0.031
inch. See Figure 2.
3.3.6 Staples shall not be used for splicing. No
more than 4 layers of tape shall be used
in any splice area and no tape shall be
offset from another by more than 0.031
inch noncumulative. Tape splices shall
overlap at least 6 inches for butt joints
and at least 3 inches for lap joints, and
shall not be weaker than unspliced tape.

PURPOSE

Thisdocument establishes Motorola standard practices
for lead·tape packaging of axial· lead components and
meets the requirements of EIA Standard RS·296·B,
"Reel Packaging of Components with Axial Leads."
3.0

REQUIREMENTS

3.1

3.3.7 Quantity per reel shall be as indicated in
Table 1. When reeling quantity is less than
the established minimum of a suitable
sized reel, an ammunition pack will be
used. Quantities less than the ammunition
pack minimum will not be lead·taped.

Component Leads
3.1.1 Component leads shall not be bent beyond
0.047 inch from their nominal position.
See Figure 2.
3.1.2 The "c" dimension shall be governed by
the overall length of the reel packaged
component. The distance between flanges
shall be 0.125 inch to 0.250 inch greater
than the overall component length. See

3.3.8 A maximum of 10 components may be
missing from any 10 foot section. A
maximum of 2 consecutive components
may be missing, provided this gap is fol·
lowed by 6 consecutive components.

Figures 2 and 3.

3.2

Orientation

3.3.9 The single face roll pad shall be placed
around the finished reel and taped securely.
Each reel shall then be placed in an ap·
propriate container.

All pOlarized components must be oriented in
one direction. The cathode lead tape shall be
blue, and the anode tape shall be white. See
Figure 1.
3.3

3.4

3.3.1 Components on any reel shall not repre·
sent more than two date codes When date
code identification is required.

Customer Part Number
Purchase Order Number
Quantity
Date of Reeling (when applicable)
Manufacturer's Name
Electrical Value (when applicable)
Date Codes (when applicable; see Note 3.3.1)
Tape (when applicable)

3.3.2 Component leads shall be positioned per·
pendicularly between pairs of 0.250 inch
tape. See Figure 2.

3.3.4 50 lb. Kraft paper must be wound between
layers of components as far as necessary
for component protection. Width of paper

Marking
Minimum reel and carton marking shall consist
of the following: See Figure 3.

Reeling

3.3.3 A minimum 12 inch leader of tape shall be
provided before the first and last compon·
ent on the reel.

"c"

4.0

EXCEPTIONS

Requirements differing from this Motorola standard
shall be negotiated with the factory.

8-2

LEAD TAPE PACKAGING STANDARDS FOR
AXIAL-LEAD COMPONENTS (continued)

TABLE 1 - PACKAGING
Quantity
Per Reel
MinIMax

Component Tvpe
(Casel

Ammunition
Pack
Qty.
Min

Component
Spacing
A

Tape Spacing
B

2.oo± 0.010

3.00

10.50

Reel
Dimensions
C
0

Case 51 (00·71
Case 59 (00·411

1000/3000

500

0.200 ± 0.015

Case 17

1000/2000

500

0.200 + 0.015

2.00 + 0.010

3.00

10.50

Case 52 (00·131

500/1500

250

0.375 + 0.015

2.375 + 0.020

3.81

14.00

FIGURE 2 - COMPONENT SPACING

FIGURE 1 - REEL PACKING

u:

TAPE, BLUE
ITEM 3.2

OVERALL LG. ~
ITEM 3.1.2

r-B---j ~
A

t
ITEM 3.1.1
0.047 MAX OFF ---,
ALIGNMENT

,

L-

CONTAINER

-.-

·1. .1 --J I--

-

FIGURE 3 - REEL DIMENSIONS

f.--

~

•
ITEM 3.4

8-3

ITEM3.3.2

0.031
ITEM 3.3.5

FIGURE 4 - AMMUNITION PACK

3.50 DIA

0.250

MH745
MH746
MOUNTING HARDWARE for MOTOROLA STUD PACKAGES
'l.6

It

RECTIFIER and 10 WATT ZENER DIODE

'~61t RECTIFIER

and 50 WATT ZENER DIODE

"'" ., "'"' !~
.997

.255

.004

1.003

.265

.006

--x-x-

MICA WASHERS
.560
.195
.002

- x .200
- . .003
.570

~

~?o.
o

NVLON BUSHING

~ .276

• . U!Q
.286
.195

o

•. 041
.051

o

FLAT WASHER
Steel, Zinc plated

~
o

FLAT WASHER

~ St•• I. Zinc plated

~. ~ x .030
.510

NYLON BUSHING
.362 x .264 x .060
.372
.274
.070

.225

.050

'!.E.
.749

x .~ x .055
.296
.071

10 .195~ SOLDER TERMINAL

.200

Copper, Electro-deposited tin

10 .065
.095

6
~

plate
.~ • . ~ x .020
.915
.455
.023

--

LOCK WASHER

~ Steel, Zinc plated,
~

Internal tooth

.365 • . U!l! x .Q.!Q.
.381
.204
.025

~

:~~~

TERMINAL

(AMP #34124)

LOCK WASHER

~ Steel, spring, Zinc plated,

\S)

Internal tooth
.460
.250' .017
.480 x .270 x .027

NUT

NUT
10185teel, Zinc plated.
10·32NF·2B

~

SOLOER

Copper, electro-tinned

~ 1018 St•• I, Zinc plated
~

Nom. across flats

1/4·2BNF·2B

.~ across flats x

.437

x .172 Thick .413 across points

7/16 STUD (MH 745)

.192

.433

11/16 STUD (MH 746)

::~:

.!2!

.193

across points

Finish meets all environmental requirements of MI L-5TD·19500.

Mounting hardware Is supplied with the units. For
additional hardware, order
by kit number:
MH745-~.H

HOLE OIA .
. 265±'.005

STUD MOUNTING

HARDWARE
MH746 _ ')(,H
STUD MOUNTING

.028

~.034

HARDWARE

~=*='t

8-4

Thick

POWER TRANSISTOR MOUNTING KITS

MK-10 - teflon
MK-15 - mica
MK-20 - anodized aluminum
MK-25 -mica
NO.6
METAL
POWER
TRANSISTOR

CHASSIS OR
HEAT SINK
INSULATING
BUSHING

~~~lii1'i~~- CLEARANCE
ill!
HOLES

SOCKET

SCREWS OR RIVETS
(not in mounting kit)

Typical thermal characteristics for teflon, mica, and
anodized aluminum insulators used in mount ing kits
are given in the table below. (Figures may vary with mounting torque applied. Do not over stress. )
KIT TYPE INSULATING WASHER TYPICAL THERMAL RESISTANCE (DC/WaH)
Dry
With DC4*

MK·l0
MK·15
MK·20
MK·2S

No Insulator
Teflon
Mica
Anodized Aluminum
Mica

.20
1.45
.SO
.40
.80

.10
.SO

.40
.35
.40

*DC4 is Dow Corning No.4 Silicone lubricant.

Mountingkits, types MK-IO, MK-15, MK-20, and MK-25 provide the necessary hardware for correctly mounting all TO-3 and TO-66 industry standard
power transistor types to a chassis. With these kits, power transistors can
be electrically insulated from the heat sink chassis, while maintaining complete heat transfer characteristics.
Included in these highly useful kits are a transistor mounting socket, front
and back mounting templates for hole drilling guides, two #6 mounting screws,
two insulating bushings, an insulating washer and complete mounting instructions.
8-5

MK·l0, MK.15, MK.20, MK·25

(continued)

The teflon-coated glass cloth insulating washer, included in kit MK-l0,
will find use in installations requiring an insulator of excellent durability.
The mica insulator, in kit MK-15 and MK-25, is characterized by very high
thermal conductivity. In applications where both good thermal conduction and
durability are necessary, the anodized aluminum insulator supplied in kit
MK-20, is recommended.
The transistor socket included in all mounting kits, is made of rugged laminated phenolic, with contacts of phosphor bronze.
Three cadmium plated solder lugs, having a 15-amp maximum current capacity, are provided for base, emitter and collector connections.
TQ-3

.045 ±.003 DlA

Type MK-IO
mounting kit

Type MK-20
mounting kit

10----='----'-01--1.600

XP PHENOLIC,
VACUUM WAX
IMPREGNATED

_002 MICA
INSULATOR
Type MK-15
mounting kit

tl

_______ BRASS.

~_-===_~~=~~s:$r---,,\~21~~~S003

~

OIA

.031

CADMIUM PLATlD
.0002 THK

.060±.005l

_031

L.l77

_375
±.016

±.003

OIA

1.350

TRANSISTOR SOCKET

~,? ±.Oij,

-n----®

.029

1.090

ulr

±.01O

TEFLON INSULATING BUSHING

Included with .11 TO-3 mounting kits

Included with .11 mounting kits

TO-56
XP PHENOLIC
VACUUM WAX
IMPREGNATlO

.390R
BRASS
CADMIUM PLATED
.030 THK

5/32IN.--ltj

MAX

13/32 IN.

:~g OIA
(2 HOLES)

.188 R TYP

_002 MICA INSULATOR
Type MK-25 mounting kit

TEFLON INSULATING BUSHING
(ncluded with .11 mounting kits
(S.me as for TO-3)

TRANSISTOR SOCKET

Included with.1I T0-66 mounting kits

8-6

.156 ±.002 OIA
(2 HOLES)

MK-30
MK-35

POWER TRANSISTOR MOUNTING KITS
Mounting kits, types MK-30 and MK-35,
provide the necessary hardware to properly mount the
TO-36 case (standard industry-type power transistors)
to the chassis. With these kits, power transistors can
be electrically insulated from the heat sink chassis,
while maintaining complete heat transfer characteristics
MK-30 Designed for use in applications requiring
30 Amps or less with solder connection to the transistor leads.
MK-35. DeSigned for use in applications requiring
greater than 30 Amps with solderless connection to the
transistor leads.
To obtain maximum contact area between case and
heat sink for better heat transfer, it is recommended
that the transistor first be mounted on the heat sink or
chassis. Then, for maximum wire-to transistor lead
strength and high-current capacity, the solderless connectors provided are crimped to the transistor leads
and heavy wire. The wires may be soldered directly
to the leads if strength and high currents (approximately
50 Amps) are not the primary considerations. (Solderless connector tools are available from the Thomas and
Betts Co., Elizabeth, N. J. Possible wire types:
AWG #12 regular strand (65 x 30) or #10 solid-tinned
copper wire. )
These new mounting kits are individually packaged
in a convenient polyethylene container.

8-7

MK·30, MK·35 (continued)

MK-30

MK-35

15 AND 30
AMP UNITS

60 AMP UNITS

"LOW SILHOUETTE"
, . , POWER TRANSISTOR'

~ ____ MICA WASHER ~® ~
_

METAL WASHER __

@&

SOLDER LESS
CONNECTOR

~
~~DER
~

(Not WIRE
Supplied)

I

~@
-V

0

I

I~
1_

TERMINAl-g
HEX NUT
rt

rei;-,

tr::::J

~

NOTE: The surface to which the transistor is mounted must
be smooth, flat and free of burrs or irregularities which may
damage insulation or prevent intimate contact with the
transistor mounting base.
Typical thermal characteristics for mica insulators are
given in the table below. (Figures may vary with mounting torque applied. Do not over stress.)

KIT TYPE

-

INSULATING WASHER

MAXIMUM THERMAL RESISTANCE (DC/Watt)

Dry

With DC4*
.10

No Insulator

.20

MK·30

Mica

.80

.40

MK·35

Mica

.80

.40

• DC4 is Dow Corning No.4 Silicone Lubricant.

8-8

•

DUTLINE

DIMENSIDNS

MK-30 / MK-35

-

MK-30

ONLY

0 345 NOM RAD

0.156 DIA.

MICA INSULATOR

SOLDER TERMINAL

14B52600F06

(Tin Dipped)
29B52595F13

0.241 DIA

or -1 \---r=@),
-1 ~

0.198

0.191 DIA.

MK-35

ONLY

0.565

SOLDER LESS
MICA WASHER
14B52600FOl

:]7

CONNECTOR
10M52014A

43B51547FOl

d

NO. [0·32 N f 2·B

~
~
~

•

NYLON INSULATING
BUSHING

L~
0050

0[98

r-~-

0368

~ 0.565~

HEX NUT

METAL WASHER

(Cadmium Plated)
02B51568F13

(Cadmium Plated)
04B51567F17

SOLDER TERMINAL
(Cadmium Plated)
29B52595F09

NOTE: All Dimensions Nominal

8-9

OUTLINE DIMENSIONS
INDEX

Motorola Case Number Cross Reference
Registered Case Number Cross Reference
Outline Dimensions
Leadforms

9-1

Page
Page
Page
Page

9-2
9-4
9-5
9-38

MOTOROLA CASE NUMBER CROSS REFERENCE

•

Case 1
Case 3-01
Case 3-04
Case 4-01
Case 4-04
Case 5
Case 6
Case 7
Case 8
Case 9
Case 11
Case 11A
Case 12
Case 17
Case 20
Case 21
Case 22
Case 22A
Case 23
Case 24
Case 26
Case 27
Case 28
Case 29-01
Case 29-02
Case 29-03
Case 29A
Case 31
Case 34A
Case 36
Case 39
Case 41
Case 42
Case 42A
Case 43
Case 44
Case 45
Case 46
Case 47
Case47A
Case 48
Case 51
Case 52
Case 53
Case 54
Case 55

TO-3
TO-3*
TO-3*
TO-41
TO-41
TO-36

-

TO-68
-

TO-61
TO-3
TO-3*
TO-3*

TO-72
TO-17
TO-18
TO-18*
TO-107
TO-102
TO-46
TO-52

TO-92
TO-92
TO-92

-

TO-5
TO-12
TO-60
TO-37

00-5
00-5
00-21
00-4
-

-

-

00-7
00-13
-

TO-3*

-

*

Case 56
Case 56A
Case 56B
Case 57
Case 58
Case 59
Case 60
Case 61
Case 63
Case 64
Case 70
Case 77-02
Case 77-03
Case 79
Case 80-02
Case 81
Case 81A-01
Case 81A-02
Case 82
Case 85
Case 85l
Case 86
Case 88l
Case 87
Case 87l
Case 88
Case 88l
Case 90-04
Case 90-05
Case 91
Case 92
Case 100
Case 105
Case 106
Case 107
Case 108
Case 109
Case 110
Case 111
Case 112
Case 113
Case 114
Case 115
Case 116
Case 117
Case 118

'Modified

9-2

00-4
00-4
00-4

-

00-41
-

TO-41
-

TO-48

-

-

TO-39
TO-66
00-31

-

-

-

-

-

-

-

Case 119
Case 120
Case 121
Case 122
Case 123
Case 124
Case 126
Case 127
Case 128
Case 129
Case 130
Case 131
Case 132
Case 133
Case 134
Case 135
Case 136
Case 137
Case 138
Case 139
Case 142
Case 143
Case 144B-03
Case 1440-04
Case 145A-01
Case 145A-02
Case 145C-01
Case 146
Case 149-02
Case 152
Case 154
Case 154A
Case 155
Case 155A
Case 156
Case 156A
Case 157
Case 157A
Case 158
Case 159
Case 160
Case 160A
Case 161
Case 166-01
Case 166-02
Case 167

~

-

-

-

-

-

-

-

TO-9
-

-

-

-

00-14
TO-1 *
-

-

-

-

TO-59
TO-59
TO-41
-

-

*
*

MOTOROLA CASE NUMBER CROSS REFERENCE (continued)
Case 168
Case 169
Case 171
Case 172
Case 173
Case 174
Case 175
Case 176
Case 177
Case 178
Case 179-01
Case 179-02
Case 180
Case 181
Case 182-01
Case 183
Case 184
Case 188
Case 189
Case 190
Case 194
Case 197
Case 198
Case 199-03
Case 199-04
Case 205
Case206A
Case 208
Case 209
Case 210
Case 211-01
Case 211-02
Case 212
Case 215
Case 216
Case 219
Case 221-02
Case 226
Case 234-01
Case 234-02
Case 235
Case 244
Case 245
Case 246
Case 247
Case 249

TO-203

TO-114
-

-

TO-63

-

-

-

-

-

-

-

-

TO-94
TO-220AB

-

TO-83

-

Case 250
Case 253
Case 257
Case 262
Case 263
Case 264
Case 267
Case 270-01
Case 278
Case 601
Case 602A
Case 602B
Case 603-02
Case 603-03
Case 604
Case 605B·02
Case 606
Case 607
Case 608
Case 609
Case 610A-03
Case 614
Case 617
Case 618
Case 619-01
Case 619-(}2
Case 620
Case 621
Case 623
Case 625
Case 626
Case 627
Case 628
Case 631
Case 632
Case 635
Case 637
Case 638
Case 639
Case 641
Case 642
Case 643A
Case 644A
Case 645
Case 646-02
Case 647

9-3

-

-

00-5

-

-

-

TO-99

-

-

TO-100
TO-100

TO-91
TO-86
TO-90
TO-85
TO-89

-

-

-

-

-

TO-91

TO-116

-

TO-76
-

TO-116

-

Case 648
Case 649
Case 650
Case 651
Case 654-04
Case 654-07
Case 655
Case 663
Case 664-01
Case 665
Case 673
Case 675
Case 676
Case 677
Case 680-01
Case 680-02
Case 681
Case 683
Case 684
Case 685
Case6S6
Case 687
Case 688
Case 690
Case 694
Case 695

-

-

TO-78 *
TO-78 *
TO-71

-

-

-

-

TO-71 *

REGISTERED CASE NUMBER CROSS REFERENCE
00-4

00-5
00-7
00-13
00-14
00-21
00-31
00-41
TO-1*
TO-3
TO-3*

TO-5
TO-9
TO-12
TO-17
TO-18
TO-18*
TO-36
TO-37
TO-39
TO-41
TO-41 *
TO-46

Case 44
Case 56
Case 56A
Case 56B
Case 42
Case 42A
Case 257
Case 51
Case 52
Case 146
Case 43
Case 81-02
Case 59
Case 61
Case 149-02
Case 1
Case 11
Case 3
Case 3-04
Case 11A
Case 12
Case 54
Case 31
Case 143
Case 34A
Case 21
Case 22
Case 22A
Case 5
Case 39
Case 79
Case 4
Case 4-04
Case 61
*Case 161
Case 26

TO-48
TO-52
TO-59
TO-60
TO-61
TO-63
TO-66
TO-68
TO-71
TO-71 *
TO-72
TO-76
TO-78 *
TO-83
TO-85
TO-86
TO-89
TO-90
TO-91
TO-92
TO-94
TO-99
TO-100
TO-102
TO-107
TO-114
TO-116
TO-203
TO-220AB

*Modified

•
9-4

Case 64
Case 27
*Case 160
Case 160A
Case 36
Case 9
Case 188
Case 80-02
Case 7
Case 655
Case 695
Case 20
Case 642
Case 654-04
Case 654-07
Case 246
Case 600
Case 607
Case 61 OA-03
Case 608
Case 606
Case 628
Case 29-01
Case 29-02
*Case 29-03
Case 219
Case 601
Case 603-02
Case 603-03
Case 24
Case 23
Case 177
Case 632
Case 646-02
Case 174
Case 221-02

CASE OUTLINE DIMENSIONS
DIMENSIONS ARE IN INCHES UNLESS OTHERWISE NOTED.

CASE 1 TO-3

CASE 3-01

CASE 3·04

~O
O.875j~.250

0.135

[410

MAX

MAX

OIA

l...-P~~~!-----.0.312
MIN

AIiJEOECdimensiolisandnotlsapplv

STYLE 1:

STYLE 2:

PIN f.BASE'

2. EMITTER
Collector connetted to case

PfN 1. BASE
2. COLLECTOR
Emittlrconll8ctetitGcase

CASE 4-01 TO-41

0,1

h

Collec:lor conn8cled to case

COllettUrconnected to case

CASE 4-04 TO-41

CASE 5 TO-36

IS" MAX
083

Ij-M"j
DIA

0135
MAX

M' NgJ~AD

~

0.140
MAX
DIA

:=r7~
..,

PIN I.Base
2.Emitter
3.lncBtorPin
(lnsulale~)

AUJEDECdimsnlionsandnolllsllpply

All JEDEC dirransionsand now apply

Collactor connected to case

Collactor connected to case

9-5

AIiJEDECdimensiolisandnotBSepplv
Col1ectorconnectedtncase

CASE 6

CASE 7 TO-68

CASE 8

~rc~j;1
m ~
~:

~~
1

I

~

U

SEATING
PLANE
10.32UNF.2A
FLEXIBLE lEADS
0.141
MAX

ISDO
MIN

TP

0.140
MAX
DlA

sse

PlflI1.EMITTER
2. BASE
3, COLLECTOR

9~O'SE
r\

""'.._+____'".-

STYLEt:

PIN ~: ~~ffrER

STRAIGHTLEAD$
#10-32UNF2A

2
•

COLLECTOR

EMmER

3. COLLECTOR (CONNECTeo TI,) CASE)

0.141

T.P.

CollectorconnactadtoC8S8
0,141

T.P.

CASE 9 TO-61

CASE 11 TO·3
rr=-AB~_I.--1

r

~I

I

CASE 11A

c

SEATIf~G

PLANE

1

MILL METE S
MI
X

HE!

MN

01
A

0.250

D,D

1.177

1.550
B.30
0.300

,0'

0,

Collector connlctedto csse

Qt
_1.55DMAi1

~'L

•

~

0"

1.1
I"
I,

0,

°

1,1

I.

CASE 17

CASE 12 TO·3

0.83
MAX

85'

I.

1.197
,48

All JEOEC dimensions and nOl81 apply

,37
1.080
7.620

6.3110
0

j

0.30

MAX

Colleclprconnactlldtoase

CASE 20 TO-72

1

f-0.130plA

o

1

0m

I ~DlA

1.0 MIN

~

~:

h

SEA:7~1C
PLANE

K

o

J

iJ

"".m~~ --+
iCATHODEI

=

"D,060
MAX

Sty~n'~.An\ld8

1.0 MIN

2. Cathode
*lMd dlame$l' and finlsb

8111 not controliedwllhin

ColleetarconnectlldtocBH

thisdimtnaion.

__

All JEO~C dimensions and nOIQS ap~lv

9-6

CASE 20 STYLES
STYLE 1
PIN 1. SOURCE

2. DRAIN
3. GATE
CASE LEAD

..

2. SOURCE AND

f~)

SUBSTRATE
3. GATE
4. SOURCE AND
SUBSTRATE

STYLE 7
DRAIN
2. SOURCE
3. GATE
CASE AND
SUBSTRATE

PIN 1.

..

DRAIN
SOURCE

ii

MAX

-L-

SEATINJ
PLANE

PIN 1.

STYLE 4
PIN 1. SOURCE
2. GATE
3. DRAIN
GATE2SUBSTRATE AND

COLLECTOR

J

MIN

0~~6

EMITTER 2

--H--D

II]}j

EMITTER 1
BASE 2

STYLE 9
PIN l- ORAIN
2. GATE 2
3. GATE 1
SOURCE •

..

•.

GAS<
STYLES
PIN I. SOURCE
2. GATE 1
3. DRAIN
CASE

1-'::=~~~~~G~OlLECTOR
SHIB.D

CASE 22 STYLES

DIM
A

•

"~:

C

SUBSTRATE
AND CASE

D

E
G
H

STYLE 10
PIN). EMITTER
2. BASE
3. COLLECTOR
4. GASE

..

'~~ANE

K

---1I
-tiLo.D'B

0.019

2. BASE'
3.
4.

*'ATING

0.500
/

O.ot6 D1A J

STYLES

GATE
CASE LEAD

f=E:!ni~nI

-----r

4

..

CASE 22 TO-18
0.185
0.215
DIA

0.150
0.168
DlA
0.030

STYLE 6
PIN 1. DRAIN

2

STYLE 2
PINl. SOURCE
2. GATE
3. DRAIN
SUBSTRATE AND
CASE LEAD

STYLEJ
PfN 1.
2.
3.
4.

CASE 21 TO-17

J
K
AIiJEDECdimensionrandnotesapplV

L
M

CASE 23 TO-l07

CASE 22A

2

It:)
STYLE 1:
PIN 1. EMITTER
2. BASE
3. COllECTOR

STYLE 2:
PIN l.S0URCE,SUBSTRATE,
AND CASE

2. GATE
3. DRAIN
SlYLE3:

PIN I. SOURCE
2.DRAIN
3. GATE
STYLE 4:
PIN 1.S0URCE
2. DRAIN
3. GATE AND CASE

STYLES:

STYLE 6:
PIN 1. CATHODE
Z.GATE
3. ANODE
STYLE 1:
PIN I.ANODE
2. BASE
3. CATHODE
STYLE 8:
PIN loGATE
2.ANOOE I
3.ANOOE2
STYLE 9:
PIN I.ANOOE 2
2. ANODE I
3 !lA.TE ICO~fl!EC1H!
TO CASE)

STYLE 1:
PIN 1 EMITTER
2. BASE I
3. BASE 2

DIM

A

PIN 1. EMITTER
2. BASE 1
3.BASE2

STYLE 2:
PIN 1. EMITTER
2. BASE 2
3. BASE 1

INCHES
M!N
M.A)C
0.209
0.23

0.118

B
C

0.195

0.110
0.21
O. Iii
0.1
D.1ODTYP

o
G
H

J

•

0.03B
0.028

AIIJEOECdimensioflsandnotas8pply

0.046
O.04B
1.7

L
D.050TYP
1.Z70TYP
M
45 0 TYP
°TYP
AIIJEDECdimensiollillndnotBS9pply

CASE 24 TO-l02

CASE 26 TO-46

5-32·UNC·2A
(COATED) THREAD~ ._~'-~

O.~MAXL

~

Olro

O. ~

0.2"

0.230
DlA

r

0.500

0.178
0.195

~:~~:

L

PIN 1. EMITTER
2. BASE
a.COLLECTOR

CASE 27 TO-52

DIA

DIA

0.U5

O.ISO

0.500
MI.

~

m
0.046
AItJEDEC dimensions and notasapply
ColIsctor connecteU to case;
stud isol8tad from casa

STYLE I
PIN. I. EMITTER

2. BASE
All JE DE Cdimensions and f10bS apply

3. COLLECTOR

STYLE 2
PIN. I. DRAIN
2.S0URCE
3. GATE & CASE

AIIJEDECdimBflsiomandnot!SapplV

9-7

CASE 28

0.020

0,001

~TOP

~

CASE 29·01

CASE 28 STY LES

ff.iIii3

~~ \2

BOTTOM

0.213

~+
0.004

MIN

STYLES:
PIN 1. DRAIN
2. SOURCE
3. GATE

STYLE 1:
PIN 1. BASE
2. EMITTER
3. COLLECTOR

STYLES:
PIN 1.
2.
3.
STYlE 7:
PIN 1.
2.
3.
STYLE 8:
PIN 1.
2.
3.
STYlE 9:
PIN I.
2.
3.

STYLE 2:
PIN 1. ANDOEZ
2. ANODE 1
3. CATHODE
STYlE 3:
PIN 1. CATHODE 2

2. CATHODE 1
3. ANODE
STYLE 4:
PIN !. CATHODE
2. ANODE
3. COMMON
CATHODE
ANODE

EMITTER
COLLECTOR
BASE
BASE 1
EMITTER
BASE2
CATHODE
GATE
ANODE
SOURCE
GATE
DRAIN

(See page 9-38 for lead form availability"

CASE 29 STYLES

CASE 29·03

STYLE 1;
PIN!.

STYLE 9'
PIN 1. BASE 1

EMITTER

2. BASE
3. COLLECTOR

2. EMITTER
3. BASE2
STVLE2:
STYLE 10:
1 23
PIN 1. BASE
PIN 1. CATHODE
2. EMITTER
2. GATE
3. COLLECTOR
3. ANDIlE
STYLE 3:
STYLE 11:
PINl. ANODE
PINI. ANODE
2. ANODE
2. CATHODE &
3. CATHODE
ANODE
STYLE 4:
3. CATHODE
PIN 1. CATHODE
STYLE 12:
2. CATHODE
PIN I, ANODE 1
3. ANODE
2. GATE
STYLE 5:
3. ·ANODE 2
PIN I. DRAIN
STYLE 13:
2. SOURCE
PIN 1. ANODE 1
3. GATE
2. GATE
STYLE 6:
3. CATHODE 2
PIN I. GATE
ST.vLE14:
2. SOURCE&SUBSTRATE
PINI. EMITTER
3. DRAIN
2. COllECTOR
STYLE 7:
3. BASE
PIN 1. SOURCE
STYLE 15:
2 ORAIN
PIN I . ANODE 1
3. GATE
2. CATHODE
STYLES:
3. ANODE2
PIN 1. DRAIN
STYLE 16:
2. GATE
PIN \. ANODE
3. SOURCE&
2. GATE
SUBSTRATE STYLE 17:
3. CATHODE
PIN 1. COLLECTOR
2. SASE
3. EMITTER

e

DIM

A

DIM
A
B

B
D

INCHE
MAX

MIN
0.175
.15

0.205
D.1 5

. 1

L

K

N

P

a
R

0.105
0.105

S

6.350
3.430
2.410
2.030

l

.50

D.050rP

N

2.670
2.670

All JEDEC tlimensiollUlld notes apply

P

0.050

Q

0.0
0.135

R
S

O.l00TP
O. 0
0.15

.03

2.670

(See page 9-38 for lead form availability.)

(Sea page 9-38 for lead form availability.)

CASE 29A

CASE 31 TO·5

~".'".A~=f
c

B

D

STYL'" PI. 2.
1. SASE
'.'nER
3. COLLECTOR
STYLE2:PIN1.CATHOOE
2. GATE
lANODE
STYLE 3: PIN 1. GATE
2. CATHODE
3.ANODE

CASE 29A STYLES ARE TH E SAME AS CASE 29 STYLES
EXCEPT,AD? "PIN 4, GROUND" TO ALL STYLES.

~ ~
1 2

j

K

--lI

Dimensions are in
inches unless
otherwise noted

CASE 34A TO-12

WoDIA~

CASE 36 TO-60

CASE 39 TO -37

i--t

033~.009

0:::=

0,.24'

0.070
MAX

UU

MIN

~~

0.320 MAX

~ J-,-

0.5

0019

r---r

O'2~O MAX

o.I2'j-r

O.305 01A

-.-L

!

1.5D MIN

f$
0.200
lfADCIRCL£

I

SEATING PLANE

0.016

0.022

O·~l~R

~ COllE~roR /
/
2' 0 3

BASE

- O-~- . '°1

EMI~ER

I

0390

La.552_

MAX

0.572

~:~;~

All JEDEC dimens;onsand notes apply
Coltectorconnected to cese

AliJEDECdimensiollS8m1nOlesapply

AIIJEDECdimensionsandnotllSaplily
Collector eonllactlld to case

STVLE 1,AIIleadsisolaled from case
STYLE Z. Emitter connected t(! case

CASE 42A 00-5

CASE 42 00-5

CASE 41

f::jA
f-c--j D
--lt7--~cITI=+
~B--j~.
__
Cathode

0.667
MAX
DrA

Band

0.375
MAX

J

TERMINAL 1

OUTLINE DIMENSJONS
(INCHES)

r-'--+0.:E:=

PKG.

41-01
41·02
41.03
41-04

1.00

M5(l
MAX

+---

1.0
0.060 MAX

~5ti22~

~H!5

41-06
41.07
41-08
41-09
41·10

D.llS
0.200

L

iiJ49!!:.ill

/

MAX 0.115

I:+T-~M_II".~'~::O::::D-.:.l
0'100
..t.--=

~:!~ S~tl~~G

0,.53

OIA

~~~

1/4-28UNF 2A

TERMINAL 2

IA-28-UNF.;;;:::TarminaI2
AIiJEDEGdimansionsandnotesapply

AltJEOeCdimansion5andnote6spply

,CASE 43 00-21

STYlE 1:
PIN 1. CATHODE
2. ANODE
STYLE 2:
PIN 1. ANOOE
2. CATHODE

0.610

ImIl
DLA

CASE 44 00-4

CASE 45

~~~
~

0.245
MAX

0.047

AIiJEOEGdimensionsandnotesapply

AIiJEDECdimensionsandnotes~pply

9-9

PIN 1. CATHOOE
2. ANODE

CASE 46

CASE 47

CASE 47A

O."'tj
0.245
OIA

!f
0

0.155
0.165
OIA

INCHES
DIM
A
B
C

MIN
0.117
0.077
0.205

o
E
F
G

MAX
0.130
0.087
0.225

0.060

0.0615

0.059
0.070
0.059

0.065
0.076
0.065

CATHODE

0.180
0.190

0.185
[ill
OIA

PIN 1. CATHODE
2. ANODE

CASE 48

PIN 1. CATHODE
2. ANODE

PIN 1. CATHODE
2. ANODE

CASE 52 00·13

CASE 51 00·7

II

+

~tO.09201A

r--- lI

5]

[1M

10L

0.Q1801A

I

.-~

Q]U

-I
CATHODE
BAND

INCHES
MIN
MAX
0.117
0.130
0.077
0.083
11050
II.07D
0.037
0.043

o

ERS
AX
3.30a
2.11
1.780
1.(190

PIN 1. CATHODE
2. ANODE

o

1.25

0.300 MAX

DIM
A
B
C

I

0.225 MAX OIA

---"--1
~IN

TL
¢
0.21
MAX

~:~~~ OIA
PIN 1. CATHODE
2. ANODE

All JEOECdimensionsand notes apply

0.090 MAX DIA

~

0.350

1
1.25

~

All JEDEC dimensions and notes apply

CASE 54 TO·3

CASE 53
0.210
0.230
OIA

0.180
0,200
OIA
0.350

~O
~:~~~

•

Dimensions are in
inches unless
otherwise noted

1.062

~

DIA

CalnO!le Dot

·i:m----I

Jt0.045

0

0 055
.

9-10

CASE 55

CASE 56A 00-4

CASE 56 00-4

P
O"ll
MAX

0.338
MAX
OIA

L

0.256
MAX

STYLE 1:

STYLE 2:

PIN 1. CATHooe
2. ANODE

0.047

PIN 1. ANODe
2. CATHODE

STYLE 1:
STYLE 2:
PIN 1. CATHODE
PIN 1. ANODE
2. ANODE

2. CATHOOE

Milt

f-I ~

0.880

i.m DtA

j

STYLE 1:
PIN 1. CATHODE
2. ANODE

0.060
MIN

STYLE 2:
PIN 1. ANODE
2. CATHODE
'115

~
,, .

0.135

l0-32UNF·2A

AlIJEDECdlmans;onsand notasapply

CASE 56B 00-4

CASE 58

CASE 57

§ \1"
vli:4li
~

D.424_,...._ _LJ-j
MAX

All JEDECdimensionsandrultlSapplv

r:_~_':~,t-.

!r.687

O••

4

tr

SEATING

0.280
Milt

alA

DJ5D

P~N'

THREE

\ll
~LJ.

~

II

~

LEAOS~DlA.

~

lcpIOr'~
~+~

"Oimensionitadiamttar

0.200

~

T.P.

+ClIlhade

AIIJEOECdimensionsandnotes8Pp1y
STYLE 1:
PIN 1. CATHODE
2. ANODE

STYlE 2:
PIN 1. ANODE

r

CASE 60

2. CATHODE

o
--l ~

0.100 CIA

If.1lll

CASE 61 TO-41

~

"50MAXOIA

@

O.O~

0.360 MAX OIA

iili'34

"~=!
~NO

2. ANODE

2. CATHODE

CASE 59 00-41

1.10

STYLE 1:
STYLE 2:
PIN 1. CATHODE
PIN 1. ANOO~

CATHODE

0,048 DlA

om

I

I.la

~N

ANonE
0.046 OIA

om

9-11

Q~

~\i-j
~

I

OIA

0125

_ii13l+-t--:-:':

CASE 63

CASE 70

CASE 64 TO-48

oL.~
l.-.:s
~

["ill

I

.

0.280
MAX

01>
0.500

ll

MAX

IT::l:!
1

0.350
MAX

OIA

OIA

CATHODE

01i15

g

GATE

01>
CATHODE
0.027
IJ]lj

I I 0.185
1--4--- 0.215
ANODE

IO·J2·UNF·2A

~~-28·UNF-2A

All JEDEC dimensions and notes apply

CASE 77 STYLES

STYLE I

PIN I. EMITTER
2. COllECTOR
3. BASE

STYLE 2
PIN 1. CATHODE
2.ANOOe

3. GATE
STYLE 3
PIN 1. BASE
2. COllECTOR

3. EMITTER
STYLE 4
PIN 1. ANOOe 1
2.ANODE2
3.GATE
STYlES
PIN 1. MTl
2. MT2
3. GATE

INCHES

DIM
A
B
C

o

MIN
0.270
0.010
0.390
0.020

F
G

a.OSOTP
0.025
0.035

E

MA
0.330
0.130
0.450
0.026

NOTE:
1. MT = MAIN TERMINAL

0.160 NOM

H

0.130

J
K

0.116
0.595

0.118
0.655

L

0.015

11.025

0.175

(See page 9-38 for feed form availability.)

(See pege 9-38 for feed form availability.)

CASE 79 TO-39

CASE 80-02 TO-66

A~
B

PIN

0~~

~::~~!TER
N

STYlEJ
PIN 1. CATHODE M,

~: :~~~E

1\......./3

W

~

. V)'-,~

K

STYLE 1:

)20-+--.i

{+~

2.SaURCEr
J.GATE

0

Seating
Plane

-----.i

l~G

J.COLLECTOR

STY~I~21.0RAIN

C

I

F

'.

-_.-#II
~i
T

"'""~ =1
PLANE

STYLE 1

C

L

PIN 1. BASE
2. EMITTER
STYlE 2:

Dimensions are in
inches unless
otherwise noted

M

PlN~: :~~rER

Ip

CASE: COLLECTOR

1M
B
C

.,.

0
E

.0511
.142

L
M
N
Q

R

.510
.190

-.

I

.075
.107

1.27
.36

.152

24.33
3.61

Z72
24.43
3.6

.14
14.43
4.83

14.99
5.33

.958

H
J
K

ERS

.034

." ."
.
.ro

F

P

INCHES
MIN
MAX
A70
.500
.340
250

.590

.210
.350
.145
.620
.050

..,

3. .
15.75
127

AllJEOECdlmenSlonsandnotesapply

9-12

CASE 81A-01

CASE 81 00-31

. Pf-{

0.018

EMITTEA"O~2

I '--../ I I

0.063

om+--!

~t-~j~-:~
-I
T!
0008

3

U

CERAMIC
COLLECTOR

D~~~

tCJ:]J
l.-J.

Ol18MAX

o:oJ2

0058

DIM
A

0062
Don

PIN 1. LENS

2.EMITIER
a.COLLECTOR

MIN
0.083

STYLE 2
PIN 1-LENS
Z.ANODE
a.CATHODE

H

•

E

CERAMIC

3

~.~
INCHES
DIM
A

MAX
0.093

0.023
0.018

STYLE 1:
PIN 1. LENS

MAX

M'N
0.1183
0.058

•

0.029
0.022
0.094
[1.020
0.012

a.DBl
0.014
O.aOB

0.093
0.OEi2
0.105
.007
0.029
0.022
0.072
0.020
0.012

0.003

E

0.01S
0.062
0.014
0.008

F
G

H

J

2.117
0.076

PIN I.LENS
2.EMITIER

PIN I. LENS
2.ANODE
3. CATHODE

0.178

0.737
0.559
1.83
0.508

D.457
1.57
0.356
0.203

0.305

STYLE 2

STYLE!

SlYLE2:

2. EMITTER
3. COLLECTOR

I I

D

G

PIN I.LENS
2.ANODE
3. CATHODE

a.COLLECTOR

CASE 85

CASE 82

I

C
D
E
F
G
H
J

J=h

~7E1=t
T!
~

-'--"IN=C~H-ES--

All JEDEC dimensions and notesapplv
STYLE 1

CASE 81A-02

CASE 85L
~~AT~N

t.~

STYLE 1:

019~!

0.110 AT CAN

PIN 1. GATE
2. CATHODE
CAN-ANODE
STYLE 2:
PIN 1. GATE
2. MAIN TERMINAL 1
3. MAIN TERMINAL 2.

O·"J-I _

O.D70DJATYP

1.-----0-.03-0-0I-A"'~ ~
J"
\~~
O~"
0

0.034

---....

MAX

D ,"
0.185

STYLE 1:

MAX

t'-- 0350
CIA

PIN 1. GATE

MAX

2. CATHODE
CAN.ANODE
STYLE 2:
PIN 1. GATE

MAX

~: ~~:~ i~~~:~~t i

CASE 86

fJt

CASE 86L

I

3. MAIN TERMINAL 2

CASE 87
:mAT~N

EI

A...J.j

STYL'"
PIN ,: GATE
2. CATHOOE
STUD·ANODE ~
STYLE 2:
PIN T. GATE
2. MAIN TERMINAL 1

\ ...

0.437
MAX
HEX

~

0
F

~n

0.065

DID5

~~ ~®~LJ"
1.240

0.034

TYP

DlA

I 0:700':10
MAX

MIN

"",,~!a;~i.-J'- I

t-

0.1!l5
MAX

0.422

STYLE I:

0.452

L-J

STYLE 1:
PIN 1. GATE
2. CATHODE
STUD-ANODE

STYLE 2:
PIN 1. GATE
2. MAIN TERMINAL 1
3. MAIN TERMINAL 2

9-13

0.430
DlA

PIN 1. GATE
2. CATHODE
3. ANODE
STYlE 2:
PIN 1. GATE
2. MAIN TERMINAL!

3. MAIN TERMINAL 2.

j

I

l

CASE 88

CASE 87L

CASE 88L
~11:AT~'

~~,,~

M1~

~~'~~ ~

1

~l'

.~P

1f~065

0.110

STYLE I:
PIN T. GATE

O-,!~On

2. CATHODE
3. ANODE
STYLE 2:

'----rr.nu Un~O

PIN 1. GATE
2. MAIN TERMINAL!

3. MAIN TERMINAL 2

0-.D75

0.210

O.(}700lATYP

1~~O

l

I. DIM. "l)"MEASURED AT CAN.

0.030

I

MIN

0.34 DlA
O.~

.

MAX 0.050

:
0.320
MAX

~r==~====jj
0.030
0.034

0.030

STYLE I:
PIN 1. GATE
2. CATHODE
3. ANODE
STVlE2:
PIN 1. GATE
2. MAIN TERMINAL 1
3. MAIN TERMINAL 2

0.034
DlA

0.90
MIN

PIN 1. GATE
2. CATHODE 0.430
3. ANODE
DIA

DlA
MAX

0.430

OIA
MAX

0"
STYLE!:

0.350

"'~,~Et GATE

MAX

CiJ u _ _ _-'-

2. MAIN TERMINAL 1

3. MAIN TERMINAlZ

CASE 90-04

CASE 90 STYLES

CASE. 90-05

1-1
·c

LI

2

E

~

NOTE:
DIM."E"

UNCONTROLLED

3

l

SEATING

-=f--£!':!.NE

----.l

L

O~~W-F

NOTE:
OIM,"e"
UNCONTROllED •

Lo--jf--

HEATSINK

D
E
F
G

H
J
K
L

0.20
0.150
0.58
0.049
0.125
0.166TP
0.015
0.085
0.77
.19
0.136
0,148
0.590
0.650
0.030
0.34

FG

a.BASE
STYLE]

--.---~=t--{
I

CONTACT AREA (BOTTOM)

HEATSINK
CONTACT AREA
(BOTTOM)
INCHES
DIM MIN
MAX

3. GATE
STYLE 2
PIN 1. EMITTER
2.COLlECTOR

-----Ll

L

----.LJ

r-r=r-i

A
8
C

STYLE 1
PIN 1. CATHODE
l.ANODE

K

'~-M

PIN 1.eATHODE
2.6ATE

a.ANODE
STYLE 4

I

PIN l.MTI
2.MT2
3. GATE

0.490
0.120
0.628
0.1143

11.762

0.864

(See page 9-38 for lead form availabllltv.)

CASE 91

CASE 92

CASE 100

G
0.635
OIA

0.385

•

CATHODE

T
I
0.110
tmO

DIM
A

•
,
•
•
•
0
E

~::~; HEX
ACROSS FlATS

H
J

l
M

9-14

MllLlMET£RS
MI.
MAX
55.88
16.51
1 NOM.
6.BONOM.
3.43
2.03 NOM.
4.3901A
15.24
3.680IA.
16.13
to2
18.80
19.30

-

-

-

3D."

INCHES

MIN

MA
2.20
0.650
1.16N M.

-

0.260 NOM.
0.135
aD N M
0.173 A.
0.60(1
0.146 DlA0.835
0.103
0.740
.760
1.20

-

CASE 105

STYLE 1:
STYLE 2:
PIN 1. CATHODE
PIN 1. ANODE
2. ANODE
2. CATHODE

c

CASE 106

_1,.~.,f_
L
II
-l

+=

0

0.010 t..o:m~0.3!Oj
...

Laols ...

STYLE 1;
PIN 1. At
2. POSITIVE
3. NEGATIVE

,

IIMII~mf

c=:::J

STYLE 2:
PIN 1. POSITIVE
2. AC
3. AC

I
0.110'"

STYLE 3:
PIN 1. NEGATIVE
2. AC

3. At

CASE 107

Dimensions are in
inches unless
otherwise noted

Leads formed to fit into
a hole 0.031 min.
STYLE 3:
STYlE 1:
STYlE 2:
PIN 1. POSITIVE
PIN 1. NEGATIVE
PIN 1. AC
2. POSITIVE
2. At
2. AC
3. NEGATIVE

CASE 108

3. AC

3. AC

CASE 109

..

~~
&~
.,. 21S1l'l50j
0.260

MAX

MIN

O.llDMAX~~

f

Leads formed to fit into
a hole 0.031 min.

CASE 111

CASE 110

-1
m
W

O.56S
MAX

O.2IS
fIIIAX

J LT

I

O~

r

:i~r~
-

0*"'1-1
OIH-ib

YR·

l +

~

O.56S
MAX

L

0.850
MIN

J

LONGO LEADS AVAUIU

-II(QUIST

9-15

•

CASE 112

CASE 113

1-°.843
I

iREfl

1

-:rs
~~
MAX

-DC
STYlE 1:
PIN 1. POSITIVE
2. OPEN
3. AC

[

i 264

I

iREfI--I
COLOR COOED

4. OPEN

5.
6.
7.
8.

NEGATIVE
OPEN
AC
OPEN

CASE 114

CASE 115

DIM
A
B
C
0
f
G
H
J
L

•

INCHES
MIN
MAX

MILLIMETERS
MIN
MAX
57.5
'.1
1.59
22.10
3.56
14.2D
14.45
2a.S8Bse
22.23
43.94 BSC
.0

....

1.700
I.
IUD
0.140
1t.559
0.'
1.125BSC
.7
1.130ase
O.
0.255
0.245
0.35
0.1
1.150

-

6.48

.3

3.68

F
G
H

~
LT_~J
--

•

-=--r

i:

ii

-

43.18

44045
21.59
22.10
3.6
14.20
14.45
28.588 C
22.23
4BSC

-

•

.35
6.22

-

6.48
168
.US

INCHES
MI.
MAX
2.250
1.700
1.150

-

~860
~140

0.559
.1

or.=H ~

-

0.569
Be

0.875

1. 30 Bse
0.250
0.245
0.255
0.135
0.1

-

3.43
R
1.750
NOTES;
1. DIM "l"IS3.18 mm (0.125) DEEP;

K
C

DIM "Q"IS THRU HOLE.

-.l

I

f...- - - -

•
6.00 MAX

r-Hi-r
"I

I

I

" I{J

G

--+-i
-+

I

B

K

---Iol-

III·

~

DIM
A
B

C
D
E
F
G
K

INCHES
MIN
MAX
0.880
0.920
0.715
0.755
0.240
0.280
0.180
0.220
0.135
0.155
0.440
0....
0.285
0.305
0.750

~

·111

-----r

CASE 118

•

0.870

CASE 117
7.00 MAX

STYLE 1:· NOM
PIN 1. At
2. NEGATIVE
3. POSITIVE
4. AC

J
K
L

F

NOTES:
1. DIM "L" IS 3.18 mm (0.1251 DEEP;
DIM "Q"'8 THRU HOLE

CASE 116

51.15

•

-

44.45

R

MILLIMETERS
MI.
MAX

A
B
C

-

-

6.22

DIM

Dimensions are in
inches unless
otherwise noted.

\-------- "50'-+--_

0.375
0315
ALL DIMENSIONS ARE NOMINAL VALUES

COLLEClOR IS ElECIRICAllY ISOLAT£O FROM HEAT SINK CHASSIS

9-16

23.370
19.180
7.110
5.590

3.940
11.680
7.750

CASE 119

~l:l'"

Il-H~
f-

0.145

I--

ili

'0

0155
---L-

1845
1855

D1A
,/........ 140145
PLACES
135

.~-'
0

0'

I

121----

o

n~

E
j

--

1

CASE 120, 121 (Adapter Detail)

CASE 120

-~m
1-,

I

0

0.1

L...

J. ~i
L1I1~

1-

t

CASE 122, 123, 124 (Adapter Detail)

CASE 121

-AL

.1---0.45 MAX OIA

"UNe-lA TH ....

1

[

1

1.51

0

0

('~( ~)I

\

..1...-

;r-- oJ

<,

.-

-...I

0.046 D1A
0.056

1::1:
~-

'

- +.:?(~)~ /
_~~ __ i '''-''1~'--..!

I 51

-

0.135

a.2<40

o;m>

CASE 122

CASE 123

CASE 124

OIA

OJ5MAX DIA

0.076

HEAT SINk MAn: Al AllOY 3003
WEIGHT 30 GRAMS IAPPROX)
WITH NICKEL PLATING

~I~
0306
0.366

CASE 126
CASE 128

~~

DIMENSION

~

A (he.)

.LJ -

B (ma,,)
C (max dla)

o

C

~

H (thread)

~

FK

J (max)

L\!
H

(max)

E (dia)
F (m .. )
G (typ)

K(m. . )

0.043

0.096]

.. -----.-0.240 'REFI

..1-.- .

A

@

D.040
[ii6fI

I

~o; iIT45'

Lo

'

't.---t

--,-"c-"-';"-"\'~

~

'D.D.OO4T1R

~

0.020

AX
t~0~;2~~::!'IIt_:=:__::!_ltl'--_~·~-,~. _--.:.0:::30.i-M::::...

!A2

~

OAgo
I---- mo

T~$0,~·~
~

'-···

o~)"l
roof-{

~

0.057

LLO.067

@
©
p.D.a.DOHtA

a

~~(L~~~~'

0....

065
MAX

~

r5J1S-24UNF-2ATHREAD

CASE 126 CASE 128'

CASE
CASE
CASE
CASE

0.063

1

'-1--'
0.213

~-·3fl

129
130
131
132

1.75
2.250
0.260
0.320
1.72
2.20
0.760
1.10
0.375
0.562
3.0
3.72
0.375
0.375
14-16 UNF 14·16 UNF
1.00
1.00
1.10
1.10

J

CASE 127

@~Dt
0-11

F

er-

L~
I
2=--:i.J

c

-..l.E R

I

"I

31m UN'

DIMENSION CASE 129 CASE 130 CASE 131 CASE 132

DIMA
8
e
D
E
F

J

0

A (hex)
8 (max)
C (max dla)

MAX 1.935
MIN MAX
2.015
TERS

5.84
9.14
25.02
14.02

R

51.18
49.28
27.94
6.86
9.91
27.94
25.78
14.53
88.90

INCHES

.230

.'00

.85
.552

1.940
1.100
.270
.90
1.100
1.015
.572
3.500

Oemax)

E (dla)
F (max)

G (typ)
H (thr.ad)

PIN 1. CATHODE
2. ANODE

J (max)
K (max)

9-17

1.250
0.113
1.20
0.590
0.2Bl
6.25
0.125
10·32
0.500
0.570

1.75
0.155
1.72
0.64
0.343
B.l0
0.375
14-16 UNF
1.00
1.10

2.00
2.250
0.200
0.260
1.94
2.20
1.00
1.155
0.562
0.531
B.l0
B.l0
0.375
0.375
14-16 UNF 14·16 UNF
1.00
1.00
1.10
1.10

1

.CASE
CASE
CASE
CASE

CASE 137
CASE 138
CASE 139

133
134
135
136

DIMENSION CASE 133 CASE 134 CASE 135 CASE 136
A (,yp)

B (dla)
C(max)

o

(max dla)

H (dla)

J (max)
K (max)

2.25
0.203
0.880
1.720
0.260
0.760
1.9
0.375
0.260
1.00

3.0Q
0.2Bl
1.255
2.100
0.260
1.100
2.50
0.562
0.260
1.00

3.00
0.281
1.255
2.200
0.320
1.100
2.70
0.662
0.260
1.00

DIMENSION CASE 137 CASE 138 CASE 139

3.25
0.281
1.380

A (,yp)
B (dla)
D (ma. dla)
E (ma,,)
F (max)

0.500
1.30
2.60
0.662
0.260
1.00

G(max)
H (dla)
J (max)
K (max)

~~OIA~
0.275

r-=H~18DIA

"L'N

3.00
0.281
1.255
2.100
0.200
1.00
6.90
0.531
0.260
1.00

3.00
0.281
1:255
2.20
0.260
1.155
6.90

0.562
0.260
1.00

CASE 143 (TO-9)

CASE 142

0.875

2.26
0.203
0.880
1.720
0.155
0.64
7.10
0.343
0.260
1.00

om

~.
o.016 DIA
0.019

-ID

~

0.500
MIN

W~-r­

OJOo_IH~BASE

{CATHDDEI

o.S75
MI.

~

--.l

0.'55

~~.'TY~.J ~=fI

.

0.200

TYP

~V

j

R ~··!} O.lOOTYP

EMITTER

'-.

1

COLLECTOR
AIiJEOECdimensionsandnotlslpplv

9-18

Dimensions are in
inches unless
otherwise noted

CASE 1448-03

CASE 145A-01

CASE 1440-04

M

"J~

1

E

tr==:.1t:-i '\

8"'_)t:j'

L!d

STYLE I ,
PIN 1. EMITTER
2.8ASE
3. EMITTER

3 -R

J

B

4. COLLECTOR .

A

1-0-1

'
L

lD

-Tf-},
'J'7:r~
1

1flu_-~jNl
8·32NC2ASTUD

S

a

t

WRENCHFLAT~
DIM
A

B
C

D

,
o
"
E

J

K

L
M
N

STYLE 1:
STYLE 2:
PIN 1. EMITTER
PIN tBASE
2. eASE
2. EMITTER
3. EMITTER
3. BASE
4. COllECTOR
4. COLLECTOR

CASE 145A-02

-

CASE 145C-01

a

.250

6.35

6.89

R
S

.299
.1110

7.59
2.54

7.80
3.30

CASE 146 (DO-14)

0.335

PIN 1

EMITTER

2
BASE
3 EMITTER
4 COLLECTOR

11,,
r::::lII ~..J
0.508
I

0.080

.!M!ll.

'O_32N8'~18

W~N~

==
==
-

0.245
0.275

0050
MAX

0.070 I-:"'=-i~

~ !c:=:I~~

L 0.100
mil

'LAT

CASE 149-02 (TO-l)

1

~II"Y'I
1,=

0.162
~

0.050

0836

0.835

1.32NC~:X ~~I
-

m

I

fll]!5

0.085

0004'

lilllDlaoaf

'
o:m
-L~iO"S3"1

-.---

0.108 DIA

D.1ltI

1-- 0_490 --1

0. 0045
"ii:Oli6

WRENCH FLAT_

0.100
0.130

CASE 152

r

~v:
0.410

i~

1-

L~~~

::m

0.213

0.223

EMITTER~r&:.
::: ..
"+.>!
SOc

~,

Dimensions are in
inches unless
otherwise noted

oIA

-,--r
0.475

ilASE

3

a:"T
1.005

0.015

COLLECTOR

1 2

3 0.1195

o.D1B"'"1~O.'OS

0.190

PIN 1.

EMITTER
BASE

COLLECTOR

if.i1fi

Collector connected to tab

All JEDEC dimensions and notes apply

(S. . paga 9-38 for lead form availability)

9-19

K

CASE 154

CASE 154A

e...~

lAC)

STANDARD

POLARITY

lid

CDC"

REVERSE

POLARITY
(Suffix II)

rACI

,d,;3i.
"

~"
wei

STANDARD
POLARITY

I

tDC-'

..

,~:)

.. £VERSE
POLARITY
(Suffix II)

roc.,

"

rAC!

CAe)

CASE 155

CASE 155A

VnIAC)

~

RED (CATHODE. DC_'

IANDcE,DC-)

....IIIOoE.OC-J

P£Oltlt.TIIDIli.DC·'

CASE 156

CASE 156A

1
STANDARD
POLARITY

"~

(AC)ytI

IACIvl1

10C+'

(Aelyll

STANDARD
POLARITY

REVERSE
POLARITY
(SuUixR\

IAtlyll

(OC-)

lAC) VII

9-20

rA~
\A~"

REVERSE
POLARITY
(Sulti~

II)

IAttye!
("'Clyel

CASE 157

CASE 157A

,.'"
m

'·r:11

'BI

'"

'"

I

Toltlanclsunl.Silpeclfoe(l :11103

Tol"antl'Junl •• speeihed tll.03

mIlD

DlBCONTACTSUAfACE

CDNTACTSIJAfAtE

'EO

f: ~:::~ 1
REO

(ANOOfOC-t

(CATHODE. DC.)

(CATHODE. DC-)

CASE 159

CASE 158

Fll
lld~
I
1--""

_125_

CASE 160 (TO-59)

CASE 160A (TO-59)
BASE

COLLECT~R
_,
EMITTER

m

0,200

.ASE

jHltt
I
~DIA

Dimensions are in
inches unless
otherwise noted

0070TY~

i

0

(JPLACES!

~r-

lji~

~

t;

j

10-32UNF-2A
(COATED)

All lealisillliatadfrom casa
AtlJEDECdimensionsandnolesappJy

All JED ECdimensions and notl. apply
Col/attorconnacted10 CIII

9-21

CASE 161 TO-41

CASE 166-01

CASE 166-02

m~D.D15

I

L=

o:DlS

F=:::{eJPlJ::===='!'..l
0.16'5

o:m

JJ'0
o.m

II]ll

D.165

£'

0048

0001

3~

~==f(!Jb
0.165

iIT7l

ocr

£'

0.020

ocr
h
~i O.213~iJ1i!I6
10~04

n

10004

7

REF

NOTE:
1. RAISED TAB IS CIRCULAR ON 166·01
STYLE 1:
PIN 1.ANODE
2. CATHODE

if.Ol6

0.001

~

D113~o:mm

7D

0.165

t
JO.Ol0

--m;;

0.048

0.020

.J1llIU3

~

L=

.JREF

NOTE:
T. RAISED TAB IS CIRCULAR ON 166·01
STYLE 1;
PIN !.ANODE
2. CATHODE

Collector connected to CIISII

All JEOECdimentionsand notes apply

CASE 167

CASE 169

CASE 168

1!

f--0.1470JA
0.153

o

0.500
MIN
0.343
0.407

~.~~~

5.00
5.75

OIA

(J.115
~

0.424
0.500

j

1[]·32UNFl·A
NOTES:
CRIMPED lUG.
ANGULAR ORIENTATION

OF .lUG UNDEFINED.

STYLe 1:
PIN 1. CATHODE
2. ANODE

STYLE 2:
PIN 1. ANODE
2. CATHODE

CASE 171

L¢~.c}---J10-32 UNF-2A

STYLE 1:
PIN T. CATHODE

STYLE 2:

PIN 1. ANODE

2. ANODE

2. CATHODE

CASE 173

CASE 172

A~-t
STYLE 1;
PIN 1. EMITTER
2. COLLECTOR

STYLE 2:
PIN 1. ANODE
2. CATHODE

~~B-1

6
TO•

Ll

D

CT

•

~~ H-I~

lead 2 indicated by square bonding
padonbott1lmofdevi~.

DIM
A
B
C

o

E
F
G
H
J
K
L

INCHES
MIN
MAX
0.140
0.160
0.320
0.180
0.210
0.009
0.011
0.070
0.080
0.013
O.OIS
3D
7
0.040
0.050
0.07 TVP
0.250
0.640

-.L

T$~-I+

PIN 1. EMITTER
2 COLLECTOR

INCHES

DIM
A

INCHES

DIM
A

C
E
F
G

MIN
0.078
0.160
0.048
0.004
0.020
0.010
3'
0.213

TERS

MAX

MAX

0.OS2

2.34

0.058
0.006
0.030
0.016
7'
REF

1.47
0.152
0.762
0.406
7'

0.102
0.508
0.254
3'

9-22

5.41 REF

C
E

H

MIN
0.078
0.10
.048

EAS

AX

AX

0.092

2.34

0.058
0.006
0.020
0.030
0.010
0.016
3
7'
0.213 REF

1.47

.04

0.102
0.508
0.254

3'

0.152

0.162
0.406
7'
5.41 REF

CASE 174 TO-203

~1
r-----'

STYLE 1:
TERMINAL 1. CATHODE
2. GATE
3. ANODE

~ ~~~~E

TERMINAL
STYLE>'

CASE 175

F I

3 CATHODE

1

J D

)

I

STYLE 3

I

TERMINAL 1 MAIN TERMINAL 1 :

~ ~~i~ TERMINAL 2 I

D

STYLE 1:

PIN I.CATHOOE
2. GATE
STUD. ANODE
STYL[Z:
PIN I.ANODE
2.GA1E
STUD. CATHODE

IT

0.068

0.065

0.090

1.660

0.51
0.091
0.800

2.040

2.280
I.

2....
20.320
AIiJEDECdim8I1sionsPI1t"not~5apply

DIM
A

o

0.080

E
F
G

0.055
0.OS5

H

2.67

."

•

2.03
0.41
0.13
4.57

C

.1180

"'''
.1160

D 0.25
0.010
F
0.08
K
4.06
0.160
NOTE:
A Tolerance of .25 mm (.0101 must be allowed
at paint leads protrude from package for glass
run Qver.

0.090
0.420

J
K

CASE 177 TO-114

SEATING
PLANE

STYLE 1:
STYlE 2:
STYLE 3:
PIN 1. BASE
PIN I. SOURCE
PIN 1. DRAIN
2. EMITTER
2. GATE
2. SOURCE
a.COlLECTOR
a.DRAIN
3. GATE
STYLE 4:
STYLE 5:
STYLE 6:
PIN 1. ANDOE2
PIN 1. CATHODE
PIN 1. CATHODE
2. ANOnE ,
2. NOT CONNECTEO
2.ANOOE
3. CATHODE
3 ANODE
3.ANODE

DIM
A

0.505
0.475
0.380

0.330
0.35

0.080

c

STU~.~~j~TERMINAl2 ,.w1!;!!l~~1'Il.

INCHES
MIN
MAX

0.501
0.465

*:i

.L1=~11

STYLE 3:
PIN 1. MAIN TERMINAL!

.~'~

DIM
A
B
C
D

CASE 176

CASE 178

."13

CASE 179-01 & -02

Ic J¥1~~E
9
••

L

!1

--I

G

B

A

0.018
[fOg Pin2
O. 180

'E=

""~

~~~
1.048

r

_

0.090

==
=
-

0.400

0.425

0.781'0.156

0.500

0.B2B

DIM
A
B

C

,
D

MAX

j

PIN T. EMIITER
2. BASE
3. COLLECTOR

STYLE 1:
PIN L GATE
2. CATHODE
CASE. ANODE

STYLE 2:
PIN I. GATE
2. MAIN TERMINAL 1
CASE. MAIN TERMINAL 2

AtlJEOEC dimanlionsand notes apply

CASE 180

CASE 181

0.859

1.255
0.385
0.780
0.255

0.034
0.400

. ,.
0.155
0.110
0.100

31.880
9.780
19.300

....

OJ164

10.160
3.940
2.790
2.540
23.620

NOTES:
1. HOLE IS COUNTER SUNK FOR #6S0CKET·HEAD SCREW
2. DIM "H" IS DEPTH MEASUREMENT, NOT OIAMETER.
3. DIM "Co., "0", "E" & "J" ARE TYPICAL.
4. DIM "L" APPLIESTO·02 DNL Y

r

°.36(1l
0.385

~~ill i

U
0.670
MAX

l
~ ~SEATINGPLANE

0.016
(1.019
CIA

0021DIA~ ~ ~
Il]jjj
PIN 1 EMITTER
2 BASE
3 COLLECTOR

F
G
H
J
L

INCHES
MAX

MIN
1.235
0.365
0.660
0.245
!!.!!;!9
0.340
0.140
0."'"
0.085

~ ~ ~~~1~0

1.50

~~ tJ

-"

A~0.010

~
•

MIN

:(

EMITTER
BASE

48 0

0.028
0.034

ZENER

COllECTOR

0

iO.l90

i

-IO.210~

9-23

Dimensions are in
inches unless
otherwise noted

CASE 183

CASE 182-01

CASE 184
0.083

0.485

~~.1-r

1m3

0.&15

'7I-."""~
~'~ IT'IT

TI'

PLANE

PLANE

oj

1.985
MI.
2.068

1f2.20UNHA

I"i
(5)

II

0.022

D.02S--i-

STYLE I:
PIN 1. ANODE
2. CATHODE

PIN 1.

2.

STYLE 2:

PIN 1. CATHODE

2. ANODE
STYlE 3:
PIN 1. MAIN TERMINAL 1
2. MAIN TERMINAL 2

3

EMITTER

BASE

;'~l;lECTORQ&mX~
~
I 2

0.190

if.mi

O.490~30~
O.OmJ~

0.510

!ITTII

CASE 188 TO-63

CASE 189

CASE 190

O.SOD
MIN
FLAT

I--~Ws~~·~~~

"[

~

0.343

0.407

~:~~ DlA

0.0900.937

~,-~==~--,~~r~
~~D~

0.218

PIN 1. EMITTER
2.

0.312

0.4&0 \105
MAX

j

NOTES

BASE

CRIMPEO LUG.

3. COLLECTOR

ANGULAR ORIENTATION
OF LUG UNDEFINED.

3/8-24UNF-2A

STYLE 1:
STYLE 2:
PIN 1. CATHODE
PIN 1. ANODE
2. ANODE
2. CATHOOE

CASE 197

CASE 194

I~

0.3950.050

rn;

t?
O.n4

II
I

jj]J5J

ffi6

0.025

0M~5

1

-~ 11-

0.025

0.175

--

h

0

mn

0.760

t~1

0.470~

0.050 [500
0.075

I

I

I

0.250
0.340

rl,==~~==l-l­
=
0.02B
DIA

0.250
[300

~1:0:i3~::
0.063

0.44-

tm--~

O.l51 D1A
0.161

0.115

['f!S--

STYLE 2:
PIN 1. ANODE
2. CATHODE

2. ANDOs'

CASE 198

01
00
l.51o~mlI

~

.

STYLE 1:
PIN 1. CATHODE

0.205
0.225

w

0.655
0.675

I

0.990
1.010
PIN 1. CATHODE
2. ANODE

Collector connected to case

STYLE 1:
PIN 1. EMITTER
2. BASE 1
3. BASEl

STYlE 2:
PIN 1. BASE 1
2. EMITTER

3. BASE2
CASE· COLLECTOR

9-24

CASE 199-03

CASE 199-04

CASE 205
I

-~-O.O"
~ l/.-

iIT04
OIA

ll~l

-_._i- d
MIN

0.019
jj]2i
OIA

1
I

INCHES

DIM
A

MIN
MAX
0.495
0.505
0.125
0.135
0.633
0.543
0.086
0.095
0.105
0.115
.!DOTP
0.075
0.085
11.188
0.18
0.27
.n
0.590

B
C

D
E
F
G
H
J

• .,
L

D.

..

0.058
0.0

.034
P
0.068
0.030

O.02DTYP
0.011
0.027
0.041
.0
.560
0.'
0.600
0.530
0.245
.255

R

S
T

U
V
W

0.100

iffii)

L

1.083

2.16
5.03
7.24
14,99
0.813

~

0.864

TVP
1.47
1.73
0.762
0."'"
• I
3.86
11508TYP
0.432
0.686
1.04
1.30
13.97
14.22
15.24
16.00
B.220
6.48

CASE 206A

-

NOTE:
I. DIM "F" IS TO CENTERLINE OF LEADS

(See page 9-38 for lead form availability.)

0.02&

(See page 9-38 for lead form availability.)

=*=1.

j'~O.'QOO.130

q.

CASE 199 STYLES

c=::::::t3

--.:~ Ol~

=r

CO.260
rna

~
~~~

0.059
....,j

~69

0.025
1-=--.t::"0.035

PIN 1.

BASE
2. COLLECTOR
3. EMITTER
STYLE 2:
PINt. CATHODE
2. ANODE

0.155

0.Q10

(J.1iBO

3. GATE
STYLE 3:
::':~

Q..];t~

.-----LIn3
-I

STYLE I:

~~

1. !.~!ln::: :
2. ANODE 2
3. GATE

:-----.1-1

0.008

[ITfl

PIN 1. GROUND

CASE 208
0.321

o.m
8·32 NC JA

0.004
0.006

0.365
0.385

~

l---

SEATING
PLANE
NOTES:
t - LEADS ARE GOLD PLATED KOVAR
2· CATHODE CONNECTED TO CASE
3-PKG. WT. "" 0.45 GRAMS.

Dimensions are in
inches unless
otherwise noted

0.080

i'iliITi

STYLE 1:
PIN!. EMlnER

2. BASE
3. EMITTER
4. COLLECTOR

0.110

PIN 1. ANODE
PIN 2. CATHODE

•

o.mDIM
A

B

0.299
0.307

C

D
F
G
H
J

•

M
N

9-25

CASE 210

CASE 211·01

r

CASE 211·02

1?,IG'
.~~=H
I

/S<

~.
A

V

PIN1.
2.

.

4.

INCHES

DIM
A

MIN
0.790

• .,..

D
E

F
G
H

0:
.,,'

5

K

0.122

M
N
P

0
0.111
o. 0

Q

S

T

0.57

MAX

..

0.1

A

•

0.006

D
E
F

0.105
0.38
50

H
J
K
L
M
N

0.127
0.1

,.7

,

0.26

Q

INCHES
1.400
1.500
0.100
8.750
0.004
0.006
0.085
0.156

0..084

0.100

OA

075

40
0.145
0.122
0.060
0.970

50
0.165
0.127
0.070
0.980

0.117

0.123

.'o.45

0.25.
o. 7

7

COLLECTOR

MILLIMETERS
35.58D 38.100
17.780 19.060
0.152
0.102
2.160
3.940
2.130
2.540
11.810 12.010
40
4.190
3.680
3.100
3.230
1.780
1.520
24.640 24.890
2.97
.12

•

0

6.220

!.
6.480

9.7

'" +
0.375

-.l

STYLE t:
PIN tBASE

2. COllECTOR
a.EMITTER
STYLE 2:
PIN 1. EMITTER
2. COLLECTOR
3. BASE

~iiJi600.'45
~ [~

D,090j--l

=
0.300

~----'~

CASE 216

CASE 219 TO·94

0.112

NOM

STYLE I:
TERMINAL 1. G'ATE
2. CATHODE
3. CATHODE
4. ANODE

L~j0~
t~+t

MAX

EMITTER

4.

2. BASE

~

Flanplsolated

~-If-...I..I· Lo.o40

0.050

EMITTER

3.

CASE 215

0.380

om

PIN!.

COLLECTOR

L

1.---0.380 ---1
1
irn1l
-I

STYLE 1:
PIN 1. ZENER
2. EMITTER
a.BASE
4. COLLECTOR
STYLE 2:
PIN 1. ANODE
2. EMITTER
3. BASE
4. COLLECTOR

!

!lliIi
II205

0.135
0.165

l j o.o08Fo.25o
1[ii"f2

O.250

OJOO

[3iiii

--I,......,~

l

1:r::b:===H+=+==="

1\ [0.010
a.dao

:::::

m

a.090

PIN 1. SOURGE

2. GATE 1

Collector Connetted to Case.

3. GATE3
3. DRAIN

*Oimansionisadiamater.
All JEOEC dimensions and notes apply

CASE 263

CASE 264

CASE 267

~A--l

DII t=Bi!

c

~r.Jfi-.l
r---

SEATING
PLANE

~ ==

10·32 UHF 2A

H

---

r-

L

, Y

G

J

•

D
E
G
H

A

J

B

K
L

C
D

NOTE:
1. DIM "L" ALSO APPLIES BETWEEN LEADS 5 & 6

9-28

E

CASE 270-01

rB~
TIl

CASE2iQ

~====~~. ~i r

PIN~:~~~RFGROUND ~MG

1

S.RfOUT

L

'2

F~

••

i-

5

~I-D

J

r

--IFI-

I

~

•. DC.RFORDUND

~.

-------J

-I

1_

3.B+INPUT

\

C

--f-l

~ :~~lTER
f TI
FLANGE:::~TED U

SEATING

.'n;:]i?-rrr PLANE
STYLE 1: 601
All PINS USED
STYLE 2: (WAS6D1B)
PINS 5 & 7 OMITTED
STYLE3: (WAS6DIC)

~I fp~
..J.

PIN 2.
1. COllECTOR
EMITTER

CASE 601 TO-99

PINS2&60MJTTED

C

::trt:o=-.l

U:=- HA--=U te

DIMENSION

I

I

"au IS OIA., DIMENSION "S" IS RAD.

INCHES

DIM
A
B
C

MIN
1.490
1.175
0.565

o

E
F
G
H
J
K
L
M
N

NCHE

MAX
1.510
1.215
0.595
O. 3
0.210
BSC
1.890
0.960
0.170
OJ40
0.510
1.005
0485

1M
A

a

SEATIN~J

f:

PLANE

MA

0.310
0"
0.15
0.01
0.040
0.019

0.016
D.2DOTP

Q

R

i

CASE 602A

_~

o.

0.16
001

.490
0.970
0.455
.360
0.400
O.2ODBSC

p

MI
D.3

CASE 603-02 TO-100

CASE 602B

A

-

{---tJ--I1

.034
0
0.029
0.045
0....
45' YP
0.050
0.250
0.500
0.140
0.160
0.1110
0.040

0.305 01A

1

SEATlll
PLANE

~~~~
OIA

0.165

03~~,O.1B5

}:!=
O.OlD
0.040

0.016 0[A
0.019

0.500 MIN

I

~

0.140

/l1.~"IIT-l-°.l6O
DIM

DIM

A

A

B

B
C
D
E
G
H
J
K
M

CASE 603-03 TO-100

INCHES
IN
MA
0.335
0.370
0.305
0.335
O.lBO
0.016
0.019
0.040
0.230 P
0.02
0.034

0.029
0.045
Weight "O.918graln

5.4
.71

AHJEDECdimensionsandnotesapp[y
.7

36 TP

CASE 604

3 T

A

Dimensions are in
inches unless
otherwise noted
INCHES

DIM
A
B
C

D
E
F
G
H
J
K

L
M

MIN
.335
.305
.240
.016

MAX
.370
.335
.260
.019
.040
.040
.23OTYP
.028
.034
.029
.045
.500
.140
.160
38 TP

I MILL"

TERS

MAX
9.39
8.50
6.09
6.60
.407
.482
1.01
1.01
5.94TYP
.712
.883
1.14
.736
12.70
3.56
4.06
360 TP

AtiJEDECdimensionsandnotesapply

DIM
A
B

C
D

E
F
G
H
J
K
M

INCHES
MIN
MAX
0.335
0.370
o. 5 0.335
0.180
0.016
0.019
0.040
0.090
0.110
0.190
0.210
0.045
0.028
0.034

-

."
o.

JOIlT'iP

-

9-29

ER"

MA
9.4110
B.510
4.570
0.483
1.020
2.790
5.330
1.140
0.864
TYP

CASE 6058·02

CASE 606 TO·91

CASE 607 TO·SS

t-~-1 i

-n

D

p

tJ

AUJEOECdime",ionsandnot8llpply
NOTE:

I.LEAO "'''IS IDENTIFIED BY ATAli ON THAT lEAD.

M

N
NOTE:
DIMENSION "L"IS TO LEAD

NOTE:
LeAD :1 H)ENTIFIED BY A TAB DIM "H"

C~NTERLINE

WHEN FORMED PARALLEL.

CASE 608 TO·90

All JIEDEC dimensiDn~ Bnd nutilli ~"plV.

CASE 610A·03

CASE 609 TO·85

0ID1

J

,

I

-r

I

+

0.350

T

o.o08~_"'''--+-'''''_I--.
m
~
I o:wo I QJl!I---1
rno '.'03

.11=

-

It

0.500

r.orm

0.005

leed! identified by color dot 01 bV shotlldll on Iud.

~

-ESJ

III

Lead 1 il!8I\\lfi'd by color dot or by lIIbow on Ind.

All JEDEC dimensions lind

m;ne~ apply

All JEOEC dimensions ~nd notal apply

CASE 617

CASE 618

'.250 MIN

•

~Jrw-,

;t ~ [:::-:1.

:1 0,250 MIN

',035 TVP

!.lad 1 Idlfltlfted by ,lbow on hid II' by tolor dol.
1.600
1.520
NOTES:

1, DIM, "L" CONTROLLED &SOLDERABILITY
DEFINED WITHIN OIM."M"
2. LEAO I IDENTIFIED BY TAB ON THAT LEAD.

9-30

CASE 619·01

0,305
033SD1A

CASE 619·02

~~~
OIA

CASE 620

U

0.240

0.260

q[

t;:S:;I

r~
-- Ec:lJtj
To;

0.009

0.040
0.016
O.OI9 D1A

F

r--A~Jj

,--

~~

M

0.560

SEATING

~

PLANE.

K

-l H 1-.....1~ D

~\....

---,

NOTES:

L

1. DIM. "M"IS MEASURED AT CENTER OF

LEADS WHEN FORMED PARALLEL.
2. "J"·INDEX:
NOTCH IN LEAD, INK DOT, OR
NOTCH IN CERAMIC.

MILLIMETERS
MIN
.7

0.028
0.034

CASE 621

Lt

6.990
5.080
0.508
4.190
1.650
P
.381
0.88
2.93Q
3.430
15
7.820TP
0.203
0.305
B.26

0.028
I!]l4

Pirr.1 is Common to all Elemailis lind is
Indicated by Tab on L8ad.

MAX
9.810

6.100
4.320
0.381
3.430
1.400

CASE·623

D

L
I

·Oimension isto lead centerlina when formtc! parallel.

CASE 625

r--l'm -----j

•1

1
14-t

if.6DO

Dimensions are in
inches unless
otherwise noted

0.600

j

::~: --II-

.+-=

I

-L-I··············
LO.IDO
[l3iI

tWsg

0.008

m

9-31

N

CASE 628

CASE 631

l ,&al

0.030

t=,.....,===oLl

~ TY'

0.003

II]!!ii

J:1
i!]7lj

r=,'

5-...L
--,-t

OYO-g
0.485

8

4

~1

0.102

'.086 iml
imi5

2

R

~

~5

.,~

~

L-

~

0.100
T.P.

3

~[fil

0.102

0.990

rno
!

!

•

","SEATING
PLANE

I.ea1tlidentifiedbycolordotorbyshouldsronlead.
Lndll,5,B,10araclipped.
.
*Tolaranca for lid skewing,. glals meniscus, and glan overrUn.
NOTES:
1. DIMENSION "P" ISTO LEAD CENTERLINE

WHEN FORMED PARAllel

2. FOUR

~4)

INSULATING STANDOFFS ARE

PROVIDED.

CASE 635

~

[ ,[ -$={>- "Iom
0.490

_

12J

1------tm-------1

*OimBnsion is to Itad c.nterlinewtJenformed parallel.

NOTE: 1. "R"-lnmIIldPusitionof Lead Centers.
2. ''S''-DveraUlnstaUadWidth.
All JEoeCTD·116 dimensions and notllSapply.

CASE 637

CASE 638

a::I::I~
~'.805~
0.835

&ill

•

f--0.400--j

!tllil

¥)f\lJ:[)I-\I-\I-\IY~
U U U U U U U.
.,00-1-1
T.P..

0.015

I REF lijff.210REF L
'.046 JI-'.'15

[D5&

(i]2O

,l,;;( ,.~~

~

Q]85

-Dimension is to lead centerline whln formed parall!l.

'"Dimension is til lead centerline when formed parallel.

9-32

0.012·~

1-'.300~
REF

g

,iJ]J15
."

It
,I
0li1.'i!i

CASE 639

CASE 641

0.040

0,400

,R"I

!!Jliil]jjj

I

~

t--•. 400----1
I
REF
I

I

·~V V-VU UUUUVhll VUUUUUUUTI Ui~:r "f
~ l·lO·

0.155

-Il-~

T.P.

-Il.015

IIiml

u.wS

*Dilmlnsion is 10 lead centerline whtIl formed parallili.
"Dimension is to IBId centarf:ile when formed parallel.

CASE 642 TO-76

CASE 643A

CASE 644A

0.335

~-+t---Il
':':..
11_1°.

"01"1
n:ii4O~

,-

0.025

[0Ji35

260

'
-r==
J 1+
~
tJ=

...L

.....
1

M~XO.500

0.016
jj]jjI

5

2

4

3

0.210

j .0.260 I
~irnO-1

MIN

-----L

0.075
iJ]85

0.059

'r'I~-=j
~
II I!

't'I:'';Dm;, 1

2. Omitted
3. Gete1

I!

4. Substrate

3

I

,.,,"".,

Style 2:

L

0.100
T.P,

~r'N'.!

---L

7-

-----.

~:g:n~liect -y--l~6

7. Drain 2

0125

itm~

f •.

~:;~"V/) _~
~
¥

Pin I. Drain 1

0.028

I

L

100
"
MAX

1\ mil iI:iI!I!

~\\- 0.00'
~Iu:m

iI:JiIlI

If.iffi.

2.S11urcel
3.Gat81

0.0200.065

I

I. l~""--I
----...J

0.135

iIT6il

' ~=ft~

!!

oJ:'
iI:l1Ii

0W!i 0.025

i-l ocr

0.620----..\

IrniI

4. CaJiland Substrale

:: ~:~~~ 2
All JEDEC dimensions and notes apply
7. Drain Z
8. No ConnettiOIl

CASE 645

Dimensions are in
inches unless
otherwise noted
INCHES
MIN
MAX

.710

.740

.240

.260

.160
.015

.10
.020

.040

.065

.100BSC

Identification dot on caramicby pin I.

..."T

.052

.072

.009
.115

.014
.135

.290

.310
10'
.035
0
7 TYP

.S4RAO
.025 RAD
V
.13
.38
.005
.05
Oimlnsion"l"tol6'adcelJl:erlinewtulII
formedparaUel.

9-33

CASE 647

CASE 648
INCHES

v

.N
.1

.83

.240

.261)

.D1
.045
,1

.020
.055
Be

.052
.00
.115
.290

.D72
.012
.135
.:110

NOTES:
1. DIM 'It" TO CENTER OF LEADS
WHEN FORMED PARALLEl.

...

r:--A
I~
N

X

.025 RAD
.005
.015

Waight""O.93I1ram

CASE 649

CASE 650
0.015

i
I
OLilr.[JX[l
-j'~~
+
-1
r
,9

r

L
::l~

8

,

0.345

' '0[j8JI
370
irn51

I

_!

.,!'

I---r~--I··0160
om

MILLI
DIM
A
B
C

o

F
G
H
J
K
L
M
N
T
U

MIN
31.50
13.DB
4.70

~t.¥sS
LE
MAX
1.260

.5t5
.185

.545
.2

.38

.015

.020

1.02
2.54
1.65

.040

.065

.0&5

.085

.20
2.92
14.99

•

0740
MIN

INCHES

MIN
1.240

.008
.115

.012
.135

.590

.610

=1

1--.250
I 0MIN

t=0.250---j
MIN

I

.IDoase

f

.000

.

if.lmi

.'"

E:J

0.030

M40

~
0.003

Lead 1 identifiad bvtab on lead ordoton cover.

[Oii6

.025
.035
70 rYP
.025 RAO

.005
.015
Dimension "L"to lead centerline when
formed parallel.

CASE 651

CASE 654·07

CASE 654-04

91
mf

~=11

iJ--t
Q!~

&m DlA
0.305 DlA
[3$

N

om
o.•oo
MIN

0.016~1-fijjjj

-.-l

c

1t

0.140

Pin 1. Coliactor

2. Bare
3. Emiuer
4. Omitted
5. Source
6. Drain
Pint.Collector
2. Base
3. Emitter
4. Omitted
5. Emitter

PlANE

F

K

-II--D

--iLrI

7. Gate

~

L

' --!-..J

T

2,

8. Omitted

M H'Y.:'

1

V"):

B.Base
7. Collector
a.Omitted

D.M
A

B
C

D
F
G
H

Leadlidantifiedbycolordoto(byalbowonlaad.
Top COV8fmadaofsilastic matarial.

J
All l.ads Elactrk:ally Isolated from CaR

•
L

M

.250
6.35
1. OIM "F" APPLIES BETWEEN "N" & "P"
OIM "0" APPLIES BETWEEN "P" &0.5"

9-34

•

.D25
.035
7 TYP

T
U
V

CASE 655

CASE 663

1 !!,lg!r
"AX

15-1

I ;'r~dl8
-i

lIS
0.050

0.030
MAX
0.500
MIN

D.2liD
MIN

~

SATING
I
PLANE
O.Olj

&m!
~;=n=rr~~~~14 _1
-$

~

1.355
[39ii

if.iIT9

1--...00- /

---if- 0iIiiZl
.'"

0040

1--°.40°-/

~vmul~iuu'mnijA"" \;

.!!J!!l

D.155"

I I 0.100
1- 1.P,

0.046

--j

0.055

I---

-11-0... 5
lIMO

0.600~
REF

0.115

['f§5

"Dll7I8nrion il to'lead ClnterJine when fDrmed pIIraUeL

CASE 665

CASE 664·01
0.014

im1i

I I ~=f~r
~'[I-I
~-ml"
H
g

+n~

-1-

jI

0.240

6;--'

I

f1J!lil

11

I 0.240 I
1--if.260--l

0.040
0.055

m

I
. 1T
m

1---0.335---1-°.230--1

I

I

I

[jjij

I

MIN

I

CASE 673

CASE 675
IN HE
DIM

A
C
D
E
F
G
H

MIN
0.330
0.240
0.115
.1
0.65
0

0,065
0.075
0.60

J
L
M
N

MAX
0.350
0.60
0.125

0.009

0.011

.229

.290

0.310

7371l

0.600

rnll

.0

O.115-L----'--Ht

i]

4.
6.
6.

TP

~,,~f1~

NOTES;
1. DIM. "N"TO CENTER OF LEADS WHEN FORMED
PARALLEL
STYLE 2:
STYLE 3:
PIN 1. ANODE
PIN I. ANODE
2. CATHODE
2. CATHODE
N.C.
3. N.C.
a.N.C.
ANODE
4. EMITTER
4. EMITTER
CATHODE
6. COLLECTOR
5. COLLECTOR
N.C.
6. BASE
a N.C.

o:m

STYLE I:
PIN 1. ANOOE
2. CATHODE

3.

t°.300.j

~m

T.P.

T.P.

if.li2i

·Dimelllion is to IINKI cantarlinawtlenformed parallel.

9-35

CASE 676

CASE 677.

*Dimensionisto lead centerline when formed paral!el.

CASE 680-01

r-H-l

CASE 681

CASE 680-02

r-H-l

INCHES

DIM
A
B

•

CASE 684

.'"

D

.305
.165
I

E
F

.015

G
H
J
K
L
M
N

CASE 683

MIN

-

9-36

]3,

.185

."

.40
.040
OTP
.034
.039
29
.155
.195
.028

.115TP

45 TP
.160
.40

CASE 685

NOTES:
1. DIM. "B" TO CENTER OF LEADS
WHEN FORMED PARAllEL.
2. lEAD NO.1 CUT FOR IDENFITICATION
OR MARKED ON TOP.

MAX
.370

CASE 686

CASE 687

CASE 688

INCHES
OIM
A
B

MIN

J

0.275
0.275
0.240
O. 0
0.070
D.DID
0.019
0.005
0.035
0.003
0.008
0.050 P
0.015

K

0.070

C
0

E
F
H

MI lLIMETERS

MAX

0.240

-

MIN

MAX

B.IDO
6.980
6.100
6.980
0.762
1.770
0.254
0.482
0.127
0.B89
0.017
0.152
1.270TP
1.381
1.770

DIM
A
B
C

o

E
F
G

H
K

INCHES
MIN
MAX
0.240
11.260
0.200
0.030
0.070
0.010
0.019
0.005
0.035
0.003
0.008

0.060
0.0501
0.070

MILL
MIN
6.100
0.762
0.254
0.127
0,071

1.
1.770

NOTES:
1. LEAD NO. 1 IDENTIFIED BY TAB (DIM "J"j

"Seven places; (between all leads except 5& 6,9& 10, 10& 11

CASE 690

CASE 694

~---r

~~
L A-=-=IF

g -jJM
l'
K

0-11

-- ---l"G 1-

"

J

--.l

,

~

SEATING PLANE

E

"~

..

M

y

F-j

r-

"---t Is~t1~~G

~~=tF'
---JGID--ll- K --!~B~\DIM
A
B
C

o

E

.L

E
F
G
H
J
K
L
M

G
K
L

M

N

NOTES:
,. DIM "B" TO CENTER OF LEADS
WHEN FORMED PARALLEL.

2. LEAD NO. IDENTIFIED BY

NOTES:
1. DIM. "L" TO CENTER OF LEADS WHEN FORMED

NOTCH ON TOP

PARALLEL

CASE 695

"r--.
28

r--1

r--I,......,

r---

,......,~,.......,.......,

D

I

1~r:::Jr:::Jr:::Jr:::JCl

"n
0.6
0

1

14

1.480

~~.

i!~~
0.100 TP-J

I-

_ --IIon"

rn;;
0.115

O.800"TP
10'
AX

-Di/Jllnsion iJ to lead c.ntwlin.whan formed parallal
Laad numbarona cut for idtntifieation Dr markedontop

9-37

om
on" J\--

Dimensions are in
inches unless
otherwise noted

LEADFORMS
FOR PLASTIC POWER SOCKETS
Plastic power transistors can be lead formed to a variety of configurations
for insertion into sockets designed for metal-can devices. Leadform flexibility permits direct insertion into TO-66 and TO-5 sockets, or circuitboard mounting, either flat mount or flag mount.
A desired special leadform can be ordered as follows:
1. Select the desired transistor and case style, i.e., 2N5190 or MJE340.
2. Locate the selected case-style section in the leadform diagrams
shown below.
3. Determine the leadform suffix letter (A, B, C, etc.) of the lead
form required.
4. Add the lead form suffix letter to the transistor type number when
placing your order. Example: 2N5190 lead form B; or MJE340
lead form A.

CASE 29
LEAD FORM "A"
(TO-92 TO FIT TO-5)

CASE 29
LEAD FORM "B"
(TO -92 TO FIT TO-18)

rr&l-j

ef~

F'~EJ
~J

REF

0.687

0.693

0.140

.180

O.D1I

O'095~fi:lij3AAO
~
,~
,~~

0.100

- .~~~~
50 TYP

CASE 29 STYLES

EMITTER
BASE
COLLECTOR

e

BASE

2. EMITTER

•

STYLE 7:
PIN 1. SOURCE
2. DRAIN
3. GATE
STYLE 8:
PIN 1. DRAIN
2. GATE
3. SOURCE &
SUBSTRATE
STYLE 9:
PIN 1. BASE 1
2. EMITTER
3. BASE 2
STYLE 10:
PIN 1. CATHODE
2. GATE
3. ANODE

3. COLLECTOR
STYLE 3:
PIN 1. ANODE
2. ANODE
3. CATHODE
STYLE 4:
PIN 1. CATHODE
2. CATHODE
3, ANODE
STYLE 5:
PIN 1. DRAIN
2. SOURCE
3, GATE
STYLE 11:
STYLE 6:
PIN 1.
PIN 1. GATE
2.
2. SOURCE&SUBSTRATE
3. DRAIN
3.

ANODE
CATHODE &
ANODE
CATHODE

Lo.o5s
[045

CASE 77 STYLES

1 2 3

STYLE 1:
PIN 1.
2.
3.
STYLE 2:
PIN 1,

j

STYLE 12:
PIN 1. ANODE I
2. GATE
3. ANODE 2
STYLE 13:
PIN 1. ANODE 1
2. GATE
3, CATHODE 2
STYLE 14:
PIN 1. EMITTER
2. COLLECTOR
3. BASE
STYLE 15:
PIN 1. ANODE 1
2, CATHODE
3, ANODE 2
STYLE 16:
PIN 1. ANODE
2. GATE
3. CATHODE
STYlE 17:
PIN 1. COLLECTOR
2. BASE
3. EMITTER

9-38

6
1

2

3

STYLE 1
PIN 1. EMITTER
2, COLLECTOR
3, BASE
STYLE 2
PIN 1. CATHODE
2. ANODE
3. GATE
STYLE 3
PIN 1. BASE
2. COLLECTOR
3. EMITTER
STYLE 4
PIN 1. ANODE 1
2. ANODE 2
3. GATE
STYLE 5
PIN 1. MTl
2. MT2
3. GATE
NOTE:
1. MT· MAIN TERMINAL

CASE 17
LEAD FORM "A"

CASE 17
LEAD FORM "c"

CASE 17
LEAD FORM "B"

0.921
0.861

-~

J I$
\

0.093 TVP

.t..

t~ro.~
t.l00

MOUNTING SURFACE

0.100

W\ ;$
MOUNTING ""\
SURFACE

0.601
0.581

....J. 0556
.

t-- 0.093 TVP

0.545

9VO;025T:VP

i

r-r-

tffl:

L

!MOUNTlN~ SUR·FACE

2

3

J

tM

.Li.

0. 190 0.310
MIN MAX

'0.025 RA'O TYP

=I-r ItO.S92jl
+ 0.510
I

MOUNTING
SURFACE

CASE 90 STYLES

1

0.106
0.611

lO.09lTYP

0.380

0481

CASE 17
LEAD FO RM "F"

-.t+

"1

....L 1: 1

~ n ~·0531 ~:~~:
m

0.316 0481

MOUNTING
SURFACE

CASE 17
LEAD FORM "E"

CASE 17
LEAD FO RM "0"

0.391
~
,
t
-l
r-

~1
Lo:r ~-=r-i

C7

L

0.695
0.665

j
o.226
0.116

" ' 0.025

I '-'-0.451~
~0.591..l

MOUNTING
SURFACE

0.551

CASE 90
LEAD FORM "A"

STYLE 1
PIN 1. CATHODE
2. ANODE
3. GATE
STYLE 2
PI N 1. EMITTER
2. COLLECTOR
3. BASE
STYLE 3
PIN 1. CATHODE
2. GATE
3. ANOOE
STYLE 4
PIN I.MT 1
2.MT2
3. GATE

J1J11
tMOUNTING

9-39

L
0.205
MIN

SU~

R~D TYP

_0.491~1

t

rr ~:::~M
~0.590

0.510
0.025 RAD TYP

1

I
'

CASE 90
LEAD FORM "C"

CASE 90
LEAD FORM "B"

-1 ~0.166

1--0.166

~-

L

0.205

~ JL~
r ~

I

~

0.304

T- ~~'~ ~ o.90:22~55

SURFACE

I '.:

0.409

ADD
Rr TVP
R

t-0.510
0.840
. 0.820

MOUNT;J
SURFACE

1 2 3
L

~

0.025 RAD TVP

0.5Boj

0.680

CASE 199
LEAD FORM "A"

CASE 199 STYLES

@

"

STYLE 1:
PIN 1. BASE
2. COLLECTOR
3. EMITIER
STYLE 2:
PIN 1. CATHODE
2. ANODE
3. GATE
STYLE 3:
PIN 1. ANODE 1
2. ANODE 2
3. GATE

0.69&

--I

t
/.-0.100

!~~89
fU~'t
MOUNTING
SURFACE

CASE 199
LEAD FORM "B"

I:~,

0.921
MIN

--i i- 0.200

•

~j

!_

CENTER LEAD TRIMMED

~

CASE 199
LEAD FORM "0"

CASE 199
LEAD FORM "c"

~

~~0.025R~DTVP

MOUNTING !URFACE lo.580-1

L, _!
~ 1...
63o~

I-I~

---I f. 0.200

0.100

~ING

f530"-..".sr:o.560

0.2~_-!
0.!66

SURFACE

9-40

L

'_

0.690

~ CENTER LEAD TRIMMED

I

I--l.030~

CASE 199
LEAD FORM "E"

CASE 199
LEAD FORM "F"

®
0.607
0.587 0.857

~ f-o

~r
0.166

[205
MIN

-T
L7iIT~

~~
MOUNTING
SURFACE

MOUNTING SURFACE

Uniwatt Package (Case 152)
This package is designed with the collector
mounted on a metal tab that extends out of
the plastic. The tab can be attached to a heat
sink to conduct heat away from the junction.

CASE 683
This package has lead forming to facilitate
insertion into ape board with leads on 100"
centers.

CASE 683
LEAD FORM"A"

CASE 152

---1I

0.240
0.2BO

,
0.040
.11
o.OB0-=:r

I

PIN I. EMITTER
2. BASE
3. COLLECTOR

MARKING
THIS SURFACE

--;;Jo

TIl

F

0.300
TYP

0.350

0400

_~
___T.LrT

O.OBO
0.120

~

•

2

3.

0.125
0.131

Tab formed for flush mounting
available on request.

9-41

•
9-42

APPLICATION INFORMATION

Application Note Selector Guide
Application Note Abstracts

Page 10-3
Page 10-7

10-1

•

•

10-2

((C====A=P=P=LI=C=A=TI=O=N=N=O=T=E=S=E=LE=C=T=IO=N===G=U=ID=E===~))
The Applications Notes listed below and described in the subsequent section, have been prepared to acquaintthe circuits
and systems engineer with the broad I ine of Motorola Semiconductor Products and their appl ications.
To obtain copies of these notes, simply list the AN number or numbers and send your request on your company letterhead
to: Technical Information Center, Motorola Semiconductor Products Inc., P.O. Box 20912, Phoenix, Arizona 85036.

APPLICATION NOTE
NUMBER

APPLICATION
CATEGORY

APPLICATION NOTE
NUMBER

AUDIO AMPLIFIERS

APPLICATION
CATEGORY

MECL

AN-182

A Method of Predicting Thermal Stability

AN-194A

Designing Integrated Serial CotJnters

AN-401

The MC1554 One-Watt
Circuit Power Amplifier

AN·239A

MECL Integrated Circuit Schmitt Triggers

AN-426A
AN-4838
AN-484A
AN485

Monolithic

Integrated

AN·270

Nanosecond Pulse Handling Techniques

ary Plastic Transistors

AN·280

MECL 85 MHz J·K Flip·Flop

20 and 30 Watt Power Amplifiers Using Darlington

AN-417

IC Crystal Controlled Oscillators

Output Transistors

AN-418

High Speed Monostable Multivibrator Design
with MECL Integrated Circuits

AN456

A 50 MHz Programmable Counter Designed
with MECL II Integrated Circuits

AN·488

High-Speed Addition Using Lookahead Carry
Techniques

AN496A

Error Detection and Correction Using Exclusive-OR Gates and Parity Trees

Low Powsr Audio Amplifiers Using

Complement~

Medium Power Audio Amplifiers
High-Power Audio Amplifiers with Short-Circuit

Protection

COMPUTER
AN·245A

An Integrated Core Memory Sense Amplifier

AN-464

MTTL Designer's Note - The MC4004/MC4005, A
16-Bit Random Access Memory

AN·504

The MC 1600 Series MECL III Getes

AN 466

MTTL Designer's Note Decoders

AN·532A

MTTL and MECL Avionics Digital Frequency
Synthesizer

AN-474

The

AN·534

Commutating Filter Techniques

AN-536

Micro-T Packaged Transistors for High Speed
Logic Systems

AN·556

Interconnection Techniques for Motorola's
MECL 10,000 Series Emitter Coupled Logic

AN·565

Using Shift Registers 8S

AN·566

High Speed Binary Multiplication Using the

The MC4006/MC4007

MC1541 A Gated
Amplifier for Core Memories

Dual-Channel

Sense

AN-476

MTTL Designer's Note The MC4000 Data
Selector and the MC4002 Data Distributor

AN-487

A

High-Speed

Ripple-Through

Arithmetic

Processor

Pul~

Delay Networks

AN-488

High-Speed
Techniques

AN-496A

Error Detection and Correction Using ExclusiveOR Gates and Parity Trees

AN·567

AN·505

The MC4012, an MTTL 4-Bit Shift Register

MHTL

AN·506

Code Conversion with Semiconductor Read Only
Memories

AN·298

Noise Immunity with High Threshold Logic

AN-414

Operations

AN-528

Addition

Binary-to-BCD
with Complex

Using

Lookahead

Carry

MC 1!l181

and

BCD-to-Binary

MECL Positive and Negative Logic

and

Application

of

MHTL

Ie

Flip-Flops

Conversion

Ie Functions

AN-467

Using Motorola High Threshold Logic

AN·530A

The MC7491 A Eight-Bit Serial Shift Register and
the MC7495 Four-Bit Shift Register

AN-524

Converting Relay Control Systems to Digital
ICs

AN·533

Semiconductors for Plated-Wire Memories

MRTL

A High Speed Dual Differential Comparator, the

AN-251A

Decade
Circuits

AN-408

Problems and Solutions with MDTL and MRTL

AN-451

A Frequency Counter Using Motorola RTL
Integrated Circuits

AN·547

MC1514
AN·550

Programming the MCM5003/5004 Programmable
Read Only Memory

DIGITAL LOGIC CIRCUITS
MDTL
AN-408

Problems and Solutions with MOTL and MRTL

AN·487

A
High-Speed
Processor

Ripple-Through

Error Detection and Correction Using Exclusive-OR Gates and Parity Trees

AN·519

Using MDTL Logic Blocks

Using

MRTL

Integrated

AN·270

Nanosecond Pulse Handling Techniques

AN-464

MTTL

Designer's

Note

The

MC4004/MC4005, A 16-Bit Random Access

Arithmetic

AN-496A

Counters

Memory

AN465

MTTL

Designer's

Note

The

MC4006/MC4007· Decoders
AN-476

MTTL Designer's Note - The MC4000 Data
Selector and the MC4002 Data Distributor

®

The following terms used in Motorola Application Notes are trademarks of Motorola I nco Band-Guard
Designers, ECONOCAP, EI( Epic, EPICAP, MATEC, MDTL, MECL, Meg·A·Life, Meg-A· Life II, MHTL,
MRTL, mW MRTL, MTTL, Multi-Cell, "O-pF", RamRod, SME, Surmetic, Thermopad, Unibloc, Uniwatt,
McMOS.

10-3

APPLICATION NOTE SELECTION GUIDE (continued)

APPLICATION NOTE
NUMBER
AN-488

APPLICATION
CATEGORY

APPLICATION.NOTE
NUMBER

High-Speed Addition Using Lookaheed Carry

AN-451

Techniques
AN-492

AN-493

Operati n9
Characteristics
of
Motorola
MC3000/MC3100 Series Transistor-Transistor
Logic Gates
The

MC3000/MC3100

Serias

Translstor-

A Frequency Counter
Integrated Circuits

Using

Motorola

AN-470

Bipolar Chopper Transistors and Circuits

AN-471

Analog-to-Dlgltal Conversion Techniques

AN-489

Analysis and Basic Operation of the MC1595

RTL

Transistor Logic Flip-Flops

AN-510A

A Function Generator

AN-496A

Error Detection and Correction Using Exclusive-OR Gates and Parity Trees

AN-524

Converting Relay Control Systems to Digital ICs

AN-534

Com mutating Filter Techniques

AN-505

The MC4012, an MTTL 4-Blt Shift Register

AN-540

AN-50G

Code Conversion with Semiconductor Read

A
Synchronously
Oscillator

Only Memories
AN-528

AN-541

AN-530A

Gated

N-Decade

Sweep

Medium Scale Integration In the Numerical Control
Field

Binary-to -BCD and BCD-ta-Binarv Conversion

with Complex Ie Functions
The MC7491 A Eight-8it Soria I Shift Roglster

and the MC7495 Four-Bit Shift Register

AN-552

The Control Engl.neer"s Guide to IC Applications

AN-559
AN-574

Simple RAMP AID Converter
CMOS: A New Logic Type for Control Systems

AN-532A

MTTL and MECL Avionics Digital Frequency
Synthesizer

MEMORIES, SOLID STATE

AN-534

Commutating Filter Techniques

AN-464

AN-537

The MC4023,
Counter

AN-506

AN-541

Medium Scale Integration in the Numerical
Control Field

Code Conversion with Semiconductor Read Only
Memories

AN-550

AN-5BO

Programming the MCM5003/5004
mable Read Only Memory

Programming the MCM5003/5004 Programmable
Read Only Memory

AN-55S

CRT Display with Dynamic MOS RAM Storage

AN-562

MOS Dynamic RAMS in Memory Systems

an

MTTL

4-Bit

AN-523

MOS Multiplex Switches

AN-538A

Motorola

Complementary

MOS

MTTL Designer's Note - The MC4004/MC4005, A

16-8it Random Access Memory

Universal

Program-

MOS

OPERATIONAL AMPLIFIERS

Integrated

AN-204A

Circuits

High

Performance

Integrated

Operational

Amplifiers

AN-539

Interfacing with MOS Integrated Circuits

AN-558

CAT Display with Dynomlc MOS RAM Storage

AN'562
AN-574

MOS Dynamic RAMS In Memory Systems
CMOS:' A New Logic Type for Control Systems

AN-248
AN-273A

The MC1633· Voltage
Amplifier

Monolithic

Operational

More

Integrated

Operational

Value

out

of

Amplifier Data Sheets
AN-400

An Operational Amplifier Tester

AN-211A

Field Effect Transistors in Theory and Practice

AN-403

Single Power Supply Operation of IC Op Amps

AN-219

The Field Effect Transistor in Digital Applications

AN-407

AN-220

FET's in Chopper and Analog Switching Circuits

A General Purpose IC Differential Output Operational Amplifier

AN-231

FET Differential Amplifier

AN-411

The MC1535 Monolithic Dual Op Amp

AN-439

MC1539 Op Amp and Its Applications

AN-459

A Simple Teohnique for Extending Op Amp Power

AN-522

The

FET

AN-455

Using the F ET Designers Data Sheet for Worst Case
Amplifier Circuit Design

AN-462

F ET Current Regulators - Circui't;s and Diodes

AN-511

Low Frequency
Transistors

Applications

of

Bandwidth

Fleld·Effect

MC1556

Operational

Amplifier

and

Its

Applications

OPTOELECTRONICS

INSTRUMENTATION Be CONTROL
AN-220

F ET"s in Chopper and Analog Switching Circuits

AN-221

4~Laver

AN-225

High Performance All Solid-State Servo Amplifiers

and Current-Limiter
Circuit Cost and Complexity

Diodes

AN-440

Theory and Characteristics of Phototranslstors

AN-50s

Applications of Phototransistors in Electro-Optlc
Systems

AN-561

How to Use Photosensors and Light Sources

Reduce

POWER CONTROL

AN-231

FET DifferelJtial Amplifier

AN-261A

Transistor Logarithmic
Operational Amplifier

an

AN-140

Characterization of SCR"s as Switches for Line
Type Modulators

AN-297

Integrated Circuits for High Frequency to Voltage
Conversion

AN-1S9

Solid-State Pulse Width Modulation DC Motor
Control

DC Comparator Operations Utilizing Monolithic IC
Amplifiers
Design Considerations and Performance of
Motorola
Temperature-Compensated
Zener
Reference Diodes

AN-2j10

SCR Power Control Fundamentals

AN-405
AN-437 A

•

APPLICATiON
CATEGORY

Conversion

Using

10-4

AN-268

Pulse Triggering of Radar Modulator SCR's

AN-295

Suppressing RFI in Thyristor Circuits

AN-413

Unijunotion Trigger Circuits for Gated Thyristors

APPLICATION NOTE SELECTION GUIDE (continued)

APPLICATION NOTE
NUMBER
AN-436

APPLICATION
CATEGORY

APPLICATION NOTE
NUMBER
Incandes~

Conventional and Soft-5tart Dimming of

AN-21 0

cent Lights
AN-441

SeR Slaving Circuits

AN-443

Directional and Speed Control for Series, Universal
and Shunt Motors

AN-445

Pulse-Width
Control

AN-450

Induction Motor Speed Control

AN-453

Zero Point Switching Techniques

AN-466

Circuit Applications for the Triac

AN-4e2

Electronic Speed Control of Appliance Motors

AN·51B

Constant-Speed Motor Control Using Tachometer
Feedback

Modulation

for

DC-Motor

Speed

POWER SUPPLY & REGULATION
AN·169

A Solid-state 15 kHz Power Inverter

AN-222

The ASCs of Solid-State DC to AC Inverters

AN-442

Designing
DC-DC Converters
Charging with Batteries

for

FM Modulation Capabilities of Eplcap VVC's

AN-215

RF Small Signal Design Using 2-Port Parameters

AN·238

Transistor Mixer
Parameters

AN·247

An Integrated Circuit RF-IF Amplifier

AN-249

Designing Around the Tuning Diode Inductance

AN-267

Matching
Solutions

AN-299

An IC Wideband Video Amplifier with AGC

AN-406A

UHF Broadband Amplifier Design

AN-419

UHF Amplifier Design Using Data Sheet Design
Curves

AN~421

Semiconductor NOise Figure Considerations

AN-423

field-Effect Transistor RF Amplifier Design
Techniques

AN-478A

Small

A Low Voltage High Current Converter

AN-199

APPLICATION
CATEGORY

Design-Using

Network

Signal

Designs

RF

Admittance

with

Design

with

Computer

Dual-Gate

MOSFETS

Capacitor

AN-513

A High Gain Integrated Circuit AF-IF Amplifier
with Wide Range AGC

AN-.531

MC1696 Balanced Modulator

AN~532A

MTTL and MECL Avionics Digital Frequency
Synthesizer

AN·447

Fast Charging Systems for Ni-Cd Batteries

AN-469

Line Operated 15-kHz Inverter

AN-473

A Monolithic High-Power Series Voltage Regulator

AN-535

Phase-Locked Loop Design Fundamentals

AN-4BO

Regulators Using Operational Amplifiers

AN-551

Tun ing Diode Design Techniques

AN-498

Voltage and Current Boost Techniques Using The

AN-553

A New Generation
Synthesizers

AN-499

Shutdown Tech niques for the
Monolithic Voltage Regulators

AN-.564

An ADF Frequency Synthesizer Utilizing Phase
Lock Loop Integrated Circuits

AN-500

Development, Analysis. and Basic Operation of the
MCl560-61 Monolithic Voltage Regulators

MC1560-61

I'n~e R~,,1S

MC1560-61/69

of

Integrated

Avionic

Power

Voltage Regulators

AN-147

High-Power
Appl icatio n

Varactor

Diodes:

Theory

and

Charge Storage Varactors for Extra UHF Power

AN-512

Applications of Fast-Recovery Rectifiers

AN-1S1

AN-517

Improving the Efficiency of Low-Voltage, HighCurrent Rectification

AN-159

Design Tips
Multipliers

AN-529

Regulated Line
Voltage Power
Rectifiers

AN-176

Power Varactor Gives 5 Watts Output at 3 GHz

AN-I77

Two Stage Varactor Multiplier Provides High
Power at 400 MHz

AN·191

Varactor Diodes and Circuits for High Power
Output and Linear Response

AN·213

Varactor Muftipliers
Power Above 6 GHz

AN·22B

20 Watts
Varactors

Operated Inverter Uses High
Transistors and Hot Carrier

POWER TRANSISTORS
AN-290B

Mounting Procedure for. and Thermal Aspects of,
Thermopad Plastic Power Devices

AN-415A

Avoiding Second Breakdown

PROTECTION and THERMAL CONSIDERATIONS

for

at 1

Coaxial-Cavity

GHz

Provide
with

Varactor

High
Step

Output
Recovery

AN-182

A Method of Predicting Thermal Stability

AN-232

1.5 GHz 10 Watt Two-Stage

AN·290B

Mounting Procedure for, and Thermal Aspects of,
Thermopad Plastic Power Devices

AN-243

Translstor-Varactor-Multiplier
tor-Multiplier

AN-415A

Avoiding Second Breakdown

AN-260

Selecting Varactor Diodes

AN-454

AC Overcurrent Protective Circuit with Automatic

AN-282A

Systemizing AF Power Amplifier Design

AN-412

Duplexlng with Step Recovery Varactors

Reset
AN-461

Transient Suppression with a Power Zener Diode

AN·568

A Fuse- Thyristor Coordination Primer

Understanding Transistor Response Parameters

AN-166

Using Linvill Techniques for AF Amplifiers

AN-178A

Epicsp Tuning Diode Theory and Applicatiol'lS

Multiplier

Versus Transis-

AN-416

One~Step

AN-477

A

High Order Frequency Multipliers

AN-4el

A Broadband

AN-495

A 25~Watt. 175 MHz Transmitter for 12.5-Volt
Operation

AN-502A

A 40-W. 50-MHz, Transmitter for 12.5·Volt
Operation

30-Watt 175 MHz Power Amplifier Using

PNP Transistors

RAOIO FREQUENCY
Small Signal
AN-l39A

Ca~ade

10-6

4~Watt

Aircraft Transmitter

•

APPLICATION NOTE SELECTION GUIDE (continued)

APPLICATION
CATEGORY

APPLICATION NOTE
NUMBER
AN-503

A

25~W

Broadband Aircraft Transmitter

AN-507

A

13~W

Broadband AM Aircraft Transmitter

AN-521

Using

Applications

AN-26B

AN-546

Solid-State Linear Power Amplifier Design

AN-413

Unijunction Trigger Circuits for Gated Thyristors

AN-548

Microstrip

AN-453

Zero Point Switching Techniques

AN-526

Theory, Characteristics and Applications of Silicon
Unilateral and Bilateral Switches

AN-56S

A F=use-Thyristor Coordination Primer

Balanced

TRIGGER CIRCUITS (Thyristor Control!

Emitter Transistors in

Design

Techniques

for

AN-221

UHF

Hybrid Gain Modules for Use in CATV Trunk

and Line Extender Amplifiers

RADIO, AM/FM
AN-4328

A Monolithic
System

and

Current-Limiter

Diodes

Reduce

Pulse Triggering of Radar Modulator SCA's

TV
Integrated

FM Stereo

Decoder

Integrated Cl feu it I F A mpl Iflers for AM/F M and

AN-543

4-Layer

Circuit Cost and Complexity

AF

Amplifiers
AN-563

AN-287

Printed
Diodes

AN-545

Television Video IF Amplifier Using Integrated
Circuits

AN-549

A Vertical Deflection Circuit Using Complementary Transistors

AN-560

Designing Tuned Lines for UHF TV Tuners

RECTIFIERS
AN-512

Applications of Fast-Aecovery Rectifiers

AN-517

Improving the Efficiency of Low-Voltage. HighCurrent Rectification

Color IF Amplifier and AGC Circuit

AN-544A

FM Radios

Circuit

VH F

TV Tuners Using Tuning

UNIJUNCTION

SENSE AMPLIFIERS

AN-293

Theory and
Transistor

AN-294

Unijunction Transistor Timers and Oscillators

Characteristics of the

Unijunction

AN-245A

An Integrated Core Memorv Sense Amplifier

AN-474

The MC1541 - A Gated Dual-Channel
Amplifier for Core Memories

AN-533

Semiconductors for Plated-Wire Memories

AN-413

Unijunction Trigger Circuits for Gated Thyristors

AN-547

A High Speed Dual Differential Comparator, The
MC1S14

AN-527

Theory, Characteristics and Applications of the
Programmable Unijunction Transistor

Sense

VIDEO AMPLIFIERS

TESTING

•

APPLICATION
CATEGORY

APPLICATION NOTE
NUMBER

AN-226

Thermal Measurements on Semiconductors

AN-299

An IC Wideband Video Amplifier with AGC

AN-400

An Operational Amplifier Tester

AN-404

A Wideband Monolithic Video Amplifier

AN-422

Testers for Thyristors and Trigger Diodes

AN-475

AN-460

Using Transient Response to Determine Operational Amplifier Stability

Using the MC1545 Amplifier

AN-491

Gated Video Amplifier Applications The MC1646

10-6

A Monolithic, Gated Video

APPLICATION NOTE ABSTRACTS
AN-169

AN-139A Understanding Transistor Response
Parameters
This note explains high-frequency transistor response parameters and discusses their interdependence_ Useful nomograms are given for determining
hfe, fT, fae, fmax , and many other parameters.

AN-140

Characterization of SC R's as Switches for Line
Type Modulators

AN-176

AN-177

AN-178A Epicap Tuning Diode Theory and Applications
General electronic-tuning considerations are discussed, including important parameters such as Q,
tuning range, and temperature stability.

Charge Storage Varactors for Extra UHF

Power
This report describes a varactor multiplier
which may be used to achieve power outputs of more
than 50 Watts at 150 MHz, and 20 Watts at 450 MHz.
With such high-frequency capabilities, transistor-varactor combinations can replace triodes and klystrons
in many UHF and microwave applications.

AN-159

AN-182

A Method of Predicting Thermal Stability

Variations in DC bias current with temperature
is an important consideration in the design of reliable
transistor audio amplifiers. This note gives a useful
method of predicting the thermal stability of biasing
circuits.

Design Tips for Coaxial-Cavity Varactor
Multipliers

Most microwave engineers picture a coaxial cavity as a bulky construction, difficult to design easily.
This report demonstrates that varactor multipliers can
easily be designed as small as any other. Design principles and operational data for 500 MHz - 1000 MHz
doublers are given.

AN-166

Two Stage Varactor Multiplier Provides High
Power at 400 MHz

This "times-eight" frequency multiplier can
provide a nominal 40 watts of CW power at an output
frequency of 400 MHz with a conversion efficiency
of 30 percent.

High-Power Varactor Diodes: Theory and
Application

This article treats varactors in non-rigorous
terms, discussing what they are, how they work, and
how to use them in practical high-power, high-frequency, output circuits.

AN-l 51

Power Varactor Gives 5 Watts Output at 3 GHz

A discussion of the design and performance of
the high power MV 1808 varactor, including design
details of a I GHz frequency doubler and a I GHz to
3 GHz tripler.

Although Silicon Controlled Rectifiers are highly
desirable as switches in DC pulse circuits, they are
usually specified and characterized for AC applications only. This article discusses the SCR characteristics desirable for DC pulse applications, and proposes simple test circuits for evaluating such devices
as pulse circuit switches. A device already characterized for such applications is described.

AN-147

A Low Voltage High Current Converter

The output of low-voltage sources, i.e. solar
cells, etc., often must be converted to a higher voltage
to be useful. Utilizing a high-performance power
transistor to efficiently perform this task, this converter can switch currents as high as 50 amperes.

AN-189

Solid-State Pulse Width Modulation DC Motor
Control

Pulse-width modulation, an effective method of
dc voltage control, provides motor speed regulation
under varying torque conditions - ideal for traction
drive vehicles.

Using Linvill Techniques for RF Amplifiers
AN·191

A design procedure, derived from theory developed by J. G. Linvill, simplifies the design of single
stage small-signal RF amplifiers. A 200 MHz amplifier serves as an example of the technique.

Varactor Diodes and Circuits for High Power
Output and Linear Response

Three new varactors are described, and varactor
multiplier circuits - a 50 MHz to 100 MHz push-push

10-7

APPLICATION NOTE ABSTRACTS (continued)
doubler, a 500 MHz to 1000 MHz harmonic doubler,
and a 200 MHz to 600 MHz harmonic tripler - - are
presented in detail.

IN5l54, IN5155 varactors, sufficient for design purposes, precedes the actual design discussion.

AN-215
AN-194

Designing Integrated Serial Counters

The author shows that the power gain and stability of high frequency transistors may be completely described by two-port parameters.
This paper presents a summary of the overall
design solution for the small signal RF amplifier using
admittance parameters. Design considerations and relationships for both stable and the potentially unstable transistor are presented together with a discussion of the neutralized, unneutralized, matched, and
mis-matched amplifiers.

MECL monolithic integrated J-K flip-flops serve
as building blocks for ultra-high-speed ripple counters. General design techniques for designing counters
of any arbitrary count.

AN-199

A Solid-State 15 kHz Power Inverter

Fast-switching power transistors allows the design of a high-frequency power converter featuring
minimum size and weight of reactive components.

AN-204A The MC1530, MC1531 Integrated Operational
Amplifiers

AN-219

Two new high performance monolithic operational amplifiers feature exceptionally high input impedance and high open loop gain. This note describes
the function of each stage in the circuit, methods of
frequency compensating and dc biasing. Four applications are discussed: a summing circuit, an integrator, a dc comparator, and transfer function simulation.

AN-210

FM Modulation Capabilities of Epicap VVC's

AN-220

FET's in Chopper and Analog Switching
Circuits

The author's discussion begins with elementary
chopper and analog switch characteristics - - explores
fully the considerations required for conventional and
FET chopper and analog switch design - - and finishes
with specific FET circuit examples.

AN-211 A Field Effect Transistors in Theory and Practice
The basic theory, construction, and application
information for field effect transistors Gunction and
MOS types) are given. Also included are some typical
test circuits for checking FET parameters.

AN-221

4-Layer and Current· Limiter Diodes Reduce
Circuit Cost and Complexity

The authors present four simple circuits in
which 4-layer diodes and current-limiter diodes are
used to provide increased circuit performance: A
Saw-tooth generator (two variations), a staircase generator and a ring counter.
A brief discussion of the 'electrical characteristics of 4-layer and field effect diodes precedes the
circuit examples.

Varactor Multipliers Provide High Output
Power Above 6 GHz

The author employs a high performance varactor diode in the design of several multiplier circuits
which feature exceptionally high output power versus
frequency capabilities. Among the circuits discussed
are a 2 to 5 GHz doubler, a 2 to 6 GHz tripier, a 2.83
to 8.5 GHz tripier, and a 500 MHz to 4 GHz one-step
multiplier.
A physical and electrical characterization of the

•

The Field Effect Transistor in Digital
Applications

Field effect transistors have definite advantages
over junction transistors in many digital applications;
high fan-out, direct coupled circuitry (lower component count), extremely low power dissipation, and
low temperature coefficient circuits are among tbe
most important.
This paper provides the designer with an up-todate discussion of JFET and IGFET switching characteristics and how they are used in the design of
basic digital circuits. The fmal portion of this paper
discusses a family of JFET logic circuits, a family of
IGFET, and future prospects.

The author shows by empirical methods that
the frequency vs. voltage curve for Epicap voltage variable capacitors is linear for small (sufficient for most
FM modulator applications) voltage variations.
A rigorous mathematical explanation of this
linear interdependence follows the empirical demonstration.

AN-213

RF Small Signal Design Using 2·Port
Parameters

AN-222

The ABCs of Solid-State OC to AC Inverters

The author provides a comprehensive examination of the entire field of dc to ac inverters. Among

10-8

APPLICATION NOTE ABSTRACTS (continued)
the topics discussed are: the proper inverter for a specific application; operation principles of different types
of inverters; the problem of proper device selection in
the design of inverters; an inverter design example.

AN-225

design technique and the corresponding results. Several design examples are discussed.

AN-239

High Performance All Solid-State Servo
Amplifiers

The design of 7.5 Watt transformer-coupled
solid-state servo amplifier and a IO Watt complementary transistor servo amplifier are fully discussed. The
transformer coupled amplifier, requiring only three
transistors, provides a stable voltage gain of 100. The
complementary amplifier, though more complex, is
direct coupled throughout thus eliminating the transformer and its accompanying phase shift problems.

AN-240
AN-226

Thermal Measurements on Semiconductors

20 Watts at 1 GHz with Step Recovery
Varactors

AN-243

Varactor harmonic multiplier circuit power
handling capabilities have now been extended to 20
Watts at 1 GHz and IO Watts at 2 GHz by two new
varactors, the IN 5149 and IN 5150. This note provides a complete discussion of the design and performance of these two varactors. Several high performance multiplier circuits:· a 0.5 GHz to I GHz
doubler; a 0.4 GHz to 1.2 GHz tripler; and a 0.46
GHz to 1.84 GHz quadrupler are also discussed.

AN-231

AN-245A An Integrated Core Memory Sense Amplifier
This application note discusses core memories
and related design considerations for a sense amplifier. Performance and environmental specifications
for the amplifier design are carefully established so
that the circuit will work with any computer using
core memories. The fmal circuit design is then analyzed and measured performance is discussed. The
amplifier features a small uncertainty region (6 mV
max), adjustable voltage gain, and fast cycle time
(0.5 !-Is).

FET Differential Amplifier

1.5 GHz 10 Watt Two-Stage Cascade Multiplier

Two high-performance varactors - - the INSI49
and IN 5150 - - are employed in a cascade multiplier
which features over IO watts power output at 1.5
GHz.

AN-23B

Transistor-Varactor-Multiplier Versus
Transistor-Multiplier

Several watts of power in the upper portion of
the L band may be obtained with either the transistor
amplifier driving a varactor multiplier (T AVM), or the
transistor amplifier-multiplier (TAM). This report
presents a careful evaluation of both types of circuits.

The field effect transistor is often a better
choice than the bipolar transistor in many differential
amplifier applications, particularly when high input
impedance is required. This report discusses drift
compensation of field effect transistors for differential amplifier applications.

AN-232

SCR Power Control Fundamentals

Relationships of control angle to peak voltage,
average voltage, RMS voltage and power are presented
in chart form. Time constant for relaxation oscillators
are discussed for both DC and AC supplies. These
basics form the heart of SCR control.

This note describes the techniques used by
Motorola to obtain the thermal resistance of transistors, rectifiers, and thyristors.

AN-22B

MECL Integrated Circuit Schmitt Triggers

The Schmitt Trigger, a regenerative circuit
which changes state abruptly when the input signal
crosses specified dc trigger levels, can be fabricated
from MECL integrated logic gates. This note describes the modifications necessary to convert standard MECL logic gates to Schmitt Triggers, and also
the performance to be expected from such units.
Examples of the MECL Schmitt Trigger used for wave
shaping and pulse generator applications are also included.

AN-247

An Integrated Circuit RF-I F Amplifier

A new, versatile integrated circuit for RF-IF applications is introduced which offers high gain, extremely low internal feedback and wide AGC range.
The circuit is a common-emitter, common-base pair
(the cascade connection) with an AGC transistor and
associated biasing circuitry. The amplifier is built on
a very small die and is economically comparable to a
single transistor, yet it offers performance advantages
unobtainable with a single device. This application

Transistor Mixer Design Using Admittance
Parameters

Mixer circuit design may be simplified by the
use of small-signal admittance parameters. This note
describes in detail the effective application of this

10-9

APPLICATION NOTE ABSTRACTS (continued)
note describes the AC and DC operation of the circuit, a discussion of Y-parameters for calculating optimum power and voltage gain, and a variety of applications as an IF single-tuned amplifier, IF stagger-tuned
amplifier, OSCillator, video-audio amplifier and modulator. A discussion of noise figure is also included.

AN-248

of an integrated circuit operational amplifier circuit
is discussed in this application note. Six decades of
logarithmic conversion are obtained with less than 1%
error of output voltage. The possible causes of error
are discussed followed by two applications: direct
multiplication of two numbers, and solution of the
equation Z = Xn.

The MC1533 Monolithic Operational
Amplifier

AN-267

This note introduces a high voltage monolithic
operational amplifier featuring high open loop gain,
large common mode input signal, and low drift. The
function of each stage in the circuit is analyzed, and
methods for frequency compensating the amplifier
are discussed. DC biasing parameters are also examined. Four applications using the amplifier are discussed: a source follower, a twin tee filter and oscillator, a voltage regulator, and a high input impedance
voltmeter.

AN-249

Computer solutions for four networks commonly used in solid-state high frequency amplifiers
have been tabulated.

AN·26B

Designing Around the Tuning Diode Inductance
AN-270

Nanosecond Pulse Handling Techniques In IIC
Interconnections

The rapid advancement in the field of high
speed digital integrated circuits has brought into
focus many problem areas in the methods of pulse
measurement techniques and new concepts dealing
with these problems. This paper is intended to discuss the more common, yet perhaps not well known,
pitfalls of measurement systems, a method of detecting them and possible solutions.

AN-251A Decade Counters Using MRTL Integrated
Circuits

AN-273A More Value out of Integrated Operational
Amplifier Data Sheets

This application note discusses the design and
implementation of decade counters using the MRTL
family of integrated logic. Ripple counters, shift
counters, and parallel clocked counters are developed
using BCD, 2'421, and excess 3 digital codes. Up and
down counting techniques are discussed. Output decoding, problem areas and circuit limitations are
covered for all counter types.

The operational amplifier is rapidly becoming a
basic building block in present day solid state electronic systems. The purpose of this application note
is to provide a better understanding of the open loop
characteristics of the. amplifier and their Significance
to overall circuit operation. Also, each parameter is
defined and reviewed with respect to closed loop considerations. The importance of loop gain stability
and bandwidth is discussed at length. Input offset
circuits are also reviewed with respect to closed loop
operation.

Selecting Varactor Diodes

High output power in the UHF region can be
achieved with varactors. A device selection procedure
based on experience, theory and common sense is offered.

AN-261A Transistor Logarithmic Conversion Using an
Operational Amplifier
The design of a log amplifier using a common
base transistor configuration as the feedback element

•

Pulse Triggering of Radar Modulator SCR's

Factors involved in dynamic gate triggering are
examined and relations of gate triggering characteristics to variations of total current amplifications with
gate current are shown.

The effect of varactor inductance is described,
and equations and graphs are presented in order to
predict the inductance value and to determine when
its effects on performance is significant.
In addition a design example of a varactortuned capacity-loaded half-wave cavity from 470 MHz
to 890 MHz, and derivations of design equations for
varactor tuned quarter wave and half-wave cavities as
well as for lumped series tuned circuits are shown.

AN-260

Matching Network Designs with Computer
Solutions

10-10

APPLICATION NOTE ABSTRACTS (continued)

AN-280

AN-295 Suppressing RFI in Thyristor Circuits

MECL 85 MHz J-K Flip-Flop

A new high-speed 1-K flip-flop is discussed.
Capabilities, performance, and applications are explained along with typical and worst case operating
data. This flip-flop with four J inputs and four K
inputs more than doubl~s the operating speed of registers and counters ?s employed in a system.

Measures taken to suppress RFI are shown. Design considerations and examples are explored as well
as some solutions to the RFI problem.

AN-297 Integrated Circuits for High Frequency to
Voltage Conversion
This application note concerns the technique of
using integrated circuits in a linear frequency to voltage converter from I MHz to 30 MHz. A theoretical
analysis is given as well as a working design.

AN-282A Systemizing RF Power Amplifier Design
The design of high-power, Class C, RF transistor amplifiers can be greatly simplified through the
use of large-signal device characterization. This note
explains design procedures and furnishes large-signal
impedance data for eight Motorola RF power transistors.

AN-287

AN-298 Noise Immunity with High Threshold Logic
A comparison of noise immunity characteristics
is made between MHTL devices and standard saturated logic devices.

Color IF Amplifier and AGC Circuit

A non-neutralized, three-stage IF video amplifier is described. Included is the associated keyed
AGC circuitry. The circuits were· used in a transistorized color set built in the Applications Laboratory
at Motorola.

AN-290B

AN-299 An IC Wideband Video Amplifier with AGC
This application describes the use of the MCi 5 50
as a wide band video amplifier with AGC. The analysis
of a single stage amplifier with 28 dB of gain and 22
MHz bandwidth is given with the results extended to
a 78 dB video amplifier with 10 MHz bandwidth.

Mounting Procedure fOr, and Thermal Aspects
of, Therrnopad Plastic Power Devices

Many Motorola power devices are now available
in the Plastic Thermopad packages. Three package
types are presently available; This Application Note
provides information concerning the handling and
mounting of these packages, as well as information on
some thermal aspects.

AN-293

AN-400 An Operational Amplifier Tester
A simple and inexpensive tester for Motorola's
line of operational amplifiers is described which will
measure the open lao!, voltage gain, the equivalent input offset voltage, the maximum positive and negative output voltage swing, and a View of the transfer
function which shows the linearity of the device.
Included is an elementary discussion of the
parameters measured and their relationship to closed
loop performance.

Theory and Characteristics of the Unijunction
Transistor

The unijunction transistor is examined as to
theory of operation, design structures, static and
transient characteristics:

AN-401 The MC1554 One·Watt Monolithic Integrated
Circuit Power Amplifier
This application note discusses four different
applications for the MC 1554, along with a circuit description including dc characteristics, frequency response, and distortion. A section of the note is also
devoted to package power dissipation calculations including the use of the curves on the power amplifier
data sheet.

AN-294 Unijunction Transistor Timers and Oscillators
Twelve different Uhijunction transistor circuits,
complete with parts lists are given. Temperature stabilization. of the peak-point voltage is examined and
dynamiC operation paths are discussed.

10-11

APPLICATION NOTE ABSTRACTS (continued)

AN-403 Single Power Supply Operation of IC Op Amps

and dc circuit analysis is presented in addition to
many of the pertinent electrical characteristics and
how they might affect the system performance.

A split zener biasing technique that permits use
of the MCI530/1531, MC1533, and MCI709 operational amplifiers and their restricted temperature
counterparts MC 1430/1431, MC 1433 and MC 1709C
from a single power supply voltage is discussed in detail. General circuit considerations as well as specific
ac and dc device considerations are outlined to minimize operating and design problems.

AN-412 Duplexing with Step Recovery Varactors
The switching function in a duplexer circuit can
be performed automatically by a step recovery varac·
tor, eliminating the need for an external bias circuit.
In this note, two CW duplexers are described: a 133
MHz lumped constant component duplexer and a 450
MHz microstrip transmission line duplexer.

AN-404 A Wideband Monolithic Video Amplifier
This note describes the basic principles of ac
and dc operation of the MCI552G and MC1553G,
characteristics obtained as a function of the device
operating modes, and typical circuit applications.

AN·413 Unijunction Trigger Circuits for Gated
Thyristors
This note describes the methods of supplying
controlled pulse widths in synchronization with the
ac power line to gated thyristors. The unijunction
transistor provides a simple and convenient means of
obtaining such pulses as well as including feedback
with very little additional circuitry.

AN-405 DC Comparator Operations Utilizing Monolithic
IC Amplifiers
The use of the MC 1533 operational amplifier
and the MC 171 0 differential comparator are discussed. The capabilities and performance are given
along with typical operating curves for both devices.

AN·414 Operation and Application of MHTL
IIC Flip-Flops

AN-406A UHF Broadband Amplifier DeSign

A master-slave R-S and a dual J·K are the initial
flip·flop elements available in the Motorola High
Threshold Logic (MHTL) family. This note describes
operation and characteristics of each unit and illustrates
several applications of these devices.

A design technique is given for a wideband
amplifier operating at UHF frequencies. A shuntshunt feed-back network and V-parameters at sampled frequencies are used.

AN415AAvoiding Second Breakdown
The use of safe-area data, the physical mechanism

AN-407 A General Purpose IC Differential Output
Operational Amplifier

of second breakdown and applications to various circuits are presented. Also included is a short discussion
of test procedures and a typical test circuit used to
establish safe area curveS.

This application note discusses four different
applications for the MC 1520 and a complete description of the device itself. The final sections of the
note discuss such topics as operation from single and
split power supplies, frequency compensation, and
various feedback schemes.

AN·416 One-Step High Order Frequency Multipliers
The circuits described in this report include the
use of lumped constants, coaxial cavities, and wave·
guides. The design of lumped constant, low order
multipliers is discussed in Application Notes AN·147
and AN-lSI and coaxial cavity multiplier design is
treated in Note AN-159. Therefore, only a brief out·
line of the X2 and X3 multiplier circuits will be given.

AN-408 Problems and Solutions With MDTL and MRTL
Problems which may be encountered in using
MRTL or MDTL integrated circuits in low or medium
speed systems are examined in this report. Methods
of shaping clock waveforms, restrictions on input and
output terminals when interfacing with discrete components, and techniques for extending temperature
range are discussed.

AN-417 A IC Crystal Controlled Oscillators
Crystal controlled square wave oscillators can
be used as clock drivers, harmonic sources for frequency markers, in frequency synthesizers, frequency
comparators, etc. It is difficult to obtain high frequency square waves due to the long propagation

AN·411 The MC1535 Monolithic Dual Op Amp
This note discusses two dual operational amplifier applications and an input compensation scheme
for fast slew rate for the MC 1535. A complete ac

•

10-12

APPLICATION NOTE ABSTRACTS (continued)
delays of the most integrated circuits. The MECL
10,000 circuits with 2 ns propagation delays eliminate
this problem. This note describes square wave oscillator circuits with crystal control that are capable of
output frequencies, inverted and non-inverted, up to
200 MHz.

This paper discusses some of the theoretical and
practical considerations for using this popular method
to design field effect transistor amplifiers.

AN-426A

Low-Power Audio Amplifiers Using
Complementary Plastic Transistors

The use of complementary-symmetry output
tranSistors in low-power audio amplifiers enables the
circuit designer to achieve maximum circuit performance at minimum component cost. This note describes
several audio amplifier circuits suitable for power outputs of up to 2 watts with 8-,16- and 40-ohm loads.
Also described is a line-operated single-ended audio amplifier suitable for table-radio or television applications.

AN-418 High Speed Monostable Multiliibrator Design
with MECL Integrated Circuits
This note describes two configurations of monostable multivibrators using the MC1023 clock driver
and a delay element. Operating frequencies in excess
of 70 MHz and pulse widths of 4 nanoseconds are
possible. Methods of obtaining the predetermined
delay are also discussed.

AN-432B

AN-419 UHF Amplifier Design Using Data Sheet
Design Cu rYes

A Monolithic Integrated FM Stereo Decoder
System

This application note discusses the circuit approach that has been taken in the realization of the
first monolithic integrated stereo multiplex decoder
built for consumer usage, as well as some of the details
concerning its incorporation in an FM stereo receiver.

This note describes the design of UHF narrowband amplifiers using the device loading admittances
taken directly from the device data sheet. A design
example is given in the form of a 1 GHz microstrip
amplifier. Predicted results are compared to actual
measured values. Also included is a short discussion
on practical microstrip construction techniques.

AN-436 Conventional and Soft-Start Dimming of
Incandescent Lights
This note describes two dimmers that provide
wide·range control of incandescent light intensity by
adjusting the angle of conduction in a series triac.
One dimmer features simplicity for small size and low
cost, while the other offers soft-start operation to
iimit inrush current and lengthen lamp life.

AN-421 Semiconductor Noise Figure Considerations
A summary of many of the important noise
figure considerations related with the design of low
noise amplifiers is presented. The basic fundamentals
invoiving noise, noise figure, and noise figure-frequency
characteristics are then discussed with the emphasis
on characteristics common to all semiconductors. A
brief introduction is made to various methods of data
sheet presentation of noise figure and a summary is
given for the various methods of measurement. A
discussion of low noise circuit design, utilizing many
of the previously discussed considerations, is included.

AN-437ADesign Considerations and Performance of
Motorola Temperature-Compensated Zener
Reference Diodes
This application note defines Motorola temperature-compensated zener (reference) diodes, explains
the device characteristics, describes electrical testing,
discusses the advanced concepts of device reliability
and qUality assurance, and outlines device construc·
tion.

AN-422 Testers for Thyristors and Trigger Diodes
This paper describes inexpensive goon o-go testers
for thyristors and trigger diodes. Each is very simple
to use and is well adapted to incoming inspection and
other applications requiring fast testing of major
parameters.

AN-439 MC1539 Op Amp and its Applications
This application note discusses the MC1539, a
second generation operational amplifier. The general
use and operation of the amplifier is discussed with
special mention made of improved operation over
that of its first generation predecessor-the 709 type
amplifier.
In addition to the detailed discussion on the
dc and aC operation of the device, considerable emphasis is placed on operational performance. Many

AN-423 Field-Effect Transistor RF Amplifier
DeSign TeChniques
Amplifier design theory utilizing the two port
network model for an active device has been well
developed and used extensively in bipolar transistor
high frequency amplifier design.

10-13

APPLICATION NOTE ABSTRACTS (continued)

AN·447 Fast Charging Systems for Ni,Cd Batteries

applications are offered to demonstrate the device
capability, including a high frequency feed-forward
scheme; and a source follower application.

This note discusses the requirements and problems encountered in designing fast charging systems
for nickekadmium (Ni-Cd) cells. including some cell
characteristics affected by high-rate charging.

AN-440 Theory and Characteristics of Photo Transistors
A brief history· of the photo-electric effect is
discussed, followed by a comprehensive analysis of
the effect in bulk semicollductors,· pn junctions and
phototransistors .. A model is presented for the phototransistor., Static and transient data for the MRD300
provide typical phototransistor characteristics. Appendices provide a discussion of the relationship of irradiation and illumination and define terms specifically
related to phototransistors.

AN-450 Induction Motor Speed Control
A method of providing speed control above and
below design speed for an induction motor is shown
in this note. Such speed control increases the versatility of an induction motor and permits it to be
used in fulfilling requirements formerly satisfied only
by dc motors.

AN-451 A Frequency Counter Using Motorola RTl
Integrated Circuits

AN-441 SCR Slaving Circuits
This circuit makes use of a low-cost transistor
to overcome the limitations of a conventional R-C
discharge circuit in slave firing of an SCR. It is especially useful where zero-point switching techniques
are employed to control large electrical loads.

A frequency-period counter with a total hardware cost under $200.00, based on unit quantity
prices, is described. The. instrument measures the
periods and frequencies of periodic waveforms, ranging
in frequency from 10 Hz to 20 MHz. and counts ran.dom .occuraI\ces for selected gate times of one millisecond to 10 seconds .. A fqur digit decimal readout is
provided. The ·Iow cost is achieved by utilizing plastic
MRTL devices in unique versions of a crystal controlled
oscillator, a period selector, a one shot multivibrator,
a pulse shaper, and a switch contact bounce eliminator
circuit.

AN-442 Designing DC-DC Converters for Capacitor
Charging with Batteries
This paper outlines design considerations for
converters used for charging energy-storage capacitors
with low-voltage batteries. The ratio of capacitor voltage to battery voltage is chosen to be greater than 100.
A discussion of converter characteristics is presented here from the standpoint of efficiency, frequency of oscillation, rate of energy transfer from
battery to capacitor, and peak battery current drain.
A complete circuit is included that is tolerant
of semiconductor parameter variations and is thus
suitable for economical mass production.

AN·453 Zero Point Switching Techniques
This note discusses two unique pulse-type thyristor triggering circuits which meet. the exact timing
requirements Of zero-point switching. They dissipate
very little power and can be used with either sensitive
or "shorted" gate devices.

AN-443 Directional.and Speed Control for Series,
Universal and ShUnt Motors

AN-454 AC Overcurrent Protective Circuit with
Automatic Reset

A simple circuit containing few components
allows control of both speed and directidn of rotation
of dc motors. The use of thyristors provides continuous
driver control through the speed range without compromising the torque characteristics of the. motors.

A unique circuit that will protect ac resistive
loads from both overvoltage and overcurrent is shown.
One feature of this circuit is that the senSing element
is not in series with the load when. the load is turned on.

AN-455 Using the FET Designers Data Sheet for Worst
Case Amplifier Circuit Design

AN-445 Pulse-Width Modulation for DC-Motor
Speed Control

Basic information for the use of field effect transistors is provided, and is an aid to complete understanding of the Designers· Data Sheet. This report
discusses the advantages, disadvantages, types and
modes of operatioll of FETs and presents a definitive
discussion of key .parameters With their relationship
to circuit design. when applicable.

Feedback derived from a motor's armature and
dependent on its speed can. be used to counteract the
reduction in speed that accompanies i<~ading. This
note describes two speed-control circuits Which use
different methods to obtain the feedback signal. One
method uses voltage sensing, and the other an optical
pickup .

•

10-14

APPLICATION NOTE ABSTRACTS (continued)

AN-456 A 50 MHz Programmable Couriter Designed with
MECL II Integrated Circuits

supply, and output load, and (3) examples of memory
system organization utilizing the 16-bit memory as
the basic cell.

A high speed programmable counter using the
MECL II family of logic is discussed. The counter is
designed to accept an input frequency up to 50 MHz
and divide it by any number from 2 to 999. This
number is programmed into three decades of synchronous down counters. These decades with additional decoding and control logic comprise a complete
high speed divide-by-N counter system.

AN-465 MTTL Designer's Note - The MC4006/MC4007
Decoders
Two MTTL complex functions, the MC4006
Binary to One-of-Eight Decoder and the MC4007 Dual
Binary to One-of-Four Decoder are discussed. Their
basic modes of operation and expansion capabilities
are described. Examples of the use of the decoders in
various systems are presented.

AN-459 A Simple Technique for Extending Dp Amp
Power Bandwidth
The design of fast response amplifiers is presented without the use of "tricky" compensation
procedures or calculations using data sheet information. Circuit analysis for compensation procedure
is given.

AN-466 Circuit Applications for the Triac
This note discusses the basic theory of operation
of the triac with control methods and circuit applications. Among the applications included are basic
switches, lamp dimmers, motor controls, a heater
control, a flasher, a regulator, protective circuits and
zero-point switching.

AN-460 Using Transient Response to Determine
Operational Amplifier Stability
This application note describes a technique for
evalUating the stability of any particular feedback
amplifier configuration by analyzing its response to a
step-function input. A theoretical analysis is given
along with an example.

AN-467 Using Motorola High Threshold Logic
This application note explains operation of the
Motorola High Threshold Logic (MHTL) family of
integrated circuits. It briefly describes the members
of the family and provides many of the characteristics
of the units. Several examples are provided to aid the
reader in the application of this unique logic family.

AN-461 Transient Suppression with a Power Zener Diode
Voltage transients are a major cause of component failure in semiconductor circuits. A design
engineer must consider this problem carefully if he is
to insure maximum circuit reliability. This note discusses the sources of transients and their detection,
and describes transient suppression using power zener
diodes designed for this purpose.

AN-469 Line Operated 15-kHz Inverter
The circuit shown in this note is a line-operated
inverter. It makes use of high·voltage, high-frequency
silicon power transistorsto provide 120 volts and 200
watts at 15 kilohertz. Because of the high frequency
of operation, the components used can be small in
size, resulting in a very compact inverter.

AN-462 FET Current Regulators-Circuits and Diodes
Included are numerous FET cunent sourcing circuits, along with an extensive treatment of the current
regulating diode and its uses as a valuable component
in circuit design.

AN-470 Bipolar Chopper Transistors and Circuits
Bipolar transistor chopper circuits are used in
many applications for low-drift amplification of dc
and low-frequency ac signals. This note discusses the
characteristics of transistors used as choppers and the
circuits in which they can be used.

AN-464 MTTL Designer's Note - The MC4004/MC4005,
A 16-Bit Random Access Memorv
High speed, non-destructive readout (NDRO)
memory systems can be constructed with the MTTL
16-bit memory chip. Information concerning the chip
that is pertinent to the design of a complete memory
system is herein presented. The topics discussed are:
(1) operation of the 16-bit memory including tYpical
read and write sequences, (2) typical dc and switching
characteristics as a function of temperature, power

AN-471 Analog-ta-Digital Conversion Techniques
The subject of analog-to-digital conversion and
many of the techniques that can be used to accomplish
it are discussed. The paper is written in general terms
. fr?m a system point of view and is intended to assist
the reader in determining which conversion technique
is best suited for a given application.

10-15

APPLICATION NOTE ABSTRACTS (continued)
characteristics of dual-gate MOSFETs, with emphasis
on designing circuits, noise figure, AGC, bandwidth
and detuning, cross-modulation and mixer operation.

AN-473 A Monolithic High-Power Series Voltage
Regulator
This note discusses MC1560/MC1561 voltage
regulator in terms of internal operation, development
of these circuits, and how they are advantageously
used in supply fabrication.

AN-480 Regulators Using Operational Amplifiers
The theory of op amp voltage regulator design
is discussed. The problem areas associated with such
designs are also detailed. The MC1560 is used as a
OTC voltage reference in the op amp regulator designs
that are shown. It is shown that regulation from
0.01 % to 0.001 % is possible.

AN-474 The MC1541 - A Gated Dual-Channel Sense
Amplifier for Core Memories
The MCI541 sense amplifier can provide many
magnetic core memory systems with lower system
cycle times and a lower package count than with previous sense amplifiers. Circuit operation, design considerations, interface pr!)blems and typical applications
are discussed.

AN-481 A Broadband 4-Watt Aircraft Transmitter
This report describes a 4-W wideband AM aircraft transmitter intended for light aircraft. The f~e­
quency range is 118 to 136 MHz and no tuning is
required when changing frequency. The RF circuitry
can be operated from 12.5 V, or can be used with a
series modulator described in the note from 26 V.

AN-475 Using the MC1545 - A Monolithic, GatedVideo Amplifier
Because Qf the unique design of the MCIS45,
this amplifier can be used as a gated video amplifier,
sense amplifier, amplitude modulator, frequency shift
keyer, balan,*,d modulator, pulse amplifier, and many
other applications. This note describes the ac and dc
operation of the circuit and presents applications of
the device as a video switch, amplitude modulator,
balanced modulator, pulse amplifier, and otherS.

AN-482 Electronic Speed Control of Appliance Motors
This application note discusses the. possibilities
of controlling several types of induction motors,
universal motors, and permanent-magnet motors, and
includes circuit designs for each. By matching the
motor to its electronic control, the designer can obtain
a simple and efficient system.

AN-476 MTTL Designer's Note - The MC4000 Data
Selector and the MC4002 Data Distributor
Two MTTL complex functions,. the MC4002
four and two-<:hannel data distributor, and the MC4000
dual four-<:hannel data selector are discussed. Their
basic modes of operation and expansion capabilities
are described. Examples of the use of the data distributor and the data selector in various systems are
presented.

AN-477. A 30-Watt 175 MHz Power Amplifier Using
PNP Transistors
This note describes a three-stage power amplifier that delivers 30 watts output at 175 MHz. It
utilizes the first commercially available VHF PNP
high-power transistors to provide 29 dB gain, 50%
overall efficiency, and low spurious output.

AN-483B 20 and 30 Watt Power Amplifiers Using
Darlington Output Transistors
Use of monolithic power Darlington output
tr
Source Exif Data:
File Type                       : PDF
File Type Extension             : pdf
MIME Type                       : application/pdf
PDF Version                     : 1.6
Linearized                      : No
Create Date                     : 2017:06:06 12:41:25-08:00
Modify Date                     : 2017:06:06 13:22:48-07:00
XMP Toolkit                     : Adobe XMP Core 4.2.1-c041 52.342996, 2008/05/07-21:37:19
Metadata Date                   : 2017:06:06 13:22:48-07:00
Producer                        : Adobe Acrobat 9.0 Paper Capture Plug-in
Format                          : application/pdf
Document ID                     : uuid:da1ca2a3-5f94-7444-8600-48e3efae3def
Instance ID                     : uuid:e4465c04-b696-ce43-bc60-c3b33acb0eb2
Page Layout                     : SinglePage
Page Mode                       : UseNone
Page Count                      : 657
EXIF Metadata provided by EXIF.tools

Navigation menu