1983_Memory_Component_Handbook 1983 Memory Component Handbook

User Manual: 1983_Memory_Component_Handbook

Open the PDF directly: View PDF PDF.
Page Count: 816

Download1983_Memory_Component_Handbook 1983 Memory Component Handbook
Open PDF In BrowserView PDF
LITERATURE
1983 will be a year of transition for Intel's catalog program. In order to better serve you, our
customers, we are reorganizing many of our catalogs to more completely reflect product groups.
In addition to the new product line handbooks listed below, an INTEL PRODUCT GUIDE (Order No.
210846) will be available free of charge in March. This GUIDE will contain a listing of Intel's complete
product line along with information on quality/reliability, packaging and ordering,customer training
classes and product services.
Consult the Intel Literature Guide (no charge, Order No. 210620) for a complete listing of Intel
literature. Literature is presently available in other forms for those handbooks that will not be
published until later in the year. Write or call the Intel Literature Department, 3065 Bowers Avenue,
Santa Clara, CA 95051, (800) 538-1876, or (800) 672-1833 (California only).

HANDBOOKS
Memory Components Handbook (Order No. 210830)
Contains all application notes, article reprints, data sheets and other design
information on RAMs, DRAMs, EPROMs, E2 PROMs, Bubble Memories.
Microcontroller Handbook (Available in May)
Contains all application notes, article reprints, data sheets, and other user information
on the MCS-48, MCS-51 (8-bit) and the new MCS-96 (16-bit) product families.
Military Handbook (Order No. 210461)
Contains complete data sheets on all military products.
Microprocessor and Peripherals Handbook (Order No. 210844)
Contains data sheets on all microprocessors and peripherals. (Individual User
Manuals are also available on the 8085, 8086, 8088, 186, 286, etc.)
Development Systems Handbook (Available in April)
Contains data sheets on development systems and supporting software.
OEM Systems Handbook (Available in May)
Contains all application notes, article reprints and data sheets for OEM boards
and systems.
Software Handbook (Available in May)
Contains software product overview as well as data sheets for all Intel software.
Quality/R,liability Standards Handbook (Available in April)

MEMORY COMPONENT
HANDBOOK

1983

Intel Corporation makes no warranty for the use of its products and assumes no responsibility for any errors which may
appear in this document nor does it make a commitment to update the information contained herein.
The following are trademarks of Intel Corporation and may only be used to identify Intel Products:
BXp, CREDIT, i, ICE, 12 1CE, ICS, iDBp, iDIS, iLBX, i m , iMMX,
Insite, INTEL, intel, Intelevision, Intellec, inteligent IdentifierT. ,
intelBOS, inteligent Programming T. , Intellink, iOSP, iPDS,
iRMS, iSBC, iSBX, iSDM, iSXM, Library Manager, MCS,
Megachassis, Micromainframe, MULTI BUS, Multichannel T •
Plug-A-Bubble, MULTI MODULE, PROMPT, Ripplemode,
RMX/80, RUPI, System 2000, and UPI, and the combination of
ICE, iCS, iRMX, iSBC, MCS, or UPI and a numerical suffix.
MDS is an ordering code only and is not used as a product name or trademark. MDS® is a registered trademark of
Mohawk Data Sciences Corporation.
* MULTI BUS is a patented Intel bus.

Additional copies of this manual or other Intel literature may be obtained from:
Intel Corporation
literature Department
3065 Bowers Avenue
Santa Clara, CA 95051

©INTEL CORPORATION. 1982

Table of Contents

Alphanumeric Index ........ ',' . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

v

CHAPTER 1
Memory Overview ......... , . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

1-1

CHAPTER 2
Intel Memory Technologies. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

2-1

CHAPTER 3
RAMs (Random Access Memories)
APPLICATION NOTES
AP-74 High Speed Memory System Design Using 2147H . . . . . . . . . . . . . . . . . . . . . . . . .
AP-131 Intel 2164A 64K Dynamic RAM Device Description .........................
AP-132 Designing Memory Systems with the 8K x 8 iRAM (2186/87) . . . . . . . . . . . . . . . ..
AP-133 DeSigning Memory Systems for Microprocessors Using the Intel 2164A
and 2118 Dynamic RAMs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ..
AP-97 A Interfacing Dynamic RAMs to iAPX 86, 88 Systems ..................... , ..
AP-141 8203/8206/2164A Memory Design ......................................
AP-46 Error Detecting and Correcting Codes Part I ..............................
AP-73 ECC #2 Memory Systems Reliability with ECC ............................
ARTICLE REPRINTS
AR-189 Keep Memory Design Simple Yet Cull Single-Bit Errors ...................
AR-197 Better Processor Performance Via Global Memory (2164A) ..................
DATA SHEETS
2114A, 1024 x 4-Bit Static RAM ..............................................
2115N2125A Family, High Speed 1K x 1-Bit Static RAM ..........................
2115H/2125H Family, High Speed 1K x 1-Bit Static RAM ..........................
2118 Family 16,384 x 1-Bit Dynamic RAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ..
2141, 4096 x 1-Bit Static RAM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ..
2147H High Speed 4096 x 1-Bit Static RAM .....................................
2148H, 1024 x 4-Bit Static RAM ..............................................
2149H, 1024 x 4-Bit Static RAM ..............................................
2164A Family 65,536 x 1-Bit Dynamic RAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ..
2186, 8192 x 8-Bit Integrated RAM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ..
8203 64K DynamiC RAM Controller ...........................................
8206 Error Detection and Correction Unit .......................................
8207 Advanced Dynamic RAM Controller ......................................
RAM Family Express Data Sheet ................................ : . . . . . . . . . . ..

3-1
3-22
3-40
3-70
3-110
3-146
3-151
3-164
3-209
3-217
3-224
3-228
3-233
3-238
3-249
3-255
3-259
3-263
3-267
3-281
3-288
3-303
3-322
3-348

CHAPTER 4
EPROMs (Erasable Programmable Read Only Memories)
APPLICATION NOTES
AP-151 The Inteligent Programming™ Algorithm Fast Programming
for Intel EPROMs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
DATA SHEETS
2716, 16K (2K x 8) UV Erasable PROM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
2732A, 32K (4K x 8)UV Erasable PROM .......................................... "
2764, 64K (8K x 8) UV Erasable PROM ........................................... "
27128, 128K (16K x 8) UV Erasable PROM. .. ...... .. ... ... .. . ........... ... .. .. . ...
27256, 256K (32K x 8) UV Erasable PROM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ..
Express Data Sheet ............................. : . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ..
iii

4-1
4-5
4-12
4-19
4-29
4-39
4-40

CHAPTER 5
E2PROMs (Electrically Erasable Programmable Read Only Memories)
1982-1983 Designer's Guide to E2PROM Products ..............................
5-1
APPLICATION NOTES
AP-100 Reliability Aspects of a Floating Gate E2PROM . . . . . . . . . . . . . . . . . . . . . . . . . .. 5-16
AP-136 A MULTIBUS®-Compatible 2816' E2PROM Memory Board Description. . . . . . .. 5-23
AP-148 2817 Using the 2817 Intelligent E2 PROM............................... 5-67
ARTICLE REPRINTS
AR-11916-K EE-PROM Relies On Tunneling For Byte-Erasable Program Storage...... 5-74
AR-174 Hardware a Software Download Techniques with 2816 .............. '.' . .. 5-80
AR-230 ROMs That Erase One Byte at a Time .................................. 5-85
DATA SHEETS
2815, 16K (2K x 8) Electrically Erasable PROM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5-90
2816, 16K (2K x 8) Electrically Erasable PROM ................................. 5-103
2816A, 16K (2K x 8) Electrically Erasable PROM ................................ 5-116
2817, 16K (2K x 8) Electrically Erasable PROM ................................. 5-128

CHAPTER 6
Bubble Memory
Magnetic Bubble Primer. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
APPLICATION NOTES
AP-119 Microprocessor Interface for the BPK 72 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ..
AP-127 Powerfail Considerations for Magnetic Bubble Memories. . . . . . . . . . . . . . . ..
AP-150 8085 to BPK 72 Interface ......... " .. , , , . , , ... , , ' , .. , .. , ' ... , . , ' . , . , , ..
ARTICLE REPRINTS
AR-243 Thin-film Detectors X-ray Lithography Deliver 4-Mbit Bubble Chip .. , , , ..... , '.
AR-250 Bubble Chip Packs 4 Mbits Into 1-Mbit Space, . , , , , , , . , , , . , , , , , , , , ..... ,
DATA SHEETS
BPK 72 Bubble Storage Prototype Kit ,:" ... ,.,', .... ,", .. , .. ,',.".,.,",.,'
BPK 70 1 Megabit Bubble Storage Subsystem " " , ... , .... , ... ,',., ... , .. , ... ,'
7110, 1 Megabit Bubble Memory Family ., ... , .. ,., .... " .. " ...... " . , " " " "
7220-1, Bubble Memory Controller, .. , .. , .... , . , , , .. , . , , .. , , , ..... , ......... "
7230, Current Pulse Generator for Bubble Memories ........... , ... , . , , ... , ' , , , "
7242, Dual Formatter/Sense Amplifier for Bubble Memories. , .. , ... , . , , , , , , ... , .. ,.
7250, Coil Pre-Driver for Bubble Memories " ... , ... ,",.','.' .. , .. ,"', ........
7254, Quad VMOS Drive Transistors for Bubble Memories. , , . , . , , . , . , .. , , , , . . . . . ..
7114, 4-Megabit Bubble Memory ... ',"",.,"",., ... ,', .. "., .. ,.,., ... ,....

6-1
6-15
6-64
6-86
6-158
6-160
6-169
6-172
6-176
6-184
6-199
6-204
6-216
6-220
6-223

CHAPTER 7
Packaging Information . ... ' , ' .. , " , . , . , , . , .. , , .,. , ' .,. , .... ' .. , ,. , . , .. , . , .... "'" , , , .. , '. , . 7-1

iv

Alphanumeric Index
2114,1024 x 4-Bit Static RAM ...................................................................... 3-224
2115A/2125A Family, High Speed 1K x 1-Bit Static RAM ............................................. 3-228
2115H/2125H Family, High Speed 1K x 1-Bit Static RAM.... .................. ..........
. .... 3-233
2118 Family 16,384 x 1-Bit Dynamic Ram....... .... .................................. ..... .. 3-70,3-238
2141,4096 x 1-Bit Static RAM .......................................................................... 3-249
2147H High Speed 4096 x 1-Bit Static RAM.................... ..
. .................... , ........ 3-1, 3-255
2148H High Speed 1024 x 4-Bit Static RAM. ......... ........ .. .......
. .......... ...... . .3-259
2149H High Speed 1024 x 4-Bit Static RAM ................... , ............ , .......................... 3-263
2164A 65,536 x 1-Bit Dynamic RAM .............................................. 3-22, 3-70,,3-146, 3-217, 3-267
2186,8192 x 8-Bit Integrated RAM ................................................................ 3-40, 3-281
27128, 128K (16K x 8) UV Erasable PROM ........................................................... 4-29
2716, 16K (2K x 8) UV Erasable PROM ................................................................... 4-5
27256, 256K (32K x 8) UV Erasable PROM ............................... . . . . . . . . .. .
. . . .. . .. 4-39
2732A, 32K (4K x 8) UV Erasable PROM ................................. : ............................. 4-12
2764, 64K (8K x 8) UV Erasable PROM ..................................................... _............... 4-19
2815, 16K (2K x 8) Electrically Erasable PROM ............................................................ 5-90
2816, 16K (2K x 8) Electrically Erasable PROM ......................................... 5-16, 5-23, 5-80, 5-103
2816A, 16K (2K x 8) Electrically Erasable PROM..... . ................................................ 5-116
2817, 16K (4K x 8) Electrically Erasable PROM.........................
. .................. 5-67,5-128
7110,1 Megabit Bubble Memory Family .............................................................. 6-176
7114, 4-Megabit Bubble Memory ................................................................. :6-223
7220-1, Bubble Memory Controller. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . ............ 6-64,6-86,6-184
7230, Current Pulse Generator for Bubble Memories. . . . . . . . . . . . . . . . . . . . . . . .. . ......................... 6-199
7242, Dual Formatter/Sense Amplifier for Bubble Memories.... . .... ......
. .................. 6-204
7250, Coil Pre-driver for Bubble Memories.. .. .... ... ... ... ..... .......... .
. .................. 6-216
7254, Quad VMOS Drive Transistors for Bubble Memories ........................................ 6-220
8085 Microprocessor. "'.. . .................................................. .............. ........ . .. 6-86
8202A Dynamic RAM Controller ...................................................................... 3-110
8203 64K Dynamic RAM Controller ...................................................... 3-110, 3-146, 3-288
8206 !=rror Detection and Correction Unit .................................................. 3-146, 3-209,3-303
8207 Advanced Dynamic RAM Controller........................... .... .....
. ..... , ............ 3-322
BPK 70, 1 Megabit Bubble Storage Subsystem. . . .. .. ............. ................. .............. ..6-172
BPK 72, Bubble Storage Prototype Kit .......................................................... 6-15,6-169
iAPX-8616-Bit HMOS Microprocessor ................................................................ 3-110
iAPX-88 8-Bit HMOS Microprocessor .................................................................... 3-110

v

PREFACE
This handbook has been prepared to provide a comprehensive grouping of
technical literature covering Intel's memory products, with special emphasis
on microprocessor applications. In addition, a brief summary of current
memory technologies and basic segmentation of product lines is provided.

Memory Overview

1

CHAPTER I: MEMORY OVERVIEW
Joe Altnether

MEMORY BACKGROUND
AND DEVELOPMENT

store important data on a non-volatile medium before
the power goes down.

Only ten years ago MOS LSI memories were little more
than laboratory curiosities. Any engineer brave enough
to design with semiconductor memories had a simple
choice of which memory type to use. The 2102 Static
RAM for ease of use or the 1103 Dynamic RAM for low
power were the only two devices available. Since then,
the memory market has come a long way, the types of
memory devices have'proliferated, and more than 3,000
different memory devices are now available. Consequently, the designer has a lot to choose from but the
choice is more difficult, and therefore, effective memory
selection is based on matching memory characteristics
to the application.

Despite their volatility, RAMs have become very popular, and an industry was born that primarily fed computer
systems' insatiable appetites for higher bit capacities
and faster acc,ess speeds.

RAM Types
Two basic RAM types have evolved since 1970. Dynamic
RAMs are noted for high capacity, moderate speeds
and low power consumption. Their memory cells are
basically charge-storage capacitors with driver transistors. The presence or absence of charge in a capacitor is interpreted by the RAM's sense line as a logical
1 or 0, Because of the charge's natural tendency to distribute itself into a lower energy-state configuration,
however, dynamic RAMs require periodic charge refreshing to maintain data storage,

Memory devices can be divided into two main categories: volatile and non-volatile. Volatile memories retain their data only as long as power is applied. In a great
many applications this limitation presents no problem.
The generic term random access memory (RAM) has
come to be almost synonymous with a volatile memory
in which there is a constant rewriting of stored data.

Traditionally, this requirement has meant that system
designers had to implement added circuitry to handle
dynamic RAM subsystem ref~esh. And at certain times,
refresh procedures made the RAM unavailable for writing or reading; the memory's control circuitry had to arbitrate access. However, there are now two available
alternatives that largely offset this disadvantage. For
relatively small memories in microprocessor environments, the integrated RAM or iRAM provides all
of the complex refresh circuitry on chip, thus, greatly
simplifying the system design. For larger storage requirements, LSI dynamic memory controllers reduce
the refresh requirement to a minimal design by offering a monolithic controller solution.

In other situations, however, it is imperative that a nonvolatile device be used because it retains its data
whether or not power is applied. An example of this requirement would be retaining data during a power
failure. (Tape an'd disk storage are also non-volatile
memories but are not included within the scope of this
book which confines itself to solid-state technologies in
an IC form factor.)
Thus, when considering memory devices, it's helpful to
see how the memory in computer systems is segmented
by applications and then look at the state-of-the-art in
these cases.

Where users are less:concerned with space and cost
than with speed and reduced complexity, the second
RAM type - static RAMs - generally prove best.
Unlike their dynamic counterparts, static RAMs store
ones and zeros using traditional flip-flop logic-gate con-'
figurations. They are faster and require no refresh. A
user simply addresses the static RAM, and after a very
brief delay, obtains the bit stored in that location, Static
devices are also simpler to desigh with than dynamic
RAMs, but the static cell's complexity puts these non- ,
volatile chips far behind dynamics in bit capacity per
square mil of silicon.

Read/Write Memory
First examine read/write memory (RAM), which permits
the access of stored memory (reading) and the ability
to alter the stored data (writing).
Before the advent of solid-state read/write memory,
active data (data being processed) was stored and retrieved from non-volatile core memory (a magneticstorage technology). Solid-state RAMs solved the size
and power consumption problems associated with core,
but added the element of volatility. Because RAMs lose
their memory when you turn off their power, you must
leave systems on all the time, add battery backup or

The iRAM
There is a way, however, to gain the static RAM's
design-in simplicity but with the dynamiC RAM's higher

1-1

MEMORY OVERVIEW

capacity and other advantages. An integrated RAM or
iRAM integrates a dynamic RAM and its control and
refresh circuitry on one substrate, creating a chip that
has dynamic RAM density characteristics, but looks like
a static RAM to users. You simply address it and collect
your data without worrying about refresh and arbitration.

The first ROMs contained cell arrays in which the sequence of ones and zeros was established by a metal ization interconnect mask step during fabrication. Thus,
users had to supply a ROM vendor with an interconnect
program so the vendor could complete the mask and
build the ROMs. Set-up charges were quite high - in
fact, even prohibitive unless users planned for large
volumes of the same ROM.

Before iRAM's introduction, users who built memory
blocks smaller than 8K bytes typically used static
RAMs because the device's higher price was offset by
the support-circuit simplicity. On the other hand, users
building blocks larger than 64K bytes usually opted for
dynamic RAMs because density and power considerations began to take precedence over circuit complexity issues.

To offset this high set-up charge, manufactu'rers developed a user-programmable ROM (or PROM). The first
such devices used fusible links that could be melted or
"burned" with a special programmer system.
Once burned, a PROM was just like a ROM. If the burn
program was faulty, the chip had to be discarded. But,
PROMs furnished a more cost-effective way to develop
program memory or firmware for low-volume purposes
than did ROMs.

For the application area between these two limits, decisions had to depend on less straightforward tradeoffs.
But iRAMs could meet this middle area's needs (See
Figure 1).

Read-Only Memory

As one alternative to fusable-link programming, Intel
pioneered an erasable MOS-technologyPROM (termed
an EPROM) that used charge-storage programming. It
came in a standard ceramic DIP package but had a window that permitted die exposure to light. When the chip
was exposed to ultraviolet light, high energy photons
could collide with the EPROM's electrons and scatter
them at random, thus erasing the memory.

Another memory class, read-only memory (ROM), is
similar to RAM in that a computer addresses it and then
retrieves data stored at that address. However, ROM includes no mechanism for altering the data stored at that
address - hence, the term read only.
ROM is basically used for storing information that isn't
subject to change - at least not frequently. Unlike
RAM, when system power goes down, ROM retains its
contents.

The EPROM was obviously not intended for use in
read/write applications, but it proved very useful in
research and development for prototypes, where the
need to alter the program several times is quite common. Indeed, the EPROM market consisted almost exclusively of development labs. As the fabrication process became mature, however, and volumes increased,
EPROM's lower prices made them attractive even for
medium-volume production-system applications.

ROM devices became very popular with the advent of
microprocessors. Most early microprocessor applications were dedicated systems; the system's program
was fixed and stored in ROM. Manipulated data could
vary and was therefore stored in RAM. This application
split caused ROM to be commonly called program
storage, and RAM, data storage.

8K
16K
SYSTEM RAM BLOCK SIZE (BYTES)

Figure 1. System Cost Graph

1-2

64K

.".

MEMORY OVERVIEW

During a read operation, the chips use conventional + 5
Volt power.

Another ROM technology advance occurred in 1980
with the introduction of Intel's 2816- a 16K ROM that's
user programmable and electrically erasable. Thus, instead of removing it from its host system and placing it
under ultraviolet light to erase its program, the 2816 can
be reprogrammed in iis socket. Moreover, single bits or
entire bytes can be erased in one operation instead of
erasing the entire chip.

Bubble MemQry
A very different device type, bubble memory was once
considered the technology that would obsolete RAM
components. This view failed to consider the inherent
features and benefits·of each technology. There is no
question that RAMs have staked out a read/write applications area that is vast. Nevertheless, their volatility
presents severe problems in more than a few applications. Remote systems, for example, might be unable
to accept a memory that is subject to being wiped out
should a power failure occur.

Such E2 PROMs (for electrically erasable programmable ROM) are opening up new applications. In pointof-sale terminals, for example, each terminal connects
to a central computer but each can also handle moderate amounts of local processing. An E2PROM can store
discount information to be automatically figured in during a sales transaction. Should the discount change, the
central computer can update each terminal via telephone
lines by reprogramming that portion of the E2PROM
(Figure 2).

Bubble memories use a magnetic storage technique,
roughly similar to the core memory concept but on a
much smaller size and power-consumption scale. They
are non-volatile and physically rugged. Thus, their first
clear applications target has been in severe-environment
and remote system sites. Portable terminals represent
another applications area in which bubbles provide unique
benefits.

In digital instrumentation, an instrument could become
self-calibrating using an E2PROM. Should the instrument's calibration drift outside specification limits, the
system could employ a built-in diagnostic to reprogram
a parametric setting in an E2PROM and bring the
calibration back within limits.

Considering bubble products, Intel's latest design provides 1,048,576 bits of data storage via a defect-tolerant
technique that makes use of 1,310,720 total bits (Figure
3). Internally, the product consists of 256 storage loops'
of 4,086 bits each. Coupled with available control
devices, this single chip can implement a 128K byte
memory subsystem.

E2PROMs contain floating-gate tunnel-oxide (Flotox)
-cell structure. Based on electron tunneling through a
thin (less than 200 Angstroms) layer of silicon dioxide,
these cells permit writing and erasing with 21 Volt pulses.

,....-------""1

10 DIGIT
UNIVERSAL
PRODUCT

UNIT
PRICE

CODE

KEYBOARD

CODE
SCANNER

TO INVENTORY
RECORD

Figure 2_ Typical E2PROM Application
1-3

PRODUCl
DESCRIPTION
(15 bytes)

I

MEMORY OVERVIEW

~----------------,

I

I

I
I

I
I

I

I

I

I

I
I

I
I

I

I
TO
8080
8085
8088
8086

I

7220.,
BUBBLE
MEMORY
CONTROLLER
(BMC)

TO
ADDITIONAL

BPK70'.

Figure 3. Intel Model 7110 Bubble Memory
memory. Each of these factors plays a important role in
the final selection process.

SEGMENTATION OF MEMORY DEVICES
Besides the particular characteristics of each device
that has been discussed, there are a number of other
factors to consider when choosing a memory product,
such as cost, power consumption, performance, memory architecture and organization, and size of the

Performance
Generally, the term performance relates to how fast the
device can operate in a given system environment. This

1-4

MEMORY OVERVIEW

parameter is usually rated in terms of the access time.
Fast SRAMs can provide access times as fast 20 ns,
while the fastest DRAM cannot go much beyond the 100
ns mark. A bipolar PROM has an access time of 35 ns.
RAM and PROM access is usually controlled by a signal
most often referred to as Chip Select (CS). CS often appears in device specifications. In discussing access
times, it is important to remember that in SRAMs and
PROMs, the access time equals the cycle time of the
system whereas in DRAMs, the access time is always
less than the cycle time.

cations, the use of devices made by the CMOS technology have a distinct advantage over the NMOS products. CMOS devices offer power savings of several
magnitudes over NMOS. Non-volatile devices such as
E2PROMs are usually independent of power problems
in these applications.
Power consumption also depends upon the organization of the device in the system. Organization usually
refers to the width of the memory word. At the time of
their inception, memory devices were organized as
nK x 1 bits. Today, they are available in various configurations such as 4Kx 1, 16Kx 1, 64Kx 1, 1Kx 4, 2Kx 8,
etc. As the device width increases, fewer devices are required to configure a given memory word - although
the total number of bits remains constant. The wider
organization can provide significant savings in power
consumption, because a fewer number of devices are
required to be powered up for access to a given memory
word. In addition, the board layout design is simpler due
to fewer traces and better layout advantages. The wider
width is of particular advantage in microprocessors and
bit-slice processors because most microprocessors are
organized in 8-bit or 16-bit architectures. A memo~y chip
configured in the nKx8 organization can confer a definite
advantage - especially in universal site applications.
All non-volatile memories other than bubble memories
are organized nKx8 for this very reason.

Cost
There are many ramifications to consider when evaluating cost. Cost can be spread over factors such as
design-in time, cost per device, cost per bit, size of
memory, power consumption, etc.
Cost of design time is directly proportional to design
complexity. For example, SRAMs generally require less
design-in time than DRAMs because there is no refresh
circuitry to consider. Conversely, the DRAM provides
the lowest cost per bit because of its higher packing
density.

Memory Size
Memory size is generally specified in the number of
bytes (a byte is a group of eight bits). The memory size
of a system is usually segmented depending upon the
general equipment category. Computer mainframes
and most of today's minicomputers use blocks of RAM
substantially beyond 64K bytes - usually in the hundreds of thousands of bytes. For this size of memory,
the DRAM has a significantly lower cost per bit. The additional costs of providin.g the refresh and timing circuitry are spread over many bits.

Types of Memories
The first step to narrowing down your choice is to cletermine the type of memory you are designing - data
store or program store. After this has been done, the
.
next step is to prioritize the following factors:
Performance
Power Consumption
Density
Cost

The microprocessor user generally requires memory
sizes ranging from 2K bytes up to 64K bytes. In memories of this size, the universal site concept allows maximum flexibility in memory design.

Global Memory
Generally, a global memory is greater than 64K bytes
and serves as a main memory for a microprocessor
system. Here, the use of dynamic RAMs for read/write
memory is dictated to provide the highest density and
lowest cost per bit. The cost of providing refresh circuitry
for the dynamic RAMs is spread over a large number of
memory bits, thus minimizing the cost impact. Bubbles
would also be an excellent choice for global memory
where high performance is not required. In addition,
bubbles offer low cost per bit and non-volatility.

Power Consumption
Power consumption is important because the total
power required for a system directly affects overall cost.
Higher power consumption requires bigger power supplies, more cooling, and reduced device density per
board - all affecting cost and reliability. All things considered, the usual goal is to minimize power. Many
memories now provide automatic power;down. With today's emphasis on saving energy and" reducing cost,
the memories that provide these features will gain an increasingly larger share of the ",arket.

Local Memory
Local memories are usually less than 64K bytes and
reside in the proximity of the processor itself - usually
on the same PC board. Two types of memories are

In some applications, extremely low power consumption
is required, such as battery operation. For these appli-

1-5

intJ

MEMORY OVERVIEW

often used in local memory applications: RAMs and
E2PROMs/EPROMs. These devices all offer universal
.
site compatibility and density upgrade.

vices have on chip address latches. Yet with respect to
the system, one device operates synchronously and the
other asynchronously.

Synchronous and Asynchronous Memories

Therefore, in considering memory devices or systems,
that operate within a specified cycle time, Intel defines
a synchronous memory as one that responds in a prediptable and sequential fashion, always providing data
within the same time frame from the clock input"This
allows a system designer to take advantage of the
predictable access time and maximize his system performance by reducing or eliminating WAIT states.

Historically, there have been several definitions of convenience when describing synchr.onous~and asynchronous memory devices. The, question of which
definition is the more appropriate boils down to a
philosophical decision, and depends on whetl1er the
definition is narrowed to component operating parameters or expanded to system operating parameters.
One popular and accepted definition defines the two
types of memories by relying on the most apparent difference. The synchronous memory possesses an internal address register which latches the current device
address, but the asynchronous device lacks this capability. The logic of this definition is easy to follow: Register
transfer or sequentjallogic is considered synchronous
because it is clocked by a common periodic signal the system clock. Memories with internal address registers are also internally sequential logic arrays clocked
by a signal, common throughout the memory system,
and are, therefore, synchronous.
By the foregoing definition, asynchronous memories
would require the device address be held valid on the
bus throughout the memory cycle. Static RAMs fall into this category. In contrast, synchronous memories require the address to be valid only for a very short period
of time just before, during, and just after the arrival of
the address register clock. DRAMs and clocked static
RAMs fall into this category.

Intel defines an asynchronous memory as one that
(within the framework of the memory cycle specifications) does not output data in a predictable and repeatable time frame with respect to system timing. This is
generally true of DRAM systems, where a refresh cycle,
which occurs randomly skewed to the balance of the
system timing, may be in progress at the time of a
memory cycle request by the CPU. In this case, provision must be made to re-synchronize the system to the
memory - usually with a READY signal. The 2186
iRAMs fit into this' category, while the 2187 iRAMs are
considered synchronous devices.
These definitions are somewhat broader in scope than
those chosen in the past; however, as systems become
implemented in silicon, a more global definition is required to encompass those former systems that are now
silicon devices.

SUMMARY
Table 1 provides a summary of the various memory
devices that have been discussed.

With the introduction of the 2186 and 2187 iRAMs, the
preceding definition no longer fits, because both de-

Table 1. Segmentation of Memory Devices
Operating
From

Read Speed
Slow
Fast

Mass
Boot
Monitor
Buffer

Bubbles
Disk
EPROM
EPROM
Bytewide

Diagnostics E"/EPROM!
RAM
Operating
System
E"/EPROM!
APP/PGM/
RAM
Data Store

Bubbles

Write Speed
Fast
Slow

Down
Load

Bubbles
Disk
N/A
N/A
,N/A
N/A
Bytewide Bubbles

N/A

Bytewlde

E"PROM

N/A

N/A

Bytewlde

E"PROM

Xl

Small

Size
Large
Bubbles
Disk

NJA
N/A
N/A

All"
All
All

Bubbles

'Disk
Bubbles
'Disk
Bubbles
'Disk
Bubbles

All

AlP

All

Xl

All

Xl

Removable
(Archive)

System
Level

Bubbles
Disk

Add on RAM
Bubbles
N/A
N/A
Add in RAM
Bubbles
N/A

Xl

Bubbles
Disk
Bubbles
Disk
Bubbles
Disk

Add in/
Add on RAM
Add in/
Add on RAM

'Down Loaded From Add on/Add in Bubbles
"E"/EPROM Bytewides
'X 1 Dram Bubbles Disk

1-6

Memory Technologies

2

CHAPTER 2: INTEL MEMORY TECHNOLOGIES
Larry Brigham, Jr.

Most of this handbook is devoted to techniques and information to help you design and implement semiconductor memory in your application or system. In this section, however, the memory chip itself will be examined
and the processing technology required to turn a bare
slice of silicon into high performance memory devices
is described. The discussion has been limited to the
basics of MOS (Metal Oxide Semiconductor) technologies as they are'responsible for the overwhelming majority of memory devices manufactured at Intel.

nologies are similar, but use n·type dopants (normally
phosphorus or arsenic) to make n-channel transistors
in p-type silicon substrates. N-channel is so named
because the channel is comprised of negatively charged
carriers. CMOS or Complementary MOS technologies
combine both p-channel and n-channel devices on the
same silicon. Either p- or n-type silicon substrates can
be used, however, deep areas of the opposite doping
type (called wells) must be defined to allow fabrication
of the complementary transistor type.

There are three major MOS technology families PMOS, NMOS, and CMOS (Figure 1). They refer to the
channel type of the MOS transistors made with the
technology. PMOS technologies implement p-channel
transistors by diffusing p-type dopants (usually Boron)
into an n-type silicon substrate to form the source and
drain. P-channel is so named because the channel is
comprised of positively charged carriers. NMOS tech-

Most of the early semiconductor memory devices, like
Intel's pioneering 1103 dynamic RAM and 1702 EPROM
were made with PMOS technologies. As higher speeds
and greater densities were needed, most new devices
were implemented with NMOS. This was due to the inherently higher speed of n-channel charge carriers
(electrons) in silicon along with improved process
margins. The majority of MOS memory devices in pro-

GATE

GATE

F.O.

PMOS

NMOS

P-CHANNEL
DEVICE

N-CHANNEL
DEVICE
GATE

F.O.

.'
P-SUBSTRATE

CMOS

Figure 1. MOS Process Cross-sections
2-1

MEMORY TECHNOLOGIES

duction today are fabricated with NMOS technologies.
CMOS technology has begun to see widespread commercial use in memory devices. It allows for very low
power devices and these have been used for battery
operated or battery back-up applications. Historically,
CMOS has been slower than any NMOS device. Recently, however, CMOS technology has been improved
to produce higher speed devices. Up to now, the extra
cost processing required to make both transistor types
has kept CMOS memories limited to those areas where
tM technology's special characteristics would justify the
extra cost. In the future, the learning curve for high performance CMOS costs will make a larger and larger
number of memory devices practical in CMOS.

nitride and oxide was etched away, providing areas
doped strongly p-type that will electrically separate active areas. After implanting, the wafers are oxidized
again and this time a thick oxide is grown. The oxide
only grows in the etched areas due to silicon nitride's
properties as an oxidation barrier. When the oxide is
grown, some of the silicon substrate is consumed and
this gives a physical as well as electrical isolation for adjacent devices as can be seen in Figure 3.

NITRIDE

In the following section, the basic fabrication sequence
for an HMOS circuit will be described. HMOS is a high
performance n-channel MOS process developed by
Intel for 5 Volt single supply circuits. HMOS, along with
its evolutionary counterparts HMOS II and HMOS III,
CHMOS and CHMOS II (and their variants), comprise
the process family responsible for most of the memory
components produced by Intel today.
The MOS IC fabrication process begins with a slfce (or
wafer) of single crystal silicon. Typically, it's 100 or 125
millimeter in diameter, about a half millimeter thick, and
uniformly doped p-type. The wafer is then oxidized in a
furnace at around 1OOO°C to grow a thin layer of silicon
dioxide (Si02) on the surface. Silicon nitride is then
deposited on the oxidized wafer in a gas phase chemical reactor. The wafer is now ready to receive the first
pattern of what is to become a many layered complex
circuit. The pattern is etched into the silicon nitride using
a process known as photolithography,. which will be
described in a later section. This first pattern (Figure 2)
defines the boundaries of the active regions of the IC,
where transistors, capacitors, diffu~ed resistors, and
first level interconnects will be made.

r -_ _ _ ETCHED _ _ _-.

AREAS

\

NITRIDE~

~ \

FIELD

ox

P-SUBSTRATE

Fi~ure

,

3. Post Field Oxidation

Having fulfilled its purpose, the remaining silicon nitride
layer is removed. A light oxide etch follows taking with
it the underlying first oxide but leaving the thick (field)
oxide.
Now that the areas for active transistors have been defined and isolated, the transistor types needed can be
determined. The wafer is again patterned and then if
special characteristics (such as depletion mode operation) are required, it is implanted with dopant atoms. The
energy and dose at which the dopant atoms are implanted determines much of the transistor's characteristics. The type of the dopant provides for depletion
mode (n-type) or enhancement mode (p-type) operation.
The transistor types defined, the gate oxide of the active transistors are grown in a high temperature furnace.
Special care must be taken to prevent contamination or
inclusion of defects in the oxide and to ensure uniform .
consistent thickness. This is important to provide precise, reliable device characteristics. The gate oxide layer
is then masked and holes are etched to provide for direct
gate to diffusion ("buried") contacts where needed.

OXIDE"/
P-SUBSTRATE

Figure 2. First Mask
The patterned and etched wafer is then implanted with
additional boron atoms acceJerate\l at high energy. The
boron will only reach the 'silicon substrate where the

The wafers are now deposited with a layer of gate
material. This is typically poly crystaline silicon ("poly")
which is deposited in a gas phase chemical reactor
similar to that used for silicon nitride. The poly is then
doped (usually with phosphorus) to bring the sheet resistance down to 10-20 ohms/square. 'This layer is also
used for circuit interconnects and if a lower resistance
is required, a refractory metallpolysilicon composite or
refractory metal silicide can be used instead. The gate
layer is then patterned to define the actual transistor
gates and interconnect paths (Figure 4).
2-2 '

MEMORY TECHNOLOGIES

At this point the circuit is fully operational, however, the
top metal layer is very soft and easily damaged by
handling. The device is also susceptible to contamination or attack from moisture. To prevent this the wafers
are sealed with a passivation layer of silicon nitride or
a silicon and phosphorus oxide composite. Patterning
is done for the last time opening up windows only over
the bond pads where external connections will be made.

POLYSILICON

P-SUBSTRATE

P+

This completes basic fabrication sequence for a single
poly layer process. Double poly processes such as
those used for high density Dynamic RAMs, EPROMs,
and E2PROMs follow the same general process flow
with the addition of gate, poly deposition, doping, and
interlayer dielectric process modules required for the
additional poly layer (Figure 7). These steps are performed right after the active areas have been defined
(Figure 3) providing the capacitor or floating gate
storage nodes on those devices.

Figure 4. Post Gate Mask
The wafer is next diffused with n-type dopant (typically
arsenic or phosphorus) to fprm the source and drain
junctions. The transistor gate material acts as a barrier
to the dopant providing an undiffused channel selfaligned to the two junctions. The wafer is then oxidized
to seal the junctions from contamination with a layer of
5i02 (Figure 5).

+ VG

SECOND-LEVEL
POLYSILICON

FIELD
OXIDE

Figure 5. Post Oxidation

P-SUBSTRATE
EPROM CELL

A thick layer glass is then deposited over the wafer to
provide for insulation and sufficiently low capacitance
between the underlying layers and the metal interconnect signals. (The lower the capacitance, the higher the
inherent speed of the device.) The glass layer is then
patterned with contact holes and placed in a high
temperature furnace. This furnace step smooths the
glass sul'face and rounds the contact edges to provide
uniform metal coverage. Metal (usually aluminum or
aluminum/silicon) is then deposited on the wafer and the
interconnElct patterns and external bonding pads are
defined and etched (Figure 6). The wafers then receive
a low temperature (approximately 500°C) alloy that insures good ohmic contact between the AI and diffusion
or poly.

SECOND-LEVEL
POLYSILICON
TUNNEL
oI-t---i-I."'-'Y OXIDE

E2PROM CELL

Figure 7. Double Poly Structure
After fabrication is complete, the wafers are sent for
testing. Each circuit is tested individually under conditions designed to determine which circuits will operate
properly both at low temperature and at conditions
found in actual operation. Circuits that fail these tests
are inked to distinguish them from good circuits. From
here the wafers are sent for assembly where they are
sawed into individual circuits with a paper-thin diamond
blade. The inked circuits are then separated out and the
good circuits are sent on for packaging.

Figure 6. Completed Circuit (without passivation)
2-3

intJ

MEMORY TECHNOLOGIES

Packages fall into two categories - hermetic and nonhermetic. Hermetic packages are Cerdip, where two
ceramic halves are sealed with a glass fritt, or ceramic
with soldered metal lids. An example of hermetic
package assembly is shown in Table 1. Non-hermetic
packages are molded plastics.

frame placed on top. This sets the lead frame in glass
attached to the base. The die is then attached and
bonded to the leads. Finally the lid is placed on the
package and it is inserted in a seal furnace where the
glass on the two halves melt together Il!aking a hermetic
package.

The cer!3.mic package has two parts, the base, which
has the leads and die (or circuit) cavity, and the metal
lid. The base is placed on a heater block and a metal
alloy preform is inserted. The die is placed on top of the
preform which bonds it to the package. Once attached,
wires are bonded to the circuit and then connected to
, the leads. Finally the package is placed in a dry inert atmosphere and the lid is soldered on.

In a plastic package, the key component is the lead
frame. The die is attached to a pad on the lead frame
and bonded out to the leads with gold wires. The frame
then goes to an injection molding machine and the
package is formed around the lead frame. After mold
the excess plastic is removed and the leads trimmed.
After assembly, the individual circuits are retested at an
elevated operating temperature to assure critical operating parameters and separated according to speed and
power consumption into individual specification groups.

The cerdip package consists of a base, lead frame, and
lid. The base is placed on a heater block and the lead

Table 1. 2164A Hermetic Package Assembly
Flow

Process/Materials

Typical Item

Frequency

Criteria

Wafer
Die saw, wafer break
Die wash and plate
Die visual inspection

--0

Passivation, metal

QA gate

Die attach
(Process monitor)

0/76, LTPD = 5%

Wet out

4 x/operator/shift

0/11 LTPD=20%

Orientation, lead
dressing, etc.

4 x/operator/
machine/shift

All previous items

every lot

11129, LTPD=3%

Cap align, glass
integrity, moisture

4 x/furnace/shift

01)5, LTPD = 15%

lOx to mil std.
883 condo C

1/11, LTPD = 20%

100% of devices

Post die attach v!sual
Wire bond
(Process monitor)
Post bond inspection

--0

QA gate

100% devices
c

Seal and Mark
(Process monitor)
Temp cycle

1. __

2. __

f-o

100% of die
Every lot

Hermeticity check
(Process monitor)

F/G leak

100% devices

Lead Trim
(Process monitor)

Burrs, etc. (visual)
Fine leak

4 x/station/shift
2 x/station/shift

External visual

Solder voids, cap
alignment, etc.

100% devices

QA gate

All previous items

All lots

Class test
(Process monitor)

Run standards
(good and reject)
Calibrate every
system using
"autover" program

Every 48 hrs.

Mark and Pack
Final QA

1. Units for assembly reliability monitor.

(See attached)
2. Units for product reliability monitor.

2-4

0/15, LTPD=15%
1/129, LTPD=3%

1/129, LTPD=3%

MEMORY TECHNOLOGIES

The finished circuits are marked and then readied for
shipment.

critical for high resolution. The wafer is baked at a low
temperature to solidify the resist into gel. It is then exposed with a machine that aligns a mask with the new
pattern on it to a previously defined layer. The photoresist will replicate this pattern on the wafer.

The basic process flow described above may make'
VLSI device fabrication sound straightforward, however,
there are actually hundreds of individual operations that
must be performed correctly to complete a working circuit. It usually takes well over two months to complete
all these operations and the many tests and measurements involved throughout the manufacturing process.
Many of these details are responsible for ensuring the
performance, quality, and reliability you expect from
Intel products. The following sections will dispuss the
technology underlying each of the major process
elements mentioned in the basic process flow.

Negative working resists ar.e polymerized by the light,
anp the unexposed resist can be rinsed off with solvents. Positive working resists use photosenSitive
polymerization inhibitors that allow a chemically reactive developer to remove the exposed areas. The positive resists require much tighter control of exposure and
development but yield higher resolution patterns than
negative resistance systems.
The wafer is now ready to have its pattern etched. The
etch procedure is specialized for each layer to be
etched. Wet chemical etchants such as hydrofluoric
acid for silicon oxide or phosphoric acid for aluminum
are often used for this. The need for smaller features
and tighter control of etched dimensions is increasing
the use of plasma etching in fabrication. Here a·reactor is run with a partial vacuum into which etchant gases
are introduced and an electrical field is applied. This
yields a reactive plasma which etches the required
layer.

PHOTOLITHOGRAPHY
The photo or masking technology is the most important
part of the manufacturing flow if for .no other reason than
the number of times it is applied to each wafer. The
manufacturing process gets more complex in order to
make smaller and higher performance circuits. As this
happens the number of masking steps increases, the
features get smaller, and the tolerance required becomes
tighter. This is largely because the minimum size of
individual pattern elements determine the size of the
whole circuit, effecting its cost and limiting its potential
complexity. Early MOS IC's used minimum geometries
(lines or spaces) of 8-10 microns (1 micron=10- 6 meter
'" 1/25,000 inch). The n-channel processes of the mid
1970's brought this down to approximately 5 microns,
and today minimum geometries are less than 2 microns
in production. This dramatic reduction in feature size
was achieved using the newer high resolution photo
resists and optimizing their processing to match improved optical printing systems.
A second major factor in determining the size of the circuit is the registration or overlay error. This is how accurately one pattern can be aligned to'a previous one.
Design rules require that space be left in all directions
according to the overlay error so that unrelated patterns
do not overlap or interfere with one another. As the error
space increases the circuit size increases.dramatically.
Only a few years ago standard alignment tolerances
were 20 ± 2 microns; now advanced Intel processes
have reduced this dramatically due mostly to the use of
advanced 'projection and step and repeat exposure
equipme~t.

The wafer that is ready for patterning must go through
many individual steps before that pattern is complete.
First the wafer is baked to remove moisture from its surface and is then treated with chemicals that ensure"good
resist adh~sion. The thick photoresist liquid is then applied and the wafer is spun flat to give a uniform coating,

The wafer is now ready for the next process step. Its
single journey through the masking process required
the careful engineering of mechanics, optics, organiC
chemistry, inorganic chemistry, plasma chemistry,
physics, and electronics.

DIFFUSION
The picture of clean room garbed operators tending furnace tubes glowing cherry red is the one most often
associated with IC fabrication. These furnace operations are referred to collectively as diffusion because
they employ the principle of solid state diffusion of matter to accomplish their results. In MOS proceSSing, there
are three main types of diffusiQn operations: predeps,
drives, and oxidations.
Predeposition, or "predep," is an operation where a
dopant is introduced into the furnace from a solid, liquid,
or gaseous source and at the furnace temperature
(usually 900-1200°C) a saturated solution is formed at
the silicon surface. The temperature ofthe furnace, the
. dopant atom, and rate of introduction are all engineered
to give a specific dose of the dopant on the wafer. Once
this is completed the wafer is given a drive cycle where
the dopant left at the surface by the predep is driven into
the wafer by high temperatures. These are generally at
different temperatures than the predeps and are designed to give the required junction depth and concentration profile.

2-5

MEMORY TECHNOLOGIES

OXidation, the third category, is used at many steps of
the process as was shown in the process flow. The temperature and oxidizing ambient can range from 800 tb
1200°C and from pure oxygen to mixtures of oxygen
and other gases to steam depending on the type of oxide required. Gate oxides require high dielectric breakdown strength for thin layers (between .01 and .1 micron)
and very tight control over thickness (typically ± .005
micron or less than ± 1/5,000,000 inch), while isolation
oxides need to be quite thick and because of this their
dielectric breakdown strength per unit thickness is much
less important.

vacuum and are accomplished by vaporizing the metal
with a high energy electron beam and redepositing it on
the wafer or by sputtering it from a target to the wafer
under an electric field.
Chemical vapor deposition can be done at atmospheric
pressure or under a moderate vacuum. This type of
deposition is performed when chemical gases react at
the wafer surface and deposit a solid film of the reaction product. These reactors, unlike their general industrial 'counterparts, must be controlled on a microscale to provide exact chemical and physical properties
for thin films such as silicon dioxide, silicon nitride, and
polysilicon.

The properties of the diffused junctions and oxides are
key to the performance and reliability of the finished
device so the diffusion operations must be extremely
well controlled for accuracy, consistency and purity.

The fabrication of modern memory devices is a long,
complex process where each step must be monitored,
measured and verified. Developing a totally new
manufacturing process for each new product or even
product line takes a long time and involves significant
risk. Because of this, Intel has developed process
families, such as HMOS, on which a wide variety of
devices can be made. These families are scalable so
that circuits need not be totally redesigned to meet your
needs for higher performance. 1 They are evolutionary
(HMOS I, HMOS II, HMOS III, CHMOS) so that development time of new processes and products can be reduced without compromising Intel's commitment to consistency, quality, and reliability.

ION IMPLANT
Intel's high performance products require such high accuracy and repeatability of dopant control that even the
high degree of control provided by diffusion operations
is inadequate .. However, this limitation has been overcome by replacing critical predeps with ion implantation.
In ion implantation, ionized dopant atoms are accelerated by an electric field and implanted directly into the
wafer. The acceleration potential determines the depth
to which the dopant is implanted.

The manufacture of today's MOS memory devices requires a tremendous variety of technologies and manufacturing techniques, many more than could be mentioned
here. Each requires a team of experts to design, optimize, control and maintain it. All these people and thousands of others involved in engineering, design, testing
and production stand,behind Intel's products.

The charged ions can be counted electrically during implantation giving very tight control over dose. The ion
implanters used to perform this are a combination of
high vacuum system, ion source, mass spectrometer,
linear accelerator, ultra high resolution current integrator, and ion beam scanner. You can see that this important technique requires a host of sophisticated technologies to support it.

Because of these extensive requirements, most manufacturers have not been able to realize their needs for
custom circuits on high performance, high reliability processes. To address this Intel's expertise in this area is
now available to industry-through the silicon foundry.
Intel supplies design rules and support to design and
debug circuits. This includes access to Intel's n-well
CHMOS technology. Users of the foundry can now
benefit from advanced technology without developing
processes and IC manufacturing capability themselves.

THIN FILMS
Thin film depositions make up most of the features on
the completed circuit. They include the silicon nitride for
defining isolation, polysilicon for the gate and interconnections, the glass for interlayer dielectric, metal for interconnection and external connections, and passivation layers. Thin film depositions are done by two main
methods: physical deposition and chemical vapor deposition. Physical depOSition is most common for depositin'g metal. Physical depositions are performed in a

1 R. Pashley, K. Kokkonen, ·E. Boleky, R. Jecmen, S. Liu. and W.
Owen, "H·MOS Scales Traditional Devices to Higher Performance
Level," Electronics, August 18, 1977.

2-6

Random Access Memories

3

APPLICATION
NOTE

AP-74

March, 1980

INTEL CORPORATION. 1980

3-1

AP-74
INTRODUCTION
function of channel length <£) and doping
concentration (CB ), thus channel shortening can
be compensated by increasing the doping

The Intel® 2147H is a 4096-word by I-bit Random
Access Memory, fabricated using Intel's reliable
HMOS II technology. HMOS II, the second
generation HMOS, is Intel's high performance nchannel silicon gate technology, making simple,
high speed memory systems a reality. The purpose
of this application note is to describe the 2147H
operation and discuss design criteria for high
speed memory systems.

.-L Ir--.--------i
TO,

J

1

c.

- L~

__x.-,_

_1'0 I-PERFORMANCE FACTORS
GAIN al/(Tox1)

• HIGH DEVICE GAIN
• LOW DIFFUSION CAPACITANCE
• LOW MILLER CAPACITANCE
LOW BODY EFFECT

TECHNOLOGY

CpaXJ

I.

When Intel introduced the HMOS 2147, MOS
static RAM performance took a quantum leap by
combining scaling, internal substrate bias
generation, and automatic powerdown. As a
result, the 2147 has an access time of 55ns, density
of 4096 bits, and power consumption of .99W
active and .165W standby.
The high performance of the 2147 is further
enhanced by the 2147H using HMOS II, a scaled
HMOS process increasing the speed at the same
power level which involves more than scaling
dimensions.
Figure 1 shows the cross section of an HMOS
device and lists the~ parameters of scaling, one of
which is high device gain. The slew rate of an
amplifier or device is proportional to the gain.
Because faster switching speeds occur with high
gain, the gain is maximized for high speed. Device
gain is inversely proportional to the oxide
thickness (Tox) and device length (i,),
consequently, scaling these dimensions increases
the gain ..
Another factor which influences performance is
unwanted capacitance which appears in two
forms· - diffusion and Miller. Diffusion
capacitance is directly proportional to the
diffusion depth (Xi) into the silicon, thus-Xi must
be reduced. Miller capacitance, the same phenomenon that occurs in the macro world of discrete
devices, is proportional to the overlap length of the
gate and the source (i, D)' Capacitance on the input
shunts the high frequency portion of the input
signal' so that the device can only respond to low
frequencies. Secondly, capacitance from the drain
to the gate forms a feedback path creating arJ.
integrator or low pass filter which degrades the
high frequency performance. This effect is
minimized by reducingi D •
One of 'the limits on scaling is punch through
voltage, which occurs when the field strength is
too high, causing current to flow when the device
is "turned off'. Punch through voltage is a

em aiD
6.VT a

vee Tox

LIMITS
• PUNCH THROUGH VOLTAGE
• THRESHOLD VOLTAGE

RESULT
• DECREASE t, TaX, X" ie
• INCREASE Cs

f
~ CHANNEL LENGTH
Tax ~ OXIDE THICKNESS
X,
~ DIFFUSION DEPTH
10 ~ GATE OVERLAP
Cs ~ CONCENTRATION

Figure 1. HMOS Scaling

concentration. This has the additional advantage
of balancing the threshold voltage which was
decreased by scaling the oxide thickness for gain.

Comparison
Comparing scaling theory to HMOS II scaling in
Table I, note'that HMOS II agrees with scaling
theory except for the supply voltage. It is left
constant at +5V to maintain TTL compatibility.
Had the voltage been scaled, the power would
have been reduced by l/K3 rather than 11K, but
the device would not have been TTL compatible.
Table I. Scaling
Dimensions
Substrate Doping
Voltage
Device Current
Capacitance AIT
Time Delay VCII
Power Dissipation VI
Power Delay Product

Theory
11K
K
11K
11K
11K
11K
11K'
11K'

HMOS II
11K
K
1

1
11K
11K
1
11K

THE DEVICE
The 2147I:J is TIL compatible, operates from a
single +5 volt SUp~y, and is easy to use.
Figure 2 shows the pin configuration and the logic
symbol. The 2147H is compatible with the 2147
allowing easy system upgrade. Contained in an
industry standard 18-pin dual in-line package the
2147H is organized as 4096 words of 1 bit. To
access each of these words, twelve address lines
are required. In addition, there are two control
signals: CS, which activates the RAM; and WE,

I

3-2

I

AP-74
®

which controls the write function. Separate data
input and output are available. Logical operation
of the 2147H is shown in the truth table. The
output is in the high impedance or three-state
mode unless the RAM is being read. Power
consumption switches from standby to active
under control of CS.

~Vcc
~GND

®
MEMORY ARFIAY
64 ROWS
64 COLUMNS

o

4096 x 1 BIT
2147H
PIN CONFIGURATION

..,,,

.
..

Dour

PIN NAMES

lOGIC SYMBOL

.All ADDRESS INPUTS
WRITE ENABLE

ill!:
B

V c POWER I'" SVj
GND GROUND

CHIP SELECT

DIf1, are the key to low standby power.
Forming an AND function with the active devices,
the upper transistors are turned off when the
·2147H is not active, minimizing power·
consumption. Without them, at least one stage of
these cascaded amplifiers would always be
consuming, power.

+,---+--11-4---1-----'

ADDRESS

Figure 4. Address Buffer.

For both the 2147 and the 2147H, access is delayed
until the address buffers are activated by chip
selection. In the standard 2147, priming during
deselection compensates for this delay by
speeding up the access elsewhere in the circuitry.
For short deselect times, however, full compensation does not oceur because priming is incomplete.
The result is a pushout in tAcs for short deselect
times.

The signal <1>1, and its inverse ii, are generated
from CS. They are part of an innovative design not
found in the earlier 2147. Their function is to minimize the effects at short deselect times on the Chip
Select access time, tACS.
3-3

AP-,4
In the 2147H, the address buffers are controlled by
<1>1, which is shaped as shown in Figure 5. <1>1 activates rapidly for fast select time. Howilver, <1>1
deactivates slowly, keeping the address buffers
active during short deselect times to speed access.
As shown in Figure 6, this design innovation keeps
t",cs pushout to less than 1, ns.
I

d
~ I

Figure 7 shows the standard six-transistor cell.
Configured as a bi-stableflip-flop, the memory cell
uses two transistors for loads and two for active
devices so that the data is stored twice as true and
compliment. The two remaining transistors
enable data onto the internal I/O bus. Unlike the
periphery, the cell is not po~ered down during
deselect time to sustain data indefinitely.

CHIP

I DESELECTED
I r------"\

The 2147H has an internal bias generator. Bias
voltage allows the use of high resistivity substrate
by adjusting the threshold voltages. In addition, it
reduces the effect of bulk silicon capacitance. As a
result, performance is enhanced. Bias voltage is
generated by capacitively coupling the output of a
ring oscillator to a charge pump connected to the
substrate. Internally generated bias permits the
2147H to operate from a single +5 volt supply,
maintaining TTL compatibility.
'

CHIP
SELECTED

I

I
I
I
I
I

SLOW
DESELECT

I
I
I

2147H SUBSTRATE BIAS GENERATOR

I

I

CMAAGE

I
I

J

I

POMP

I

I

~f-I--rf--'~"-'--',,,\,,,,

FAST
SELECT

it. . . ___

Figure 5. CS Buffer Sigual.

Vc(.=5S11

Figure s. 2147H Substrate Bias Generator

DEVICE OPERATION
READ MODE
With power applied and CS at greater than 2V, the
2147H is in the standby mode, drawing less than
30mA. Activating CS begins access of the cell as
defined by the state of the addresses. Data is
transferred from the cell to the output buffer.
Because the cell is static, the read operation is nondestructive. Device access and current are shown
in Figure 9. Maximum access relative to 'the
leading edge of CS is 35 ns for a 2147H-1. Without
clocks, data is valid as long as address and control
are maintained.

Figure 6. CS Acce •• V •. Deselect Time

WRITE MODE
Data is modified when the write enable WE is
activated during a cycle. At tnis time, data present
at the input is duplicated in the cell specified by
the address. Data is latched into the cell on the
trailing edge of WE, requiring that setup and hold
times relative to this edge be maintained.

Figure 7. 2147H Memory Cell

3-4

AP-74
Two modes of operation are allowed in a write
cycle, as shown in Figure 10. In the first mode, the
write cycle is controlled by WE, while in the other
cycle, the cycle is controlled by CS. In a, WE
controlled cycle, CS is held active while addresses
change and the WE signal is pulsed to establish
memory cycles. In the CS controlled cycle, WE is
maintained active while addresses again change
and CS changes state to define cycle length. This
flexible operation eases the use and makes the
2147H applicable to a wide variety of system
designs.

5...

5...

20nS

ADDRESS
INPUT
CHIP SELECT
DATA
OUTPUT
SUPPL Y ,CURRENT
1100 mAlcm)

Figure 9. 2147H Access and Power Photo

WAVEFORMS
, WRITE CYCLE #1 (WE CONTROLLED)
---'we
ADDRESS

~-------:---.ew
CSll

I~

II
--

tAW---

1--- - - - tAs-~_-j

IIII

-'w,-

'w'

\\

*

DATA IN

tOH_

'DW

J

DATA IN VALID

________________=-1
CE~,
:)o-....:=~=;:;::-,--«......----'ow

f----tWl

HIGH IM.EDAN,

DATA OUT

-----

DATA UNDEFINED

WRITE CYCLE #2 {CS CONTROLLED)
'we

---..

ADDRESS

--

-

'cw

'AS

~
'AW

-'w,-

-'wP

IIIIIIII

\ \ \ \ \ \'\ \ \-\

i

DATA IN

'ow

·DH·

DATA IN VALID

__. ,. _____________=1

-

-'wz

DATA OUT

DATA UNDEFINED

HIGH IMPEDANCE

----~----.....- - -

:)
....

Note' 1 If CS goes high simuitaneousiy with WE high. the output remains In a high Impedance state
Figure 10. Write Cycle Modes of Operation

3-5

AP-74
EFFECT OF POWER DOWN AT
THE SYSTEM LEVEL

active or being accessed. For a system with power
down, the average current of a device in the
system is the sum of total active current and the
total standby current divided by the number of
devices in the system. For an Xl memory such as
the 2147H, the number of active devices in most
systems will be equal to the number of bits/word,
m. Therefore, the number of devices in standby is
the difference between Nand M. lAVE is expressed
mathematically:

Power consumed by a -memory system is the
product of the number of devices, the voltage
applied, and the aver~$e current:

Equation 1
P = NVIAvE
P = Power
N = Number of devices
V = Voltage applied
lAvE = Average current/device

where:

Equation 2

Without power down, the average current is
approximately the operating current. System
power increases linearily with the number of
devices. With power down, power consumption
increases in proportion to the standby current
with increasing number of memory devices.
Curves in Figure 11 illustrate the difference which
results from the majority of devices being in
standby with a very small portion ofthe devices

lAVE= mIAcT+ (N-m) ISB
N
m = Number of active devices
IAcT = Active current
ISB = Standby current

where:

The graph of Figure 12 shows the relation between
average device current and memory size for
automatic power down. For large memories the
average device current approaches the standby
current. Total system power usage, P, is calculated
by substituting Equation 2 into Equation 1.
P = V[mIAcT + (N-m) ISB]
Comparison of power consumption of a system
with and without power down illustrates the
power savings. Assume a 64K by 18-bit memory
constructed with 4KX1 devices. Active current of
one device is 180mA and standby current is 30rnA.
Duty cycle is assumed to be 100% and voltage is 5
volts. The number of devices in the system is:

EFFECT OF POWER DOWN
AT THE SYSTEM LEVEL

N = 64K words x 18 bits/word
4K bit/device

WITH POWER DOWN

N =288 devices
WITHOUT POWER DOWN:

MEMORY sIze

Figure 11. Effect of Power Down at the System

'"
P NPD

=

288 devices x 5 volts x 180 mAl device
P NPD = 259.2 watts

WITH POWER DOWN:
With power down only 18 devices are active - 18
bits/word - and 270 are in standby.
PwPD = 5 volts [18 devices (180rnAldevice) +
270 devices (30 rnA/device)]
PWPD = 56.7 watts
The system with power down devices uses only
22% of the power required by a non-powerdown
memory system.

Isa ----------------------

..

,

r.tEMORYSIZE

Figure 12. Average Current as a Function of ~emory Size

3-6

AP-74
POWER-ON

ARRAY CHARACTERISTICS

When power is applied, two events occur that must
be considered: substrate bias start up and TTL
instability. Without the bias generator functioning (Vee less than 1.0 volts), the depletion mode
transistors within the device draw larger than
normal current flow. When the bias generator
begins operation (Vee greater than 1.0 volts), the
threshold of these transistors is shifted, decreasing the current flow. The effect on the device
power-on current is shown in Figure 13.
For Vee values greater than 1.0 v., total device
current is a function ,of both the substrate bias
start-up characteristic and TTL stability. During
power-on, the TTL circuits are attempting to
operate under conditions which violate their
specifications; consequently the CS sign,als can be
indeterminent. One or several may be low,
activating one or more banks of memory. The
combined effects of this and the substrate bias
start-up characteristic can exceed the power
supply rating. The V-I characteristic of a power
supply with fold back reduces the supply voltage
in this situation, inhibiting circuit operation. In
addition, the TTL drivers may not J!.e able to
supply the current to keep the CS signals
deactivated.
One of several design techniques available to
eliminate the power-on problem is power supply
sequencing. Memory supply voltage and TTL
supply voltage are separated, allowing the TTL
supply to be activated first. When all the CS
signals have stabilized at 2.0V or greater, the
memory supply is activated. In this mode the
memory ~ower-on current follows the curve
marked CS =Vee in Figure 13.
If power sequencing is not practical, an equally
effective method is to connect the CS signal to Vee
through a 1Kn resistor. Although this does not
guarantee a 2.0V CS input; emperical studies
indicate that the effect is the same.

When two or more RAMs are combined, an array
is formed. Arrays and their characteristics are
controlled by the printed circuit card which is the
next most important component after the memory
device itself. In addition to physically locating the
RAMs, the p.c. board must route power and
signals to and from the RAMs.

GRIDDING
A power distribution network must provide
required voltage, which from the 214 7H data sheet
is 5.0 volts ±10% to all the RAMs. A printed circuit
trace, being an extremely low DC resistance,
should easily route +5v DC to all devices. But as
the RAMs are operating, micro circuits within the
RAMs are switching micro currents on and off,
creating- high frequency current transients on the
distribution network. Because the transients are
high frequency, the'network no longer appears as
a "pure" low resistance element but as a transmission line. The RAMs and the lumped equivalent circuits of the transmission line are drawn in
Figure 14. Each RAM is separated by a small
section of transmission line both on the +voltage
and the -voltage. Associated with the transmission lines is a voltage attenuation factor. In
terms of AC circuits, the voltage across the
inductor is the change in current - switching
transient - multiplied by the inductance.

:~

. ::I9:--"

O~----···~-1
I
Figure 14. Equivalent Circuit for Distrihution

Assuming all RAMs act similarly, the first
inductor will see N current transients and the
inductor at RAM B sees N-1 transients. The total
differential is:

".

N

AV=
g

I
n

40

n L din
dt

=1

That voltage tolerance of ±1O% could easily be
exceeded with excursions of ±1 volt not uncommon.
Measures must be taken to prevent this. The
characteristic impedance of a transmission line is
shown in Figure 15A.

VccCYOLTSJ

Figure 13.' 2147H Power Up Characteristic

3-7

AP-74

:ll: ill!

Connecting two transmission lines in parallel will
halve the characteristic impedance. The. result is
shown in Figure 15B.
LO
A

-'OL-r-'-

I

Zo

Lo

INDUCTANCE/UNIT LENGTH

Co
CAPACITANCE/UNIT LENGTH

I

•

I
I

I
I

I

I

I
I

I
I

•

I

I

I

I

I

..

I

~
.
:

J ~: ~-LO

I

CI
Lo

I
~--------

I

J

-'- J
2

Lo
Co

I

I

I

I

I

:

I
I
I

Co

~

I

.

I
I

:
I

I

•

I
I
I

I
I
I

I

I

I

I

~

I

I
I

I

I

,

I

lut
.
I

I

I

I

I

I

I

I

:

I
I

I
I
I

•
I

!
I

I

Figure 16. Gridding Plan

Figure 15. Transmission Line Characteristic Imped~ce

Paralleling N traces will reduce the impedance to
Zo/N. Extrapolation of this concept to its limit
will result in an infinite number of parallel traces
such that they are physically touching, forming
an extremely wide, low impedance trace, called a
plane. Distribution of power (+ voltage) and
ground (- voltage) via separate planes provides the
best distribution.
P.C. boards with planes are manufactured as
multi-layer boards sandwiching the power and
ground planes internally. Characteristics of a
multilayer board can be cost effectively
approximated by gridding the power and ground
distribution. Gridding surrounds each device with
a ring of power and ground distribution forming
many parallel paths with a corresponding
reduction of impedance. Gridding is easily
accomplished by placing horizontal traces of
power (and ground) on one side of the pc board and
vertical traces on the other, connected by plated
through holes to form a grid.
Viewed from the top of the p.c. board, the gridding
as in Figure 16 surrounds each device. Pseudogridding techniques such as serpentine or
interdigitated distribution, as in Figure 17, are not
effective because there are no parallel paths to
minimize the impedance.

INTERDIGITATED

Figure 17. Pseudo-Gridding Techniques

for the 2147H is accomplished by placing a 0.1 !-If
ceramic capacitor at every other device as shown
in Figure 18. Bulk decoupling is included on the
board to filter low frequency noise in the system
power distribution. One tantalum capacitor of 22
to 47 Jlf per 16 devices provides sufficient energy
storage. By distributing these capacitors, around
the board several small currents exist rather than
o~e large current flowing everywhere. Smaller
voltage differentials - voltage is proportional to
current - are experienced and the voltage remains
in the specified operating range. Figure 19
demonstrates the difference with and without
gridding.

DECOUPLING
One final aspect of power/ground' distribution
must be considered - decoupling.

TERMINATION

Decoupling provides localized charge to minimize
instantaneous voltage changes on the power .grid
.due to current changes. These transient current
changes are local and high frequency as devices
are selected and deselected. Adequate decoupling

Similar reasoning is applied to the a.c. signals:
address, control, and data. While they are not
gridded or decoupled, they must be kept short and
terminated. Similar to the power trace, the signal

3-8

AP-74
~

~

DDD
D D0
DD0
000

0
0

•

ce

~

~

•

~

III

a:

• • •

~
~
c
III
III

~

w

0
0

•

a:
c

•

C



LC

~~-----o

UNDERSHOOT

. Di
D
Array

SERIES TERMINATION

~
4L 2

<

D

[>0>------

I
LC

OVERSHOOT

A".,

....

PARALLEL TERMINATION

=

Fignre 23. Series and Parallel Termination

III

R'

I

4L2

LC

CRITICALLY DAMPED

Fil'll"e 21. Three Cases of Equation Solution

Series termination uses one resistor and consumes
little power. Current through the resistor creates a
voltage differential shifting the levels of input
v.oltage to the devices slightly. This shift is usually
insignificant because the 2147H has an eJitremely
high input impedance.
Termination could also be accomplished by a
parallel termination as shown in Figure 23.

3-10

AP-74
Parallel termination has the advantage of faster
rise and fall times but the disadvantage of higher
power consumption ·and increased board space
usage.

A

SYSTEM DELAYS
RAMs are connected to the system through an
interface, comprised of address, data and control
signals. Inherent in the interface is propagation
delay. Added to the RAM access time, propagation
delay lengthens system access time and hence system cycle time. Expressed as an equation:
where:

tsa

Figure 24A.

tsa =tela + f;pd
=system access time

t... = device access time
tpd = propagation delay
Device access is a fixed value, guaranteed by the
data sheet. System efficiency then, is a function of
system access and can be expressed as:
Eff= tda/taa
where: Eff =System Efficiency
This can be reduced by substitution for tsa to:
Eff =1~(1 + tpd/tda)
System efficiency is maximized when
propagation delay is minimized. With sub 100 ns
access RAMs, efficiency can be reduced to 40-60%
because delay through the signal paths is
significant when compared to RAM access. Three
factors contribute to the delay: logic delay,
capacitive loading, and transit time.

o

1

2

3

4

5

I I

6

8

I

I I

9

10

tn.)

TTL GATES

800 504, 610. 520

SOl, 511

1--n,"",,",,,,,1

I-"-t'~~m~

Figure 24B. Skew

10

LOGIC DELAY
The delay through a logic element is the time
required for the output to switch with respect to the
input. Actual delay times vary. Maximum TTL
delays are specified in catalogs, while minimum
delays are calculated as one-half of the typical
specification. As an example, a gate with a typical
delay of 6 ns has a minimum delay of 3 ns.
A signal propagating through two logically identical paths but constructed from different integrated circuits will have two different propagation
times. For example, in Figure 24A one path has
minimum delays while the other has maximum
delays. Path A-B has a delay of 3.5 ns while A-Bi
has a delay of 11 ns. The time difference between
these two signals is skew, which will be important
later in the system design. Figure 24B shows skew
values for several TTL devices.

OL-____

W

~

~

______- L_ _ _ _
~

~

____

100

~

1~

CAPACITIVE LOAOING (pF)
TIME DELAY OF A TYPICAL SCHOTTKY TTL GATE

CAPACITIVE LOADING

Figure 25. Capacitive Loading

Delay time is also affected by the capacitive load
on the device. Typical delay as a function of capacitive load is shown in Figure 25. TTL data sheets
specify the delay for a particular capacitive load

(typically 15pF or 50 pF). Loads greater than specified will slow the device; similarly, loads less
than specified will speed up the device.

3-11

AP-74
"see" all the load capacitance simultaneously, it is
distributed along the trace at the devices.

A value of 0.05 ns/ft is a linear approximation of
the function in Figure 25 and is used in the calculations. Loading effect is calculated by subtracting
the actual load from the specified load. This difference is multiplied by 0.05 ns/pF and the result
algebraically subtracted from the specified delay.
As an example, a device has a 4 ns delay driving 50
pF, but the actual load is 25 pF. Then,

Substituting into the equation:
tpl = VL(C + CO
where: tpl = Modified delay
CL =Load capacitance

50 pF specified
-25 pF actual
25 pF difference
25 pF x 0.05 ns/pF = 1.25 ns
4 ns
specified
-1.25ns difference
2.75 ns actual delay
A device specified at 4 ns while driving 50 pF will
have a delay of only 2.75 ns when driving 25 pF.
Conversely, the same device driving 75 pF would
have a propagation time of 5.25 ns.

Algebraically:
tpl = LC(I + CL /C)
tpl = VIii VI + CLiC
and
tpl = tp VI + CLiC
Emperically, tp is 1.8 ns/ft for G-lO epoxy and C is
1.5 pF lin. For a 5-in. trace and a 40 pF load, the
delay is calculated to be 4.5 ns. Because this is
worst case, an approximated 2 ns/ft can be used.
In the following sections, however, the equation
will be used. Total delay is the summation of all
the delays. Adding the device access, TTL delays
and the trace delays result in the system access.

V

---

TRANSIT TIME

BOARD LAYOUT

Signal transit time, the time required for the signal to travel down theP.C. trace, must also be considered. As was shown in Figure 19, these traces
are transmission lines. Classical transmission
line theory can be used to calculate the delay:
tp =

The preceding section discussed the effects of
trace length and capacitive loading. Proper board
layout minimizes these effects.
As shown in Figure 26, address and control lines
are split into a right- and left-hand configuration
with these signals driving horizontally. This
configuration. minimizes propagation delay.
Splitting the data lines is not necessary, as the
data loads are not as great nor are their traces as
long as address and control lines. Cpntrol and
timing fills the remaining space.
Two benefits are derived from this layout. First,

.JfE

t p = Travel Time
L = Inductance/unit length of trace
C = Capacitance/unit length of trace
The capacitance term in the equation is modified
to include the sum of the trace capacitance and the
device capacitance. This equation approximates
in the worst case direction; a signal will never
where:

RI~

LEFT

MEMORY
ARRAY

.-

MEMORY
ARRAY

LEFT
ADDRESS
ANO CS
DRIVERS

I

't
I

I

I

IJ

-

I

.

CONTROL

II

DATA
BUFFER

l

I

TIMING

I

I
CARD EDGE CONNECTOR

Figure 26. Board Layout

3-12

AP-74

~1~.~______________~80~n~S________________-+•.I'.'-_______

~

X,-_-+I__

I

START CYCLE
(REO)

I

-L-

'r---

---,

L--..J

M"~~
DATA OUT

Figure 27. System Timing

TIMING
GEN

r

12

ADDRESS

LATCH
16

ADDRESS
BUS

~
1.66/ .....
~

DATA
BUS

CRITICAL PATH

Figure 28. System Block Diagram

the address and control lines are perpendicular to
the data lines which minimizes crosstalk. Second,
troubleshooting is simplified.· A failing row of
devices indicates a defective address or control
driver; whereas a failing column indicates a faulty
data driver.

control signals are coincident with the start of the
cycle. Access is not yet specified because it is
affected by device access and the unknown
propagation delay. Access will be determined in
the design.
Figure 28 illustrates the elements of the system in
block diagram form. Addresses are buffered and
latched at the input to the printed circuit card.
Once through the latch, the addresses split to
perform three functions: board selection, chip
select (CS) generation, and RAM addressing.
Highest order addresses decode the board select,
which enables all of the board logic including CS.
Next higher order addresses decode CS, while the
lowest order addresses select the individual RAM
cell. Data enters the board from the bidirectional
bus through a buffer/latch, while output data
returns to the bidirectional bus via buffers. Only
two cont~ol signals - cycle request (MEMREQ)
and write (WR) control the activity on the board.

SYSTEM DESIGN
Using previously discussed rules and guidelines,
the design of a typical high speed memory will be
reviewed to illustrate these techniques.
Configuration ofthe system is a series of identical
memory cards containing 16K words of 16 bits.
Timing and control logic is contained on each
board. System timing requires an 80 ns cycle as
shown in Figure 27. Cycle operation begins when
data and control signals arrive at the board. In
this design, addresses are shifted 30 ns to be valid
before the start of the cycle so that address, data,
and control arrive at the memory device at the
same time for maximum performance. Data and

Figure 29 illustrates the levelsofthe delay in the

3-13

AP-74

CRITICAL PATH

,I
1
ADDRESS

BOARD
SELECTION

+

J

CHIP
SELECT

I

i

-!

CONTROL

DATA

1

MEMORY

I

+

1
1

Figure 29. Worst Ca,e Delay Path

system. Data and control have only one level. But
examine the address path, it has three levels.
Addresses are decoded to activate the logic on the
board, select the row of RAM to be accessed and
finally locate the specific memory cell. C8 is in this
address path and is crucial for access; without it
RAM access cannot begin. But this path has the
most levels of decoding with associated
propagation delays. Consequently, the address
path to C8 is the critical patll- and has the greatest
effect on system delay and hence must be
minimized.
Examination of the system begins with the C8
portion of the critical path, followed by addresses,
data path, and finally timing and control.

allows addresses to pass independent of any clock.
Delay time is measured from the signal rather
than a clock. The Intel® 3404 is a high speed, 6-bit
latch operating in a flow-through mode with 12 ns
delay. This is acceptable but a faster latch can be
fashioned using a 2-to-1line multiplexer, either a
748157 or a 748158. The slower of the two is the
748157 with 7.5 ns delay. Although the 748158 is
faster with 6 ns delay, it requires an extra inverter
in the feedback path as shown in Figure 30. Between the 748157 and the 748158 latches, the trade
off is speed against board space and power. Individual designers will choose to optimize their
designs.
'
74S04

CRITICAL ,PATH ,
Analysis of the critical path begins with the
address latch. The first decision to be made is to
the latch type. Latches can be divided into two
types: clocked and flow-through. Clocked latches
capture the data on the leading or trailing edge of
the clock. Associated with the clock is data set-up
or hold-time that must be included in the delay
time. Accuracy of the clock affects the transit time
of the signal because any skew in the clock adds to
the delay time. As an example, a typical 748173
latch has a data set-up time of 5 ns and a
maximum propagation delay time from the clock
of 17 ns. Total delay time is 22 ns, excluding any
clock skew.
Flow-through latches have an enable rather than
clock. The enable opens the address window and

INPUT

OUTPUT

------t--r-'"
'14 OF 745158

tpo INPUT·OUTPUT
tPD LATCH·OUTPUT

Figure 30. Fast Latch

3-14

MIN
2 ns
4 ns

MAX
6 ns
12 ns

AP-74
In either case, care must be exercised in
constructing the latch. Output data must be fed
back to the input having the shortest internal path
- the A input. If the latch is constructed with the
output strapped to the B input, the input could be
deselected and the feedback loop not yet selected
because of the delay through the internal inverter.
In this situation data would be lost. Additional
delay through the external inverter (74S04) aids in
preventing data loss. Inverting add1:esses has no
system effect - except that it's faster than the
non-inverting latch. During a write cycle, data
will be stored at the compliment of the system
address. When this data is to be retrieved, the
same address will be complimented, fetching the
correct word.

a true input, defining the output from the Board
Select decoder.
In the Board Select decoder, the high order adresses are matched to hard-wired logic levels
generated with switches for flexibility. Changing
a switch setting shifts the 16K range of the board.
Comparison of the switch setting and the address
can be accomplished with an exclusive-OR, a
. 74S86. N ANDing all the exclusive-OR outputs will
generate a Board Select signal. Unfortunately,
this signal is active-low, requiring an additional
inverter as in Figure 32A, and it also consumes
22.5 ns to decode. An MSI solution to board
selection is a 4-bit comparator - 74S85 - which
MAX PROP DELAY::; 11.5

The remaining elements in the critical path to be
designed are board selection and CS decoding. To
minimize the CS, decode path, the easiest method
is to work backwards from CS. In this manner input signals to a stage are determined and the
output from the preceding stage is defined. This
saves inserting an inverter at the cost of 5 ns to
generate the proper input to a stage.

+Vcc

os

r--- 6(

Y
r-Y"

1 ....

....

~

Starting with the CS driver, the design analyzes
several approaches to select the fastest one. With
four rows of devices, there are four CS signals to be
generated. A 2-to-4line decoder like the 74S 138 is a
possible solution. It is compact, but has two
detriments: long propagation delay and
insufficient drive capability. propagation delay
from enable is 11 ns. Enable is driven by board
selection which arrives later than the binary
inputs. Splitting the RAMs into two 4x8 arrays
eases the drive requirement but the demultiplexer
must still drive eight devices at 5 pF each - or 40
pF total- which adds 1.75 ns to the delay. More
importantly, signal drive is required to switch
cleanly and maintain levels in spite of crosstalk
and reflections. A 74S240 buffer will solve this but
in the process consumes an additional 9 ns.

1

- F\.
I

........

':;;4

1

~

--

BRD
SEL

S40

Figure 31.

CS Decode

~
~
~
AN_'

A second and preferred approach is to use a discrete decoder to decode and drive the CS signals.
Four input NAND buffers - 74S40 - fulfill this
function. Addresses A12 and A13 are inverted via
74S04, providing true and compliment signals to
the buffer for decoding. As shown in Figure 31, the
delay is 11.5 ns. Propagation delay for the 74S40 is
specified into a 50 pF load, eliminating the
additional loading delay. Left and right driversCSXL and CSXR - are in the same package to
minimize skew between left and right bytes of
data. All of the decoders are enabled by Board
Select to prevent rows of devices on several boards
fro~ being simultaneously active. Board Select is

~

3-15

-

'S86

l- =~~~:~~ ~:t:~ ,~::!2.~6!';:~:'~~: I

SKEW = 15.25

Figure 32A.

'5260

'504

+ 2 = 3.5.0
I
+ 6 =,11 ns
SKEW 7.5 ns
Figure 32B. Board Select

=

MIN PROP DELAY
1.5
MAX PROP DELAY = 5

I

.01

AP-74
, consumes less board area and propagation delay
is improved at 16.5 ns.
The best solution is attained by inverting the high
order addresses to generate true and compliment
'signals. the appropriate signal is connected into a
74S260, 5·input NOR. With an active-high output,
maximum delay is 11 ns as in Figure 32B.
Critical path timing is the sum of the latch, Board
Select, and CS delay times. In this example, latch
delay is 6 ns, Board Select is 11 ns and CS decode is
11.5 nS for a total of 28.5 ns. One additional delay
- trace delay - must be included for a complete
,solution. Each 74S40 drives eight MOS inputs
having 5 pF/device for a load of 40 pF. Trace
capacitance is calculated on 5 in. of trace. At 1.5
pF/in., trace capacitance is 7.5 pF. Trace delay
calculated from equation 3 is 1.9 ns.
tpl = 1.8 ns x 5 in.
40 pF
ft
12 in.lft
1 + 7.5 pF
tpl = 1.9 ns
Total worst case maximum critical path delay has
been calculated to be 30A ns (28.5 ns + 1.9 ns). With
the addresses shifted in time by an amount equal
to the worst case delay, device and system cycle
start are coincident. Start of system access and
device access differ only 0.4 ns when the addresses
are shifted 30 ns. From the system cycle start,
access is stretched by 0.4 ns as shown in Figure 33.
Thus, with a 35 ns 2147H-1, data is valid at the
output of the device 35.4 ns after the start of the
cycle.

J

From address change, the maximum delay in the
critical path is 30.4 ns while the minimum is 10.9
ns. The difference between these two times is skew
and will be important in later calculations.

ADDRESSES
Lower order addresses (Ao-All) arrive at the devices earlier than CS because they are not
decoded. Consequently, the address drivers do not
have a critical speed requirement. Once through
the 6 ns latch, addresses have 24 nsto arrive at the
devices.
While speed is not the primary prerequisite, drive
capability is. Address drivers are located in the
center ofthe board, dividing the array into two sections of 32 devices each. F9r the moment, assume
one driver drives 32 devices as in Figure 34A. Each
device is rated at 5 pF linput, resulting in a load of
160 pF. In addition, there are four 5-in. traces one for each row. twenty inches oftrace equates to
30 pF. total capacitive load is 190 pF. A 74S04 is
specified at 5 ns delay into 15 pF. The increased
capacitive load is 175 pF, which at 0.05 ns/pF increases the delay by 8.75 ns. Under these conditions the worst cast driver relay is 5 ns pI us 8.75 ns,
totalling 13.75 ns. It is 10 ns earlier than the 24 ns
available.

LO

AODRESS

\ \

-

S

----M
-EMREQ

10.9n5

\

30 4ns-------..

30n5

Figure 33.

·1

CS Decode Time

The minimum delay also must be calculated. With
addresses valid prior to the start of the cycle, CS
decoding can start in the previous cycle. If it
occurs too soon, the previous cycle will not be
properly completed. Minimum delay time is the
sum of the minimum propagation delays plus
capacitive loading delay plus trace delay.
Capacitive loading delay is less than 0.4 ns and
ignored. Minimum delay through the TTL is 9 ns,
and added to trace delay results in a total of 10.9
ns.

Figure 34A. Address Driver

The first impression is that this is sufficient, but
the effect of crosstalk must be considered. For
example, as shown in Figure 35, each trace has
inductance, and parallel traces take on the

3-16

AP-74
can sink 20 rnA, inducing a transient in an
adjacent trace. If the adjacent signal is switching
to a one level, only 400 IlA of a source current from
the driver is available. The induced current will
generate a negative spike, driving the signal at a
one leval negative. Additional time ofl 0 to 15 ns is
required to recover and re-establish a stable one
level. This may prevent stable address at the start
of the cycle. Recall:
.
dv
dv
l=CTt ordt=C T

characteristics of transformers. When a signal
switches from a one level to a zero level, its driver

LO

where: i = instantaneous current
C = capacitance
dv = voltage time rate of change
dt
LO

The term dv/ dt can be maximized by increasing i
or decreasing C. Current can be doubled by using a
driver like a 748240, but it draws 150mA supply
current. In a large system the increased power is a
disadvantage because it requires a larger power
supply and additional cooling.
A better alternative is to reduce the capacitance,
which results in a corresponding increase in dv / dt
for quick recovery. 8plitting the loads to 16 devices
reduces the capacitance and allows a low power
driver, like a 74804, to be used, as in Figure 34B.
This has the double effect of decreased propagation delay and providing sharp rise and fall times.
Now, there are only 10 in. oftrace or 15 pF load and
16 devices, representing 80 pF for a total of 95 pF.
Again, the 804 delaY' is 5 nil'into 15 pF, but the
stretched delay due to 80 pF is only 4.0 ns for a
total of 9.0 ns. Stable addresses are guaranteed at
the start of the cycle.

Figure 34B. Address Drivers

LO
Figure 35. Cross Talk

DATA PATH

x

ADDRESS

SLOW ell FAST

Next in line for analysis is the data path.
Reference to the system block diagram shows that
the data is latched into the board on a write cycle
and buffered out during a read cycle. Data latches
are constructed from 748158 quad two-input
multiplexers. Because the data bus is
bidirectional, 748240 three-state drivers are used
for output buffers.
All that remains to complete the board access computation is the calculation of the output propagation delay. Output delay of the active RAM is
caused by the capacitance loading of its own output plus the three idle RAMs, the input
capacitance of the 748240 bus driver and trace
capacitance. Output capacitance ofthe 2147Hs is
6 pF/device for a subtotal of 24 pF; input
capacitance of the 748240 is 3 'pF and trace
capacitance of a 5-in. trace is 7.5 pF. total load

r--

CS

"

FAST ADDR

X

---

,-Figure36A.

SLOW~$
~
X ===
_+-_______
FAST CS _
AODR

~

--1. .

~------J/~--r_-

Figure 36B. Rl!:ce Condition Between Address and WE

3-17

AP-74
Figure 36B shows the proper operation controlled
with timing.
Finally, the data output buffers, controlled by
timing signals, are enabled only during a read
cycle while the board is selected preventing bus
contention with two or more boards in the system.
More importantly, timing' disables the output
pri()r to the start of the next cycle, allowing input
data to be stabilized on the bidirectional data bus
in preparation for a write cycle.

capacitance is 34.5 pF, and access time of the
2147H is specified driving a 30 pF load. Calculated
loading is close enough to the specified loading to
eliminate any significant effect on the access
calculations. Had there been a difference, the
effect would have been included in the calculation.
As previously calculated, transit time of the trace
is 1.6 ns. Adding this to the 7 ns delay through the
748240 bus driver results in an 8.6 ns output
propagation delay from the RAM output to. the
bus.
Total access is 35.4 ns plus 8.6 ns output delay for a
total access of 44 ns. The efficiency of this system

is:

TIMING GENERATION
Having discussed the philosophy of timing and
control, we can now focus on the specifics of
address latching, write pulse generation and
output-enable timing. To perform these functions
timing can be generated from one of three sources:
clock and shift register, monostable
multivibrator, or delay line.

35
Eff = 44 or 80%

TIMING AND CONTROL
Timing and control gating regulates activity on
the board to guarantee operation in an orderly
fashion. This gating latches addresses, controls
the write pulse width and enables the three-state
bus drivers. In addition, accurately generated
timing compensates for skew effects.
In anticipation ofthe next cycle, the latch must be
opened f()r the new address. When the current
cycle has completed 50 ns, the latches are again
opened. The next cycle might not begin 30 ns after
the latch is opened beca.use the system may skip
one or more memory cycles. Therefore, a signal
from the next active cycle must close the latch. In
operation, a buffered Memory Request signal
latches the addresses.
The write pulse is controlled to guarantee set-up
and hold times for data and address and to
prevent an overlap of C8 and write enable from
different cycles. To understand the consequences,
consider the following example.
Assume two memory banks, one has a minimum
CS and the other has a maximum delay path in
CS, and both have a minimum address delay.
Assume that WE is a level generated from a write
command as shown in Figure 36A. The'operation
under examination is a write cycle into the bank
with fast C8 followed by a read cycle into the bank
with slow CS.
Both the write cycle and the read cycle have device
specification violations. In the write cyCle, the addresses change prior to CS and WE becoming
inactive; that new address location may be written
into. In the read cycle, the address change is
correct but WE is. still active and the fast CS
begins too soon, performing a non-existent write
cycle. Clearly, controlling the width of WE will
solve the problems.

CLOCKED SHIFT REGISTER
A clocked shift register circuit is shown in Figure
37 consisting of a D-type flip flop and an 8-bit shift
register.
~---'MEM~L-_________

I
CLK~
Q

U

-I

1--

LATENCY

Figure 37. D Flip-Flop and Shift Register

On the leading edge ofMEMREQ, the Q output of
the D flip flop is clocked to a one state, enabling a
"one" to be propagated through the shift register.
The one is clocked into the first stage of the shift
register on the first clock edge after the A and B
inputs are "ones". After the clock, the output QA
goes true which subsequently clears the D flip flop,
clocking zeros into the register to create a pulse
one clock period wide.
The accuracy and repeatability depends primarily'
on the accuracy and stability of the clock. Crystal
clocks can be built with +0.005% tolerance and less
than a 1% variation due to temperature.
An inherent difficulty is the synchronization of
Memory Request and the clock. At times there will
be a latency of one clock cycle between Memory
Request and the actual start of the cycle when
Memory Request becomes active just after the
clock edge. Assuming an 80 ns cycle and 20 ns
clock, the latency can be 20 ns or 25% of a cycle
stretching both access and cycle accordingly. A
second difficulty of this circuit is caused by the
asynchronous nature ofthe clock and the Memory
Request. The request becomes active just prior to

3-18

AP-74
the clock and the set-up time of the latch is
violated, the output QA "hangs" in a quasi-digital
state and could double or produce an invalid pulse
width; this and the latency hinder effective use in
high speed design.

MONOSTABLE MUL TIVIBRATOR
The second possible timing generator is a series of
monostable multivibrators, using a device such as
the AMD Am 26S02 multivibrator. It has a
maximum delay from input to output of 20 ns and
an approximate minimum of6 ns. However, with a
delay of20 ns, the monostable multivibrator offers
no advantage over the clocked generator. Having
a minimum pulse width of 28 ns, the one-shot
offers no improvement over the 50 MHz clock, but
in fact the performance is worse because it is more
temperature and voltage sensitive. The pulse
width is dependent on the RC network composed
of resistors and capacitors that are temperature
sensitive. Consequently, repeatability leaves
something to be desired.

generators. The leading edge travels down the
delay lines. When the edge reaches the 25 ns tap,
the output is inverted and fed back to the R input of
the R-S flip flop, shaping the pulse to width to 25
ns. Twenty-five nanoseconds was chosen to match
as close as possible the write pulse width. A 25 ns
pulse limits the Memory Request signal width to
less than 25 ns to insure proper operation.
Otherwise, the R-S flip flop will not clear until
Memory Request return,s to a one level. As the
pulse travels down the delay lines, it acquires
additional skew of ±1 ns per delay line package for
a total of 6 ns overall. Figure 38 shows several
timing pulses and the uncertainty of each edge calculated by worst case timing analysis. The
remaining problem is selection of timing edges to
operate the device_ Now that the timing chain is
completely defined, specific details of the address
latch, write pulse and output enable can be
completed.

ADDRESS LATCH TIMING
An R-S flip flop activated by. MEMREQ latches
the addresses. A second signal which we will now
calculate is used to open the latch. This signal has
two boundaries. If the latch opens too late, the
access of the cycle will be extended; if it opens too
soon, the current cycle will be aborted. Skew
through the R-S flip flop is 1.75 ns to 5.5 ns and
skew in the latch from enable to output is 4 ns to 12
ns for a total skew of 6 to 17.5 ns. With this skew
added to the 30 ns address set-up time, the latch
opening signal must be valid at 36 ns best case or

DELAY LINE
The third and best choice is a delay line. This
design uses STTLDM-406 delay lines from EC2
with tapped outputs at 5 ns increments. In
operation, Memory Request activates an R-S flip
flop fabricated from cross coupled NAND gates.
The output of this circuit starts the memOry cycle.
Consequently, the cycle starts 5 ns after Memory
Request compared to 20 ns for the other two timing

I

T60

T10

TO

TSO

TO

I

I

I

I

I

==::::x:::=

ADDRESS

MEMREa~
eLK

-D

TAP 35
TAP40
TAP 45
TAP 50
TAP 55

TAP 65
TAP 70

Figure 38. Timing Chain

3-19

AP-74
47.5 ns worst case prior to the start of the memory
cycle. Each cycle is 80 ns long, therefore, the latch
opening signal must begin 44 ns or 32.5 ns,
respectively, in the preceding cycle. From the
delay line timing diagram, ,T35 will satisfy the
worst case requirements for opening the latch and
T 25 best case. In production, each board is tuned
by selecting T25, T30, or T35 to open the latch,
guaranteeing it opens between 35 and 30 ns prior
to the start of the cycle.

to 8 ns. Subtracting 8 ns from 50 ns sets the
termination of the write timing edge at 42 ns.
Using the inversion ofT25 will end the write pulse
at 43 ns with 7 ns to spare.
Data set-up time is guaranteed because data is
valid 6 ns (the worst case delay through the latch)
after the start of MEMREQ.
'

OUTPUT ENABLE TIMING
There is a 5.5 ns delay through the address driver
providing minimum device cycle of 50 ns. As a
result the earliest data can disappear from the bus
is at 54 ns because of delay through the output circuit. To select the timing tap for the output enable,
the skew of the enable circuit is subtracted from
the system access time.

WRITE PULSE TIMING
The next timing to be calculated is the write pulse.
Figure 39 shows the three parameters which
define the write pulse timing: data set-up time,
write pulse width and write recovery time. Data
set-up is assured by having data valid through
the entire cycle.
t

Subtracting the 28 ns skew of the buffer enable circuit from the 44 ns access time pfthe system shows
that the latest the timing edge can occur is 16 ns,
which is satisfied by edge TlO. The trailing edge,
however, ends at 37 ns and with minimum propagation delays the bus would become three-stated
at 44 ns, coincident with data becoming valid.
ORing T20 with TlO will guarantee the output is
valid until 54 ns, minimum. Selecting a timing gap
between T35 and T50, depending on the
propagation delay in the enable circuit, disables
the output at 70 ns, allowing input data to be valid
for 10 ns prior to start of cycle. The complete
schematic is shown in Figure 40.

WR

ADDRESS

-------------------------+'I~-----

DATA

t DH

Figure 39. WE Constraints

SUMMARY

Placement of WE in the cycle is controlled by
address change to comply with tWR- From
previous calculations the earliest addresses can
change is 50 ns, which defines the end ofthe WE
signal. Our calculations begin at the device and
work back to the timing edge. Eight devices
constitute a 40 pF load and a 74S40 is specified for
a 50 pF load, reducing delay by 0.5 ns when
driving 40 pF. Trace delay and 74S40 delay is 3.5

The 2147H is an easy-to-use, high speed RAM. The
problems in a memory system design are the result
of inherent limitations in interfacing. Largest of
these is skew, which the designer must strive to
minimize. In this example, skew consumed 45 ns
of an 80 ns cycle while device access time was
extended by only 10 ns, resulting in an 80%
efficiency.

3-20

.

:.
v

.---

AOD

I
I
I

A3

--l>
....

SO.

•

--'-

Ij
I
I
I
I
I
I
I

SO,

~
~

5158

~

.----

A.

I
I
I

A7

A8

SO,

•

5158

-

I
I
I

A11

i

A12C

I I
I I
t

I

A15~

5158

I
I
I

:
f...-------.-J
r--S04
'A17D-

•

5158

130

........

~

....

L...-

I
I

V

-L
....
....

MOO

524

MO'_

W:i

-

~

Wi

~

Wo

M08_

-J-

52'
M015_

"-----r

CA11RU

MIO~

r - '-1

CS2R

~

CA11LU

r----.

CA11ll

.----

CS3R

r--'

CA11RL

MI2_

5158

MI7_

So.

,-CSOR

~

'-

:

CS1L

....

~~

V

5260 WR

so.

BOARD ENABLE

c>-r--- rc>C>C>-

5157

r-

•

;04

i~

BOX

ClK
CS3l

~
h

$158

i-

CS2l

P-'

: t...::

,--Ml15 _

FI--"

'"JBH'" . I ,, 111'
TSO

WE

1'11J
5

,'~

MEMiiEO

MEMREQ~ L . . . - r-

Figure 40. 16K X l6-Bit High Speed Static Memory

I- -

MI8_ f -

CSOl

P--'

l-

•

CS1R

'---

1>'

-

-

M07_

--l..J

WE

1>'

--t>

W3

--l..J

.....

:1~
toL-..!:_

Do---

--l..J

I

cf}-

A16

CAOll

TO

~I .
I

·r----.J

I

~

no
T20

-t:
......

I

540

CAORL

Tis

....

i ----i

Cf

"

";;

...-L'

.....

'KO

....

~

..""..

•

5158

'--

N

CAOlU
CAQLU

TO

25 ns DELAY LINES
STTLO m 406

160

I , , '1

APPLICATION
NOTE

Ap·131

March 1982

© Intel Corporation, 1982

3·22

intJ

AP·131

16-bit address words onto eight address input pins. The
two 8-bit address words are latched into the 2164A by
the two TTL level clocks: Row Address Strobe (ill)
and Column Address Strobe (CAS). Noncritical timing
requirements allow the use of the multiplexing technique while maintaining high performance.

1. INTRODUCTION
The Intel@ 2164A is'a high performance, 65,536-word by
I-bit dynamic RAM, fabricated on Intel's advanced,
HMOS-D III technology. The 2164A also incorporates
redundant elements to improve reliability and yield.
Packaged in the industry standard 16-pin DIP configuration, the 2164A is designed to operate with a single
+ 5V power supply with ± 10010 tolerances. Pin 1 is left
as a no-connect (N/C) to allow for future system upgrade to 256K devices. The use of a single transistor cell
and advanced dynamic RAM circuitry enables the
2164A to achieve high speed at low power dissipation.

Data is stored in a single transistor dynamic storage cell.
Refreshing is required for data retention and is accomplished automatically by performing a memory cycle
(read, write or refresh) on the 128 combinations of RAo
through RAt; (row addresses) during a 2-ms period. Address input A7 is a "don't care" during refresh cycles.

The 2164A: is the first commercially available dynamic
RAM to be manufactured using redundant elements and
also features single + 5V operation, low input levels
allowing -2V overshoot, a wide tRCD timing window,
low power dissipation, and pinout compatibility with
future system upgrades. These features make the 2164A
easy and desirable to use.

3. DEVICE OPERATION

3.1 Addressing
A block diagram of the 2164A is shown in Figure 2. The
storage cells are divided into four 16,384-bit memory arrays. The arrays are arranged in a 128-row by 128column matrix. Each array has 128 sense amplifiers connected to folded bit lines.

2. DEVICE DESCRIPTION
The 2164A is the next generation high density dynamic
RAM from the 2118 +5V, 16K RAM. Pin 1 N/C provides for future system upgrade of 64K to 256K sockets.
The 2164A pin configuration and logic symbols are
shown in Figure 1.

figure 3 depicts a bit map of the 2164A and also shows
the Boolean equations necessary to enable sequential
addressing of the 16 required address bits (Ao-AlS).
There is no requirement on the user to sequentially address the 2164A; the bit map and Boolean equations are
shown for information only.

Sixteen bits are required to address each of the 65,536
data bits. This is accomplished by multiplexing the

PIN
CONFIGURATION

BLOCK DIAGRAM

LOGIC
SYMBOL

128. 128 CELL
MEMORY ARRAV

.,
.,
A6

1~~~28
DECODERS

12f1.12&CELL
MEMORY ARRAY

Doy '

'"
'"

or
m

ROW ADDRESS STROBE

Figures 1 & 2. Intel' 2164A Pin Assignments and Block Diagram
3-23

_VDD

Ap·131

INPUT
ADDRESS
TOPOLOGICAL
ADDRESS
o

,

2164A

NlC 1

18 Vss
SPA~E

,

SPARE
COLrMNS

[5!]

COLrMNS

cccccccc

"AA"""""
7 6 5 4 2 , 0
~

on

O,gOOOOl

~

lUl000Ql
00100001
11100001
I 10 ~ 0 ~ 1

o

10010001

"

1 0 1 1 ~ 0 0 1
001'0001
11110D01
10001Qn1

00001001

~

~~
~ ~j

~~Qw.~ w

Ao

;f

5

In

"
"
""
"

14 DOUT

~~

~(J~

In

RAS 4

~

..

.1

:IDECODER

,.

Z

;f

,,,"
12 A,

In

::

"

6

spIRE
COLUMNS

VDD

~

MSB.

splRE

1

.

1o A,

"
"
""
"
"
"
""

COLUMNS

9

8

A,

2164A
ADDRESS
PIN

PROGRAMMED
ADDRESS

ROW

ROW ADDRESS
SCRAMBLING

RAO
RAI
RA2
RA3
RA4
RA5
RA6
RAT

NOTE: Bit Map can be determined
from Address Map equations.

COLUMN
CAO
CAl
CA2
CA3
CA4
CA5
CA6
CAT

AOR
AIR
A2R
A3R
A4R
A5R

00'01001
11101001
, D

~

1 10 0 1

00011001
0101,001
10111001
00111001
111"001
000001 0

~

A6R

COLUMN ADDRESS
SCRAMBLING·

01100101

D0010101
tl010101

,~

01110101

11001101

0100"01
10101101
01101101
10011101

.

01111101
10000011
00000011
11000011
01000011
10100011
00'00011
11100011
01100011
100'0011

..
..
."
.

'"

,.'"

"010011
0.010011
'01100"
00110011
01110011
'000.011
0000.011

'"
m
,.

Acii
$

D,N

'"
'"

.

'"
'"
'"
"'"
'""

.

COLUMN
ADDRESSES

'"
'"

"'"
'"
'"
'"
'"
m

011010"
00011011
'0"1011
001110.,
'000011'
00000'"
0100011,
'0'00'"
00100111

00010111
11010111

,M

'"

.'"'"

01001011
10101011

W

ACT
AC6
AC5
AC4
AC3
AC2
ACI

on

'"
'"
"'"

~

90',a101
11'101Gl

0'011101
10111101

"

ATR

OU10Dl01
1'100101

.

.

AIR
A2R
ATR
ATR
ATR
ATR
ATR

(j)
(j)
(j)
(j)
(j)
(j)
(j)

INTERNAL DATA_AOR ~ A7C

TOPOLOGICAL
ADDRESS

.,001001
01001001
1Ql010g,

11000101

""
""
"
"

0

A.

'"

00010001

1
·lSB

DECIMAL
EQUIVALENT

10000001
00000001

1111011.
011'0'"
1000'11'
00001111
11001111
0'0011"

'"
" .

'"
'"
'"

'"
n'
,,''"

11101111
0110111'

011'""

'"
'"
"'"

1111""'''111"","",,,,,
, 1 1 , , 1 , • 1 , 2 2 2 2 2 2 2 2 2 2 I 3 J a 3 3 l 3 l 3. 4 4" •• 4 4 4 5 5 5 5 ~ 5 5 5 S ~ 6 6 8 6 6 6 8 6 6 6 11 7 1 111111! 8 8 U 8 I! 8 I 8 9 ~ 9 9 999 9 9 ~ 0 0 0 0 0 0 0 0 a 0 1 1 1 , , , 1 , , , 222 2 2 2 • 2
0, ~ 34 581890 1:1 ~. 5 61890 1 2 34 S 6 1890' 2 34, 6 18 90,. J 4 5618 ~o 1 2 3458' 890' 23 458 1a 90 1 2 345 6 1 890 1 2 J . 51 1890 1 2 345 6 r ~9 0, ~3 4 0 6 7 890 1234" 6 7 e 9 0 t ~ J 4 ~ 61

INPUT
ADDRESS

DECIMAL
EQUIVALENT

66 B 6 7 1 ti & r 1 1 1 7 7 7 1 8 e 9 8 I 8 e 8 9 8 9 9 9 9 9 9 9 ~
4" 7e 0 1962 3 5.89 76 0 1 3 2 6 7 54 a
0 4 5 32 6 n

g.

~

9 1 1 1 • 1 1 , 1 1 1 1 , , 1 1 • , 1 1 , 1 1 , 1 1 tIl 0 1
8~~~~~~~~~: ~ ~~~; ~ ~ ~~! ~ ~ ~ ~~; ~ ~

j

2 6 ? , 489 1 1 1 " T 1 1 1 1 2 2 2 2 ~ 2 2 2 j 3 2 2 J 3 3 3 3 3 3 J 4 4 •• 4 • 444 4 5 5 5 5 5 , 5 5 5 556 6 6
1045326' ~ 8 23' O. 5 7"0' 9.2 J5 459' 8Q' 3 28' 5 4891
45 3 2 8 7 982 3,

..

°

~---ROW

°

ADDRESSES
C835

Figure 3. Intel® 2164A Bit Map

3·24

AP·131

ROWADDRESSES--------------------------------------------------------------------;~~

TOPOLOGICAL'
ADDRESS
11111111111111111111111111111111111111111111.111111111"'1"1111111111111111111111111111111111111111111"111111,111111",\\1"""'''7
000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111""'1111111111"'11111111111111111RAII
111\1111"\1111111111,1'1",,,,100000000000000000000000000000000"1"'11111111111111111111111111000000000000OQOOOOOOOQOOOOQaOOOORM
11111111111"'11000000000000000011\11111111111110000000000000000"""111111111100000000000000001111,,111111'11100000000000000001'1....
111111110000000011111'1100000000111"'11000000001111111100000000'""",00000000"1"'1'00000000"""1100000000II",11'00Q00000FlA3
""0000,11100001,110000,1"0000,1110000'1,,0000,1110000111100001"'0000111,000011,10000,1,,0000111'0000',110000111!000011110000RA2
001,1,0000,,1'00001,'1'00001,',0000111100001"'00001111000011"0000111'0000"110000",10000,,,100001"10000''I'QOOOll1'OOOO.,1'00RAl
01100110011001100110011001100110011001100110011001100110011001100.,001100"0011001,0011001,00,1001100,,001100110011Q011001100110RAO

1111\ , 1 1 1 11 11 1 11 1 1 1 1 1 1 1 1 11 I 11 I 1 1 1 11 11 111 1 11 1 1 1 , 1 , I , 1 1 1 1 1 1 111 111 1 2 ~ 2 2 2 2 2 2 2, Z. 2 2 2.2 •• 222.2.22 • • • • • 2 •• 2 • • • • • 222.2222.222122111 1 1111
8 6' i 8 8 8 & 6 6 6 8 I 1 I 1111111 6 668 6 6 ti 6 6 6 5 5 ~ 5 J 5 5 5 •• 5 5 , • • • • ' " 3 3 3 3 3 3 3 3 J J 2 2 5 5 6 5 56. 4 4 4. 4 4 4 •• 3 3 3 3 J 3 3 3 2 2 3 3 2 2 2 2 2 2 2 2 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 9 8 • 8 ~ 9. t
'110815' 0 1 3 2 19 7 6 2 3 S 40 1 9 8 4 5 16 2 3 1 0 6 1 9 B 4 5 3 2 8 9 1 0 e 1 5 4 0 1 3 2 B 9 7 ~ 2 3 S 401962354019 B. S 7 ti 2 J 1 0 6 1 9 8 4 5 3 2 8 9 1 0 6 1 5 401 328918235' 0 1 9 6.51623106198.532

INPUT
ADDRESS

DECIMAL
EQUIVALENT

INPUT
ADDRESS
TOPOLOGICAL
ADDRESS

,,.
,,,

..
'"

'"
'"

ccccc
AAAAA

43210
00000
00000
00000
00000
00000
10000
10000
10000
10000
10000
10000
01000
01000

,~

'"
'",
'"

.

...
'"
'"
'"
,,,...

'"
COLUMN
ADDRESSES

01000
01000
,.000
11000
11000
11000
11000
00100
00100
00100
00100
00100
10100
10100
10'00
10100
10100

".

:::

'"

".
'"
'"
'"

.,,.
m

.,'"
~

'"
'"
'"
'"
'"
'"

".
".
".".
m

,.'"'"
m

'"'"

".'"
~

'"
'"

".

..
.
'"
·
·"'"'"
·'"'"
·,...'"
·",.'"

DATA MAP EQUATION
INTERNAL DATA = DATA IN <±lIAOR <±l A7C)

,

AOR
A7C
INTERNAL
DATA

DATA IN

(0,.)

'"

"'"
".
".

I!!!! ".
,.
11100
11100
11100
00010
00010
00010
00010
00010
10010
10010
10010
10010
01010
01010
01010
01010
11010

00110

m

'"

DECIMAL
EQUIVALENT

00110
00110
10110
10110

2164A

N/C

1

.
'"
.'"
.'",.

'"
'"
".

.
,.",.
.'",

SPARE
COLr MNS

~

1 5 CAS

-LSB

14

ffi0

.."

DOUT

0

~

"".

".

16 Vss
SPARE
COL1 MNS

~

r-""

5

A2

6



~

1 3 A,

~
~ Of
en %Z~ 

1 2 A,

0

~t---

::!~

~~DEc"'d8'fR

~~~
~5%

spIRE
COLUMNS

Voo

~~
~

MSB.

3EJ

SlRE
COLUMNS

11A"
1o A,

8

9

A,

"

10110
10110
01110
011'0
01110
01110

""0

11110

•.
,..
"'"
,
"

.
ca36

Figure 3. Intel® 2164A Bit Map (continued)
3-25

Ap·131

(Figure 5b). The bit sense line is precharged to Voo
when RAS is high (Figure 5c). During an active cycle,
the row select line goes high, and the charge is redistributed (shared) with' the bit sense line (Figure 5d).
The sense amplifier detects the level from the cell and
then reinstates full levels into the data cell via a capacitive bit line restore circuit. At the end of the active cycle, the row select line goes low, trapping the data level
charge on the stored cell.

3.2 Active Cycles

m

When
is activated, 512 cells are simultaneously
sensed. A sense amplifier automatically restores the
data. When CAS goes active, Column Addresses CAoC~ choose one of 128 column decoders. CA7 and RA7
gate data sensed from the sense amplifiers onto one of
the two separate differential I/O lines. One I/O pair is
then gated into the Data Out buffer and valid data appears at DOUT'

3.5 Data Sensing

Because of independent RAS and 00 circuitry, successive CAS data cycles can be implemented for transferring blocks of data to and from memory at the maximum rate - without reapplying the RAS clock. This
procedure is called Page Mode operation and is described in more detail in Section 4.6. If no CAS operation takes place during the active RAS cycle, a refreshonly operation occurs: RAS-only refresh.

The 2164A sense amplifier compares a stored level to a
reference level (Vss) in a special, non-addressable storage cell called a dummy cell.

V D• STORAGE
PLATE

I~~~

3.3 Storage Cell
The basic storage cell is shown in Figure 4. Note that the
2164A uses two dummy cells on each bit line to help
compensate for alignment effects. Data is stored in
single-transistor dynamic RAM cells. Each cell consists
of a single transistor and a storage capacitor. A cell is accessed by the occurrence of row select (RAS) clocks
Ao-A7 into the address pins, followed by column select
(CAS) multiplexing As-AJS into the address pins.
ROW
SELECT

,

I

ROW SELECT
GATE
•

~~

) '
•

I

BIT/SENSE'
LINE

L-.J
: --r-LJ---') -,t""_.,-_.,-._""._,-.,..._....
I

I

b)

' ...

C

_

CIRCUIT DIAGRAM OF BASIC
STORAGE CELL

_-_.!

CROSS SECTION OF BASIC
STORAGE CELL
"

BASIC CELL DURING
PRE.CHARGE

-,,...-,:--::--,--:--:--=::--.::-=r- BASIC CELL IS ROW SELECTED

-~_--H_ _;-"""""r---r--\' BIT

'LINE

~=~~~iSTOR ~

d)

L··
- • 0 - . • 01
-------'-!....!.J

e)

\ ...e~!,e!.'!! ~!.e

!!\:tIItJ
L:!:!J

CHARGE IN CELL REDISTRIBUTED
WITHBITLINE

CELL CHARGE IS RESTORED

ROW SELECT GATE IS DESELECTED

63 STORAGE CELLS AND
'2 DUMMY CELLS
STORAGE

NODE

I

VDD 0-0------<->------\1

.---l.-

I

\-')_-4----<>--...----\

Figure 5. Sensing

Figure 6 depicts a simplified schematic of the 2164A
sense amplifier. The sense amp contains a pair of crosscoupled transistors (Ql and Q2), two isolation transistors (Q3 and Q4), and a common node which goes low
with SAS (Sense Amp Strobe) and activates the sense
amp. The bit-sense lines (BSL and BSL) run parallel out
from the sense amp in a folded bit line approach. Each
bit line contains 64 data cells and two dummy cells. The
double dummy cell arrangement helps limit the effect of
mask alignment on sensing margins by having a dummy
cell oriented in the same direction as the data cells.

Figure 4. Storage Cell

3.4 Charge Storage in Data Cell
,

\

Data is stored in the 2164A memory cells as one of the
two discrete voltage levels in the storage <;apacitor - a
high (Voo) and a low (Vss). These levels are sensed by
the sense amplifiers and are transmit~ed to the output
buffer. Sensing of stored levels is destructiv!,:, so
automatic restoration (rewriting or refreshing) must
also occur.

The folded bit line approach has several advantages,
one of which minimizes the effect 'of interbit line substrate noise and I/O coupling by providing common
mode noise rejection. This sense amp arrangement uses
metal bit lines and polysilicon word lines.

The charge storage sensing mechanism for a stored low
is described in Figure 5. The Vou storage plate creates a
potential well at the storage node. For a stored low, the
charge is stored in the cell relative to the storage plate
3-26

inter

Ap·131

ROW
SELECT LINE

BSL

BIT LINE RESTORE

BIT LINE RESTORE

V
o

DUMMY

SELECT
LINE

• FROM BIT LINE
ISOLATION CLOCK

PLATE

Figure 6. Sense Amp

To eliminate sensing problems, a three-step sensing
(Figure 7) is employed in the generation of Sense Amp
Strobe clock (SAS). Device A is triggered by the sense
strobe clock. This device pulls do)Vn slowly and when
fed back, triggers the two gates D and E. When SAS is
low enough, device B turns on, pulling the SAS line
lower and at a later time, device C pulls §AS down hard.
If sensing occurs too quickly, the sense amp becomes
sensitive to capacitive imbalance and sensing errors
might happen. This design elim'inates excessively fast
sensing which can occur when two sense strobe clocks
are being used.

precharge, the row select and dummy select lines are at
Vss, isolating the cells from the bit lines. When RAS
goes low, the precharge clock goes low, ending the precharge period.

3.7 Data Sensing Operation
The row select and dummy select gating are arranged so
the selected data and dummy cells are on alternate bit
lines of the sense amp (Figure 6). The row select and
dummy select lines go high simultaneously, resulting in
concurrent charge redistribution on the bit lines. The·
relationship between the word select lines and the effect
of concurrent charge redistribution on the bit lines is
shown in Figure 8. An approximate 250 mV differential
results from this charge redistribution.
WORD SELECT LINES (DUMMY AND DATA)

j/

_...1'"_

SENSE
STROBE .....
CLOCK

TIME (ns)

voot~--_~~~~~R~~~
-'~

Figure 7. Intel® 2164A Sense Amp Clocks

DUMMY BIS LINE

............. _

3.6 Precharge

I

.. _ _ _ _ _ _ _

--

f 250 mV

~50mv

DATA BIS LINE (STORED LOW)

--==--:-

Vss L _ _ _ _ _ _ _ _ _ _ _ _ _

A precharge period is required after any active cycle to
ready the memory device for the next cycle. This occurs'
while RAS is high. The bit lines are precharged to VDD,
while the dummy cells are precharged to Vss. During

TIME (ns)
BIT LINES DURING CHARGE REDISTRIBUTION

Figure 8. Sensing Voltage Waveforms

3·27

Ap·131

After charge redistribution, the sense amp is activated.
The sense amp amplifies the differences in the resultant
voltages on the bit lines. The line with the lower voltage
potential is driven to Vss. The other line remains at a
relatively high level, as shown in Figure 9.

lines. The I/O is a pair of opposite polarity data lines
(110 and I/O) which are connected to the Data Input
(DIN) and Data Output (DOUT) buffers. Data is differentially placed on the 110 lines during read operation
and multiplexed to the final I/O lines. During a write
cycle, data is differentially placed on the final I/O lines
from DIN and decoded onto the internal 110 lines.
Stored levels are determined by CA, column and RAo
row exclusive-ORed product and then exclusive-ORed
again with DIN (Figure 3). Stored levels are decoded
during DOUT operation and have no effect on device
use.

VDD~==::::;~",::",:-:",:-:...:-=-,:-:",,:~
RESTORING
STORED HIGH

I~

SENSE AMP

::;

ACTIVATION

~

v•• -

-

-

I

I

RESTORING STORED lOW

t-

3.9 Address Latches

TIME (n8)

The 8-bit row and column address words are latched
into'internal address buffer registers by RAS and CAs.
RAS strobes in the seven low-order addresses (Ao-A,)
both to select the appropriate data select and dummy
select lines and to begin the timing which enables the
sense amps. ~ strobes in the eight high-order addresses (Ag-AlS) to select one of the column decoders
and enable I/O operation.

Figure 9. BIUSense Line Voltage
The bit line boost circuitry is shown in Figure 10. During sense operations, the boost capacitors are isolated.
After sensing, the bit line with a "0" has the capacitor
turned off (Vas"'O) and, conversely, the bit line with a
"1" has the capacitor turned on. The boost clock will
turn on and boost the I-level up above VDD , giving
maximum charge stored in the cell.

BSL---'------'

BSL-------'

BIT LINE
BOOST
ISOLATION
CLOCK

Figure 12 shows a simplified 2l64A address buffer. As

Navigation menu