1990_Altera_Data_Book 1990 Altera Data Book
User Manual: 1990_Altera_Data_Book
Open the PDF directly: View PDF .
Page Count: 628
Download | |
Open PDF In Browser | View PDF |
Altera, the Logical Alternative, MAX, MAX+PLUS, LogicMap, LogiCaps, and Alterans are registered trademarks of Altera Corporation. The following are trademarks of Altera Corpora tion: A+PLUS, AHDL, MPLD, SAM, BUSTER, MCMAP, MacroMuncher, TURBO-BIT, SALSA, ADUB, PLDS-ENCORE, PLDS-MAX, PLCAD-SUPREME, PLDS-SAM, PLDS-MCMAP, PLS-MAX, PLSSUPREME, PLS-MCKIT, PLS-SAM, SAM+PLUS, SAMSIM, ASMILE, PLDS2, PLS4, PLS2, PLCAD, PLE, ASAP, EP3OO, EP310, EP320, EP33O, EP512, EP600, EP610, EP630, EP640, EP900, EP910,EF120~EF1210, EP1800,EP181~EP1830,EPS44~EPS464,EPB1400,EPB2001, EP2002, EPB2002A, EPM5016, EPMS024, EPM5032, EPMS064, EPM5127, EPMS12B, EPMSl30, EPMS192, MP1810, MPM5032, MPMS064, MPM5128, and MPM5192. A+PLUS and MAX+PLUS design elements and mnemonics are Altera Corporation copyright. Altera Corporation acknowledges the trademarks of other organizations for their respective products or services mentioned in this document. Altera reserves the right to make changes, without notice, in the devices or the device specifica tions identified in this document. Altera advises its customers to obtain the latest version of device specifications to verify, before placing orders, that the information being relied upon by the customer is current. Altera warrants performance of its semiconductor products to current Specifications in accordance with Altera's standard warranty. Testing and other quality control techniques are used to the extent Altera deems such testing necessary to support this warranty. Unless mandated by government requirements, specific testing of all parameters of each device is not necessarily performed. In the absence of written agreement to the contrary, Altera assumes no liability for Altera applications assistance, customers product design, or infringement of patents or copyrights of third parties by or arising from use of semiconductor devices described herein. Nor does Altera warrant or represent any patent right, copyright, or other intellectual property right of Altera covering or relating to any combina tion, machine, or process in which such semiconductor devices might be or are used. Altera's products are not authorized for use as critical components in life support devices or systems without the express written approval of the president of Altera Corporation. As used herein: Altera Corporation 2610 Orchard Parkway San Jose, CA 95134-2020 (408) 984-2800 Applications Hotline: 1 (800) 800-EPLD Marketing Hotline: 1 (800) SOS-EPLD 1. Life support devices or systems are devices or systems that (a) are intended for surgical implant into the body or (b) support or sustain life, and whose failure to perform, when properly used in accordance with instructions for use provided in the labeling, can be reasonably expected to result in a significant injury to the user. 2. A critical component is any component of a life support device or system whose failure to perform can be reasonably expected to cause the failure of the life support device or system, or to affect its safety or effectiveness. Altera cannot assume any responsibility for any circuits shown, or represent that they are free from pa tent infringement. Products mentioned in this document are covered by one or more of the following U.S. patents: 4,609,986; 4,617,479; 4,67l,318; 4,713,792; 4,774,421; 4,831,571; 4,864,161; 4,871,930; 4,899,067; 4,899,070; 4,903,223; 4,912,342; 4,930,107. and the following foreign patents: England: 2,072,384; 2,073,487; West Germany: 3,103,160; and Japan: 1,279,100. Additional patents pending. Copyright © 1990 Altera Corporation About this Data Book I October 1990 This Data Book contains complete information about Altera products: Section 1 provides an introduction to Erasable Programmable Logic Devices (EPLDs). This section describes the principles underlying EPLD architecture, summarizes EPLD families and software tools offered by Altera, and explains the advantages of CMOS EPROM technology. The section also contains a Product Selection Guide for a quick overview of Altera products. Section 2 describes the EP-series "classic" EPLDs, including A+PLUS software support. Section3 describes the EPMSOOO-series MAX EPLDs, including MAX+PLUS software support. Section 4 provides preliminary information about the EPM7000-series MAX EPLDs. Section 5 describes the EPS-series EPLDs, including SAM+PLUS software support. This series includes the Stand-AloneMicrosequencer (SAM) and Synchronous Timing Generator (STG) EPLDs. Section 6 gives an overview of the EPB-series EPLDs, Altera's userconfigurable adapter interface chips for the IBM PS/2 Micro Channel. The EPB2001 and EPB2002A EPLDs are described in detail in the Micro Channel Adapter Handbook (April 1990). Section 7 describes operating requirements for all Altera EPLDs. SectionS describes Altera's development products, including development systems, software utility programs, EDIF netlist interface, software support for Apollo computers, device programmer, adapters, and software warranty. This section also includes a description of third-party support. Section 9 describes the military products offered by Altera, including the Source Control Drawings (SCDs) for military-qualified EPLDs. The section also contains an application brief about total-dose radiation hardness of Altera EPLDs. Section 10 provides application notes and briefs for engineers and engineering managers who seek practical ways to reduce design costs, improve design quality, and shorten design cycles. IA/tera Corporation iii I About this Dsts Book Section 11 gives information about how to use Altera's Electronic Bulletin Board Service and order Altera products. This section also shows all EPLD package outlines, describes thermal characteristics of EPLDs, explains how to select sockets for Jlead packages, and lists Altera sales offices, representatives, and distributors. W For immediate assistance on technical questions, please call Altera's Applications Hotline at: 1 (SOO) 800-EPLD W For information on product availability, pricing, and order status, please contact your Altera Representative or Distributor. Phone numbers and addresses of Altera Sales Offices, Representatives, and Distributors are listed at the end of this data book. W Should you have questions that cannot be answered by your Sales Representative or Distributor, please call Altera's Marketing Hotline at: 1 (800) SOS-EPLD or contact Altera by FAX at: 408-248-6924 Altera Corporation I Contents I October 1990 About this Data Book ....................................................................................... iii Product Index ................................................................................................... vii Subject Guide ..................................................................................................... xi Section 1 Introduction to Altera EPLDs Section 2 EP-Series Classic EPLDs Section 3 EPM5000-Series MAX EPLDs Section 4 EPM7000-Series MAX EPLDs Section 5 EPS-Series SAM and STG EPLDs Section 6 EPB-Series EPLDs Section 7 Operating Requirements for EPLDs Section 8 Development Products Section 9 Military Products Section 10 Application Notes & Briefs Section 11 General Information I Altera Core.oration II 6 El lEI 11 m 6 m m IE [II vi Product Index I October 1990 EPLDs I Altera Corporation EPB2001 .................................................................................................... 20,215 EPB2002A ................................................................................................. 20,215 EPM5016 .................................................................. 18,21,113,115,125,267,327 EPM5016-1 ........................................................................... 18,113,115,125,267 EPM5016-2 ........................................................................... 18,113,115,125,267 EPM5032 .................................................................. 18,21,113,115,131,267,327 EPM5032-1 ........................................................................... 18,113,115,131,267 EPM5032-2 ........................................................................... 18,113,115,131,267 EPM5064 ......................................................................... 18,21,113,115,137,267 EPM5064-1 ........................................................................... 18,113,115,137,267 EPM5064-2 ........................................................................... 18,113,115,137,267 EPM5128 ......................................................................... 18,21,113,115,143,267 EPM5128-1 ........................................................................... 18,113,115,143,267 EPM5128-2 ........................................................................... 18,113,115,143,267 EPM5130 .............................................................................. 18,113,115,149,267 EPM5130-1 ........................................................................... 18,ll3,115,149,267 EPM5130-2 ........................................................................... 18,113,115,149,267 EPM5192 .............................................................................. 18,113,115,192,267 EPM5192-1 ........................................................................... 18,113,115,192,267 EPM5192-2 ........................................................................... 18,113,115,192,267 EPM7015 ................................................................................................. 177,178 EPM7020 ................................................................................................. 177,178 EPM7025 ................................................................................................. 177,178 EPM7040 ................................................................................................. 177,178 EPM70SO ................................................................................................. 177,178 EPM7075 ................................................................................................. 177,178 EPM7100 ................................................................................................. 177,178 EPM71SO ................................................................................................. 177,178 EPM7200 ................................................................................................. 177,178 EPS448-20 .......................................................................................... 20,183,185 EPS448-25 .......................................................................................... 20,183,185 EPS448-30 .......................................................................................... 20,183,185 EPS464 ................................................................................................ 20,183,203 EPl800 ...................................................................................................... 22,268 EP1810-35 ............................................................................. 19,22,31,85,99,267 EP1810-40 ............................................................................. 19,22,31,85,99,267 EP1810-45 ............................................................................. 19,22,31,85,99,267 EP1830-20 ............................................................................. 19,22,31,85,95,267 EP1830-25 ............................................................................ 19,22,31,85,95,267 EP1830-30 .................................................................... ,........ 19,22,31,85,95,267 vii I I Product Index EPLDs (continued) Development Tools I viii Data Book I EP320 .............................................................................. 19,22,31,33,45,267,327 EP320-1 ................................................................................ 19,22,31,33,45,267 EP320-2 ................................................................................. 19,22,31,33,45,267 EP330-12 ......................................................................... 19,22,31,33,41,267,327 EP330-15 .................................................................................... 19,22,33,41,267 EP600 ........................................................................................................ 22,268 EP61 0-25 ......................................................................... 19,22,31,49,67,267,327 EP610-30 ............................................................................... 19,22,31,49,67,267 EP610-35 ............................................................................... 19,22,31,49,67,267 EP630-15 ......................................................................... 19,22,31,49,63,267,327 EP630-20 ............................................................................... 19,22,31,49,63,267 EP640-12 ...................................................................................... 19,22,31,49,59 EP640-15 ...................................................................................... 19,22,31,49,59 EP900 ........................................................................................................ 22,268 EP910-30 ............................................................................... 19,22,31,71,81,267 EP910-35 ............................................................................... 19,22,31,71,81,267 EP910-40 ............................................................................... 19,22,31,71,81,267 8946901 ..................................................................................................... 22,269 8947601 ..................................................................................................... 22,269 8854801 ..................................................................................................... 22,269 8854901 ..................................................................................................... 22,269 8863501 ..................................................................................................... 22,269 8686401 ..................................................................................................... 22,269 PLAESW .............................................................................................. 24,27,260 PL-ASAP ............................................................................................. 24,27,256 PLCAD.:SUPREME ............................................................................ 24,25,227 PLI)5-ENCORE .................................................................................. 24,25,223 PLDS-MAX .......................................................................................... 24,25,225 PLDS-MCMAP ................................................................................... 24,25,233 PLDS-SAM .......................................................................................... 24,25,231 PLDS2 .................................................................................................. 24,25,229 PLE3-12A ............................................................................................. 24,27,257 PLEG1810 ............................................................................................ 24,27,258 PLEJ1810 .............................................................................................. 24,27,258 PLEG1830 ............................................................................................ 24,27,258 PLEJI830 .............................................................................................. 24,27,258 PLEJ2001 .............................................................................................. 24,27,258 PLED330 .............................................................................................. 24,27,258 PLEJ330 ................................................................................................ 24,27,258 PLES330 ............................................................................................... 24,27,258 PLED448 .............................................................................................. 24,27,258 PLEJ 448 ................................................................................................ 24,27,258 PLED5016 ............................................................................................ 24,27,258 PLEJ5016 .............................................................................................. 24,27,258 PLESS016 ............................................................................................. 24,27,258 A/tera Corporation I I Data Book Development Tools (continued) IAltera Corporation Product Index I PLED5032 ............................................................................................ 24,27,258 PLEJ5032 .............................................................................................. 24,27,258 PLESS032 ............................................................................................. 24,27,258 PLEJ5064 .............................................................................................. 24,27,258 PLEG5128 ............................................................................................ 24,27,258 PLEJ5128 .............................................................................................. 24,27,258 PLEG5130 ............................................................................................ 24,27,258 PLEQ5130 ............................................................................................ 24,27,258 PLEG5192 ............................................................................................ 24,27,258 PLEJ5192 .............................................................................................. 24,27,258 PLEQ5192 ............................................................................................ 24,27,258 PLED610 .............................................................................................. 24,27,258 PLEJ610 ................................................................................................ 24,27,258 PLED630 .............................................................................................. 24,27,258 PLEJ630 ................................................................................................ 24,27,258 PLES630 ............................................................................................... 24,27,258 PLED910 .............................................................................................. 24,27,258 PLEJ910 ................................................................................................ 24,27,258 PLS-APOLLO ...................................................................................... 24,26,249 PLS-EDIF ............................................................................................. 24,26,238 PLS-MAX ............................................................................................. 24,25,163 PLS-MCKIT ......................................................................................... 24,25,124 PLS-SAM ............................................................................................. 24,25,207 PLS-SUPREME ................................................................................... 24,25,103 Ix I Subject Guide I October 1990 A+PLUS .................................................................................................. 26, 103 Altera Design Processor (ADP) .................................................. 107 Boolean Equation Entry ............................................................... 105 Functional Simulator (FSIM) ...................................................... 108 LogiCaps Schematic Capture .............................................. 104, 390 LogicMap II Programmer ............................................................ 108 State Machine and Truth Table Entry ........................................ 106 TTL MacroFunctions ............................................................ 168, 390 AC Timing Characteristics for EP-Series EPLDs ..................................... .431 Altera Hardware Description Language (AHDL) ........... 166, 361, 371,523 Address Decoder .................................................................................. 281, 374 Bar Code Decoder ........................................................................................ 417 Bus Controller ............................................................................................... 355 Cascading (SAM) Addressed-Branch ........................................................................ 456 Horizontal ..................................................................................... 193 Master /Slave ................................................................................. 461 Vertical ................................................................................... 193, 453 Chip-Select Logic ......................................................................................... 281 CMOS EPROM Technology ...................................................................... 3, 11 Converters ABEL2MAX ................................................................................... 236 EDF2CNF ....................................................................... 239, 240,250 PLD2EQN ...................................................................................... 236 SNF2EDF ............................................................................... 247, 253 SNF2GDF ............................................................................... 173, 239 Counter Design ............................................................................................. 389 Counters ................................................................................................ 376, 389 CRCGenerator ............................................................................................. 336 Design Entry AHDL ..................................................................... 166, 361, 371,523 ASM ............................................................................................... 309 ASMILE ................................................................................. 186, 299 Boolean Equation .................................................................. 105, 475 Microcode .............................................................................. 186, 349 Schematic Capture ........................................................ 104, 165, 390 State Machine and Truth Table ........................................... 106, 401 I Altera Corporation I Subject Guide Data Book I Design Guidelines for EPM5000-Series EPLDs ........................................ 497 Development Software A+PLUS ......................................................................................... 103 MAX+PLUS ................................................................................... 163 SAM+PLU5 ................................................................................... 2CJ7 Utility Programs ........................................................................... 235 Device Erasure ........................................................................................... 220 Selection Guide ............................................................................... 17 Testing ................................................................................... 271,289 Digital Image Processing ............................................................................. 343 Direct Memory Access (DMA) ................................................................... 535 Dual Feedback .............................................................................................. 477 Dynamic RAM Control ................................................................ 287, 378,547 EDIF Netlist Interface .................................................................................. 238 Electronic Bulletin Board Service ............................................................... 563 Electrostatic Discharge .................................................................................. 15 Emulating Internal Buses ............................................................................ 521 EP-Series EPLDs ............................................................................................. 31 EPB-Series EPLDs ........................................................................................ 215 EPLD Architecture ...................................................................................... 7 Delay Parameters ................................................................. 430, 482 Design Environment .................................................................. 5,23 Package Outlines .......................................................................... 563 Testing ................................................................................... 271,289 EPM-Series EPLDs ....................................................................................... 113 EPS-Series EPLDs ......................................................................................... 183 Estimating a [)esign Fit ............................................................................... 443 Expanded Memory ...................................................................................... 505 Finite Impulse Response (FIR) .................................................................... 351 Fitting a [)esign into an EP-series EPLD .................................................. .443 Frequency Divider ....................................................................................... 527 Graphics Controller ..................................................................................... 312 Input Reduction (SAM) ............................................................................... 465 Interface to Memory and Peripheral [)evices ........................................... 281 Internal Buses ............................................................................................... 521 Internal Nodes .............................................................................................. 511 Introduction to EPLDs ..................................................................................... 3 , Latch-up .................................................................................................. 14, 220 Latches IRegisters Asynchronous ............................................................................... 397 I xii Altera Corporation I I Data Book Subject Guide I Latches/Registers (continued) D-type .................................................................................... 397,492 Expanders ...................................................................................... 491 SR ............................................................................................ 398,491 Synchronous ................................................................................. 494 LogiCaps Schematic Capture .............................................................. 104, 390 LogicMap II ..................................................................................................... 26 Macrocell Architecture .................................................................................... 8 MacroMunching ........................................................................................... 394 Master Programming Unit .......................................................................... 257 MAX+PLUS AHDL ..................................................................... 166, 361, 371,523 Compiler ........................................................................................ 169 IJesign Guidelines ........................................................................ 497 Graphic Editor .............................................................................. 165 Memory Configuration ................................................................ 505 Programmer .................................................................................. 172 Simulator ....................................................................................... 170 Symbol Editor ............................................................................... 166 Text Editor ..................................................................................... 167 Timing Analyzer ........................................................................... 172 TTL MacroFunctions .................................................................... 168 Waveform Editor .......................................................................... 171 MCELL Buffer ............................................................................................... 500 Memory Optimization ................................................................................. 505 Metastability ................................................................................................. 289 Micro Channel .............................................................................................. 215 Microcode Memory ...................................................................................... 188 Mili tary Proci ucts ................................................................................. 267, 270 Multiway Branching (SAM) ........................................ 198, 311, 449, 450, 451 Operating Requirements for EPLDs .......................................................... 219 Ordering Information .................................................................................. 567 Package Options ............................................................................................. 17 Package Outlines .......................................................................................... 587 PAL/GAL Replacement .............................................................................. 277 PAL/PLA Integration .................................................................................. 327 Pattern Generation ....................................................................................... 297 Product Selection Guide ................................................................................ 17 Programming with LogicMap II .................................................................. 108,212 withMAX+PLUSProgrammer .................................................. 172 Programming Adapters .............................................................................. 258 Programming Unit ....................................................................... 124,256,257 I Altera Corporation xiii I I Subject Guide Data Book I Radiation Hardness ..................................................................................... 271 Sales Offices, Distributors & Representatives .......................................... 587 SAM+PLUS ASM Assembly Language ................................................... 209, 309 ASMILE State Machine Input Language ........................... 208, 299 IJesign Entry ......................................................................... 185, 298 General IJescription ....................................................................... 26 SAM IJesign Processor (SOP) ..................................................... 210 SAMSIM Functional Simulator .................................................. 211 Security ........................................................................................................ 198 Shaft Encoder ................................................................................................ 331 Simulation Functional FSIM ....................................................................................... 108 SAMSIM ................................................................................ 211 Internal Nodes .............................................................................. 511 Timing .................................................................................... 170, 427 Virtual Logic Anal yzer (VLA) .................................................... 108 Waveform Editor .......................................................................... 171 SOFTBuffer .................................................................................................. 500 Source Control Drawing ............................................................................. 270 State Machine A+PLUS ......................................................................................... 401 Altera Hardware IJescription Language (AHDL) .... 361, 371,523 Assembly Language (ASM) ........................................................ 209 Partitioning ................................................................................... 405 SAM+PLUS ................................................................................... 207 Simulation ..................................................................................... 516 State Machine Input Language (ASMILE) ........................ 186, 208 Sockets for EPLDs ........................................................................................ 583 Software Utilities .......................................................................................... 235 Synchronization Detector .................................................................... 379, 413 Synchronous Timing Generator ................................................................. 203 System Requirements .................................................................................. 237 Testing Timing ................................................................................................. 271,289 EP-Series ........................................................................................ 427 EPM-Series .................................................................................... 479 EPS448 EPLD ................................................................................ 315 Thermal Resistance ...................................................................................... 582 Third-PartySupport .................................................................................... 261 Wait-State Generation ................................................................................. 283 Warranty ....................................................................................................... 260 Workstation Support ................................................................... 238, 249,261 I xiv A/tera Corporation I Contents I October 1990 Section 1 Introduction to Altera EPLDs Programmable Logic Overview ........................................................................ 3 Product Selection Guide .................................................................................. 17 IAltera Corporation Page 1 I D Programmable Logic Overview I October 1990, ver. 1 Introduction Data Sheet I Programmable Logic Devices (also described as PLD, PAL, PLA, FPLA, EPLD, EEPLD, LCA, and FPGA devices), combine the logistical advantages of standard, fixed integrated circuits with the architectural flexibility of custom devices. These devices allow engineers to electrically program standard, off-the-shelf logic elements to meet the specific needs of their applications. Proprietary logic functions can be designed and fabricated in-house, eliminating the long engineering lead times, high tooling costs, complex procurement logistics, and dedicated inventory problems associated with custom Application-Specific Integrated Circuit (ASIC) devices, such as gate arrays and standard cells. The key to this "off-the-shelf ASIC" capability is CMOS EPROM technology, which is used to create Erasable Programmable Logic Devices (EPLDs). Altera has taken advantage of speed and density advances in CMOS EPROM memory products to create sophisticated EPLDs that solve many logic design problems. Altera provides the broadest line of CMOS EPLDs in the industry, with products ranging in density from hundreds to thousands of gates, offered in a variety of packages with 20 to 100 pins. Larger EPLDs, with up to 20,000 gates and over 200 pins (the EPM7000 series), are currently under development. These EPLDs, together with Altera development software, enable system manufacturers to create custom logic functions for a wide variety of applications. See Figure 1. Figure 1. Altera User-Programmable Logic Families EP-Series EPMSOOO-Series EP-Series EPMSOOO-Series Random Logic I Altera Corporation Control Logic Page 3 I 1 I Plogrammable Logic Overview Data Sheet I EPLDs can be used to integrate complete printed circuit boards of TIL, PAL, and FPGA devices into a single package. EPLDs are also valuable for prototyping high-density custom devices, which enables designers to test markets and evalua te systems before committing to expensive engineering development cycles and tooling charges. For most of today's applications, EPLDs not only ensure faster time-to-market, but also provide a lower total cost than custom ASIC solutions. Altera concentrates on creating high-performance device architectures and easy-to-use, highly productive CAE software. Altera products meet the demands of designers who require complete solutions to logic integration that include both PAL speed and FPGA density. See Figure 2. Figure 2. PLD Speed vs. Density 100 Usable Speed (teNT) MHz EPLD Families 50 AHera offers several families of EPLDs that satisfy many common boardand system-integration needs. EPLD families are divided into two architectural categories: the first provides maximum flexibility for generalpurpose logic replacement; the second is specialized for performing specific system design tasks. o General-purpose EPLDs are available in a variety of integration densities, ranging from PAL replacements to high-density devices that integrate thousands of TIL and random logic gates. These EPLDs are designated with the EP- and EPM- prefixes. The EP-series architecture includes 20- to 68-pin EPLDs that feature zero-standby power, propagation delays (tpo) of 12 ns, and counter frequencies of up to 100 MHz. I Page 4 A/tera Corporation I I Data Sheet Programmable Logic Overview I The EPM5000-series Multiple Array MatriX (MAX) architecture includes 20- to 100-pin EPLDs that combine the high speed and ease-of-use of PAL devices with the density of FPGA devices. High-density MAX EPLDs can consolidate 20 to 25 PAL packages and over 100 TIL functions, while offering system clock rates of 50 MHz. Altera is also developing the next generation of EPLDs-the EPM7000 series-that will provide integration densities of 1,500 to 20,000 gates, with additional increases in system speed. o Function-specific EPLDs provide optimized integration for specific system design tasks. They are classified on the basis of their system design focus and are designated with the EPB- and EPS- prefixes. EPB-series EPLDs are bus-oriented devices designed to integrate all the required add-on card logic for a Micro Channel bus interface. EPS-series EPLDs offer the logic and speed required for complex control logic, state machines, and imaging and display applications. EPS-series EPLDs include the Stand-Alone Microsequencer (SAM) and Synchronous liming Generator (STG) EPLDs. EPLDs are offered in a variety of packages, including the dual in-line package (DIP), J-Iead chip carrier aLCC), small-outline integrated circuit (SOl C), quad flat pack (QFP), and pin-grid array (PGA). EPLDs are available in windowed (erasable) ceramic packages for development, or one-timeprogrammable plastic versions for high-volume production requirements. Software Tools Altera software products are developed together with the EPLD architectures, so features are placed where they are most appropriate-in either software or hardware. The result is efficient software tools that offer familiar design entry methods and rapid design com pletion. (See Figure 3.) With Altera's CAE development tools, users can take a logic circuit from design entry to device programming in a matter of hours. Design processing is typically completed in minutes, allowing several design iterations to be completed in a single day. Figure 3. EPLD Design Methodology: From Concept to Silicon In Hours .. I Design Entry 3 minutes to 1hour I Altera Corporation .. . .. 5 seconds to 15 minutes 3 hours .. .. less than 1 minute PageS I D I Programmable Logic Overview Data Sheet I Altera software is available for PC-AT (or compatible), PS/2, and workstation com pulers (Apollo, Sun, and IBM). Several design entry options are available: hierarchical schematic capture (with basic gate and complete TTL libraries), the Altera Hardware Description Language (AHDL), Boolean equation, state machine, truth table, netlist, and microcoded assembly language. (See Figure 4.) Design entry methods may be freely combined to create a single EPLD design. Design processors perform minimization and logic synthesis, design fitting (analogous to automatic place-and-route), and generate programming data. Design verification via functional simulation, timing simulation, and delay prediction for speed-critical paths is also available. Hardware for programming EPLDs is offered by Altera and a variety of third-party vendors. Figure 4. Altera Design Environment ·, ........................................... . l· .l ~ Design Entry Third-Party Design Entry Altera Hardware Description Language ~ Design Processing -.. PLS-EDIF : .. I +~PLS-APOLLOI ··,................................................ ~ Design Verification ~ : .. i. : .. Third-Party Simulation 1 :~ r+ f++ MAX+PLUS MAX+PLUS Simulator A+PLUS A+PLUS Simulator (FSIM) SAM+PLUS SAM+PLUS Simulator (SAMSIM) EPMSeries (MAX) Schematic Capture Boolean Equation 4+ State Machine Truth Table State Machine Assembly Language Table : .. ~ ... ...--.. Device Programming EPSSeries . (SAM) EPBSeries MCMap ·................................................. .................................................. IPage 6 - EPSeries :............................................. Altera Corporation I Data Sheet Programmable Logic Overview I Software interfaces to other design tools are provided by Altera and thirdparty translators, and via industry-standard EDIF netlists. Many thirdparty compilers also support Altera EPLDs directly. EPLD Architecture The following discussion of EPLD architecture is provided for interested readers. Note, however, that the Altera approach to logic design eliminates the necessity of mastering the inner complexities of EPLD architectures. The user may work with familiar design entry tools (e.g., TIL functions or a high-level state machine language), and the Altera software automatically translates the design into the format required to fit the EPLD architecture. For detailed architecture and pin-out descriptions for each device, refer to individual EPLD data sheets in this data book. Basic Concepts Altera general-purpose EPLDs provide dedicated input pins, userconfigurable I/O pins, and programmable flip-flop and clock options that ensure maximum flexibility for integrating random logic functions. Each EPLD also contains an AND array that provides product terms. A product term is simply an n-input AND gate, where n is the number of connections. EPLD schematics use a shorthand AND-array notation to represent several large AND gates with common inputs. Figure 5 shows three different representations of the same logic function. Circuit I is presented in classic logic notation; circuit II has been modified to a sum-ofproducts notation; and circuit III is written in AND-array notation. A dot represents a connection between an input (vertical wire) and one of the 8input AND gates. No dot implies no connection: the AND gate input is unused and floats to a logic 1. Figure 5. AND-Array Notation Circuit I: Typical Circuit 11 *=AND 12----1 +=OR 13 Circuit II: Circuit 1drawn with complementary output buffers 11 • 12.113 + 11 • 14 11---r-"""\ 14---L-J 11 • 12 • 113 + 11 • 14 Circuit III: Circuit II with 8-input AND-gates in AND-array notation 11 • 12 ./13 + 11 • 14 11 12 11 12 13 14 13 14 I A/tera Corporation Page 71 D I Programmable Logic Overview Dats Sheet I The 2 x 8 AND-array of circuit III can produce any Boolean function of four variables (provided only two product terms are required) when expressed in sum-of-products form. Any Boolean expression-no matter how complex-can be written in sum-of-products form. Outputs of the two AND gates in Figure 5 are called product terms (or p-terms). Macrocell Architecture The fundamental building block of an Al tera EPLO is the macrocell. Each macrocell consists of three parts (see Figure 6): LJ LJ o The logic array implements all combinatorial logic functions. The programmable register provides 0, T, JK, or SR options (the register can also be bypassed). Programmable I/O allows each I/O pin to be configured for dedicated input, output, or bidirectional operation. Figure 6. The Macraeell Logic Array Register Options 110 Control r-------------------- r---------------- r------------- =-1 ~ P ~~. ~~ . . : . :~ W···:··:~ i c::>-l ; !; 1: '-"""-- ,, e>i =- :: ~: :: 0- jI>- c :: : : :' c . :. ' ..~ ...................:. :: ": t ..................: :...................: ~p~ ~ ~: i~r·:··:~ ~~ :~ , "---.JS=.............-~" c::>-, , , L ____________________ 1 L , Logic Array ,, K c : :: : : .~..:.: =.=.:.~ R c :..:.:.: :i it. . . . . . . . . i OUTPUT .i :, : , :, =,:,:,~, L _____________ , The logic array consists of such a programmable-ANO/fixed-OR PLA array. Inputs to the AND array come from the true and complement of the dedicated input and clock pins, and from the macrocell and I/O feedback paths. For each macrocell, the logic array typically contains 10 product terms that are distributed among the combinatorial and sequential resources. (See Figure 7.) Connections are opened during the programming process. Therefore, any product term may be connected to the true and complement of any array input signal. When both the true and complement of any signal are left intact, a logic low (0) results on the output of the product term. If both the true and complement connection are open, a logical "don't care" results for that input. If all inputs for the product term are programmed opened, a logic high (1) results on the output of the product term. I Page 8 Altera Corporation I 1 Programmable Logic Overview Data Sheet 1 Figure 7. Detailed EPLD Macrocell Architecture from Inputs from 1/0 from Macrocells Preset II Clear Inputs C)-Cl;:::ttmt1~1 I System Clock Logic Array Several product terms feed a fixed OR whose output connects to an exclusive-OR (XOR) gate. The SEX:ond input to the XOR function is controlled by a programmable resource (usually a product term) that allows the logic array output to be inverted. Altera software uses this gate to implement active-high or active-low logic, complex mutually exclusive and arithmetic functions, or to reduce the number of product terms to implement a function (by applying De Morgan's inversion). Figure 8 shows an OR function that, in its current form, requires six product terms. By using the "programmable" XOR gate and De Morgan's inversion, the OR function can be transformed into a NAND function: This inversion from OR to AND translates the equation and reduces the number of fixed-OR terms required in the logic array. Altera software automatically applies De Morgan's inversion and other logic synthesis techniques to optimize the use of the logic array. Programmable Flip-Flops I Altera Corporation Programmable flip-flops are used to create a varietyoflogic functions that use a minimum of EPLD resources. Each flip-flop can be programmed to provide a conventional D-, JK-, T-, or SR-type function. MAX EPLD flipflops can also be configured as flow-through latches. If the flip-flop is not required for macrocelliogic, it may be simply bypassed. Macrocell flip- Page 91 IProgrammable Logic Overview Data Sheet I Figure B. Logic Mlnlmlzat/on with Oe Morgan's Inversion A§=>- De Morgan's B ~ - Inversion ~ I EPLD Implementation + A --. ....O:;.......~ B --[:~==~ c -C2::=====~ o E F -[:i::=========::J -[:2=:========:::::::J flops also have an asynchronous Clear and Preset capability that allows complete emulation of any TTL function. Programmable Clock In general-purpose EPLDs (except the EP330 and EP320), each internal flip-flop may be clocked from a dedicated system clock (also known as a synchronous clock), any input or I/O pin, or any internal logic function. For each flip-flop, a multiplexer selects either a pin or product-term source for the clock, so that flip-flops can be clocked independently or in userdefined groups. EPLD registers are positive-edge-triggered with data transitions that occur on the rising edge of the dedicated system clock. When the clock is driven by a product term, flip-flops can be configured for either positive- or negative-edge-triggered operation. In addition, productterm clocks allow gated-clock and clock-enable logic to be implemented. However, system clock signals have faster c1ock-to-output delay times than internally generated product-term clock signals. 1/0 Control Block I Page 10 The EPLD I/O control block contains a tri-state buffer controlled by a macrocell product term, and drives the I/O pin (see Figure 9).1/0 pins may be configured as dedicated outputs, bidirectional outputs, or as additional dedicated inputs. Most EPLDs have IIdual feedback," whereby the macrocell feedback is decoupled from the I/O pin feedback. Dual feedback makes it possible to implement a buried function in the macrocell while the I/O pin is used simultaneously as a dedicated input. Applications that require many buried flip-flops (such as counters, shift registers, and Altera Corporation I IData Sheet I p,.og,.ammable Logic Ovetvlew Rgure 9. VO Control Block The decoupled 110 control block features dual feedback to maximize use of the EPLD pins. from Macrocell DE Control from Macrocell Macrocell Feedback - - - - - - - 0 .... 1 I/O Pin Feedback state machines or bus-<>riented functions) are easily accommodated by this programmable I/O control block. Zero-Power! Turbo Operation CMOS technology generally implies lower power dissipation than older bipolar technology. In fact, Altera pioneered true "zero-standby" power operation. By using a unique input-transition detection scheme, most EP-series EPLDs use only microam ps during quiescent periods. This feature saves power in applications clocked at low to medium frequencies « 10 MHz). Each input is connected to a transition-detection circuit consisting of an XOR gate, a delay element, and an OR gate. The trigger output of the OR gate activates logic array power-up on any transition, allowing new input conditions to propagate to EPLD outputs. The logic array is then automatically powered down to await the next transition. The transitiondetection circui try adds an additional 30 to 40% delay to the EPLD input/ output path. Consequently, a programmable "Turbo Bit" is provided to disable the input transition detection circuitry and permanently enable the logic array, giving the user a choice of either extra speed or lower power consumption. The EPLD also exhibits better system noise rejection characteristics in the turbo mode, which should be used where noisy environments are a problem. The Turbo Bit is included in the EPLD programming file and is programmed in the same way as any other EPROM bit. Altera CMOS EPROM Technology Until Altera invented the first EPLD in 1984 (the EP300), the only technology used for Programmable Logic Devices (PLDs) was bipolar and fuse-based. The active elements on these devices were constructed from traditional bipolar transistors (i.e., TIL), with arrays of fuses providing programmable interconnect structures. These fuse elements consisted of a variety of exotic metal alloys and/ or polysilicon structures. However, all relied on opening connections by passing large currents through their small geometries, thereby physically destroying the fuses. The melting process in bipolar PLD fuses is difficult to control and often results in poor and unpredictable programming yields. Since the process is I Altera Corporation Page 11 I II I Programmable Logic Overview Data Sheet I irreversible, guaranteed results are impossible. The power-hungry bipolar technology also severely limits integration levels. Altera's pioneering efforts have replaced bipolar technology with CMOS, and fuses with reprogram mabIe EPROM bits. These bits are much smaller than fuses, electrically programmable, and UV-erasable. EPLDs are fully factorytested, guaranteeing 100% programming yield at the customer site. CMOS technology also provides low-power operation that allows higher integration levels. The EPROM cell operates via floating-gate charge injection. The programming process consists of placing suffici~mt voltage (typically>12 V) on the drain of the transistor to create a strong electric field and energize electrons to jump from the drain region to the floating gate. Electrons are attracted to the floating gate and become trapped when the voltage is removed. If the gate remains at a low voltage during programming, electrons are not attracted and the floating gate remains uncharged. Trapped charge changes the threshold of the EPROM cell from a relatively low value with no charge present ("erased") to a higher value when programmed. Figure 10 shows a basic cross-section of the cell technology. Rgure 10. CMOS EPLD Technology Gate First-Level Polysilicon (Floating) Cross-Section P-Substrate EPROM Cell EPROM N-Channel P-Channel EPROM Threshold Shift / 8 Not Programmed (1) Contact i Area _Poly Select Line Programmed (0) Current through Cell Floating Gate Ground Diffusion Vr 1 (Not Programmed) Vr 0 (Programmed) Vokage (Vee) on gate of cell Page 12 -. Altera Corporation I I Data Sheet Programmable Logic Overview Within the EPLD's programmable array, a sense amplifier/comparator circuit is placed at the end of each product-term line; by setting a reference voltage into the circuit-halfway between the programmed and unprogrammed levels-the state of the EPROM cells along the product term is sensed and used to select the desired logic function. Low-threshold cells with a logic "1" placed on their select gates (associated input) tend to pull the product-term line down and cause the logic term to go to a "D." Transistors with high thresholds do not conduct even when their gates are at a logic 1, and effectively represent a no-connect. This technologypioneered with EPROM memory in the early 1970's-made it possible to build Altera EPLDs that can be tested, programmed, and operated reliably. Altera devices currently use state-of-the-art O.8-micron, CMOS EPROM technology; work is underway to move to even smaller geometries. Because the basic logic array consists of N-channel EPROM transistors, EPLD characteristics are optimized to maximize performance of the N-channel device. This approach minimizes overall input-to-output delays on the chip. EPROM Cell Margin To ensure reliable operation in user systems, all EPLDs undergo substantial factory testing prior to shipment. Foremost among these tests are cellmargin tests, which guarantee the in-service retention of EPROM bit programming. Cell-margin testing determines the amount of charge trapped on the floating gate structure. Charge loss occurs when electrons leak from the floating gate structure over time, and results in a net reduction in programmed cell threshold. Charge gain results from an accumulation of charge on the floating gate, usually caused by electric fields produced by operating the EPLD. Since charge loss and charge gain mechanisms can affect program retention, Altera reliability evaluation includes EPLD burn-in at temperatures of up to 2500 C for periods of a week or more. This burn-in period corresponds to >100,000 years of operation at 700 C. Figure 11. EPROM Cell Margin t t Charge Loss "0" Current through :: Program Cell i Margin:, I " ................................. : ......... )4 •••••••••• : :: --" 5.5 V , Vee Voltage (Vcc ) on select gate - . . - IAltera Corporation "1" Figure 11 illustrates the concept of cell margin. As mentioned earlier, EPROM arrays depend on cell threshold shifts for correct opera tion. Zero and One I-V characteristics for the EPROM cell are shown. Program margin is a measure of the spread between the actual device threshold and the minimum required device threshold for correct operation. To calibrate cell margins, Altera EPLDs are subjected to special test modes that allow EPROM-bit gate voltages to be controlled externally. Cell margins are measured by varying this voltage, a method that accurately monitors cell charge and retention. Page 13 I II I Programmable Logic Overview Data Sheet Figure 12 shows a typical programming cycle for Altera EPLDs. The normal programming procedure consists of the following steps: Programming Figure 12. Programming Waveforms ~ ___ i'~--------- ~.!:.~ va~li~dM __ dr_"_S____ Program : ~:~ JPin 1 Data va IH ~~ Width ~_P~~~~t~~·~~1_. 1. The programming pin (Vpp) is raised to the superhigh-input level (nominally 12.5 V). 2. Rowand column addresses are placed on the designated pins. 3. Programming data is placed on the designated pins. 4. The programming algorithm is executed with a sequence of 100-Jls programming pulses separated by program verify cycles. 5. Overprogram or margin pulses may be applied to doubly ensure EPLD programming. : ___+-_____ ·Y:'- Data in Stable Pins 3to 10 Program I ~ Out .~ Verify The programming operation is typically performed eight bits at a time on either Altera-supplied or other approved programming hardware. Altera EPLDs also feature a Security Bit (i.e., verify-protect bit) that can be programmed to prevent any interrogation of the device's contents. This bit can be set during the programming process to ensure EPLD design security. Latch-Up Parasitic bipolar transistors are present in the fundamental structure of CMOS devices. Typically, the base-emitter and base-collector junctions of these transistors are not forward-biased, so the transistors are not turned on. Figure 13 shows a cross-section of a CMOS wafer and primary parasi tic transistors. By connecting the P-type substrate to the most negative voltage available on-chip (Vss) and the N-type well structure to the most positive voltage on-chip (Vee), all junctions should, in theory, remain reversebiased. However, two factors can alter this ideal state. Figure 13. Parasitic Bipolar Transistors In CMOS Source of Latch-Up vee Page 14 As shown in Figure 13, parasitic resistors also occur in the CMOS structure. These resistors are of no concern as long as currents do not flow through the structure laterally. But if any of the associated diodes turn on for any reason, I-R drops may occur in the structure. The initial turn-on of these diodes usually is the result of power-supply or I/O-pin transients that exceed the limits of Vss and Vee. These transients may be induced by signal ringing and other inductive effects in the system. Altera Corporation I IData Sheet Programmable Logic Overview I A problem may exist if parasitic structures begin to conduct, since the effect is regenerative and reinforces itself until potentially destructive currents flow. This is the silicon-controlled rectifier (SCR) effect called "latch-up." As current flows through the parasitic transistor, the I-R drop through the resistor increases, further forward-biasing the base-emitter junction, as shown in Figure 13. The cycle continues until the current is limited by drops in the primary current path. However, this current might reach a level that permanently damages internal circuitry. Altera components have been designed to minimize the effects oflatch-up, including power-supply and I/O-pin transients. Under reasonable system operating conditions, all EPLDs are guaranteed to withstand input voltage extremes of between Vss-1 V and Vee + 1 V, as well as input currents of 100 rnA or less that are forced through the device pins. To minimize the possibility of inducing latch-up, Altera recommends a few general system design guidelines for power and input sequencing to the EPLD. For example, voltages and logic inputs should be applied in the following order: 1. 2. 3. VssorGND Vee (+5 V) Inputs When removing power from the EPLD, the order should be reversed: first, inputs are removed or taken low, then Vee is removed or lowered. Simultaneous application of inputs and Vee to the device, which might occur as a power supply ramps during power-up, should be safe. Care should be taken to ensure that inputs cannot rise faster than supply under extreme conditions. Figure 14. Hot Socket Protection Board vee 1N4148 EPLD Board Edge Connector .------.---Wr-...... Pin AHera - - - - 1 " " l EPLD 1N4148 Electrostatic Discharge IA/tera Corporation In some applications, boards are "hot-socketed" in the field. The circuitry shown in Figure 14 is recommended to ensure that latch-up-inducing levels are not applied to the EPLD under these conditions. Normally, this circuitry is required only if the EPLD has inputs tied directly to the edge connector. The diodes clamp the inputs at acceptable levels and the series resistor further limits the injection of current into the EPLD input and clamp diodes. This interface provides maximum protection. Electrostatic discharge (ESD) can cause device failure when improper handling occurs. EPLD handling during the programming cycle increases exposure to potential static-induced failure. Voltages into the tens of kilovolts can be generated by the human body during normal activity. Wearing ground straps during device handling and grounding all surfaces that come in contact with components reduce the likelihood of damage. Page 15 1 I Programmable Logic Overview Figure 15. EPLD Input Protection Structure Substrate (GND) Oats Sheet I Altera components include special structures that reduce the effects of ESO at the pins. Figure 15 shows a typical input structure. Diode structures as well as specialized fieldeffect transistors shunt harmful voltages to ground before destructive currents will flow. Altera EPLOs typically withstand ESO voltages> 2 kV, and are thus safe under normal handling condi tions. Output Drive Characteristics The CMOS push-pull output stages used on Altera EPLOs provide good AC and DC load-driving capability in a system environment. IOL and lOR specifications for general-purpose EPLDs are guaranteed at 4 rnA to 24 rnA, depending on the device. AC output characteristics are typically specified with 35 pF output loads. Additional output capacitive loading affects the device output delay. The timing parameter used is tpD (input-output combinatorial delay). The incremental delay per picofarad of capacitance is typically ~ 0.1 ns atroom temperature. System Noise Large switching currents can flow through power supply and output pins during high-performance operation. If a 50-pF capacitor is charged from o to 5 V in 10 ns, a dynamic current of 24 rnA will flow. If 24 outputs on an EPLO switch sim ultaneously (for exam pIe, in an EP91 0), the total transient current can exceed 600 rnA! This current can severely degrade Vee supply voltage due to the inductive properties of the device and system environment. Figure 16 shows the distribution of typical inductances that can contribute to the problem. Figure 16. Board-Level Noise Problem Vee LOUT TRACE Device Output Driver 1 i Bypass ..............................:cap Page 16 The key to controlling these inductive effects is to adequately decouple the Vee supply to ground at each EPLO with a suitable capacitor or combination of capacitors. This capacitor can then act as a reservoir of charge to supply the transient switching needs of the device. It is recommended that a 0.2 JlF capacitor be connected from each Vee pin to ground at the device. High-quality capacitors with low internal and lead inductance (monolithic ceramic or tantalum) should be used, and leads must be kept short to limit series inductance that degrades capacitor effectiveness. Careful decoupling of the power supply is good design practice. Altera Corporation I Product Selection Guide I October 1990, ver. 1 Introduction This Product Selection Guide summarizes the range of available products from AI tera: o o o o o o o o General-purpose EPLDs Function-specific EPLDs Military-qualified EPLDs Programmable logic development systems Programmable logic software Software warranty Programming hardware Programming adapters II For detailed descriptions of the Altera products listed here, refer to the individual data sheets in this data book and to the Micro Channel Adapter Handbook. 1 Altera Corporation Page 171 I Product Selection Guide EPM-Series EPLDs Table 1 provides information on the MAX (Multiple Array MatriX) generalpurpose family of EPLDs. MAX (or EPM-series) EPLDs are suitable for register-intensive random logic, TTL, and PAL integration. Table 1. General·Purpose EPAf-Series MAX EPLDs EPLD (1) Package (2) Temp. (3) Speed Option tpD1 f MAX (n8) (MHz) Active Standby (Registers) Dedicated Inputs EPM5192 EPM5192 EPM5192 EPM5130 EPM5130 EPM5130 EPM5128 EPM5128 EPM5128 EPMS064 EPMS064 EPM5064 EPMS032 EPMS032 EPM5032 EPMS016 EPMS016 EPMS016 J,L,G,Q,W J,L,G,Q,W J,L,G,Q,W G,Q,W G,Q,W G,Q,W J,L,G,Q,W J,L,G,Q,W J,L,G,Q,W J,L J,L J,L D,P,J,L,S D,P,J,L,S D,P,J,L,S D,P,J,L,S D,P,J,L,S D,P,J,L,S C C C,I,M C C C,I,M C C C,I,M C C C,I,M C C C,I,M C C C,I,M -1 -2 25 30 35 25 30 35 25 30 35 25 30 35 15 20 25 15 17 20 62.5 SO.O 40.0 62.5 SO.O 40.0 62.5 SO.O 40.0 62.5 50.0 40.0 83.3 71.4 62.5 100.0 83.3 62.5 380 380 380 275 275 275 250 250 250 135 135 135 155 155 155 115 115 115 360 360 360 250 250 250 225 225 225 125 125 125 150 150 150 110 110 110 192 192 192 128 128 128 128 128 128 64 64 64 32 32 32 16 16 16 8 8 8 20 20 20 8 8 8 8 8 8 8 8 8 8 8 8 -1 -2 -1 -2 -1 -2 -1 -2 -1 -2 ICC3 (mA) ICC1 (mA) Macrocells I/O Number of Pins 64 84; 100 64 84; 100 64 84; 100 64 100 64 100 64 100 68 52 52 68 68 52 28 44 44 28 28 44 16 28 16 28 16 28 20 8 8 20 20 8 Notes to Table 1: (1) (2) (3) I Page 18 Preliminary data is shown for some parameters. Consult individual device data sheets for complete information. Package configurations: D: Windowed ceramic dual in-line (CerDIP) P: One-time-programmable plastic dual in-line (POIP) J: Windowed ceramic J-Iead chip carrier (JLCC) L: One-time-programmable plastic J-Iead chip carrier (PLCC) G: Windowed ceramic pin-grid array (PGA) S: One-time-programmable plastic small-outline integrated circuit (SOIC) Q: One-time-programmable plastic quad flat pack (PQFP) W: Windowed ceramic quad flat pack (WQFP) C = Commercial (00 C to +70 0 C); I = Industrial/Automotive (-40 0 C to +85 0 C); M = Military (_55 0 C to +125 0 C). Altera Corporation I Product Selection Guide 1 Table 2 gives information on the "classic" family of general-purpose, zerostandby-power EPLDs. Classic (or EP-series) EPLDs are suitable for random logic, TTL, and PAL integration. EP-Series EPLDs Table 2. General-Purpose EP-Series Classic EPLDs (1) (2) Temp. (3) Speed Option EP1830 EP1830 EP1830 EP1810 EP1810 EP1810 EP910 EP910 EP910 EP610A EP610A EP630 EP630 EP610 EP610 EP610 EP330 EP330 EP320 EP320 EP320 J,L,G J,L,G J,L,G J,L,G J,L,G J,L,G D,P,J,L D,P,J,L D,P,J,L D,P,J,L,S D,P,J,L,S D,P,J,L,S D,P,J,L,S D,P,J,L,S D,P,J,L,S D,P,J,L,S D,P,L,S D,P,L,S D,P D,P D,P e e e,I,M e e,1 e,I,M e e,1 e,I,M e e e e,I,M e e,1 e,I,M e e,I,M e e e,I,M -20 -25 -30 -35 -40 -45 -30 -35 -40 -12 -15 -15 -20 -25 -30 -35 -12 -15 -1 -2 EPLD Package t PD1 f MAX (ns) (MHz) 20 25 30 35 40 45 30 35 40 12 15 15 20 25 30 35 12 15 29 34 44 ICC3 (mA) I CC1 (mA) Macrocells Active 62.5 0.15 50.0 0.15 41.7 0.15 40.0 0.15 35.7 0.15 33.3 0.15 41.7 0.10 37.0 0.10 32.3 0.10 83.3 130 83.3 130 83.0 0.15 62.5 0.15 47.6 0.10 41.7 0.10 37.0 0.10 125 75 100 75 45.5 0.15 40.5 0.15 30.3 0.15 Standby 200 200 200 180 180 180 80 80 80 130 130 90 90 60 60 60 75 75 30 30 30 Dedicated (Registers) Inputs 48 48 16 16 16 16 16 16 12 12 12 4 4 4 4 4 4 4 10 10 10 10 10 48 48 48 48 24 24 24 16 16 16 16 16 16 16 8 8 8 8 8 1/0 Number of Pins 48 48 68 68 68 68 68 68 48 48 48 48 24 24 24 16 16 16 16 16 16 16 8 8 8 8 8 II 40;44 40;44 40;44 24;28 24;28 24;28 24;28 24;28 24;28 24;28 20 20 20 20 20 Notes to Table 2: (1) (2) (3) I Altera Corporation Preliminary data is shown for some parameters. Consult individual device data sheets for complete information. Package configurations: 0: Windowed ceramic dual in-line (CerDIP) One-time-programmable plastic dual in-line (plastic DIP) P: J: Windowed ceramic J-lead chip carrier (JLCC) L: One-time-programmable plastic J-lead chip carrier (FLCC) G: Windowed ceramic pin-grid array (PGA) s: One-time-programmable plastic small-outline integrated circuit (SOIa C::: Commercial (0° C to +70° C); I ::: Industrial! Automotive (-40 0 C to +85° C); M == Military (-55° C to +125° C). Page 191 I Product Selection Guide Table 3 provides information on the function-specific Stand-Alone Microsequencer (SAM) and Synchronous Timing Generator (STG) EPLDs. These EPS-series EPLDs are suitable for implementing high-performance state machines, waveform generators, and control logic. FunctionSpecific EPLDs Table 4 gives information on the Micro Channel bus interface (EPB-series) EPLDs, which provide all the essential functions to interface a PS/2 add-on card with the Micro Channel bus. (Refer to the Micro Channel Adapter Handbook for detailed information on EPB-series EPLDs.) Table 3. EPS-Series SAM and STG EPLDs EPLD (1) EPS448 EPS448 EPS448 EPS464 Pkg. (2) Temp. Speed fMAX ICC2 (rnA) ICC1 (rnA) Microcode (3) Option (MHz) Active Standby EPROM D,P,J,L C C D,P,J,L D,P,J,L C,I,M J,L,Q,W C -30 -25 -20 30 25 20 Branch EPLD Stack Dedicated Inputs 140 95 448 x 36 768 p-term 15x 8 448 x 36 768 p-term 15x 8 140 95 140 95 448 x 36 768 p-term 15x 8 Preliminary Information-<:onsult factory 8 8 8 4 110 No. of Pins 16 16 16 32 28 28 28 44 Table 4. EPB·Series Micro Channel Bus Interface EPLDs EPLD (1) EPB2001 EPB2002A Package (2) Description Temperature (3) J,L L,P C C Number of Pins Single-chip interface adapter for PS/2 Micro Channel DMA arbitration support chip for PS/2 Micro Channel 84 28 Notes to Tables 3 & 4: (1) (2) (3) I Page 20 Preliminary data is shown for some parameters. Consult individual device data sheets for complete informa tion. Package configurations: 0: Windowed ceramic dual in-line (CerDIP) P: One-time-programmable plastic dual in-line (POlP) J: Windowed ceramic J-Iead chip carrier (JLCC) L: One-time-programmable plastic J-Iead chip carrier (FLCC) Q: One-time-programmable plastic quad flat pack (PQFP) W: Windowed ceramic quad flat pack (WQFP) C =Commercial (0 0 C to +70 0 C); I =Industrial! Automotive (-40 0 C to +85 0 C); M =Military (-55 0 C to +125 0 C). Altera Corporation I Product Selection Guide I Table 5 provides information on Altera's military-qualified MAX (EPM5000-series) EPLDs; Table 6 gives information on military-qualified classic (EP-series) EPLDs. MilitaryQualified EPLDs Table 5. Military-Qualified EPM-Series MAX EPLDs EPLD (1) EPM5128 EPM5128 EPM5064 EPM5032 EPM5016 Pkg. Assurance tpD1 fMAX (2) Level (3) (ns) (MHz) J G J D,J 0 B 8838 8838 8838 8838 35 35 35 25 20 40.0 40.0 40.0 62.5 62.5 Icc3 (mA) Icc1 (mA) Macrocells Dedicated I/O Number Altera Mil. Active Standby (Registers) Inputs 350 350 225 225 175 300 300 200 200 150 128 128 64 32 16 8 8 8 8 8 52 52 28 16 8 of Pins Drawing (4) 68 68 020-00827 020-00827 020-00968 020-00828 020-00967 44 28 20 Notes to Table 5: (1) (2) (3) (4) I Altera Corporation All military-qualified EPLDs are rated to military temperatures (-55 0 C to +125 0 C). Preliminary data is shown for some other parameters. Consult individual device data sheets for complete information. Package configurations: D: Windowed ceramic dual in-line (CerDIP) J: Windowed ceramic J-Iead chip carrier (JLCC) G: Windowed ceramic pin-grid array (PeA) Product Assurance Levels: 883B: Processed to MIL-STD-883, current revision. B: Fully compliant with deviation to MIL-STD-883, current revision. (Consult Altera for information on specific deviations.) DESC: DESC Standard Military Drawing (SMD). Consult Altera or DESC for availability. A Military Product Drawing (MPD) is prepared in accordance with the appropriate military specification format. When a Source Control Drawing (SCD) is necessary, the appropriate MPD is required for proper SCD preparation. Page 21 II Product Selection Guide Table 6. Military-Qualified EP-Serles Classic EPLDs EPLD (1) EP1810 EP1810 8946901 XX 8946901YC EP1800 EP1800 8854901YC 8854902YC EP910 EP910 EP900 EP900 8854801QA 8854801 XX EP610 EP610 8947601 LX 8947601 XX EP600 EP600 8686401 LA 8686401 XX EP320 EP310 8863501RA Pkg. Assurance tPD1 'MAX Icca (mA) Icc1 (mA) Macrocells (2) Level (3) (ns) (MHz) Standby (Registers) Active J G J G J G G G D J D J D J D J D J D J D J D D D B 883B DESC DESC B 883B DESC DESC 883B B 883B B DESC DESC 883B 883BX DESC DESC 8838 883BX DESC DESC 883B 883B DESC 45 45 45 45 75 75 90 75 40 40 60 60 60 60 35 35 35 35 55 55 55 55 45 50 50 33.3 33.3 33.3 33.3 18.2 18.2 16.1 18.2 32.3 32.3 20.0 20.0 20.0 20.0 37.0 37.0 37.0 37.0 22.2 22.2 22.2 22.2 30.3 31.3 31.3 240 240 240 240 180 180 150 180 150 150 100 100 100 100 100 100 100 100 60 60 60 60 40 0.9 0.9 0.9 0.9 48 48 48 48 48 48 48 0.9 0.9 0.9 0.9 0.9 0.9 48 24 24 24 24 24 24 16 16 16 16 16 16 16 16 8 8 8 Dedicated Inputs 16 16 16 16 16 16 16 16 12 12 12 12 12 12 4 4 4 4 4 4 4 4 10 10 10 L10 48 48 48 48 48 48 48 48 24 24 24 24 24 24 16 16 16 16 16 16 16 16 8 8 8 Number Altera Mil. of Pins Drawing (4) 68 68 68 68 68 68 68 68 40 44 40 44 40 40 24 28 24 28 24 28 24 28 20 20 02D-00782 02D-00782 02D-00509 02D-00205 02D-00935 02D-00935 02D-00210 02D-00521 02D-00522 02D-00522 02D-00194 02D-00194 02D-00209 02D-00179 20 Notes to Table 6: (1) (2) (3) (4) I Page 22 All military-qualified EPLDs are rated to military temperatures (-55 0 C to +125 0 C). Preliminary data is shown for some other parameters. Consult individual device data sheets for complete information. Package configurations: D: Windowed ceramic dual in-line (CerDIP) J: Windowed ceramic J-Iead chip carrier aLCC) G: Windowed ceramic pin-grid array (PGA) Product Assurance Levels: 883B: Processed to MIL-STD-883, current revision. 883BX: Processed to MIL-STD-883, current revision with modified J-Iead package dimension. B: Fully compliant with deviation to MIL-STD-883, current revision. (Consult Altera for information on specific deviations.) DESC Standard Military Drawing (SMD). Consult Altera or DESC for DFSC: availability. A Military Product Drawing (MPD) is prepared in accordance with the appropriate military specification format. When a Source Control Drawing (SCD) is necessary, the appropriate MPD is required for proper SCD preparation. Altera Corporation I Product Selection Guide I Figure 1 shows the overall design environment provided by Altera development systems, software, hardware, and EPLDs. Design Environment Figure 1. Altera Design Environment Design Entry Third-Party Design Entry ... .... PLS-EDIF r-+ r+- Altera Hardware Description Language Design Verification Design Processing Third-Party Simulation j ~PLS-APOLLOl II . l H-+ ... r!- K+ MAX+PLUS : . MAX+PLUS Simulator EPMSeries I (MAX) Schematic Capture Boolean Equation 4-+ State Machine A+PLUS Truth Table State Machine Assembly language :. SAM+PLUS Simulator (SAMSIM) SAM+PLUS I" Table ........................................... I A/tera Corporation MCMap EPSeries L., A+PLUS Simulator (FSIM) : r--+ Device Programming ~ EPS: Series i (SAM) j EPBj Series .: ................................................. .:.............................................: Page 231 I Product Selection Guide Table 7 shows the software and hardware products available from Altera. Programmable Logic Development Systems (with the PLDS- or PLeADprefix) are stand-alone combinations of hardware and software. Programmable Logic Software packages (with the PLS- prefix) are software-only products that may be used together with programming hardware from Altera (e.g., PL-ASAP) or third-party manufacturers. Development Systems, Software & Hardware Software Table 7. Altera Development Products ~ INPUT g 110 110 INPUT INPUT 110 0 Z 3 --- 3 --- ~ INPUT 110 INPUT 110 INPUT 110 INPUT 110 INPUT 110 110 INPUT 110 INPUT 110 INPUT I/O GND INPUT 5 Q, ~ DIP IAltera Corporation Q, 0 z CI 5 Q, ~ ~ J-Lead ~ vee INPUTIQJ( INPUT INPUT INPUT INPUT INPUT INPUT INPUT INPUT GND LO LO LO LO 1.0 LO LO LO INPUT sole Page 41 EP330 Data Sheet Absolute Maximum Ratings I I Symbol Note: See Operating Requirements for EPLDs in this data book. Conditions Parameter Suepl~ Vcc voltage I Pr~ramming supel~ vOltage I Vpp I Min Max Unit With respect to GND -2.0 7.0 V See Note (1) -2.0 14.0 V 1 1 -2.0 1_--.:.7~.0__:_----=V~_ 1 VI 1 DC input voltage I:-I-M!....AX----il·-DC-V--!-cc-o-rG.....;N~D-cu-rr-e-nt----il---------i~60 160 A I DC outeut current, eer ein 1 lOUT I PD I Power dissieation . ~25 --++800...;:2..;;..5--I----.,;.m:;.;;.wA~- I . I T 8TG 1 Storage temperature 1 No bias 1__-6....:...:..5_.1; __+:.....;,1..:,.50:""--l-_o:.....;,C=--_ 1:=T==-A:...::.M:B:===-=--il,·=A~m~b~ie:n~t_t-e:m:pe~r_a-t~ur~e~~~~~~~~~=il-_-u_-n_d~e-r_-b_ia~s~~~~~~~~~-=I__-6....:...:..5_.1,__+_1_35__ .....;C=--_ o I __ Recommended Operating Conditions Parameter I Symbol I I Suppl~ vOltage I Vcc 1 TA I Input vOltage I Output vOltage I Operating tem~rature I Operating tem~rature 1 Tc 1 Case temperature 1t R I Input rise time 1 VI 1 Va 1 TA I Input fall time 1t F DC Operating Conditions See Note (3) Conditions Min I I I For commercial use For industrial use 1 For military use 5.25 V 0 Vcc V 0 Vcc V 0 +70 °C -40 +85 °C -55 +125 °C 20 ns . See Note (2l 20 ns Conditions Min IOH =-12mADC VOH High-level CMOS output voltage IOH =-12mADC VOL Low-level output voltage IOL =24 mA DC Input leakage ament V I = V cc or GND ~ Va = Vee or GND -10 1_I. .,:C: .=C:. .:. .1_ _II_V.=,cc::::..-su....:..P...:....P...:..,ly_c_ur_re_n.....;t(:..,.st_an_d_b.:...:y}_1 V I = V CC or GND, No load · I cC31. V CC supply current (active) I Max -03 High-level TTL output voltage . Tri-state output off-state current I Vee +0.3 I 08 I Typ 2.0 1 Low-level input voltage Page 42 4.75 See Note (2) I V OH . I OZ Unit Vee = 5 V ± 5%, T A = 0° C to 70° C for commercial use Vee = 5 V ± 10%, TA = --40° C to 85° C for industrial use Vcc = 5 V ± 10%, Tc = -55° C to 125° C for military use I Symbol I Parameter I High-level input VOltage I VIH 18 Max I VI =V ee or GND, No load, V V O.5~ 3.84 ~ Unit ~~ . 40 45 +10 . ~ 75 mA 75 mA . . f = 1 .0 MHz, See Note (4) A/tera Corporation I I Data Sheet EP330 I Capacitance See Note (5) I symbol' Parameter I C IN I Input capacitance Conditions I I V IN = 0v, f = 1.0 MHz I-C---"-'OU'-T---I Output capacitance I VOUT= I I C elK Clock pin capacitance IN Max Unit 10 15 I 10 I I pF pF pF vcc = 5 V ± 5%, TA = 0° C to 70° C for commercial use Vee = 5 V ± 10%, TA = -40° C to 85° C for industrial use Vee = 5 V ± 10%, Te = -55° C to 125° C for military use AC Operating Conditions EP3~-12 I EP3~-15 1 1 I Min I Max I Min I Max I Unit I .--------------11 I I Symbol I I t PD1 I t PD2 I t PZX I t PXZ I 0v, f = 1.0 MHz I V = 0V, f = 1.0 MHz I~. I t 10 Parameter 1Input to non-registered output I/O input to non-registered output 1Input to output enable 1Input to output disable 1 11/0 input pad and buffer delay Conditions C1= 35 pF C1= 5pF, See Note (6) 11:-_11:~1231 __1: 1165 I nnss I I I C I g 1--1-1-2-I--I~I---;;-I 1 1 12 I 115 1 ns 1 1 1 1 1_ _1 1 I ns 1 Synchronous Clock Mode I I_I I: I I~: I I~: I ________;________ , EP3~-12 EP3~-15 I Symbol I Parameter Conditions I Min I Max I Min I Max I Unit I 1f MAX 1Maximum clock frequency -S-e-e-N-o-te-(-7)-------1 125 I 1100 1 1MHz 1 I I~I Input setup time I-t-H--I Input hold time I 6 0 I 1 I--r;-I Clock high time 1 4 1 I t Cl I Clock low time I t C01 1Clock to output delay 1t CNT 1Minimum clock period 1 I: I I4 I 1 1 8 I I 10 I ns I 1 1 10 I I 12 1 ns I I-f-=CN=T'--'I"-I-nt-er-n-al-m-a-Xi-m-'"um-fr-eq-u-e-ncy----'I--S-ee-N-o-te-(-4)-------1 100 I I 83.3 I I MHz I Notes to tables: (1) Minimum DC input is -0.3 V. During transitions, inputs may undershoot to -2.0 V or overshoot to 7.0 V for periods less than 20 ns under no-load conditions. (2) For all clocks: tR and tF = 20 ns. (3) Typical values are for TA =25° C and Vee =5 V. (4) Measured with a device programmed as an 8-bit counter. (5) Capacitance measured at 25° C. Sample-tested only. Pin 11 (high-voltage pin during programming) has maximum capacitance of 20 pF. (6) Sample-tested only for an output change of 500 mV. (7) The fMAX values represent the highest frequency for pipelined data. IAltera Corporation Page 43 EP330 Data Sheet I Product Availability Availability Grade Commercial (0° C to 70° C) Industrial (-40° C to 85° C) Consult factory (-55° C to 125° C) Consult factory I Military EP330-12, EP330-15 Note: Only military-temperature-range EPLDs are listed above. MIL-STD-883-compliant product specifications are provided in Military Product Drawings (MPDs), available from Altera's Marketing Department by calling 1 (800) SOS-EPLD. These MPDs should be used to prepare Source Control Drawings (SCDs). See Military Products in this data book. Figure 9 shows outpu t drive characteristics for EP330 I/O pins and typical supply current versus frequency for the EP330. Figure 9. EP330 Output Drive Characteristics and Icc vs. Frequency 55mAr-------------------------~ 100 101.. ci ~ I- ci SOmA ~ ~ §. ~ 'E §. ~ :; Q) ~ Vee =5.0V = 25° C 0 c( Sa. S TA 0 0 0 30mA a 2 3 4 5 Va Output Voltage (V) I Page 44 40 mAL-------- > () 10 KHz 1 MHz 100 MHz Maximum Frequency A/tera Corporation I EP320 Features o o o o High-performance 8-macrocell EPLD Combinatorial speeds with tpD = 30 ns Counter frequencies up to 28.6 MHz Pipelined da ta rates up to 45.5 MHz Very low power Icc = 3 rnA (typical) for an 8-bit counter at 1 MHz Icc = 10 JlA (typical) in standby mode Available in 20-pin windowed ceramic and plastic, one-timeprogrammable dual in-line packages (DIPs) Macrocell flip-flops can be individually programmed for registered or com bina torial opera tion _Fi_gu_re_1_0_s_h_o_w_s_p_i_n_-D_u_ts_f_o_r_th_e_E_p_3_2_O_E_P_L_D_.__________ Figure 10. EP320 Pin-Out Diagram Package outline not drawn to scale. vee 110 110 1/0 1/0 110 1/0 1/0 INPUT DIP IAltera Corporation Page 45 fJ EP320 Data Sheet Absolute Maximum Ratings Note: See Operating Requirements for EPLDs in this data book. Parameter Symbol vee Min Conditions Supply voltage With respect to GND See Note (1) Vpp Programming supply voltage VI DC ineut vOltage I MAX DC Vee or GND current 'OUT I I DC oU!eut current, eer ~in I Power dissipation I -2.0 I -2.0 I -2.0 I -25 I I -80 Max I I I I I ~5 7.0 I Unit I V 13.5 V 7.0 V +80 mA +25 mA I 400 mW +150 DC DC _ ~T~AM=B~____•__ A_m_b_ie_nt_~_m~~~ra_ru_~ ___________ ._U_n_d_e_rb_ia_s___________•________ •______________ ~5 +135 Recommended Operating Conditions ~I Parameter IV;--I I I VI I v0 E A TA Tc It R I tF V IH I V OH Min Conditions 4.75 See Note (2) I Input voltage I Output voltage I I-o-~--!...ra-ti-ng--te..::m:....~-r-a-tu-re---------'I'-Fo-r-co-m-m-e-r-ci-al-u-se----- ~4.5) Max Unit 5.25 (5.5) V 0 Vcc V 0 Vcc v 0 +70 DC I O~rating tem~rature I For industrial use -40 +85 DC I For military use -55 +125 DC I Input rise time I See Note (3) 500 ns Max Unit 2.0 Vcc +0.3 V -0.3 0.8 v I Case tem~rature I-I-np~u-t-m-ll-ti-m-e--------------~Ii-se-e-N-o-~--!...~~)--------- ________•._____________ __ 500 ns See Note (4) I V IL I Supply voltage DC Operating Conditions Symbol I I Vee = 5 V ± 5%, T" = 0° C to 70° C for commercial use Vcc = 5 V ± 10%, TA = -40° C to 85° C for industrial use Vee =5 V ± 10% Tc =-55° C to 1250 C for military use Conditions Parameter I High-level ineut voltage I Low-level input voltage I High-level TTL output voltage Typ Min I OH = -8 mA DC v 2.4 I-V,.:OH::...:.-_____11•. . ;,H. . ;.igK,;.h.;. .-I;.;:. eve.:. .;. :. 1C..; ,.;. .;. ;M. .; ;.O. .; ;.S.,; o. . ;.ut:.!:.p.=,:ut. . ;,v;.;:. ol. . ;,ta,.2g.. .;,e___1~O~H~=_-4 __m __ A_DC _____1 3.84 I I V OL Low-level oU!eut voltage I Ol = 8 mA DC I , OZ Tri-state output off-state current V 0 = VCC or GND ~_I~ I 0 45 V I I~' ~'I~----_I_.,;;ln~lP~lu....;,tl...;;;.ea~k~a&gje~ru.;...rr...;;;.e.;...nt~------~-V~,-=-V..::ee~o_r_G_N_D___I~~~ .:. ; =~V.; ;.!c. .; c;. :.o;.;:. r. :. . :G~N.;.:;.D~_I!~I _'CC __1_ _ _-!-_V_c_c_s_U_pp_ly_ru __rr_e_nt_(s_ta_n_d_by_)____!-=..;V.:;..' Noload,SeeNote(5) !- ICC2 Vee supply rurrent VI =Vee or GND (non-rurbo mode) No load, f = 1.0 MHz 10 I I 150 JlA ~~ I 3 5 (15) mA 18 30(40) mA See Note (6) Vee supply rurrent VI =Vee orGND (turbo mode) No load, f = 1.0 MHz See Note (6) Page 46 A/tera Corporation I IData Sheet I EP320 Capacitance See Note (7) I I I I I Parameter I Symbol I I Input capacitance I Output capacitance C IN C OUT I CCLK Conditions V,N-OV, f -1.0MHz I Clock pin capacitance AC Operating Conditions VOUT= 0 V, f= 1.0 MHz EP32O-1 EP320-2 Min Min , Max 29 nOn_-registe_red I' t PZX I Input to output enable t PXZ I VO.input to nonregistered output 'Input to output disable , C1 =SO pF I I pF 10 pF 10 F No;;!:bo n I~ fJ I IMax'1_15__L:J Min Note (8) 1 44 1 30 1 1 35 I 45 1 15 1 I I 30 I I 35 I 45 I 15 I~ 15 I~ I~:t: ~:F I I I I 1 I I 35 30 Il-t1 0 - -1-~~-I~~P-utp-ada-ndb-uffe-r ---->--<--1 1 ~ Max' I 34 l PD2 10 Vee = 5 V ± 5%, T A = 0° C to 70° C for commercial use Vee = 5 V ± 10%, T A = -40° C to 85° C for industrial use Vee = 5 V ± 10%,.Tc = -55° C to 125° C for military use Parameters I I V IN = 0 V, f = 1.0 MHz ITiming I I 1:===SY=mb==oI=~:I:=====p=ara=me==te=r====~:I:==Co==nd=it=io=n=s I,_t PD1_1----l.:-,;.:~~~~:0 I =,I II II ,. t ~I~ nlt Min 45 1 1 1 1 1 1 1 1 1 1- 1 0 ns I I I ns Synchronous Clock Mode g 1_7i_im_in__p,_8_ra_me_te_"______;_ _ _ _ _1 EP320-1 I 1t I r Symbol Parameter I Conditions I Min I~ '-f-M-A-x--!I"-Ma-Xi-m-um-cl-oc-k---!I'-No-te-(-1D-~- - ' 45.5" I frequency su I Input setup time I 22 l_t....:.H-'--__I,_ln....:...p_ut_ho_ld_t_im_e_ _ _,·_ _ _ _ ItCH I t CL t COt I t CNT I Clock high time I Clock low time Clock to output delay I Minimum clock period 'I· 100 I I I 10 I 1 II' ,I.' · f eNT I' Internal maximum frequency I' Note (6) 1 28 .6 1 IAltera Corporation II'.' Min EP320 40' 130.3 25' 133 12' 12 I 317 5 I I ~!:bo I I Max I Min I Max I 01 ,I.' 1 I I EP320-2 '0 '16 16 I 1201 1401 25 1 1 20 Note (8) 0 I Unit I I~ ~ ns I 0 I~ I 0 'ns' I 0 I ns I 251 olnsl sol olnsl 1 0 I MHz 1 Page 471 EP320 Data Sheet I Notes to tables: Minimum IX input is -0.3 V. During transitions, the inputs may undershoot to -2.0 V or overshoot to 7.0 V for periods less than 20 ns under no-load conditions. (2) Numbers in parentheses are for military and industrial temperature versions. (3) For all clocks: tR and tF =250 ns (100 ns). (4) Typical values are for TA = 25° C and Vee = 5 V. (5) When in non-turbo mode, an EPLD will automatically enter standby mode if logic transitions do not occur (approximately 100 ns after the last transition). (6) Measured with a device programmed as an 8-bit counter. (7) Capacitance measured at 25° C. Sample-tested only. Clock-pin capacitance for dedicated clock inputs only. Pin 11 (high-voltage pin during programming) has a maximum capacitance of 20 pF. (8) See "Turbo Bit" in this data sheet. (9) Sample-tested only for an output change of 500 m V. (10) The fMAX values represent the highest frequency for pipelined data. (1) Product Availability I I Commercial I Industrial I Military Availability Grade EP320-1, EP320-2, EP320 (0° C to 70° C) (-40° C to 85° C) EP320 (-55° C to 125° C) EP320 Note: Only military-temperature-range devices are listed above. MIL-STD-883-compliant product specifications are provided in Military Product Drawings (MPDs), available from Altera's Marketing Department by caning 1 (800) SOS-EPLD. These MPDs should be used to prepare Source Control Drawings (SCDs). See Military Products in this data book. Figure 11 shows output drive characteristics for EP320 I/O pins and typical supply current versus frequency for the EP320. Figure 11. EP320 Output Drive Characteristics and Icc vs. Frequency 100 rnA , . - - - - - - - - - - -_ _ ___. 100 ci. Turbo Mode >. I- ;( ci. 80 ~ .§. E ~ :; Vee =5.0V TA = 25° C ;( .§. Vee =5.0V TA = 25° C 60 <.) :5 a. :5 0 10 rnA ~ ~ 40 1.0 rnA g 100 JlA 0 2 3 4 5 Vo Output Voltage (V) Page 48 1 KHz 10 KHz 100 KHz 1 MHz 10 MHz 40 MHz Maximum Frequency Altera Corporation I EP600-Series EPLDs High-Performance 16-Macrocell Devices IOctober 1990, ver. 1 Features DataSheet I o o o o o o o o o o o o General Description High-density replacement for TIL and 74HC with up to 600 gates EP630 and EP610 offer "zero power" (typically 20 JlA standby) Very high speed (EP610A tpD = 12 ns) Advanced CMOS EPROM technology to allow device erasure and reprogramming Asynchronous clocking of all registers or banked register operation from two synchronous clocks 16 macrocells with configurable I/O architecture, allowing up to 20 inputs and 16 outputs Individually programmable registers providing D, T, SR, or JK flipflops with individual asynchronous Clear control 100% generically testable to provide 100% programming yield Programmable Security Bit for total protection of proprietary designs A+PLUS software support featuring schematic capture, Boolean equation, state machine, truth table, and netlist design entry methods Available in space-saving windowed ceramic and plastic 24-pin, 300-mil DIP and 28-pinJ-Iead packages, or plastic 24-pin, 3OO-mil SOIC packages Extensive third-party software and programming support Altera's EP600-series Erasable Programmable Logic Devices (EPLDs) can implement up to 600 eqUivalent gates of 551 and MSI logic functions in space-saving windowed ceramic or one-time-programmable (OTP) 24-pin, 300-mil DIP and 28-pin J-Iead (JLCC and PLCC) packages, or OTP plastic 24-pin, 300-mil SOIC packages. See Figure 1. EP600-series EPLDs use sum-of-products logic that provides a programmable-AND/fixed-OR structure. These EPLDs accommodate combinatorial and sequential logic functions with up to 20 inputs and 16 outputs. Altera's proprietary programmable I/O architecture allows the designer to program output and feedback paths for combinatorial or registered operation in active-high and active-low modes. EP600-series EPLDs can individually program D, T, SR, or JK flip-flop operation for each output without sacrificing product terms. In addition, each register can be individually clocked from any of the input or feedback paths in the AND array. These features make it possible to simultaneously implement a variety of logiC functions. IAltera Corporation Page 49 I 2 Data sheetl EP600-Series EPLDs Figure 1. Package Pin-Out Diagrams 5 g ~ ..Jii: 0 0 ~ 0 ~ 5 ~ Package outlines not drawn to scale. g VCC 3 2 1 28 27 110 INPUT 110 110 110 I/O 0 110 I/O 110 I/O 10 NC 11 21 1/0 I/O 110 I/O 110 I/O 110 110 110 110 NC 12 13 g 5 0. ~ 14 15 0 0 (!l (!l z Z 16 g 0 17 110 18 INPUT g 5 0. CLK2 ~ J-Lead DIP vee ClK1 INPUT INPUT 1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.0 INPUT GND 1.0 1.0 1.0 1.0 1.0 INPUT ClK2 sOle J-Lead DIP sOle Ceramic/Plastic Ceramic/Plastic Plastic EP610A EP630 EP610 EP610A EP630 EP610 EP610A EP630 EP610 The CMOS EPROM technology in EP600-series EPLDs can reduce active power consumption to less than 40% of the power required by equivalent bipolar devices, without losing speed. This reduced power consumption makes the EP600-series EPLDs highly desirable for a wide range of applications. Moreover, these EPLDs are 100% generically testable and can be erased with UV light. Designs and design modifications can be implemented quickly, eliminating the need for post-programming testing. Logic is implemented with Altera's A+PLUS Development System, which supports schematic capture, Boolean equation, state machine, truth table, and netlist design entry methods. After the design is entered, A+PLUS automatically translates it into logic equations, performs Boolean minimization, and fits it into the EPLD. The device may then be programmed in seconds at the designer's desktop to create customized working silicon. In addition, extensive third-party support exists for design entry, design processing, and device programming. Page 50 Altera corporatio~ IData Sheet EP600· Series EPLDs EP60O-Serles EPLDs The EP600 series includes the EP610A, EP630, and EP610 EPLDs. These EPLDs are JEDEC-file-compatible, allowing a single JEDEC file to be used for progamming any of the EPLDs. EP610A The EP610A is fastest member of the EP600 series. It has an input-to-nonregistered-output delay (tpo) of 12 ns, which is ideal for address decoding. The EP610A offers a 36% faster clock-to-output delay (teo = 6 ns) than a CMOS 22V10 and can easily integrate logic operating at today's faster system speeds. The EP610A is fabricated on an ad vanced O.8-micron process, and supports 16-bit counter frequencies of up to 83 MHz. EP630 The EP630 is fast and offers a low-power standby mode. This EPLD can implement a 16-bit counter at up to 83 MHz, and typically consumes 45 rnA when operating at 1 MHz. It offers 60% more logic and 6 more flipflops than the 22V10. It is fabricated on a 1-micron process, and is available with maximum tpo values of 15 ns and 20 ns. EP610 The EP610 combines high speed with low power. It can implement a 16-bit counter at up to 40 MHz, and typically consumes 32 rnA when operating at 1 MHz. The EP610 is fabricated on a 1.2-micron process and is available in all tempera ture ranges. Both MIL-STD-883B-compliant and DESC-approved parts are available. The EP610 has maximum t po values of 25 ns, 30 ns, 35 ns, and 40 ns. IA/tera Corporation Page 51 EP600-5eries EPLDs Da,. Sheet I EP600-series EPLDs use CMOS EPROM technology to configure connections in a programmable-AND logic array. EPROM connections are also used to construct a highly flexible programmable I/O architecture that provides advanced functions for user-programmable logic. Functional Description EP600-series EPLDs have 4 dedicated data inputs, 2 synchronous clock inputs, and 16 I/O pins that can be configured for input, output, or bidirectional operation on a macrocell-by-macrocell basis. Figure 2 shows the EP600-series macrocell. Each macrocell contains 10 product terms for the following functions: 8 product terms are dedicated to logic implementation; 1 product term is used for Clear control of the internal register; and 1 product term implements either Output Enable or an asynchronous Clock. Figure 2. Logic Array Macrocell 0 4 1 5 Synchronous Clock 7 8 10111,i!13'4'5'6'7'8'9 0 1 ~. 4 5 ~'7 ~ 931) 1 OEICLK ~333435 ~37 i!l39 vcc~ OE ~~ OElCLK '")- ~ t! -g "'0 e Cl. 1 t--<}- 2 t--<}- 4 ~t> t--< ~ 5 H 6 110 Architecture Control t ... .,.... ~ 1I0Pin rJ- H}- 7 '---" -D-- CLEAR ~ ~ ~ ~ ~ )n nnCnUa1 un6nnna 2 3 4 5 6 7 8 9 Macrocell Feedback I--- 10 11 14 15 16 17 18 19 20 21 22 23 Figure 3 shows the complete block diagram of an EP600-series EPLD. The internal device architecture has a sum-of-products (AND/OR) structure. Inputs to the programmable AND array come from the true and complement signals of the 4 dedicated data inputs and 16 I/O feedback signals. The 4D-input AND array has 160 product terms distributed among the 16 macrocells. Each product term represents a 40-input AND gate. In the erased state, the true and complement of the AND-array inputs are connected to the product terms. An EPROM control cell is located at each intersection of an AND-array input and a product term. During programming, selected connections are opened, allowing any product Page 52 Altera Corporation I IData Sheet EP6tJO-Serifl. EPLD. Rgu~1E~&mQBlockDmgmm Numbers in parentheses are br J-/ead packages. 40 1 (2) 2(3) CLK1 INPU INPUT 23 (27) Il.K 3(4) Macrocell9 Macrocell1 22(26) Macrocell10 Macrocel12 21 (25) •• • •• • Macrocell15 Macrocell7 Macrocell16 Macrocell8 Il.K Kl Alchiledure 4 (5) Control VO 9 (10) Alchiledure 16(20) Control 10(12) 15(18) INPUT 11 (13) C>..;;...;...:;..:....----{x::=t.._____--,c~----....J I Altera Corporation Pag,,53 Data Sheet EP600-Series EPLDs I term to be connected to a true or complement array input signal with the following results: o o o If both the true and com plement of an array input signal are connected, the output of the AND gate is a logic low. If both the true and complement of any array input signal are programmed "open," a logic "don't care" results for that input. If all inputs for a given product term are programmed "open," the output of the corresponding AND gate is a logic high. Two dedicated clock inputs (which are not available in the AND array) provide the signals used for synchronous clocking of EP600-series internal registers. Each signal is positive-edge-triggered and has control over 8 registers: CLJC! controls macrocells 9 to 16; CLJC2 controls macrocells 1 to 8. The programmable I/O architecture allows each of the 16 internal registers to have a synchronous or asynchronous Clock. I/O Architecture The EP600-series architecture provides each macrocell with over 50 programmable I/O configurations. Each macrocell can be configured for combinatorial or registered output, with programmable output polarity. One of four register types (D, T, JK, and SR) may be implemented in each macrocell without additional logic. I/O feedback selection can be programmed for registered or input feedback. The I/O architecture can also individually clock each internal register from any internal signal. OE/CLK Selection Figure 4 shows the two modes of operation provided by the OE/CLK Select multiplexer. This multiplexer, which is controlled by a single EPROM control bit, may be individually configured at each I/O pin. Figure 4. DE/eLK Select Multiplexer Mode 0: OE,. Product-Term-Controlled ClK ,. Synchronous Mode 1: OE. Enabled ClK • Asynchronous Synchronous Clock SyndYonous Clock vee OE AND Array OE ClK ClK DATA Maaocel Ou~ Maaocel 110 Register The register is clocked by the synchronous dock signa/, which is ccmmon to seven other maaocells. The output is enabled by the logic from the product term. Page 54 Maaocel 110 Register Bulter The output is permanently enabled and the register is docked by the product term, which aRows gated clocks to be generated in EP600-series EPLDs. A/tera Corporation I IData Sheet EP600-Series EPLDs In Mode 0, the tri-state output buffer is controlled by a single product term. If the output of the AND gate is high, then the output buffer is enabled. If the output is low, the output buffer has a high-impedance value. In this mode, the macrocell flip-flop is clocked by its synchronous Clock input signal (CL)(1 or CL)(2). In the erased state, the OE/CLK Select multiplexer is configured to Mode O. In Mode 1, the Output Enable buffer is always enabled, allowing the macrocell flip-flop to be triggered from an asynchronous Clock signal generated by the OE/CLK product term. This mode allows flip-flops to be individually clocked from any of the AND-array input signals. With true and complement signals in the AND array, the flip-flop can be configured to trigger on a rising or falling edge. This product-term-controlled clock configuration also allows implementation of gated clock structures. Figure 5 shows waveforms for the following modes: combinatorial, synchronous Clock, and asynchronous Clock. Combinatorial Mode Figure 5. Switching Waveforms Numbers in parentheses are for the EP610A EPLD. Input or 110 _____________________J~~-------------------- Combinatorial Output _____________________________~-------Ji~------------------ :. t PO ,: .1 Combinatorial or Registered OutJ)ut ;~I------~':~----------- High-I/Tlledance Tri-State Valid Output f .1 Asynchronous Clear Output • ------------------------------~~~------------Synchronous Clock Mode t.!!...i i. CLK1,CLK2 tCH tf...j ~: .: --.J :. tau ltH : Inp~ ~1~-~--~~I/O~ma-Y-C-ha-ng~~;:==~.~.4~~.r----I-n~-t-o-rl-~-~--~~-an-~-------- Outp~ _fro_m_m~g~ist_~_to_o_~~~_t_______ · __~tr-------------------- Valid ;. t Valid V,...----..'---- \\-_----JI.~------iN COl.: Asynchronous Clock Mode tACH - t ACL Inp~ ~~:'~=4'~~---'x.~---.i Inp~ :.tA8U,l~ -:-In-p~-~~1I0:::-m-a-Y~ch-an-g-e--.t=t=X'--I~n~-t-o~rI~IO-may--c-:-ha-n-~---------- ValidOutp~ ~(F_ro_m_m~~s_~_t_o_M~~_t)~_________~*r------------------------ Asynchronous Clock Valid : t ACOI .~~-x== : :-. t R & t F < 3 ns (2 ns) Inputs are driven at 3 V for a logic high and 0 V for a logic low. All timing characteristics are measured at 1.5 V. IAltera Corporation Page 55 aY EP60O-Ser/es EPLDs Output! Feedback Selection DataShHtl Output configurations available with EP600-series EPLDs are shown in Figure 6. Each macrocell can be individually configured with combinatorial output or with any of the four register outputs. All registers have an individual asynchronous Clear function controlled by a dedicated product term. When this product term is a logic high, the macrocell register is immediately loaded with a logic low. The Clear function is performed automatically during power-up. The combinatorial configuration has eight product terms ORed together to generate the output signal. This configuration has the following characteristics: o o o The Invert-Select EPROM bit controls output polarity. One product term controls the Output Enable buffer. The Feedback-Select multiplexer allows the user to choose I/O (pin) feedback or no feedback to the AND array. The D or T register has eight product terms ORed together that are available to the register input. This configuration has the following characteristics: o o o o The Invert Select EPROM bit controls output polarity. One product term controls asynchronous Clear. The OE/CLK Select multiplexer configures the mode of operation to Mode 0 or Mode 1. The Feedback Select multiplexer allows the user to choose registered feedback, I/O feedback, or no feedback to the AND array. If the JK or SR register is selected, eight product terms are shared between two OR gates. The outputs of the OR gates feed the two primary register inputs. This configuration has the following characteristics: o o o o o The A+PLUS Development System optimizes the allocation of product terms for each register input. One product term controls asynchronous Clear. The Invert Select EPROM bits control output polarity. The OE/CLK Select multiplexer configures the mode of operation to Mode 0 or Mode 1. The Feedback Select multiplexer allows the user to choose registered feedback or no feedback to the AND array. Any I/O pin can be configured as a dedicated input by selecting no output with I/O feedback. In the erased state, the I/O architecture is configured for combinatorial active-low output with I/O feedback. I Page56 A/tera Corporation I IData ShfHIt EP60O-Series EPLDs Combinatorial Figure6.VO Con"guratlons va Selection AND Array OutputIPoiarity Combinatorial/High Combinatorial/Low None Feedback Pin, None Pin, None Pin Feedback SeIad D Flip-Flop va Selection AND Array Output/Polwlty D Register/High D Register/Low None None Fundion Table Feedback D On 0"'1 D Register, Pin, None D Register, Pin, None D Register Pin L L H H L H L H L L H H TFlip-Flop va Selection AND Array Output/Polarity T Register/High T Register/Low None None Fundion Table Feedback T On 0"'1 T Register, Pin, None T Register, Pin, None T-Register Pin L L H H L H L H L H H L JK Flip-Flop va Selection Output/Polarity AND Array JK Register/High JK Register/Low None Function Table Feedback JK Register, None JK Register, None JK Register J K On 0"'1 L L L H H L L H H L H L H L H L H L H L L H H H L L L L H H H H SR Flip-Flop va Selection AND Array 40 Inputs IAltera Corporation Output/Polarity SR Register/High SR RegisterlLow None Function Table Feedback SR Register, None SR Register, None SR Register S R On 0"'1 L L L L H H L L H H L L L H L H L H L H L L H H Page 57 EP600-8eries EPLDs Functional Testing Data Sheet\ EP600-series EPLDs are fully functionally tested and guaranteed through complete testing of each programmable EPROM bit and all internal logic elements. A 100% programming yield is ensured. This testing process eliminates problems associated with fuse-programmed circuits by allowing test programming patterns to be used and then erased. The ability to use application-independent, general-purpose tests, called generic testing, is unique to EPLDs. AC test measurements are performed under the conditions shown in Figure 7. Figure 7. AC Test Conditions Power supply transients can affect AC measurements. Simultaneous transitions of multiple outputs should be avoided for accurate measurement. Threshold tests must not be performed under AC conditions. Large-amplitude, fast-ground current transients normally occur as the device outputs discharge the load capacitances. When these transients flow through the parasitic inductance between the device ground pin and the test system ground, it can create significant reductions in observable input noise immunity. Note: Numbers in parentheses are for the EP610A EPLD. ----vee Device input rise and fall times < 3 ns (2 ns) Design Security EP600-series EPLDs contain a programmable design Security Bit that controls access to the data programmed into the device. If this feature is used, a proprietary design implemented in the EPLD cannot be copied or retrieved. This feature provides a high level of design security by making programmed data within EPROM cells invisible. The Security Bit, as well as all other program data, is reset by erasing the EPLD. Turbo Bit The EP610 and EP630 EPLDs contain a programmable Turbo Bit, set with the A+PLUS software, to control the automatic power-down feature that enables the low standby-power mode. When the Turbo Bit is programmed (Turbo = On), the low standby-power mode (lCCl) is disabled, making the circuit less sensitive to Vcc noise transients created by the low-power mode power-up / power-down cycle. The typical Icc vs. frequency data for both turbo and non-turbo mode is shown in each EPLD data sheet. All AC values are tested with the Turbo Bit programmed. If the design requires low power operation, the Turbo Bit should be disabled (Turbo = Off). In this mode, some AC parameters may increase. To determine worst-case timing, values from the AC Non-Turbo Adder specifications must be added to the corresponding AC parameter. Page 58 Altera Corporation I EP610AI o Features High-performance 16-macrocell EPLD Combinatorial speeds with tpD = 12 ns Counter frequencies up to 83.3 MHz Pipelined data rates up to 83.3 MHz A vailable in windowed ceramic and plastic one-time-programmable chip carrier packages 24-pin DIP (ceramic and plastic) 28-pinJ-Iead (ceramic and plastic) 24-pin, 3OO-mil SOIC (plastic) Macrocells can be individually programmed as D, T, JK, or SR flipflops, or for combinatorial operation. Programmable Clock option allows independent clocking of all registers. o o o Figure 8 shows the pin-outs for the EP610A EPLD. Figure 8. EP610A Pin-Out Diagrams Package outlines not drawn to scale. ClK1 4 3 1 2 28 27 26 110 25 1/0 110 24 110 0 1/0 110 110 I/O 10 NC 11 23 110 22 110 21 1/0 NC 12 g 13 ~ a. ~ 14 0 z (!) 15 0 z (!) 16 ~ -l U J-Lead Altera Corporation 17 ~ a. 18 g INPUT I/O I/O EP610A VCC INPUT 110 I/O vee ClK, I/O I/O I/O 1.0 LO 1.0 1.0 110 110 1.0 1.0 110 1.0 1.0 1.0 I/O 110 INPUT INPUT GND ClK2 INPUT INPUT 1.0 1.0 LO 1.0 1.0 1.0 1.0 INPUT _ _ _ _ _ _ _....... ClK2 INPUT GND ~ DIP sOle Page 591 IEP610A Preliminary Data Absolute Maximum Ratings Symbol Conditions Min Max Unit Supply voltage With respect to GND -2.0 7.0 V Vpp Programming supply voltage See Note (1) -2.0 13.5 V VI DC input voltage -2.0 7.0 V I MAX DC V cc or GND current -175 +175 mA DC out~ut current, -25 lOUT Ip0 ~er ~in I Power dissipation ~ ~ +25 mA 1000 mW Storage temperature No bias -65 +150 Ambient temperature Under bias -65 +135 Min Max Recommended Operating Conditions ~I Conditions Parameter ~I Supply voltage I I Input voltage I ~I I E I I Output voltage V0 TA I I For commercial use Operating temperature IT A I For industrial use Operating temperature I For military use T e l Case temperature tF 4.75 5.25 V 0 Vee V 0 Vee V 0 +70 DC -40 +85 DC -55 +125 DC I ~~---------- DC Operating Conditions vcc = 5 V ± 5%, TA = OD C to 70° C for commercial use See Note (2) Vee Vee I Symbol I VIH I V Il F OH V OL II Iloz 'II CCl Unit 1_I--'np'-u_t_ris_e_ti_m_e_ _ _ _ _ _ _. _ _ _ _ _ _ _ _ _ _ _ _ _. _ _25 __ __ ns__ i I r Input fall time ns 25 R = 5 V ± 10%, TA = -40° C to 85° C for industrial use = 5 V ± 10%, Tc =-55° C to 125° C for military use Parameter Conditions High-level input VOltage I Low-level input voltage I High-level TTL output voltage I Low-level output voltage I Input leakage current I IloH =-4 mA DC ~ Max Unit 2.0 V cc +0.3 V -0.3 0.8 V V 2.4 II OL = 8 mA DC I V I = V cc or GND I Tri-state out~ut off-state current I V = Vee or GND I V cc supply current (standby) I V I = Vee or GND 0 0.5 V -10 +10 -40 +40 J!A J!A 90 130 mA 90 130 mA No load II CC31I Vee supply current I' V I =V ee or GND, No load ~,_ _ _ _ _ _ _ _ _ _. f= 1.0 MHz,See Note (3) I Psge60 I Note: See Operating Requirements for EPLDs in this data book. Parameter vee Data Sheet A/tera Corporation I I Data Sheet EP610A Preliminary Data I Capacitance See Note (4) I 1 I Symbol c IN 1 Parameter Input capacitance I-C---'O':"":"'U-T- - I Output capacitance I 1 v IN = 0 V, 1 VOUT=OV, f=1.0MHz v cc Vcc Vcc Unit 8 pF 8 pF 16 pF use = 5 V ± 10%, TA = -40° C to 85° C for industrial use = 5 V ± 10%, Tc = -55° C to 125° C for military use EP610A-10 I EP610A-12 1 EP610A-15 I I I Conditions I Min I Max I Min I Max I Min I Max I Unit I Parameter I 11-_II'~10o11'--11:~12211-_11~155I: I C 1 = 35 pF I t PZX Input to non-registered output I/O input to non-registered output Input to output enable 1 t PXZ Input to output disable, See Note (5)I-C-1-=-5-P-F-1 I t CLR Max f = 1.0 MHz = 5 V ± 5%, TA = 0° C to 70° C for commercial 1_ _ _ _ _ _ _ _ _ _ _ _ _ ,_ _ _ _1 I Symbol I t PD1 I t PD2 Min I V IN = 0 v, f = 1.0 MHz I_C--'C=LK'-'--_ _ Clock pin capacitance AC Operating Conditions Conditions I Asynchronous output clear time nnss I: fJ 1--'-1-0-1--1-1-2-1--1-1-5-I~I 1 Ic 1 = 35 pF 1 10 I I 10 1 1 12 I I 12 1 1 15 I I 15 1 ns I ns I I Synchronous Clock Mode ,-----------------I Symbol I Parameter I f MAX I Maximum frequency I t su I Input setup time I t H I Input hold time I t CH I Clock high time It It I Clock low time I Clock to output delay CL C01 I t CHT I f CNT EP61~A-10 I I Conditions Min See Note (6) 100 8 o 5 5 I Minimum clock period 1_ln_te_rn_a_1m_a_X_im_Um_fre--lq_Ue_nc-<.y_ _ 1 See Note (3) 100 EP610A-12 I Max I Min I Max I I 83.3 I I I 8 I I I o I I I 6 I I, 6 I, 6 I, 6 I 10 I I 12 I I 83.3 I I EP610A-15 I I I Min I Max I Unit I I 83.3 I I 10 I 0 I I, I 6 6 I 83.3 I MHz I I I , 8 I 12 I I~: I~: , ns I I ns I I , I MHz I Asynchronous Clock Mode EP610A-10 Symbol f MAX I Parameter , Conditions '-M-a-xi-m-um-f-re-qu-e-nc-y----I See Note (6) t ASU 1.....;.ln:..:.r:p=ut:....::s=et=up:....:ti=m~e_ _ _ _ _ I_ _ __ tACH . Clock high time I =:..:....:...;.:.:..=.....:;,;..:..:..=..------1·---Input hold time I t AH ----::=---.!,I____ I _ _ _I·---- _t....!A=C=L_+I.....:c.....;.IOc...:..;Ck..:....;,lo..:....;,w..:....;,t:..;.;im.;.::,e_ _ _ _ _ _ t AC01 I Clock to output delay t ACNT . Minimum clock period ----::~"---.!I-~=-=-=c:...::..:....;='--- _f--:A=c=NT.!..-_I!.. . .;.ln;,;,; ,te; .;. rn;.;,; a; ;,. ;1m..:.;,;a=x.:.;,;.im=u;.;,;,m...:.:..fr=eq=ue;;.;..n=cYL-_1 See Note (3) I Altera Corporation I EP61~A-12 Min' Max , Min 100 5 5 5 5 100 I I I I I I 83.3 I 6 I 6 I 6 I 6 I Max I I I I I I 13 I EP61 OA-15 I I I Min ,MaX IUnit I I 71.4 I I MHz I I 6 I I ns I I 6 I I ns I I I I 115 I : I ~ I :: I I I I I ;~z I 83.3 12 71.4 14 Page 61 I IEP610A Preliminary Data Data Sheet I Notes to tables: (1) (2) (3) (4) (5) (6) The minimum DC input is -0.3 V. During transitions, the inputs may undershoot to -2.0 V or overshoot to 7.0 V for periods less than 20 ns under no-load conditions. Typical values are for TA =25° C and Vcc =5 V. Measured with a device programmed as a 16-bit counter. Capacitance measured at 25° C. Sample-tested only. Clock-pin capacitance for dedicated clock inputs only. Pin 13 (high-voltage pin during programming) has a maximum capacitance of 50 pF. Sample-tested only for an output change of 500 mY. The f MAX values represent the highest frequency for pipelined data. Product Availability I I Commercial I Availability Grade Industrial I Military 0 EP610A-12, EP610A-15 0 (0 C to 70 C) (-40 0 C to 85 0 C) Consult factory (-55 0 C to 1250 C) Consult factory Note: Only military-temperature-range EPLDs are listed above. MIL-5TD-883-compliant product specifications are provided in Military Product Drawings (MPDs), available from Altera's Marketing Department by calling 1 (800) 5OS-EPLD. These MPDs should be used to prepare Source Control Drawings (SCDs). See Military Products in this data book. Figure 8 shows output drive characteristics for EP610A I/O pins and typical supply current versus frequency for the EP610A. Figure 9. EP640 Output Drive Characteristics and Icc vs. Frequency 150 100 101.. ci >. r- <- 80 ~ 60 .§. C ci ~ :; () SCl. S Vcc =5.0V Room Temp. Vee =5.0V Room Temp. Q) > '+:1 40 0 « 0 0 100 ti ~ 0 50 -2 20 100 MHz Vo Output Voltage (V) IPage 62 Maximum Frequency Altera Corporation I EP6301 o Features o o o o High-performance 16-macrocell EPLD Combinatorial speeds with tpD = 15 ns Counter frequencies up to 83 MHz Pipelined data rates up to 83 MHz Very low power Icc = 5 rnA (typical) for a 16-bit counter at 1 MHz Icc = 20 tJA (typical) in standby mode Available in windowed ceramic and plastic one-time-programmable chip carrier packages 24-pin DIP (ceramic and plastic) 28-pin J-Iead (ceramic and plastic) 24-pin, 3OO-mil SOIC (plastic) Macrocells can be individually programmed as D, T, JK, or SR flipflops, or for combinatorial operation. Programmable Clock option allows independent clocking at all registers. Figure 10 shows pin-outs for the EP630 EPLD. Figure 10. EP630 Pin-Out Diagrams Package outlines not drawn to scale. g ~ Q. ;: ~ u u U > ...J 3 u U > 1 2 28 ~ g vee 27 26 INPUT 1/0 25 110 1/0 24 110 0 110 1/0 1/0 1/0 10 NC 11 21 110 110 110 110 110 110 110 1/0 110 1/0 EP630 110 NC 12 g 13 ~ Q. ~ 14 15 16 Altera Corporation 17 0 ~ (!) (!) 5 g U ~ z Z ...J 110 18 0 J-Lead 1 ~ Q. INPUT CLK2 vee ClK, INPUT INPUT 1.0 1.0 1.0 1.0 LO 1.0 LO 1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.0 INPUT INPUT ClK2 GNO Q. DIP sOle Page 631 m I EP630 Oats Sheet Absolute Maximum Ratings Symbol I Note: See Operating Requirements for EPLDs in this data book. Parameter vee Suepl~ Vpp Pr~ramming sueel~ vOltage Conditions vOltage Min Max Unit With respect to GND -2.0 7.0 V See Note (1) -2.0 14.0 V VI DC input vOltage -2.0 7.0 V I MAX DC Vee or GND current -175 +175 mA lOUT DC OU!eut current, eer ein -25 +25 mA I I p o l Power dissipation I I 1000 I I I mW li-T-S~T-G------li'-S-to-m-g-e-~-m~~--m-ru-~-----------i--N-O-bi-u------------i~I~I---o-c--~ I T AMB . Ambient tem~rarure ~~ Under bias °C Recommended Operating Conditions Symbol I I Suppl~ vOltage I Input voltage I vee I VI I I Vo TA I Te It R I VOL III Iloz IICCI 11CC3 I Page 64 4.75 5.25 V I 0 I Vee Vee I 0 +70 V I I V I °C +85 °C I Case I For military use -55 +125 °C See Note (2) 40 ns I See Note (2l 40 ns tem~rature Vcc Vcc Vcc I High-level in~ut vOltage Conditions Min I I I I OH =-4 mA DC Typ Max 2.0 Vee +0.3 -0.3 0.8 I I V V 2.4 V 3.84 V 0.45 I Input leakage current I = Vee or GND -10 +10 I Vo= Vee orGND -10 +10 I High-level TTL outeut voltage I Low-level output voltage I Tri-state output off-state current I I I OL =4 mA DC VI VCC supply rurren! (non-turbo I VI·Vcc orGND I VCC supply ruffen! (non-turbo mode) V CC supply ruffen! . (turbo mode) I I I I Unit I High-level CMOS output voltage II OH =-2 mA DC I I I = 5 V ± 5%, T A = 0° C to 70° C for commercial use = 5 V ± 10%, TA = -40° C to 85° C for industrial use = 5 V ± 10%, Tc = -55° C to 125° C for military use I Low-level input voltage . standby) IICC. For commercial use Parameter I Unit -40 Symbol I VOH Max I For industrial use See Note (3) I V OH Min I O~rating tem~rature DC Operating Conditions I VIL I I I Output VOltage I Input rise time I Input fall time ItF Conditions 0 . O~ratinQ tem~rature ITA VIH I I Parameter I . I0 20 IVI =VCCorGND, No JJA 150 =0, See Note (4) V J1A J1A I load, S 10 45 90 f = 1.0 MHz, See Note (5) I VI=Vcc orGND , No load, . f = 1.0 MHz, See Note (5) mA I I mA A/tera Corporation I Data Sheet EP6301 capacitance See Note (6) I IC IC I Symbol I IN I Input capacitance I Output capacitance OUT I CCLK Conditions Parameter I VIN =0 V, I VOUT=O V, f= 1.0 MHz I t POl It Parameter Conditions , Input to non-registered output EP630-15 I C 1 = 35 pF , " l_t.....,::c=LR=-=--_!'.. .;"A=sYr. .;. .;n=ch~ro:. ;. :n=ou=s. .:. ou=tp!:. .:u:. :. .tc:..:..:le=a~rt:..:...im...:..e_!_C_1:......=_3_5...:...P_F_ _ 1 15 ______ 2 1t 10 I 110 input pad and buffer delay I Min I 15 \ 1 17 '\ 15 1 15 t PZX 1 t PXZ EP630-20 I Max Min 11/0 input to non-reg. output I' \ Input to output enable 1-ln-.!.p-ut-to-o-u..!..tP-ut-di-sa-b-le---'-C-1-=-5-pF-,-No-te-(-8)-1 PD2 Unit 10 pF 12 pF 20 pF Vee = 5 V ± 5%, T A = 0° C to 70° C for commercial use Vee = 5 V ± 10%, TA = -40° C to 85° C for industrial use Vee = 5 V ± 10%, Tc = -55° C to 125° C for military use ;-I:_-_-_-_-~~=========~~~~~~~~~~-------~-----------=I I Symbol I I~x I I I = 1.0 MHz f , V IN =0 V, f = 1.0 MHz Clock ein capacitance AC Operating Conditions Min 1 1 I Max I 1 \' I I Non-Turbo Adder See Note (7) 20' 20 I~ I~ \ ns \ I~ I 1 22 1 ,'20" 20 1 I 20 20 20 "ns' 1 ns I I I I 20 1 20 2 1 1 I I ns I~ 0 Synchronous Clock Mode 1_ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _1 I Symbol I f t t t t t t f MAX su H Parameter I Maximum frequency setup time I1 Input Input hold time EP630-15 I See Note (9) , , I 83.3 I " 9 0 6' CL I Clock low time I , 6' COl 1 Clock to output delay 1 1 CNT I Minimum clock period I I Internal maximum frequency I See Note (5) I 62.5 I ,' ,-----, CNT EP630-20 1 Non-Turbo Adder 1 I Conditions IMin IMax IMin IMax I I Clock high time CH 1 I I I I 83.3 , 12 I Unit I 0 I MHz ,' 11 0 ,' " 20 0 ,'nns I 8' , 0 'ns , 0 I 11 See Note (7) I 8' I I I I 62.5 I 13 16 I I , 0 0 0 s 'ns I ns I ns I MHz Asynchronous Clock Mode - - - - - - - - - - - - - - - - - -" 1 Symbol " If It I t AH Parameter MAX Maximum frequency ASU Input setup time I tACH I t ACL I t ACOl I t ACNT I f ACNT Conditions See Note (9) I Clock high time I I Clock low time Clock to output delay I Minimum clock period I A/tera Corporation See Note (5) Min I Max I 71.4 I I I Input hold time Internal maximum frequency 1 EP630-15 6 6 7 7 1 1 EP630-20 Min 1 I 55.5 I I I 8 181 , 191 I , 71.4 , 15 14 I I I 9 1 I I I I I Max I Non-Turbo Adder 1 I I I I I 1201 I 155.51 18 I I See Note (7) I Unit 0 20 0 0 0 20 0 0 I I MHz ns I I~ I~ I ns I I nsl I ns I I~ Page 651 fJ IEP63D Data Sheet I Notes to tables: (1) (2) (3) (4) (5) (6) (7) (8) (9) Minimum DC input is -{).3 V. During transitions, the inputs may undershoot to -2.0 V or overshoot to 7.0 V for periods less than 20 ns under no-load conditions. For all docks: tR and tF =20 ns. Typical values are for TA =25° C and Vcc = 5 V. When in non-turbo mode, an EPLD will automatically enter standby mode if logic transitions do not occur (approximately 100 ns after the last transition). The nonturbo standby current specification (lca) does not apply to the EP630-15 EPLD. Measured with a device programmed as a 16-bit counter. Capacitance measured at 25° C. Sample-tested only. Clock-pin capacitance for dedicated clock inputs only. Pin 13 (high-voltage pin during programming) has a maximum capacitance of 50 pF. See "Turbo Bit" earlier in this data sheet. Sample-tested only for an output change of 500 mY. The fMAX values represent the highest frequency for pipelined data. Product Availability I I Commercial I Industrial I Military Availability Grade EP630-15, EP630-20 (0° C to 70° C) (-40° C to 85° C) Consult factory (-55° C to 125° C) Consult factory Note: Only military-temperature-range EPLDs are listed above. MIL-STD-883-compliant product specifications are provided in Military Product Drawings (MPDs), available from Altera's Marketing Department by calling 1 (800) SOS-EPLD. These MPDs should be used to prepare Source Control Drawings (SCDs). See Military Products in this data book. Figure 11 shows output drive characteristics for EP630 I/O pins and typical supply current versus frequency for the EP630. Figure 11. EP630 Output Drive Characteristics and Icc vs. Frequency 100mA 50 101.. ci.. >. I- .s<" 40 ~ :::J 30 c: ~ I- 40mA Vee TA U S a. S 0 r-------------....., .s<" =5.0 V =25° C Q) .i!: ~g 20 10mA Non- Turbo Mode ...3 150 I1A 2 3 4 5 Vo Output Voltage (V) I Page 66 10 KHz 10 MHz 30 MHz sO MHz 90 MHz Maximum Frequency Altera Corporation EP6101 o Features High-performance 16-macrocell EPLD Combinatorial speeds with tpD =25 ns Counter frequencies up to 40 MHz Pipelined data rates up to 47 MHz Very low power Icc = 3 rnA (typical) for a 16-bit counter at 1 MHz Icc =20 ~ (typical) in standby mode Available in windowed ceramic and plastic one-time-programmable chip carrier packages 24-pin DIP (ceramic and plastic) 28-pinJ-Iead (ceramic and plastic) 24-pin, 3OO-mil SOIC (plastic) Macrocell flip-flops can be individually programmed as D, T, JK, or SR, or for combinatorial operation. Programmable Clock option allows independent clocking at all registers. o o o o Figure 12 shows the pin-outs for the EP610 EPLD. Figure 12. EP610 Pin-Out Diagrams Package outlines not drawn to sea/e. g 5 § 0 0 Q. ~ 0 0 > 0 > 5 Q. ~ g VCC 3 2 1 28 27 26 I/O 25 INPUT I/O I/O 110 0 \/0 110 I/O 110 10 NC 11 EP610 12 13 14 g 5 0z Q. ~ 15 0 Z " " 16 I/O 23 \/0 110 22 I/O 110 21 I/O 110 20 I/O 19 NC 110 110 18 ~ ...J 5 g 0 ~ J-Lead A/tera Corporation 17 24 LO 110 LO LO LO INPUT Q. INPUT LO LO LO 110 CLK2 vee Q.K1 INPUT 1.0 1.0 1.0 1.0 LO 1.0 1.0 1.0 1.0 INPUT INPUT Q.K2 GND DIP sOle Page 67\ II I EP610 Absolute Maximum Ratings I I Data Sheet Symbol Note: See Operating Requirements for EPLDs in this data book. I Parameter I Conditions Min I Max Unit 7.0 V 13.5 V vee Sl:Ipply voltage With respect to GND -2.0 Vpp Pr~rammin9 su~pl~ See Note (1) -2.0 VI DC vOltage -2.0 7.0 V I MAX DC V CC or GND current -175 +175 mA I lOUT in~ut DC output current, I p o l Power dissipation ~I ~ voltage I ~er ~in -25 Storage temperature No bias -65 Ambient temperature I. Under bias -65 mA +25 I I I ::: 1000 I mW I--:-~--: Recommended Operating Conditions I Parameter Symbol I vee Su~~I~ , VI Input VOltage I Vo Output VOltage I TA Operating temperature For commercial use O~rating For industrial use ITA I Te It R It F temperature Case temperature For military use Input rise time See Note (3) In~ut See Note (3) fall time See Note (4) I I Symbol Min See Note (2~ voltage DC Operating Conditions I Max I 7S S 5.25 (5.5) I 4. t ) I Vcc 0 Vee I 0 I +70 I -40 I +85 I Conditions -55 Parameter Conditions V I 100 (50) ns I I 100 (50) I ·_V.....:;IL=--_ _ I_L_o_w_-le_v_e_1i.... np_u_tv_o_lta-=-e_ _ _-;·_ _ _ _ _ _ _I: _V-'O"""'H'--_ _ . High-level TTL output voltage :-1V_o=H"--_ _ 1 High-level CMOS output voltage Min I OH = -4 mA DC II OH = -2 mA DC -0.2 I Input leakage current I V It = Vee or GND 1_I..!::o:::..z_ _ _ '-T; .,;.r!;. .;i-s~ta.-,;.te. ;,;. ;.:ou:.§!.tP.:. . .u. :. :to:":":ff"':'-s:":":ta:"-'te-cu-rr-e-nt-I V = Vee or GND ° '_1..:.....1_ _ _ I' Vee supply current (standby) Vee supply current (non-turbo mode) Typ I Max I Unit I vee + 0.3 1 V 1 ns 1 I II' V I = VCC or GND'I No load See Note (5) VI =V ee or GND No load, f = 1.0 MHz I---I~~v ~-IVI 24 3.84 e ____ll._I...,:o=L_=_4_m_A_DC I_V-,o=L_ _ _ I_L_o_w_-I_ev_e_1o_u..:.,tp_u_tv_o_lta...,:gc... _ _ _1 I I 1.~~~~~~~~~~~~~~-.il--2-.0--il-- - - I 1 ICC2 +125 V v Vee = 5 V ± 5%, TA = 0° C to 70° C for commercial use Vee = 5 V ± 10%, TA = -40° C to 85° C for industrial use Vee = 5 V ± 10%, Te = -55° C to 125° C for military use I_V-'-I.:..:..H_ _ _ I_H_i.x.gh_-_le_ve_l_in'--pu_t_vo_lt_ag.....e_ _ _ _ · I CC1 I I Unit -_11 0 1 I I I II' ', 1 V 1 0.45 I V I 0 ++ 11 0 I' :: II' 1"1""' 0 '1 20 I' 150 I jJA 3 10 (15) mA 32 60 (75) mA I See Note (6) lee3 Vee supply current (turbo mode) VI =V ee or GND No load, f = 1.0 MHz See Note (6) I Page 68 A/tera Corporation I I Data Sheet EP6101 Capacitance See Note (7) Symbol I----p-ar-a-m-et-er----'I---eo-n-d-iti-·o-ns---'--M-in- I IC IC IC I Input capacitance IN IV IV IN I Output capacitance OUT =0 V, f = 1.0 MHz OUT I Clock pin capacitance elK , t P02 11/0 input to non-reg. output ' t PZX Iinputto output enable , t PXZ I Input to output disable pF --2-0--i---'-P-F- I Parameter I Input to non-registered output 20 = 0 V, f = 1.0 MHz 1'---Tim-in-g-Pa-,,-ame-te-,,-s- - - - - - - - - - - 1 EP610-25 , t POl Unit VIN = 0 V, f = 1.0 MHz 20 Vee = 5 V ± 5%, T A = 0° C to 70° C for commercial use Vee = 5 V ± 10%, TA = -40° C to 85° C for industrial use Vee = 5 V ± 10%, Te = -55° C to 125° C for military use °AC Operating Conditions I SYmbol' Max pF I EP610-30 1 EP61~51:-N~-n~-!~-;bo-1 I Conditions' Min' Max , Min' Max' Min Max' 1 I I 25 I Note (8) 'unit I 30 I I 35 I 30 1 ns I I 27 I I 32 I I 37 I 30 I I 25 I I 30 I I 35 I 30 I I 25 I I 35 I 30 1 37 1 30 I I ns I ns I ns I ns 1ns I I I I I I I Min I Max I Min I Max I Min I Max I-N-ot-e-(8-~ Unit I I 1 47.61 MHz C1 = 35 pF C1 = 5 pF,Note (9) I t CLR I Register clear delay C1 = 35 pF I t 10 1110 input pad and buffer delay I I 30 I I 27 I 1 I 2 1 32 I I 2 I I I I I 2 0 Synchronous Clock Mode ° Timing Parameters EP61 0-25 I Symbol ----Pa-ra-me-t-er--1 Conditions Note (10) 1° f MAX Max. frequency pipelined data Input setup time t H Input hold time t CH Clock high time t CL Clock low time I 10 I t COl Clock to output delay 1 1 15 t CNT Minimum clock period I I 25 I Note (6) 1 Internal maximum frequency 1° EP610-35 1° Non-Turbo Adder - t su f CNT EP610-30 I 21 I I0 I I 10 I 1 40.01 1 41.71 1 37.0 1 I 0 I 24 I I 27 I 1--3-0- I0 I I 0 I I 0 I 11 1 112 1 1--0 - I 11' I I 17 1 33.31 1 ns I '12' , 0 ns ns 1 1--0-- ns I 20 I 30 I I 35 I 1 28.6 I 0 1--0 - - I ns ,I I MHz I I Unit I ns Asynchronous Clock Mode ITiming Parameters I Symbol I Parameter I-c-o-nd-it-ion-s-I Min I I-f-M-A-X-I~·-Ma-x.-fr-eq-U-en-cy-p-ipe-lin-ed-d-a-ta-I Note (10) I t ASU I Input setup time 1 I_t-=-A.:=H__~I__ In-,-pu_th_o_ld_tim_e_ _ _ _ _ 1 I tACH 1 Clock high time I EP610-25 I EP610-30 I EP610-35 Max Max I I I I 47.61 1 Clock to output delay 1 I-t-=-A;;..;;;..CN;;;....;.T-~I--M-ini-m-um-cl-oc-k-pe-r-io-d---I I f ACNT 1 Internal maximum frequency I Altera Corporation 1 Note (6) Adder Max I-N-ot-e-(8-~- I Min I Min I 41.71 I 37.0 I I 0 0 18 1 I 8 1 1 8 1 1--3I 12 I I 10 I I-t-=-A==CL:..:.....-·I~--CI-OC-k-Iow"--t-im-e-----~I·---I 10 I t ACOl 1 Non-Turbo I I 12 I I 11 I I I 11 I 27 I 1 1 32 30 1_ _0_ _ ns ns I I ns 12 I 0 I 12 I 1 - - 0 - I I 37 I 30 I 33.31 I 28.6 I I 0 I MHz 1 12 1 I I 25 1 1 1 135 1--0- 1 40.0 1 I II: I I ns ns ns I I MHz Page 69\ I 2 I EP610 Data Sheet I Notes to tables: (1) The minimum OC input is -0.3 V. During transitions, the inputs may undershoot to -2.0 V or overshoot to 7.0 V for periods less than 20 ns under no-load conditions. (2) Numbers in parentheses are for to military and industrial temperature versions. (3) For all clocks: tR and tF = 250 ns (100 ns). (4) Typical values are for TA =25° C and V cc =5 V. (5) When in non-turbo mode, an EPLD will automatically enter standby mode if logic transitions do not occur (approximately 100 ns after the last transition). The nonturbo standby current specification (lea) does not apply to the EP610-25 EPLD. (6) Measured with a device programmed as a 16-bit counter. (7) Capacitance measured at 25° C. Sample-tested only. Clock-pin capacitance for dedicated clock inputs only. Pin 13 (high-voltage pin during programming) has a maximum capacitance of 50 pF. (8) See "Turbo Bit" earlier in this data sheet. (9) Sample-tested only for an output change of 500 mV. (10) The fMAX values represent the highest frequency for pipelined data. Product Availability I Availability Grade I Commercial I Industrial I Military EP610-25, EP61 0-30, EP610-35 (0° C to 70° C) (-40° C to 85° C) EP61 0-30, EP61 0-35 (-55° C to 125° C) EP61 0-35 Note: Only military-temperature-range devices are listed here. MIL-STD-883-compliant product specifications are provided in Military Product Drawings (MPDs), available from Altera's Marketing Department by calling 1 (800) SOS-EPLD. These MPDs should be used to prepare Source Control Drawings (SCDs). See Military Products in this data book. Figure 13 shows the output drive characteristics for EP610 I/O pins and typical supply current versus frequency for the EP610. Figure 13. EP610 Output Drive Characteristics and Icc vs. Frequency 100 rnA , . . . - - - - - - - - - -_ _ _....., 50 101.. ci. ~ « .§. 'E ~ ::l Turbo Mode ~ 40 ~ Vee =5.0V 30 TA 0 S ~ « .§. =25° C 10mA -Vee TA = 5.0 V =25° C .~ ~o 20 1.0rnA ..9 0 ..3 100j!A 2 3 4 5 Vo Output VoHage (V) I Page 70 1 KHz 10 KHz 100 KHz 1 MHz 10 MHz 40 MHz Maximum Frequency Altera Corporation I EP900·Series EPLDs High-Performance 24-Macrocell Devices Data Sheet I October 1990, ver. 1 o o o o Features High-density replacement for TIL and 74HC with up to 900 gates "Zero power" (consumes only microamps in standby mode) High speed (EP910 tpD = 30 ns) Advanced CMOS EPROM technology allowing devices to be erased and reprogrammed Asynchronous clocking of all registers or banked register operation from two synchronous clocks 24 macrocells with configurable I/O architecture, allowing up to 36 inputs and 24 outputs Individually programmable registers providing D, T, JK, or 5R flipflops with individual asynchronous Clear control 100% generically testable to provide 100% programming yield Programmable Security Bit for total protection of proprietary designs A+PLU5 software support featuring schematic capture, Boolean equation, state machine, truth table, and netlist design entry methods A vailable in 40-pin, 6OO-mil DIP and 44-pin J-Iead chip carriers Extensive third-party support o o o o o o o o AHera's EP900-series Erasable Programmable Logic Devices (EPLOs) can implement up to 900 equivalent gates of 551 and M5I logic. These EPLDs are available in a windowed ceramic or one-time-programmable (OTP) plastic 40-pin DIP and a 44-pinJ-lead chip carrier. See Figure 1. General Description Figure 1. Package Pin-Out Diagrams 6 5 4 3 2 Package outlines not drawn to scale. INPUT INPUT INPUT I/O I/O I/O 110 I/O I/O I/O I/O I/O I/O I/O I/O INPUT INPUT 1 44 43 42 41 40 He o 110 110 110 110 110 110 18 19 20 21 22 23 24 2S 26 27 28 ~ ~~ ~~ ~~ ~ ~ ~ ~~ ~~ ~~ ~ J-Lead I Altera Corporation I NC = no internal connection DIP GND Page 711 fJ I EP900-Serles EPLDs Data Sheet I EP900-series EPLDs use sum-of-products logic that consists of a programmable-AND/fixed-OR structure. These EPLDs accommodate combinatorial and sequential logic functions with up to 36 inputs and 24 outputs. Altera's proprietary programmable I/O architecture allows the designer to program output and feedback paths for combinatorial or registered operation in active-high and active-low modes. EP900-series macrocells can be individually programmed for D, T, JK, or SR flip-flop operation, or configured for combinatorial operation. In addition, each register can be individually clocked from any of the input or feedback paths in the AND array. These features make it possible to simultaneously implement a variety of logic functions. For example, EP900series EPLDs are ideal for integrating several 20- and 24-pin PAL devices. The CMOS EPROM technology in EP900-series EPLDs can reduce power consumption to less than 20% of the power required by equivalent bipolar devices, without losing speed. This reduced power consumption makes EP9oo-series EPLDs desirable for a wide range of applications. Moreover, these EPLDs are 100% generically testable and can be erased with UV light. Designs and design modifications can be implemented quickly, eliminating the need for post-programming testing. Logic is implemented with Altera's A+PLUS Development System, which supports schematic capture, Boolean equation, state machine, truth table, and netlist design entry methods. After the design is entered, A+PLUS automatically translates it into logic equations, performs Boolean minimization, and fits it into the EPLD. The device may then be programmed in minutes at the designer's desktop to create customized working silicon. In addition, extensive third-party support exists for design entry, design processing, and device programming. IPage 72 Altera Corporation I Data Sheet EP90D-Series EPLDs Functional Description I EP900-series EPLDs use CMOS EPROM technology to configure connections in a programmable-AND logic array. EPROM connections are also used to construct a highly flexible programmable I/O architecture that provides advanced functions for user-programmable lOgiC. EP900-series EPLDs have 12 dedicated data inputs, 2 synchronous clock inputs, and 24 I/O pins that can be individually configured for input, output, or bidirectional operation. Figure 2 shows the EP900-series macrocell. Each macrocell contains 10 product terms for the following functions: 8 product terms are dedicated to logic implementation; 1 product term is used for asynchronous Clear control of the internal register; and 1 product term implements either Output Enable or an asynchronous Clock. Figure 2. Logic Array Macrocell Synchronous Clock OEICLOCK t vcc~I OE }-+----ll::: (5 !CLK 2 3 4 5 & 7 a 8 10 11 12 13 14 15 l' 17 18 11 22 23 24 25 26 27 l!8 21 30 31 32 33 34 36 37 38 31 The block diagram of an EP900-series EPLD is shown in Figure 3. The internal device architecture has a sum-of-products (AND-OR) structure. Inputs to the programmable AND arra y come from the true and complement signals of the 12 dedicated data inputs and the 24 I/O feedback Signals. The 72-inputAND array has 240 product terms that are distributed equally among the 24 macrocells. Each EP900-series product term represents a 72-input AND gate. In the erased state, the true and complement of the AND-array inputs are connected to the product terms. An EPROM control cell is located at each intersection of an AND-array input and a product term. During the programming process, selected connections are opened, allowing any 1 A/tera Corporation Page 731 IEP90D-Serles EPLDs Data Sheet Figure 3. EP900-Serles Block Diagram Numbers in parenth8S8S are for J-/ead packages. 72 1 (2) 2 (3) 3 (4) 4 (5) 39(43) 38(42) 37(41) Macrocell13 Macrocel/1 Macrocell14 Macrocel/2 Macrocell15 Macrocel/3 Macrocel/16 Macrocell4 36(40) 5 (6) 35(38) 6 (7) 34(37) 7 (8) 33(36) 8 (9) •• • •• • Macrocell21 Macrocell9 Macrocell22 Macrocell10 Macrocell23 Macrocel/11 Macrocell24 Macrocell 12 28(31) 13(14) 27(30) 14(15) 26(29) 15(16) 16(18) 25(28) 17(19) c!.!.!,,!::.::-----i::£:::::::1 18(20) c;.=.:::.::-----i::£:::::::1 C=::!::J-+--~~<:J 19(21) C:>~":'-'----i::C:::J C:::3::J-+--~~<:J '----------,,..--------' '-------,,---------' 24(27) 23(26) 22(25) 21 (24) IPage 74 72 Altera Corporation Data Sheet EPSOO-Series EPLDs I product term to be connected to the true or complement of an array input signal with the following results: o If both the true and complement of an array input signal are connected, o If both the true and complement of any array input signal are o If a1172 inputs for a given product term are programmed "open," the the output of the AND gate is a logical low. programmed "open," a logical "don't care" results for that input. output of the corresponding AND gate is a logical high. Two dedicated clock inputs (which are not available in the AND array) provide the signals used for synchronous clocking of EP900-series internal registers. Each signal is positive-edge-triggered and has control over 12 registers. CLJCl controls macrocells 13 to 24; CLlCZ controls macrocells 1 to 12. The programmable I/O architecture allows each of the 24 internal registers to have a synchronous or asynchronous Clock mode. EP900-series architecture provides each macrocell with over 50 programmable I/O configurations. Each macrocell can be configured for combinatorial or registered output, with programmable output polarity. Four register types (0, T, ]K, and SR) may be implemented in each macrocell without requiring additional logic. I/O feedback selection can be programmed for registered or input feedback. The I/O architecture can also individually clock each internal register from any internal signal. 1/0 Architecture Figure 4 shows the two modes of operation provided by the OE/CLKSelect multiplexer. This multiplexer, controlled by a single EPROM control bit, may be individually configured at each I/O pin. OE/CLK Selection Figure 4. OE/eLK Select Multiplexer Mode 0: OE .. Product Term Controlled ClK = Synchronous Mode 1: OE .. Enabled ClK .. Asynchronous Synchronous Clock Synchronous Clock vee vee AND Array AND Macrocel 110 Regis1er The register is clocked by the synchronous clock signal, which is common to seven other macrocells. The output is enabled by the logic from the product term. I A/tera Corporation OE Array Maaocel Macrocel ~ Buller 110 Regis1er The oulput is permanently enabled and the register is clocked by the product tenn, which a60ws gated clocks to be generated in EP900-series EPLDs. Page 751 IEP900-Series EPLDs Dsts Sheet I In Mode 0, the tri-state output buffer is controlled by a single product term. If the output of the AND gate is high, the output buffer is enabled. If the output is low, the output buffer has a high-impedance value. In this mode, the macrocell flip-flop is clocked by its synchronous Clock input signal (CLXl or CLXZ). In the erased state, the OE/CLK Select multiplexer is configured to Mode O. In Mode 1, the Output Enable buffer is always enabled, so the macrocell flip-flop can be triggered from an asynchronous Clock signal generated by the OE/CLK product term. This mode allows flip-flops to be individually clocked from any of the 72 AND-array input signals. With both true and complement signals in the AND array, the flip-flop can be configured to trigger on a rising or falling edge. This product-term-controlled clock configuration also allows implementation of gated clock structures. Figure 5 shows waveforms for the following modes: combinatorial, synchronous Clock, and asynchronous Clock. Combinatorial Mode Figure 5. Switching Waveforms ~.' Input or 1/0 Combinatorial Output :. Combinatorial or Registered Output ! i· High-Impedance Tri-State Valid Output :. tpD tpxz tPZl( (i-----.. tClR Asynchronously Cleared Output Synchronous Clock Mode t CH t £..;:. I: ~,--_--,I·-----iN CLK1. CLK2 t au Valid Input Valid Output Input or 1/0 may t CL I: v~-----.,---- ~.t H.: Changei.'-_---;·~i.r---:I:-npu-t-o-r1~/O~ma-y"":'ch-an-ge---- i~ _fr_~_r~~~ia_te_rt_o_oo~tpu_t_ _ _ _·_~ir------------Asynchronous Clock Mode Asynchronous Clock Input Valid Input Input or 1/0 may change Input or 1/0 may change : tAC01 : =---: Valid Output from r~ister to ootput x r ----------------- t R &t F <3ns Inputs are driven at 3 V for a logic high and 0 V for a logic low. All timing characteristics are measured at 1.5 V. Ipage 76 Altera Corporation I DataShHt Output! Feedback Selection EP9OO-Serles EPLD.I Output configurations available with EP900-series EPLDs are shown in Figure 6. Each macrocell can be individually configured with combinatorial output or any of the four register outputs. All registers have an individual asynchronous Clear function controlled by a dedicated product term. When this product tenn is a logic high, the macrocell register is immediately loaded with a logic low. The Clear function is performed automatically during power-up. The combinatorial configuration has eight product terms ORed together to generate the output signal. This configuration has the following characteristics: o o o The Invert Select EPROM bit controls output polarity. One product term controls the Output Enable buffer. The Feedback Select multiplexer allows the user to choose I/O (pin) feedback or no feedback to the AND array. The D or T register configuration has eight product terms ORed together that are available to the register input. This configuration has the follOwing characteris tics: o o o o The Invert Select EPROM bit controls output polarity. One product term controls asynchronous Clear. The OE/CLK Select multiplexer configures the mode of operation to Mode 0 or Mode 1. The Feedback Select multiplexer allows the user to choose registered feedback, I/O feedback, or no feedback to the AND array. If the JK or SR register is selected, eight product terms are shared between two OR gates. The outputs of the OR gates feed two primary register inputs. This configuration has the following characteristics: o o o o o The A +PLUS Development System optimizes the allocation of product terms for each register input. One product term controls asynchronous Clear. The Invert Select EPROM bits control output polarity. The OE/CLK Select multiplexer configures the operation mode to Mode 0 or Mode 1. The Feedback Select multiplexer allows the user to choose registered feedback or no feedback to the AND array. Any I/O pin can be configured as a dedicated input by selecting no output with I/O feedback. In the erased state, the I/O architecture is configured for combinatorial active-low output with I/O feedback. I Altera Corporation Page 771 EP900-Series EPLDs DatBShHt Figure 6. VO Configurations Combinatorial /10 Selection AND Atray OutputIPoiarity CorrbinatoriallHigh CorrbinatoriallLow None Feedback Pin, None Pin, None Pin D Flip-Flop /10 Selection AND Array Function Table Feedback D an a ...., o Register, Pin, None o Register, Pin, None o Register L L H H L H L H L L H H Output/Polarity o Register/High o Registerllow None None Pin TFlip-Flop VO Selection Output/Polarity T Register/High T Register/Low None None Function Table Feedback T an a ...., T Register, Pin, None T Register, Pin, None T-Register Pin L L H H L H L H L H H L JK Flip-Flop VO Selection AND Array Output/Polarity JK RegisterlHigh JK RegisterlLow None Function Table Feedback JK Register, None JK Register, None JK Register J K an a ..., L L L L H H H H L L H H L L H H L H L H L H L H L H L L H H H L SR Flip-Flop VO Selection AND Atray OUipUtlPoiarity SR RegisterlHigh SR RegisterlLow None I Page 78 Function Table Feedback SR Register, None SR Register, None SR Register S R an a ..., L L L L H H L L H H L L L H L H L H L H L L H H Altera Corporation I Data Sheet Functional Testing EP900-Serles EPLDs I EP900-series EPLDs are fully functionally tested and guaranteed through complete testing of each programmable EPROM bit and all internal logic elements. A 100% programming yield is ensured. This testing process eliminates traditional problems associated with fuse-programmed circuits by allowing test programming patterns to be used and then erased. This ability to use application-independent, general-purpose tests, called generic testing is unique to EPLDs. AC test measurements are performed under the conditions shown in Figure 7. Rgure 7. AC Test Conditions ----vee Power supply transients can affect AC measurements. Simultaneous transitions of multiple outputs should be avoided for accurate measurement. Threshold tests must not be performed under AC conditions. Large-amplitude, fast-ground current transients normally occur as the device outputs discharge the load capacitances. When these transients flow through the parasitic inductance between the device ground pin and the test system ground, it can create significant reductions in observable input noise immunity. Design Security 1 Altera Corporation Device input rise and fall times < 3 ns EP900-series EPLDs contain a programmable Security Bit that controls access to the data programmed into the device. If this feature is used, a proprietary design implemented in the device cannot be copied or retrieved. This feature provides a high level of design securi ty by making programmed data within EPROM cells invisible. The Security Bit, as well as other program data, is reset by erasing the EPLD. Page 791 I EP9OO-Serles EPLDs Turbo Bit DatBShHt I EP900-series EPLDs contain a programmable Turbo Bit, set with A +PLUS software, to control the automatic power-down feature that enables the low standby-power mode (lea). When the Turbo Bit is programmed (Turbo = On), the low standby-power mode is disabled, making the circuit less sensitive to Vee noise transients created by the low-power mode power-up/power-down cycle. Typical Icc vs. frequency data for turbo and non-turbo mode is shown in each EPLD data sheet. All AC values are tested with the Turbo Bit programmed. If the design requires low-power operation, the Turbo Bit should be disabled (Turbo = Off). In this mode, some AC parameters may increase. To determine worst-case timing, values from the AC Non-Turbo Adder specifications must be added to the corresponding AC parameter. IPage 80 Altera Corporation I EP9101 o Features High-performance 24-macrocell EPLD Combinatorial speeds with tpD =30 ns Counter frequencies up to 33 MHz Pipelined data rates up to 41 MHz Very low power Icc = 6 rnA (typical) for a 24-bit counter at 1 MHz Icc =20 JlA (typical) in standby mode A vailable in windowed ceramic and plastic one-time-programmable chip carrier packages 40-pinDIP 44-pinJ-Iead Macrocell flip-flops can be individually programmed as D, T, JK, or SR flip-flops, or for combinatorial operation. Programmable Clock option allows independent clocking of all registers. o o o o Figure 8 shows the pin-outs for the EP910 EPLD. Figure 8. EP910 Pin-Out Diagrams Package outlines not drawn to sea/e. vee 6 110 5 4 3 2 INPUT INPUT INPUT 1 44 43 42 011 olD 0 EP910 31 110 110 110 18 19 2D 21 22 23 201 25 26 27 28 g~~~i~!l1~~~g ~ ~ ~ c c ~ ~ ~ ~ 110 110 110 110 110 110 110 110 110 110 I/O I/O 110 110 I/O 110 110 I/O 110 INPUT INPUT INPUT GNO INPUT INPUT INPUT NC =no internal connection J-Lead Altera Corporation DIP Page 811 fJ IEP91D Absolute Maximum Ratings Note: See Operating Requirements for EPLDs in this data book. vee Supply voltage With respect to GND -2.0 Vpp Programming supply voltage See Note (1) -2.0 ~ I VI DC ineut VOltage -2.0 I I MAX DC Vee or GND current -250 lOUT DC output current, per pin -25 Conditions Parameter Symbol Min I p o l Power dissipation rl_T...;:s...:..;TG=--_ _ 1 Storage temperature _T.:;:AM~B===--_ _ I Ambient temf)erature 1-1 7.0 7.0 V I 1200 I No bias I Under bias -65 +150 -65 +135 I V mA +250 +25 V ~ 13.5 I Unit mA mW I I 'C I Recommended Operating Conditions I Unit I I:-S-U-e-P-Iy-V-Ol-ffi-g-e-------!--S-ee-N-o-~-~-1------~4.~75~(4~.5~)_!-~~~4--~-- Symbol vee Conditions Parameter Min Max 5.25 (5.5) I V ~V~I----I!~ln~pu~t~v~ol~ffi~ge~-------!--__----------~o~--!-~~ I!.-~- I V ~V...;:o~---I!-O-ut~pu-t-v-O-lffi~g~e-------!-------------~o~__!-~~-!---~-Vee __ Vee I I Operating temf)erature TT AA ---=-:~---I 1-. 1 T e l Case temperature -=R----I Input rise time 1;---t See Note (4) I Symbol +70 -40 +85 For military use -55 l~s: . : e:. .: e. .:. .N.:. :.o. :.:te. .!.(=.!.3)_ _ _ _ _ _______ 1!_t~F____ I--ln'--pu-t-fa-lI-ti-m-e--------1 DC Operating Conditions 0 For industrial use For commercial use Of)erating temf)erature VOH 1 VOL loz II CCt Conditions Min °C 100 (50) ns --.!...-!..-!.---100 (50) ns Vee +0.3 V 0.8 V I High-level TTL output voltage 2.4 IOH =-2 mA DC 3.84 Input leakage current V I = Vee or GND V 0 = Vee or GND I Vcc supply current (standby) V V I Ol =4 mADC Tri-state output off-state current VI = Vee or GND Vee supply current VI =V ee orGND (non-turbo mode) No load, f = 1.0 MHz Unit 2.0 1 High-level CMOS output voltage I Low-level output voltage -----,-------Max Typ -0.3 IOH =-4 mA DC No load, See Note (5l lee2 °C Vee = 5 V ± 5%, TA = 0° C to 70° C for commercial use Vee = 5 V ± 10%, TA = -40° C to 85° C for industrial use Vee = 5 V ± 10%, Te = -55° C to 125° C for military use I Parameter I High-level input voltage ~ °C I See Note (3) I Low-level input voltage 1 +125 I I I I V 045 I~ -10 U 20 6 V ~~ ~ 20 mA 80 (100) mA See Note (6) I IPage 82 Vee supply current VI =Vee or GND (turbo mode) No load, f = 1.0 MHz 45 See Note (6) Altera Corporation IData Sheet EP910 I Capacitance See Note (7) I Symbol IC IC IC OUT '----pa-r-am-e-te-r----, I Input capacitance I Output capacitance I Clock pin capacitance IN elK I vIN = 0 v, f = 1.0 MHz I I Input to output disable 1 t CLR I Register dear delay 0 input pad and buffer delay 10 It 1" I C1 I = I 35 pF 1 33 TImIng Parameters I 33 1 I I EP91 0-30 It I 24 I I ----I I 0 I I-t-=C:":;"NT'---+I-M-ini-m-um-d-oc'--k-pe-r"""';io-d- - - I 1 Internal maximum frequency I I Note (6) Asynchronous Clock Mode Symbol f MAX Maximum dock frequency t ASU Input setup time t AH Input hold time tACH Clock high time t Clock low time ACL t ACOl Parameter Clock to output delay t ACNT 1 Minimum dock period I f ACNT I Internal maximum frequency I Altera Corporation I 1 33.31 I I TimIng ParamelBrs I I 1 43 1 I 1 38 I 1 1 3' EP91().$ I I 43 30 30 1 30 '3' 0 EP910-40 I I 1 I I 30 30 -c-on-d-It-io-ns-;I I 15 15 0 ns I 27 I I 0 I I 31 I 0 1'--30-I 0 Unit 1 I II 1 25.0 I I:~ 21 I. 35 1 . I 28.61 I I I I--~-I .____ 24 0 . 40 1 - - 0 - - I I 31.31 I 10 I I 15 I '__0 _ _ II~I ---I 1 I 16 I I 1---1 1331 1301 I 17 1 17 1 I 1381 /35/ ns 1 I 0 I I ~: I ns ns ns MHz EP910-40 I 29.41 I 10 I I 15 I 1 I MHz I (8) I Note (6) I 33.31 ns I 1 I I I I I ~!;bo Min I Max I Min I Max I Min I Max I Note I 33.31 I 10 I ---I' 15 I ns Non-Turbo Adder I 32.3 I EP910-30 1 EP910-35 Note (10) ns ns 1 ns I I 37.0 I 18 I. 30 I. .----:==.!.-_!.._ _ _ _....:...-_ _ _ _ _ _ _ _. 1 f CNT 3 II :~ II I::I II I-!-=:~:-I!-~-::_:_:-=i~_hti-:_e----I I t COl I Clock to output delay 1 Note (8) -I 1 41.71 I Input setup time I-t-=H~-!I-I--'-np-ut-h-Old"':""t-im-e 1 38 I I Unit I I Conditions I Min I Max I Min I Max I Min I Max I-N-ot-e-(8-~ I--:f=-u-A-X-il'-M-a-xi-mu-m-c-Ioc-k-f-re-qu-e-ncy--I Note (10) su 1 I I 30 I I 35 I 140 I I I 30 I I 35 I I 40 I = 5 pF,Note (9) =35 pF I Parameter pF I_I~I_I~I_I~I Synchronous Clock Mode I Symbol I pF 20 I Min I Max I Min I Max I Min I Max I Conditions 1 C1 I t PD2 1" pF 20 I-N~-~-!~-;oo-I _ _ _ _ _ _1 EP910-30 1 EP91().$1 EP91 0-40 I t PXZ Input to non-reg. output 0 input to non-reg. output 20 Vee = 5 V ± 5%, T A = 0° C to 70° C for commercial use Vee = 5 V ± 10%, TA = -40° C to 85° C for industrial use Vee == 5 V ± 10%, Tc = -55° C to 125° C for military use I t PZX I Inputto output enable 1 Unit I V IN = 0 V, f = 1.0 MHz I I C1 I t POl 1 Max I v, f = 1.0 MHz VOUT= 0 AC Operating Conditions I TImIng Plll'/JlllelBrs I Symbol I Parameter ~I Conditions 1 I I I 1 Unit I MHz I I 30 0 ns ns I I 1 1 0 0 ns ns 1 1 1431 1401 I 28.6 LI 25.0 I I 30 0 0 I I MHz I ns ns Page 83 I 2 I EP910 Notes to tables: The minimum DC input is~.3 V. During transitions, the inputs may undershoot to -2.0 V or overshoot to 7.0 V for periods less than 20 ns under no-load conditions. (2) Numbers in parentheses are for military and industrial temperature versions. (3) For all clocks: tR and tF = 100 ns (50 ns). (4) Typical values are for TA =25° C and Vcc =5 V. (5) When in non-turbo mode, an EPLD will automatically enter standby mode if logic transitions do not occur (approximately 100 ns after the last transition). The nonturbo standby current specification (lea) does not apply to the EP910-30 EPLD. (6) Measured with a device programmed as a 24-bit munter. (7) Capacitance measured at 25° C. Sample-tested only. Clock-pin capacitance for dedicated clock inputs only. Pin 21 (high-voltage pin during programming) has a maximum capacitance of 60 pF. (8) See "Turbo Bit" in this data sheet. (9) Sample-tested only for an output change of 500 mY. (10) The fMAX values represent the highest frequency for pipelined data. (1) Product Availability Grade I Availability Commercial (0° C to 70° C) Industrial (-40° C to 85° C) EP910-35, EP91Q-40 (-55° C to 125° C) EP91 0-40 I Military EP91 0-30, EP91 0-35, EP91 0-40 Note: Only military-temperature-range devices are listed above. MIL-STD-883-compliant product specifications are provided in Military Product Drawings (MPDs), available from Altera's Marketing Department by calling 1 (800) SOS-EPLD. These MPDs should be used to prepare Source Control Drawings (SCDs). See Military Products in this data book. Figure 9 shows the output drive characteristics for EP910 I/O pins and typical supply current versus frequency for the EP910. Figure 9. EP910 Output Drive Characteristics and Icc vs. Frequency 100mA , - - - - - - - - - - - - - - _ _ . 50 ci ~ <" g E ~ Turbo Mode 101.. ~ 10mA 40 .... 30 ~ Vee =5.0V TA 25° C = :::::J 0 '5 Vee TA = 5.0 V =25°C - ; 1.0mA .~ a. ~ 0 - o o '5 1ooJ.IA 0 10J.IA 2 3 4 5 Vo Output Voltage (V) IPage 84 1 KHz 10 KHz 100 KHz 1 MHz 10 MHz 40 MHz Maximum Frequency Altera Corporation I EP1800-Series EPLDs High-Performance 48-Macrocell Devices I October 1990, ver. 1 Features Data Sheet I o o o o o o o o o o o o General Description Erasable, user-configurable LSI circuit capable of implementing up to 2,100 equivalent gates of conventional and custom logic "Zero power" (typically 35 J.LA standby) High speed (EPl830 !Po = 20 ns) 48 macrocells with configurable I/O architecture allowing up to 64 inputs and 48 outputs Programmable clock option allowing independent clocking of registers Individually programmable registers prOViding D, T, JK, and SR flipflops with individual asynchronous Clear control Accepts popular TIL 551- and MSI-based macrofunction design inputs TIL/CMOS I/O compatibility and full military capability 100% generically testable to provide 100% programming yield A+PLUS software support featuring schematic capture, Boolean equation, state machine, truth table, and netlist design entry methods A vailable in 68-pin windowed ceramic and plastic one-timeprogrammable J-Iead and windowed ceramic PCA packages Extensive third-party support The EP1800-series Erasable Programmable Logic Devices (EPLDs) offer LSI density, TTL-equivalent speed, and low power consumption. Each EPLD can replace 20 to 30 551 and MSI packages. These EPLDs are available in 68pin windowed ceramic and plastic one-time-programmable J-lead and windowed ceramic Pin Grid Array (PCA) packages. See Figure 1. EP1800-series EPLDs are designed as LSI replacements for traditional lowpower Schottky TTL logic circuits and low-density Programmable Logic Devices (PLDs). The speed and density of these EPLDs enable them to implement high-performance, complex functions, such as dedicated peripheral controllers and intelligent support chips. IC count and power requirements are considerably reduced with EPl800-series EPLDs, thus minimizing the total size and system cost and significantly increasing reliabili ty. EP1800-series architecture enables the designer to easily integrate designs with conventional TTL 551 and MSI building blocks. Logic is implemented with Altera's A+PLUS Development System, which supports schematic capture, state machine, Boolean equation, and netlist design entry methods and includes a library of standard TTL functions to facilitate integration. A+PLUS also provides a library of optimized gate and flip-flop elements. The A+PLUS Design Processor (ADP) automatically minimizes and IA/tera Corporation Page 8s1 2 Data Sheet I EP1800-Series EPLDs Figure 1. Package Pin-Out Diagrams Package outlines not drawn to scale. ~ ~ ~ ~ L() L() 10 11 L() L() INPUT INPUT CLK111NPUT vee INPUT CLK2IINPUT INPUT INPlJT INPlJT 18 10 10 10 0 NPUT QJ(04IN'UT vee .... -! I P -[>0- c::::>--JI D- ..i' I c::>--' I I C>-' I I P 0- jI>- jI>- nn R±J...lR±J. . j . j 1/0 Pin ·t••••••••••••••••••••••• :. ........................····················1 .. I C>--4 .. I .. i --------------------- --------------- ------------I I Figure 4 illustrates a simple logic function that can be implemented in a single macrocell. This function implements all combinatorial logic in the logic array, uses a JK flip-flop, and permanently enables the tri-state buffer. Figure 4. Sample Circuit This figure shows a typical logic function implemented in a single maaoee/I. Each EP1800-series maaoee/I can accommodate up to 40 equivalent gates. t Device Inputs l'i'~kA;,:~y'" ~=== II t=::r ======::::;, __ ._ .. _____ ._______ ._M~~~~!I.!! ....... _.... _.. _... _.. . LOAD Flip-Flop Selection t ENT 110 Pin ENP DATAD CLEAR to other Macrocells IAltera Corporation Page 891 DatsShHtl EP1800-Serles EPLDs EPl800-series EPLDs have 4 identical quadrants, each containing 12 macrocells. Internal bus structures in these EPLDs feed input signals into the macrocells. Macrocell outputs drive the external pins and internal buses. Of the 48 macrocells, 36 are local (see Figure 5) and 16 are global macrocells (see Figure 6). Local macrocells offer a multiplexed feedback path (with pin or macrocell feedback) and drive the local bus in their quadrant. Global macrocells feature two dedicated feedback paths: one feeds the local bus; the other feeds the global bus. This process, called "dual feedback," allows global macrocells to implement buried logic functions while the associated I/Opinis usedasan input. Dual feedback ensures maximum I/O flexibility. Figure 5. Local Macraeell Quadrant Synchronous .. CIoQ( Loca I Bus GIobIBu a s ~ ~ o!! ~ vee OEICLOCK -tl~· OElCLK g g g g D- CLEAR 41/1 ••• ~ ? 6 ! I Global Dedicated Inputs (16 Inputs) IPage 90 c~ ~ I ••••• ~ ~ .... ~ Q Quadrant A,B,C,D Global Feedback (16 Macrocells) I ~ Local Bus "r I I OE I/O Architecture Control t 10- ~ ~ I/O Pin Feedback ~ I 1 I Quadrant Local Feedback (12 Macrocells) Altera Corporation I I Dsts Sheet EPl800-Series EPLDs I Figure 6. Global Macraeell GlobaIBus • • • Loc al Bus Quadrant Synchronous Clock • OEICLK ~~ g g g g 6 7 va Architecture Control . . . >-t~r-C 1/0 Pin D- CLEAR ... ..... ~ }1 n Global Dedicated Inputs (16 Inputs) .... ~ 1 \1\ 'T Local Bus ~~ Quadrant A,B,C,D Global Feedback (16 Macroce"s) Global Bus Quadrant Local Feedback (12 Macrocells) Both global and local macrocells have the same timing characteristics. Delay pa ths are shown in Figure 7. Switching waveforms for EPl800-series EPLDs are shown in Figure 8. Figure 7. Macraeel/ Delay Paths If the register is bypassed, the delay between the logic array and the output buffer is zero. INPUT Output Delay INPUT '00 lxz lzx INPUT 1/0 110 Delay Feedback Delay 'FD tID IA/tera Corporation Psge 91 I EP1800-Serles EPLDs DatsShHt tPOl - tIN + tLAD+ too Figure 8. Switching Waveforms 110 Pin tR&tF<3ns Inputs are driven at 3 V for a logic high and 0 V for a logic low. All timing characteristics are measured at 1.5 V. I Input Mode. to. tP02 - to + tIN + tLAD+ too =+-= ------~:~~i------------------------------------ _________~~~_+l----------------------------------------~ Input Pin ---------*~~!:------------------------------t LAD .' Logic Array Input Logic Array Output Output Pin '. ---------~f.~---------+!-------------t CLR .: ---------------------------~~.~----------------too !I Ii _______________________________________ -Ji.--------Clock Mode t~ Clock Pin t ..c.i liol-t_ _ _CH,;.;.;....__ ___..J/ t CI. ioI-it____ _____..c.i N t~ l.- V~----i.N,-l __ ~ Clock into Logic Array --------~V~---~,~------~/ t /C :I Clock from Logic Array Data from Logic Array .: v~----~,~ ------------:-.-t-~--~itH: ____ ~r____ _______~i~____'~r~i~======================= l t FD Register Output to Logic Array -------------~*-------------System Clock Mode t ~ :. t CH System Clock Pin : tIN System Clock at Register : --~i.~ tsu t...;;CI.~~.: i 4• :_ _ _ t ~ i1/~----~N\-i_____ : tICS r '1 V •• Data from Logic Array I: _____---l~r;----.. . .~ ,'-----~/ ltH: '-- __.~i.~-----------------------I"t .: Output Mode Clock from Logic Array ________---J/ '---- ,'-____--J/ : too: ===x==:j i1:: ~ Data from Logic Array Output Pin ____________ -- x=l:. t xz: I1'--t-zx--:------- ~i..~-------~j, ~------ 'High Impedance Tn-State Clock Options I Page 92 Each internal flip-flop in EPl800-series EPLDs can be clocked independently or in user-defined groups. Each internal register may select its clock source from a dedicated system clock pin or a product term within the macrocell. Any input or internal logic function can be used as a clock. A/tera Corporation I I Data Sheet EP1800-Series EPLDs I Product-term clock signals allow flip-flops to be configured for positive- or negative-edge-triggered operation. Four dedicated system clocks (CLXl to CLX4) also provide synchronous or asynchronous clock signals to the flip-flops. One system clock is located in each quadrant; each clock is connected directly to an EPl800-series external pin. Synchronous clocks provide clock-ta-output delay times that are faster than internally generated clock signals. Asynchronous pin-driven clock signals are activated by inserting a clock buffer (eLXB) primitive between the clock pin and the flip-flop clock input. When system clocks are used, the flip-flops are triggered by the positive edge, i.e., data transitions occur on the rising edge of the clock. Functional Testing EP1800-series EPLDs are fully functionally tested and guaranteed through complete testing of each programmable EPROM bit and all internal logic elements. A 100% programming yield is ensured. These EPLDs allow test programs to be used and then erased during early stages of production. The ability to use application-independent, general-purpose tests-called generic testing-is unique to EPLDs. The EPLDs also contain on-board test circuitry that allows verification of functions and AC specifications for one-time-programmable packages. AC test measurements are performed under the conditions shown in Figure 9. Design Security EP1800-series EPLDs contain a programmable Security Bit that controls access to the programmed information. If this Security Bit is used, a proprietary design implemented in the device cannot be copied or retrieved. Since this option makes programmed data within EPROM cells invisible, the designer has a high level of design security. The Security Bit, as well as all other program data, is reset by erasing the EPLD. Turbo Bit All EP1800-series EPLDs contain a Turbo Bit, set with the A +PLUS software, to control the automatic power-down feature that enables the low standbypower mode. When the Turbo Bit is programmed (Turbo = On), the low standby-power mode (leCl) is disabled, making the circuit less sensitive to Vee noise transients from the non-turbo mode power-up/power-down cycle. The typical Icc versus frequency data for turbo and non-turbo mode is shown in each EPLD data sheet. All AC values are tested wi th the Turbo Bit programmed. If the design requires low-power operation, the Turbo Bit should be disabled (Turbo = OfO. When operating in this mode, some AC parameters may increase. To determine worst-case timing, values given in the AC Non-Turbo Adder specifications must be added to the AC parameter. I Altera Corporation Page 931 fl EP1800-Series EPLDs Data Sheet Rgure 9. AC Test Conditions Power supply transients can affect AC measurements. Simultaneous transitions of multiple outputs should be avoided for accurate measurement. Threshold tests must not be performed under AC conditions. Large-amplitude, fast-ground current transients normally occur as the device outputs discharge the load capacitances. When these transients flow through the parasitic inductance between the device ground pin and the test system ground, it can create significant reductions in observable input noise immunity. IPage 94 _----vcc to Test System Device input rise and fall times < 3 ns Altera Corporation I EP1830i o Features o o o o High-performance 48-macrocell EPLO Combinatorial speeds with tpD =20 ns Counter frequencies up to 50 MHz Pipelined data rates up to 62.5 MHz Low power Icc = 20 rnA (typical) for four 12-bit counters at 1 MHz Icc =50 J.LA (typical) in standby mode A vailable in windowed ceramic and plastic one-time-programmable chip-carrier packages 68-pinJ-lead (ceramic and plastic) 68-pin PCA (ceramic) Macrocell flip-flops can be individually programmed as 0, T, JK, or SR flip-flops, or for combinatorial operation. Programmable Clock option allows independent docking at all registers. Figure 10 shows the pin-outs for the EP1830 EPLO. Figure 10. EP1830 Pin-Out Diagrams Package outlines not drawn to scale. 10 10 110 110 110 INPUT INPUT INPUT ClK1/1NPUT 0 vee ClK2JINPUT INPUT INPUT INPUT 110 110 110 110 EP1830 ~~~~~~~~~~~~~~~~Q QQQQQQ~Q~QQQQQQQQ " 10 NPUT NPUT NPUT 10 110 K 10 110 J 100 110 H 10 110 G ClK~INPUT vee ClK3IINPUT NPUT NPUT NPUT 10 10 10 10 100 GNO F 10 110 E 10 110 0 10 110 C 100 110 B ~00000000 0·000000000 00 00 00 00 EP1830 0~ 0~ Bouom 0· 0· View 00 00 00 00 00 0000000000000 000000000 12345&78 Q Q ~ ~ i i ~ i i i IIi ~ d J-Lead I A/tera Corporation • 10 10 110 10 110 10 110 10 100 GNO 110 10 110 10 110 10 110 10 110 11 !Ii! Q ~ !l d PGA Page9s1 6 I EP1830 Data Sheet Absolute Maximum Ratings I Symbol vee I I I I Parameter Suepl~ voltage VI DC ineut VOltage I MAX DC Vee or GND current lOUT DC outeut current, eer ein I I TSTG With respect to GND Min Max Unit -2.0 7.0 V -2.0 14.0 V -2.0 7.0 V -300 +300 mA -25 +25 mA 1500 mW See Note (1) I I I -65 +150 °C -65 +135 °C Min Max Unit 4.75 5.25 V , Input VOltage 0 Vee V I Output VOltage 0 Vee V Storage temperature , Ambient temperature TAMB Conditions I I Power dissipation Po I Note: See Operating Requirements for EPLDs in this data book. I Pr~ramming suppl~ VOltage Vpp I No bias , Under bias Recommended Operating Conditions I I Symbol I I vee I VI I Vo Parameter Supply voltase ITA , Operatins temperature ITA , Operating I Te I Case temperature I Input fall time DC Operating Conditions See Note (3) Symbol I . Vee == 5 V V ce = 5 V Vec = 5 V 0 +70 °C For industrial use -40 +85 °C For military use -55 +125 °C See Note (2) 50 ns See Note (2) 50 ns Max Unit Vee + 0.3 V For commercial use tem~rature I Input rise time ItR ,tF I Conditions ± SOlo, T A = 0° C to 70° C for commercial use ± 10%, T A = -40° C to 85° C for industrial use ± 10%, Te = -55° C to 125° C for military use Parameter I Conditions I i I-v-,H - - - ',--H-ig-h--Ie-v-e-'in-p-u-tv o - , t - a g - e - - - - ' - - - - - - - - I I V IL I low-level input voltage I l-v....:.;o=-H---il·-H-i9-h--le-ve-1TT-'--l-ou-tP--'u<-tvo-I-ta-ge--I" IIOOHH :_ I V OH I VOL I low-level output voltage I~I I I ,I I 2.0 I -0.3 I 32.8.44 I ,: " I I Typ 0.8 V ,V V I I I , High-level CMOS output voltage ~ I eel ~2 mmAA DCDC Min I I OL = 4 mA DC In utleaka ecurrent Tri-state out ut off-state current Vee supply current (standby) VI = Vee orGND I V 0 = Vee or GND V I = Vee or GND I 0.45 V ~~~~ ~~~~ I I 50 I 150 I ~ I No load See Note (4) I ee2 = Vee or GND Vee supply current VI (non-turbo mode) No load, f = 1.0 MHz Vee supply current VI (turbo mode) No load, f = 1.0 MHz 20 40 mA 150 200 mA See Note (5) I ee3 = Vee or GND See Note (5) Page 96 A/tera Corporation I IData Sheet EP1830 I Capacitance See Note (6) Symbol C,N COUT CCLK I Parameter Conditions I I V IN = 0 V, f = 1.0 MHz I Input capacitance I I I Output capacitance I Clock pin capacitance H ItCH Register hold time Clock high time I~ : ~:::a~e I tiCS System clock delay I t FD I t CLR t CHT Feedback delay Register clear delay Unit 20 pF I I VOUT= 0 V, f = 1.0 MHz I V,N=OV, f=1.0MHz Max I 20 I 25 I I pF I pF Vee = 5 V ± 5%, TA. = 0° C to 70° C for commercial use Vee = 5 V ± 10%, TA. = -40° C to 85° C for industrial use Vcc = 5 V ± 10%, Tc = -55° C to 125° C for military use AC Operating Conditions It Min II I' 88 I' I' 0 11 0 I' I' 1122 I' I' 00 1----1 1 110 112 112 1151 ~ 8 I I I I I I 9 I I 4 3 I I I I 5 3 I I I I 7 3 I I I I I I I I Minimum clock period 0 -25 9 12 15 25 20 25 30 o I' nnss I' I:: 1 I I I ns ns ns I I I ns (register output feedback to register ineut) f I CHT I'nternal maximum frequency I See Note (5) I 50 I 1ft CNT IAltera Corporation Page 971 Data Sheet EP1830 I Notes to tables: (1) (2) (3) (4) (5) (6) (7) (8) (9) The minimum IX input is -0.3 V. During transitions, inputs may undershoot to -2.0 V or overshoot to 7.0 V for periods less than 20 ns under no-load conditions. For all clocks: tR and tF =20 ns. Typical values are for TA =25° C and Vcc =5 V. When in non-turbo mode, an EPLD automatically enters standby mode if logic transitions do not occur (approximately lOOns after the last transition). The nonturbo standby current specification (lca) does not apply to the EP1830-20 EPLD. Measured with a device programmed as four 12-bit counters. Capacitance measured at 25° C. Sample-tested only. Clock-pin capacitance for dedicated clock inputs only. Pin 19 (high-voltage pin during programming) has a maximum capacitance of 160 pF. See "Turbo Bit" earlier in this data book. Sample-tested only for an output change of 500 mY. The fMAX values represent the highest frequency for pipelined data. Product Availability I I Commercial I Industrial I Availability Grade (0° C to 70° C) Consult factory (-55 C to 125 C) Consult factory 0 Military EP1830-20, EP1830-25, EP1830-30 (-40 0 C to 85 0 C) 0 Note: Only military-temperature-range EPLDs are listed above. MIL-STD-883-compliant product specifications are provided in Military Product Drawings (MPDs), available from Altera Marketing by calling 1 (800) SOS-EPLD. These MPDs should be used to prepare Source Control Drawings (SCDs). See Military Products in this data book. Figure 11 shows output drive characteristics for EP1830 I/O pins and typical supply current versus frequency for the EP1830. Figure 11. EP1830 Output Drive Characteristics and Icc vs. Frequency 300mA 50 ci. Turbo Mode >. 150mA r <' S 'E ~ ::; 40 ci. ~ <' S 30 Vee =5.0V = 25° C TA Q) 0 '5 Q. '5 0 loomA .~ 20 c( 10mA () () 0 1 rnA 2 3 4 5 Vo Output Voltage (V) Page 98 10 KHz 100 KHz 1 MHz 10 MHz 40 MHz 60 MHz Maximum Frequency Altera Corporation I EP1810 I o Features o o o o High-performance 48-macrocell EPLD Combinatorial speeds with tpD = 35 ns Counter frequencies up to 28.6 MHz Pipelined data rates up to 40 MHz Low power Icc = 10 rnA (typical) for four 12-bit counters at 1 MHz Icc = 35 J.LA (typical) in standby mode Available in windowed ceramic and plastic one-time-programmable packages 68-pin J-lead (ceramic and plastic) 68-pin PCA (ceramic) Macrocell flip-flops can be individually programmed as D, T, JK, or SR flip-flops, or for combinatorial operation. Programmable Clock option allows independent clocking of all registers. Figure 12 shows the pin-outs for the EP1810. Figure 12. EP1810 Pin-Out Diagrams Package outlines not drawn to scale. ~ 10 10 10 10 NPUT NPUT NPUT 53 52 vee 60 58 58 110 110 110 57 INPUT 5& INPUT INPUT ClK1/INPUT 55 0 vee ClK2JINPUT INPUT INPUT INPUT EP1810 110 110 110 110 51 so 48 .018 47 46 45 .... 10 110 K 110 110 J 110 110 H 110 110 G 110 GNO F ClJ(~INPUT ClK3IINPUT NPUT NPUT NPUT 10 10 10 10 110 110 E 100 110 0 110 110 C 100 110 B ~~~~~~~~~~~~~~~~~ QQQQQQQQ~QQQQQQQQ C1 1 2 3 Q Q 2 2 .. 5 i i 2 i 8 ~ ~ 7 II i i !i • 10 11 Q Q 2 2 d d J-Lead I Altera Corporation PGA Page 99 I fI EP1810 Data Sheet Absolute Maximum Ratings Symbol Note: See Operating Requirements for EPLDs in this data book. Parameter I Vpp Pr~ramming suppl~ Conditions I Min I With respect to GND I I soppll voltage vee vOltage See Note (1) Max Unit -2.0 7.0 V -2.0 13.5 V VI I DC input voltage I -2.0 7.0 V I MAX I DC Vee or GND current -300 +300 mA lOUT I DC OUte'Jt current, eer ein I Po -25 I I Power dissieation I Storage temperature TSTG mA +25 . mW 1500 -65 +150 °C -65 +135 °C Min Max Unit 4.75 (4.5) 5.25 (5.5) V 0 Vee V 0 Vee V I No bias I Ambient temperature T AMB I Under bias Recommended Operating Conditions I Symbol I vee Parameter I I Suppl~ voltage , VI , Input voltage I Ivo Output , See Note (2) volta~e I Operating temperature ITA Conditions I For commercial use 0 +70 °C 'TA I Operating temperature For industrial use -40 +85 °C ITe I Case temperature For military use -55 +125 °C ItR I Input rise time 100 (50) ns ItF I Input fall time 100 (50) ns DC Operating Conditions See Note (3) Symbol Vee = 5 V Vee = 5 V Vee = 5 V ± 5%, TA = 0° C to 70° C for commercial use ± 10%, TA = -40° C to 85° C for industrial use ± 10%, Tc = -55° C to 125° C for military use ,----p-a-ra-m-e-te-r---- -V-I-H---I High-level input voltage _V-'I~L_ _ _I o , High-level CMOS output voltage -v--'o=L...!...---1 Low-level output voltage I Tri-state output off-state current I oz --_° Vee supply current (standby) lee2 Vee supply current (non-turbo mode) 2.0 'TYP I I I ~ =-4 mA DC Max , Unit Vee + 0.3' V , I ~-------v--l II OH = -2 mA DC '3.84 I I II OL = 4 mA DC I , I 0.45 = Vee or GND I V 0 = Vee or GND 1 V I = Vee or GND ,I -10,' I + 10 I +10 No load See Note (4) 1 Min 10~---I~~v IOH _1-,-1_ _ _ I-I-np-ut-Ie-a-ka-ge-'-C-ur-re-nt--'<-----I V I -1"";:;e=e'-1 I --------, Low-level input voltage _V--,O=H...!...-_ _ High-level TTL output voltage V OH Conditions = Vee or GND No load, f = 1.0 MHz VI -1 0 ° ° 1 1 35 ° 150 1 I V I I V I I I ° ~ ~ ~ 1 I I ° 1 10 30 (40) mA 100 180 (240) mA See Note (5) lee3 = Vee or GND Vee supply current VI (turbo mode) No load, f = 1.0 MHz See Note (5) Page 100 Altera Corporation I I Data Sheet EP1810 I Capacitanc. See Note (6) I Symbol I C,N I COUT , CCLK Parameter Input capacitance V ,N =0 V, f Output capacitance VOUT= 0 V, f = 1.0 MHz I Clock ~in capacitance AC Operating Conditions Vee Vee Vee = 5 V ± 5%, T A = 0° C to 70° C for commercial use = 5 V ± 10%, TA = -40° C to 85° C for industrial use = 5 V ± 10%, Tc = ~5° C to 125° C for military use I TImIngParame/m'S I Symbol I Parameter It I 1 C 1 - 35 pF It IN I Input pad and buffer delay I ,t 10 110 input pad and buffer delay I t LAD logic array delay t 00 t zx Output buffer and pad delay Output buffer enable ut 1 t XZ OUtD buffer d~~e Maximum clock frequency MAX ,Register setup time I II :~:;::i~ II::: II ~:: ~hti: tHS tH Register hold time t Clock delay IC I I System clock delay I t Fa I Feedback delay I t I Register clear delay tiCS CLR t I EP181G-40 Unit 20 _..:....pF_ _ 20 pF 25 pF IEP181~ I~!~~ 40 1 t PD. 1 :,;:ut to non-registered 1 EP181 0-35 ~ Max I Conditions I Min I Max I Min I Max I Min I Max I Input to non-registered output I CI 35 CI I I~I PD1 If I t su = 1.0 MHz V IN = 0 V, f = 1.0 MHz I I" Min Conditions CNT LI 1__lj__1 1 40 I 1 '----1 7 50 I I 7 I I 7 I I I 5 1 15 1 I I I 19 '23 I 27 I C = 35 pF ' " 'I I 5 1 1 I 1 I I 1 ~~:::~8) See Note (9) 1 I II I I I Note (7) I I 9 9 1 1 10 I 10 I' I 11 11 I 1 1 CI I 1 I 9 I 40.0 1 , 10 I 11 to , 35.71 '11 I 1 33.31 I I 11 I , 30 30 0 0 30 0 0 0 0 0 1 n j' Unit I Ia fl g ns I ns 1 ns I ns 1 ns I I , , I ns I ns I 1 1 MHz 1 I ns , I, 0U_ 1_1_0I_I 0 I I I I I 1 I II :: II I::I II I:: II II ~ I: I I I o ns 15 '17' 19 18 23' 27 I 1~--1-6---1-8-' II 6 I I 6 1 I 7 1 I j;l 28 I 32 I I Minimum clock period 35 1 45 40 ns 0 30 0 -30 30 o I I I ns , ns I I ns I ns ns (register output feedback to r~ister 1 f CNT I input) ~~t;:Tmaximum frequency I Altera Corporation 1 See Note (5) 1 28 6 . 1 1 25 0 . 1 1 22 2 . 1 1_ _0_ _1 Miz I Page 101 I I EP1810 Data Sheet I Notes to tables: The minimum IX input is -0.3 V. During transitions, the inputs may undershoot to -2.0 V or overshoot to 7.0 V for periods less than 20 ns under no-load conditions. Numbers in parentheses are for to military and industrial temperature versions. Typical values are for TA =25° C and Vcc =5 V. When in non-turbo mode, an EPLD will automatically enter standby mode if logic transitions do not occur (approximately 100 ns after the last transition). The nonturbo standby current specification (lea) does not apply to the EP1810-35 EPLD. Measured with a device programmed as four 12-bit counters. Capacitance measured at 25° C. Sample-tested only. Clock-pin capacitance for dedicated dock inputs only. Pin 19 (high-voltage pin during programming) has a maximum capacitance of 160 pF. See "Turbo Bit" earlier in this data sheet Sample-tested only for an output change of 500 mY. The fMAX values represent the highest frequency for pipelined data. (1) (2) (3) (4) (5) (6) (7) (8) (9) Product Avai/ability G_r_ad_e__________ i__________ 1_ _ _ _ _ _ _ _ _ I Commercial I Industrial I Military A_v_a_ila_b_il_i~___________ (0°Cto70°C) EP1810-35,EP1810-45 (-40 0 C to 85 0 C) EP181 0-40. EP1810-45 (-55 0 C to 1250 C) EP1810-45 Note: Only military-temperature-range devices are listed above. MIL-STD-883-compliant product specifications are provided in Military Product Drawings (MPDs), available from Altera's Marketing Department by calling 1 (800) SO>EPLD. These MPDs should be used to prepare Source Control Drawings (SCDs). See Military Products in this data book. Figure 13 shows output drive characteristics for EP1810 I/O pins and typical supply current versus frequency for the EP1810. Figure 13. EP1810 Output Drive Characteristics and Icc vs. Frequency 50 ~ ;( l00mA 101.. ci. Turbo Mode ci. ~ ;( 40 §. 'E ~ :; :; Vee =5.0V = 25° C 30 a. 10mA Vee =5.0 V = 25° C TA Q) TA () :; §. > ~ 0 c( 20 0 0 0 1.0mA 0 l00j.iA 2 3 4 5 Vo Output Voltage (V) I Page 102 1 KHz 10 KHz 100 KHz 1 MHz 10 MHz 40 MHz Maximum Frequency Altera Corporation I PLS-SUPREME Enhanced A+PLUS Programmable Logic Software I October 1990, ver. 1 Features Data Sheet I o o o o o o o General Description High-level support for Altera's general-purpose EP-series EPLDs Multiple design entry methods LogiCaps schematic capture Boolean equation and netlist State machine and truth table Complete symbol library of basic gates and over 120 TTL macrofunctions Support for user-defined macrofunctions with ADLIB software Fast and efficient design processing to ensure rapid design cycles Elimination of unused gates Automatic pin and part assignments SALSA logic minimization Device Fitter to optimize EPLD resources Functional simulation for quick design verification Easy definition of inputs with state tables, vector patterns, or predefined patterns State table or graphic waveform output formats (on-screen or hard-copy) Access to buried nodes within the design Used with IBM PS/2, PC-AT, and compatible computers Altera's PLS-SUPREME (Enhanced A+PLUS Programmable Logic Software), shown in Figure 1, is a com prehensive CAE system for designing logic with the Altera EP-series (classic) EPLDs. PLS-SUPREME provides multiple design entry methods, including LogiCaps schematic capture, Boolean equation, state machine, truth table, and netlist design entry. These entry methods may be combined, allowing the designer to "mix and match" the entry methods that best suit each design. This package also interfaces with several third-party design entry formats. (Contact Altera Applications at 1 (BOO) BOO-EPLD for more information.) A+PLUS includes the Altera Design Processor (ADP), which consists of integrated modules that produce an industry-standard JEDEC file for programming the EPLD. The ADP implements logic minimization, automatic EPLD part selection, architecture optimization, and design fitting. In addition, the ADP produces documentation that shows minimized logic and EPLD utilization. PLS-SUPREME also includes a functional sim ulator (FSIM) to verify designs, and LogicMap II software to program EPLDs. (Altera programming 1 Altera Corporation Page 1031 fl I PL5-SUPREME Data Sheet I Figure 1. A+PLUS Block Diagram Design Entry 8: ..........................; hardware is not included in PLS-SUPREME. Refer to the PLCADSUPREME or PL-ASAP data sheets for more information about products that include hardware.} PLS-SUPREME software runs on an IBM PS/2, PC/AT, or compatible computer and offers the most comprehensive support available for Altera's classic EPLDs. Design Entry A+PLUS provides the following design entry methods: LogiCaps schematic capture, Boolean equation, state machine, and truth table design entry. LogiCaps Schematic Capture Logic schematics are created with LogiCaps, which allows the user to quickly construct a wide range of logic circuits. LogiCaps provides two libraries that can be supplemented with libraries created by the user: o o o I Page 104 The A+PLUS Primitive Library includes basic logic gates and flipflops. The A+PLUS TIL MacroFunction Library has more than 120 TTLequivalent macrofunctions, including counters, decoders, and comparators. This library also includes A+PLUS-specific macrofunctions that are optimized for the Altera EPLD architecture (see Table 1). User-defined libraries can be created easily with the Altera Design Librarian (ADLIB), allowing custom development of new macrofunction elements. Altera Corporation I IData Sheet PLS-SUPREME I Table 1. A+PLUS Afacrofunctions Type Available Adders 7480,7482,7483,74183,8FADD Comparators 7485, 74158, 74518, 8MCOMP Converters 74184,74185 Counters 7493,74160,74161,74162,74163,74190,74191,74393, 74160T, 74161T, 74162T, 74163T, 7419OT, 74191T, 74192T, 74193T, 8COUNT, 4COUNT, 16CUDSLR, UNICNT2, GRAY4 Decoders 7442,7443, 7444,7445,7446,7447,7448,7449,74138,74139, 74154,74155,74156 Flip-Flops 7470,7471,7472,7473,7474,7476,7478,74173,74174, 74175, 74273,74374 Frequency Divider FREQDIV Latches 7475, 7477, 74116, 74259, 74279, 74373, NANDLTCH, NORLTCH Multipliers 74261, MULT2, MULT24, MULT4 Multiplexers 74147,74148,74151,74153,74157,74158,74298,21MUX Parity Generators 74180, 74280 Shift Registers 7491,7494,7496,7499,74164,74165,74166,74178,74179, 74194, 74198, BARRELST, UNICNT2 SSI Functions 7400,7402,7404,7408,7410,7411,7420,7421,7427,7430, 7432,7486, INHB, CBUF Storage Registers 7498, 74278 fJ True/Comp Element 7487 ALU 74181 LogiCaps features easy-to-use mouse and keyboard command entry. Tagand-drag editing with orthogonal rubberbanding, multiple zoom levels, and a dual-window display mode simplifies schematic entry. (See Figure 2.) Schematics can be printed on Epson FX- and LQ-series printers, and HP7475A, and 7585B, and 7495A drafting plotters. An Altera Design File (ADF) is generated when a design is saved and linked with other design files or processed directly with the Altera Design Processor (ADP) to generate a JEDEC file. Boolean Equation Entry The ADF syntax supports Boolean equation design entry and features freeform entry of all syntactical elements. Boolean equations need not be entered with a minimized sum-of-products form because the ADP automatically minimizes the equations before generating the JEDEC programming file. ADF-format versions of the 120 macrofunctions used with LogiCaps are also available. An ADF is created with any standard text editor (in non-document mode). Once a design has been entered, it can be linked with schematic or state machine files, or directly processed by A+PLU5. IA/tera Corporation Page 105 I Data Sheet PLS-SUPREME Figure 2. Schematic Design Entry with Log/Caps Bi .. _ B 5 B I 9B I I I EPLD designs can be entered in LogiGaps with popular 7400-series symbols from the A+PLUS TTL MacroFunction Library. 1------74-.-8 .. -----1 ~l. ~p.I==~1 L?_ ,._".......... __ ~! a I , . ine D.l .. ~ .. State Machine and Truth Table Entry State machine designs are entered in Altera's State Machine File (SMF) format (see Figure 3). This high-level language description features IFTHEN statements, CASE statements, and truth tables. Outputs of state machines maybe defined conditionally or unconditionally, allowing flexible output structures to be merged with other portions of the design. SMF syntax also allows multiple state machines to be defined in a single file. Truth tables may also be used to specify random logic. Once a design has been entered, A+PLUS automatically generates state equations and transforms state machine descriptions, automatically choosing D or T registers for the state variables to ensure the most efficient use of EPLD resources. Figure 3. State Machine Diagram and Partial State Machine File tlACHIttE: dispenser CLOCK: CLK STATES: [DROPCUP [ S1 6 [ S2 1 [ S3 6 ICUPFULL Page 106 POURDRtt)(] 6 6 ] 1 ] ] S1: IF COIttDROP THEft S2 " tto outputs " S2: S3 S3: IF CUPFULL THEft S1 A/tera Corporation I I Data Sheet Design Processing PLS-SUPREME I The Altera Design Processor (ADP) consists of a series of modules that automatically transforms the design into a JEDEC file used to program the EPLD. First, the design is "flattened" from high-level macrofunctions to low-level gate primitives. Next, the ADP analyzes the complete logic circuit and removes unused gates and flip-flops. This ''MacroMunching'' enables the designer to freely use high-level building blocks from the macrofunction library without worrying about optimizing the logic. When the ADP detects macrofunctions with unconnected inputs, it assigns the following "intelligent" default values: active-high inputs default to GND, active-low inputs default to Vee. Thus, the ADP enhances productivity by automatically performing some of the designer's "busy work." The Translator module checks the design for logical completeness and consistency. For example, it ensures that no two logic function outputs are tied together and that all logic nodes have an origin. Also, if AUTO is entered as the EPLD name, the Translator automatically selects the EPLD best suited to the logic requirements of the design. The Expander module expands the Boolean equations into sum-of-products form, checks for evidence of combinatorial feedback, and removes redundant factors from product terms. Logic minimization of designs is performed by the Minimizer module. Minimization tools include Boolean minimization with SALSA (Speedy Altera Logic Simplifying Algorithm), which yields results that are superior to other heuristic reduction techniques. The Minimizer uses algorithms that select equations best represented by a complemented AND/OR function. This feature reduces product-term demands generated by complex logic functions. Moreover, for Altera EPLDs with programmable flipflops, the Minimizer determines which type of flip-flop yields the most efficient solution and, if necessary, converts the architecture to D or T flip-flops. The fully minimized design is then transferred to the Fitter module. The fitting routine relies on algorithms based on artificial intelligence software techniques to place and route the logic into the specified EPLD. If a pin assignment is specified, the Pi tter matches the request. If no pin assignments are specified, the Fitter automatically finds the best fit for all pins. Regardless of whether a fit is achieved, the Fitter generates a Utilization Report (.RPT) that documents macrocell and pin assignments, input and output pin names, and buried registers, as well as any unused resources. Figure 4 shows an excerpt from an .RPT file. At the end of design processing, the ADP generates an industry-standard JEDEC programming file. I Altera Corporation Page 1071 6 I PLS-SUPREME Rgure 4. Partial Utilization Report The Utilization Report documents the EPLD resources that have been used. Data Sheet I ALTERA Desi,n Processor Utilization Report bevdis.rpt 1(1) FIT Uersion 7.8 7/2/98 23:56:49 39.16 ••••• Desi,n i"ple"ented successfull~ Your "a"e Your C~pan~ 18/1/98 1.88 •EP338 .evera,e Dispenser Controller Input files: bevdis.adf ADP Options: "ini"ization An&l!:lsis = Yes OPTIO"S: TURBO = 0". = Yes, SECURITY Inversion Control OFF EP338 CLOCK CUP FULL COI"DROP G"D RESET G"D E"ABLE G"D G"D G"D -:1 -:2 -:3 -:4 -:5 -:6 -:7 -:8 -:9 -:18 28:19:18:17:16:15:14:13:12:11: - UCC G"D G"D G"D G"D G"D DROPCUP STROBE POURDR"K G"D Simulation Included in the PLS-SUPREME package is a Functional Simulator (FSIM), which is a convenient and easy-ta-use tool for testing design logic before it is committed to silicon. FSIM uses the JEDEC file generated by the ADP. Input logic values with state tables, vector patterns, or predefined patterns may be defined with any standard text editor. Design debugging is aided with the BREAJC, FORCE, SAVE, and RESTORE commands; buried nodes can also be accessed. Interactive simulation results are displayed either graphically with the Virtual Logic Analyzer (VLA) or in a tabular format. The results may be printed in either format with an Epson or compatible printer. Figure 5 shows the output of the VLA. The designer can simulate interactively from the keyboard, or an optional Command File may be generated to perform batch-mode simulation. Programming LogicMap II programming software is included in the PLS-SUPREME package. The software uses the Altera Super Adaptive Programming (ASAP) algorithm, which significantly reduces the time required to program an EPLD. LogicMap II uses the JEDEC file created by A +PLUS and Altera programming hardware to program the target EPLD. LogicMap II also reads and produces JEDEC files from programmed EPLDs and verifies programmed devices. I Page 108 Altera Corporation I I DatsShHt I PLS-SUPREME Figure 5. Virtual Logic Analyzer Output The VLA provides an interactive display of simulation results. -'~ __________________ ~ ~'-'L ______ I ____~!I~__________~r-L ........... ··-5-·· ........................................................... . R"..,e: 5 to 48 11,...,: 'beudis.JED Cycle: 4 Signal,,: 8 PLS· SUPREME Contents o o Ordering Information 1 Altera Corporation Floppy disks containing all programs and files for A+PLUS software for both PC-AT, PS/2, and compatible com puters Altera Design Processor LogiCaps schematic capture Functional Simulator (FSIM) LogicMapII Documentation PLS-SUPREME Page 1091 Ir:I Y Notes: Contents I October 1990 Section 3 EPM5000-Series MAX EPLDs EPM5000-Series MAX EPLDs: The Industry-Standard Programmable Logic Family ......................................................... 113 EPM5016 to EPM5192: High-Speed, High-Density MAX EPLDs ............ 115 PLS-MAX: MAX+PLUS Programmable Logic Software ........................... 163 IA/tera Corporation Page 111 I EPM5000-Series MAX EPLDs The Complete Industry-Standard Programmable Logic Family .A. EPM5192 tpo = 25 ns 384- .A. EPM5128 tpo= 25 ns 256- DENSITY .A. EPM5130 tpo = 25 ns (Flip-Flops & Latches) 128 - .A. EPM5064 tpo = 25 ns .A. EPM5032 tpo=15ns 64- .A. EPM5016 tpo=15ns do Jo do - USER 1/0 o The Altera EPM5000-series Multiple Array o EPMSOOO-series EPLDs provide lS-ns combinatorial delays, counter frequencies up to 100 MHz, pipelined data rates up to 100 MHz, and high-complexity designs with true system-clock rates up to 66 MHz. o A full selection of packages is provided, including DIP, SOIC, J-Iead, PCA, and QFP formats in windowed ceramic and plastic one-time-programmable versions. o Easily converts to custom-masked silicon for very-high-volume production. MAX EPLDs are supported with MAX+PLUS PC- and workstation-based design tools offering hierarchical schema tic and Altera Hard ware Description Language (AHDL) entry methods, an efficient logic synthesis-based compiler, and full timing simulator. MatriX (MAX) EPLDs offers the industrys most comprehensive family of programmable logic building blocks. o Advanced MAX architecture provides the speed, ease of use, and familiarity of PAL devices with the density of programmable gate arrays. o o Modular family structure solves design tasks from fast 20-pin address decoders to lOO-pin LSI custom peripherals. Non-volatile, reprogram mabie EPROM technology aids prototype development. o o High sequential logic capacity provides up to 384 registers plus latches. o Up to 66 product terms per output ensure efficient design of complex state machines. o Exactly emulates all popular 7400-series functions to facilitate conversion of existing CMOS and TIL designs. o o Logic compilation and automatic placeand-route of 600- to 7,SOO-gate designs is performed in minutes. Easily integrates multiple-package PAL and PLA designs. o EDIF industry-standard workstation and third-party CAE tool interfaces are available. IAltera Corporation Page 113 EPM5016 to EPM5192 High-Speed, High-OenSitYJ MAX EPLOs I October 1990, ver. 1 Features Data Sheet I o o o o o o Com plete family of CMOS EPLDs solves design tasks ranging from fast 20-pin address decoders to loa-pin LSI custom peripherals. The advanced MAX architecture combines the speed, ease of use, and familiarity of PAL devices with the density of programmable gate arrays. EP5000-series EPLDs provide 15-ns combinatorial delays, counter frequencies up to 100 MHz, pipelined data rates of 100 MHz, and highcomplexity designs with true system clock rates up to 66 MHz. Available in a wide variety of packages, including DIP, SOIC, J-Lead, PCA, and QFP formats in windowed ceramic and plastic one-timeprogrammable versions. MAX+PLUS PC- and workstation-based development tools compile large designs in minutes. Industry-standard EDIF interfaces to workstation and third-party CAE tools are available. Figure 1 shows the EPM5000-series modular architecture. Figure 1. EPM500O-Series Modular Architecture EPM5192 Macrocells Maximum Fip-Fiops Maximum latches Pins I A/tera Corporation 16 21 32 20 32 42 64 28 64 84 128 44 128 168 256 100168 192 252 384 100'84 Page 1151 m I EPM5016 to EPM5192: High-Speed, High-Density MAX EPLDs Family • Highlight~ • • • • General Description Data Sheet I Multiple Array MatriX (MAX) architedure solves speed, density, and design flexibility prob lems Advanced macrocell array provides registered, combinatorial, or flow-through latch operation. Expander product-term array automatically provides additional combinatorial or registered logic. Decoupled I/O block with dual feedback on I/O pins allows flexible pin utilization. Programmable Interconnect Array provides automatic 100% routing in devices with multiple LABs. Each macrocell supports synchronous or asynchronous operation of every macrocell, using single or multiple clocks per device. EPM5000-Series Performance Pipelined data rates up to 100 MHz Counters as fast as 100 MHz tpD performance from 15 ns to 25 ns Advanced O.8-micron CMOS EPROM technology EPMSOOO-Series Logic Density 16- to 192-macrocell devices 20- to 100-pin packages 32 to 384 flip-flops and latches More than 32 product terms on a single macrocell Product-term expansion on any data or control path MAX+PLUS Design Tools Design entry via unified, hierarchical schema tic capture and Altera Hardware Description Language (AHDL) Fast, automatic design processing with logic synthesis Automatic device fitting, no hand editing needed Hardware and software design verifica tion tools Com piles a 16-bit counter in 34 seconds on a 16-MHz 386 com puter EDIF interfaces to MAX+PLUS provide paths to Dazix, Valid Logic Systems, Mentor Graphics, and other workstation-based CAE tools. EPM5000-series Erasable Programmable Logic Devices (EPLDs) represent a revolutionary step in programmable logic: they combine innovative architecture and state-of-the-art process to offer optimum performance, logic density, flexibility, and the highest speeds and densities available in general-purpose reprogram mabIe logic. These EPLDs are high-speed, highdensity replacements for SSI and MSI TTL and CMOS packages and conventional PLDs. For example, an EPM5192 replaces over 100 7400-series SSI and MSI TIL and CMOS packages, integrating complete subsystems into a single package, saving board area, and reducing power consumption. These MAX EPLDs range in density from 16 to 192 macrocells. They are divided into two groups: higher-speed MAX EPLDs (EPM5016 and EPM5032) and higher-density MAX EPLDs (EPM5064, EPM5128, EPM5130, and EPM5192). The higher-speed MAX EPLDs achieve system clock frequencies of 66 MHz, and are capable of counter frequencies of 100 MHz. I Page 116 Altera Corporation I I Data Sheet EPM5016 to EPM5192: High-Speed, High-Density MAX EPLDs 1 Logic Array Blocks The EPMS016 and EPMS032 MAX EPLDs have a single Logic Array Block (LAB). The EPMS064, EPMS128, EPM5130, and EPM5192 MAX EPLDs contain multiple LABs. Each LAB contains a macrocell array, an expander product-term array, and a decoupled I/O block. Expander product terms (expanders) are unallocated, inverted product terms that can be used and shared by all macrocells in the LAB to create combinatorial and registered logic. Thus, expressions requiring up to 66 product terms can be implemented in a single macrocell. Signals in the higher-density devices are routed between multiple LABs by a Programmable Interconnect Array (PIA) that ensures 100% routability. This multiple array architecture enables EPMSOOO-series EPLDs to offer the speed of smaller arrays with the integration density of larger arrays. Modular Architecture The modular architecture of MAX EPLDs provides integration solutions over a wide range of logic densities. Migration from one type of device to another is easy. For example, the EPMS128 and EPMSl30 EPLDs have the same logic capacity, but have packages optimized to handle different I/O requirements. Over the entire family, a wide range of packaging options for both through-hole and surface-mount applications is available. Plastic one-time-programmable (OTP) packages are available for economical volume production. Logic Design Entry Logic designs are created and programmed into EPMSOOO-series EPLDs with the MAX+PLUS Development System. MAX+PLUS is a complete CAE system offering hierarchical design entry tools, automatic design compilation and fitting, timing simulation, and device programming. The MAX+PLUS Compiler features advanced logic synthesis algorithms, allowing designs to be entered in a variety of highlevel formats while ensuring the most efficient use of EPLD resources. The combination of a flexible architecture and advanced CAE tools ensures rapid design cycles so that a design may go from conception to completion in single day. Interfaces to third-party tools are also available to allow design entry and logic simulation on a variety of workstation platforms. Functional Description EPMSOOO-series EPLDs use CMOS EPROM cells to configure logic functions within the devices. MAX architecture is user-configurable to accommodate a variety of independent logic functions, and the EPLDs can be erased for quick and efficient itera tions during design development and debug cycles. Logic Array Block EPMSOOO-series EPLDs contain from 1 to 12 Logic Array Blocks. Each LAB, shown in Figure 2, consists of a macrocell array, an expander product-term array, and an I/O control block. (The number of macrocells and expanders in the arrays varies with each device.) Macrocells are the primary resource for logic implementation, but if needed, expanders can be used to supplement the capabilities of any macrocell. The expander product-term array consists of a group of unallocated, inverted product terms. Flexible macrocells and allocatable expanders facilitate variable product-term designs 1 Altera Corporation Page 1171 II I EPM5016 to EPM5192: High-Speed, High-Density MAX EPLDs Data Sheet I Figure 2. Logic Array Block The LAB consists of a maaocell array, an expander product-term array, and a decoupled IIO b/ocl<. The flexibility of the LAB ensures high speeds and efficient device utilization. 110 Pins ~ ~ g:g •• • ~ without the waste associated with fixed product-term architectures. Thus, PAL or PLA devices are easily integrated into MAX EPLDs. The outputs of the macrocells feed the decoupled I/O block, which consists of a group of programmable tri-state buffers and I/O pins. In the EPM5064, EPM5128, EPM5130, and EPM5192, multiple LABs are connected by a Programmable Interconnect Array (PIA). Macrocells The EPM5000-series macrocell, shown in Figure 3, consists of a programmable logic array and an independently configurable register. This register may be programmed for 0, T, JK, or SR operation; or as a flowthrough latch; or bypassed for purely combinatorial operation. Combinatorial logic is implemented in the programmable logic array, which consists of three product terms ORed together that feed one input of an XOR gate. The second input to the XOR gate is also controlled by a product term that makes it possible to implement active-high or active-low logic. The XOR gate is also used for complex XOR arithmetic logic functions and for De Morgan's inversion to reduce the number of product terms. The output of the XOR gate feeds the programmable register, or bypasses it for purely combinatorial operation. The logic array ensures high ,speed while eliminating inefficient, unused product terms. Also, expanders can be allocated to enhance the capability of the logic array. Additional product terms, called secondary product terms, are used for Output Enable, Preset, Clear, and Clock lOgiC. Preset and Clear product terms drive the active-low asynchronous Preset and asynchronous Clear inputs to the configurable flip-flop. The Clock product term allows each register to have an independent Clock and supports positive- and negative- I Page 118 A/tera Corporation I I DstsSheet EPM5016 to EPM5192: High-Speed, High-Density MAX EPLDs I Figure 3. EPMSOOO-Series Macrocell Output Enable System Clock Preset ~ Array Clock Programmable Register ~":~~ I ~t-~':lli- I to VO Control Block Clear ~ Macrocell and 110 Feedbacks < y, y, 11 ~ ii 8-20 Programmable 32-64 Dedicated Interconnect Expander Inputs Signals Product Terms Note: One system clock per LAB o o o o Programmable flip-flop (0, T, JK, SR) Registered or flow-through latch operation Programmable Clock Asynchronous Preset and Clear edge-triggered operation. Macrocells that drive an output pin may use the Output Enable product term to control the active-high tri-state buffer in the I/O control block. These secondary product terms allow 7400-series TIL functions to be emulated exactly. The EPM5000-series macrocell configurability makes it possible to efficiently integrate complete subsystems into a single device. All macrocell outputs are globally routed within an LAB and also feed the PIA to provide efficient routing of signal-intensive designs. Clock Options Each LAB has two clocking modes: asynchronous and synchronous. Ouring asynchronous clocking, each flip-flop is clocked by a product term. Thus, any input or internal logic may be used as a clock. Systems that require multiple clocks are easily integrated into EPM5000-series EPLDs. Asynchronous clocking also allows each flip-flop to be configured for positive- or negative- edge-triggered operation, giving the rnacrocell a high degree of flexibili ty. Synchronous clocking is provided by a dedicated system clock (eLX). This direct connection provides enhanced clock-to-output delay times. Since each LAB has one synchronous clock, all flip-flop clocks within it are positive-edge-triggered from the CLX pin. If the CLX pin is not used as a system clock, it may be used as a dedicated input. IAltera Corporation Page 119 I I EPMS016 to EPM5192: High-Speed, High-Density MAX EPLDs Expander Product Terms Data Sheet I The expander product-term array (Figure 4) contains unallocated, inverted product terms that enhance the macrocell array. Expanders can be used and shared by all product terms in the LAB. Wherever extra logic is needed (including register control functions), expanders can be used to implement the logic. These expanders provide the flexibility to implement registerintensive and product-term-intensive designs for MAX EPLDs. Figure 4. Expander Product Terms to Macrocell Array and Expander Product Term Array Expander product terms are unallocated logic that can be used and shared by all maaocells in an LAB. Sharing allows efficient integration of complex combinatorial functions. Macrocell and VO Feedbacks 8-20 Programmable 32-64 Dedicated Interconnect Expander Inputs Signals Product Terms Expanders are fed by all signals in the LAB. One expander may feed all macrocells in the LAB or multiple product terms in the same macrocell. Since expanders also feed the secondary product terms of each macrocell, complex logic functions can be implemented without using another macrocell. Expanders can be cross-coupled to build additional flip-flops or latches. 1/0 Control Block Each LAB has an I/O control block (Figure 5) that consists of a userconfigurable I/O control function for each I/O pin. The I/O control block is fed by the macrocell array. The tri-state buffer is controlled by a dedicated macrocell product term, and drives the I/O pad. Each MAX EPLD has dual feedback-one feedback path before and one after the tri-state buffer- for every I/O pin. The tri-state buffer decouples the I/O pins from the macrocells so that all registers within the LAB can be "buried." Thus, I/O pins can be configured as dedicated input, output, or bidirectional pins. In multiple-LAB MAX devices, I/O pins feed the PIA. I Page 120 A/tera Corporation I I Dlltll Sheet EPM5016 to EPM5192: High-Speed, High-Density MAX EPLDs I Figure 5. VO Control Block The decoopled 110 control block features dual feedback to maximize use of device pins. I/O Pin from Macrocell Arra Macrocell Feedback 110 Pin Feedback Programmable Interconnect Array The higher-density EPMSOOO-series devices (EPMS064, EPMS128, EPMSl30, and EPMS192) use a Programmable Interconnect Array (PIA) to route signals between the various LABs. The PIA routes only the signals required for implementing logic in an LAB, and is fed by all macrocell feedbacks and all I/O pin feedbacks. Unlike channel routing in masked or programmable gate arrays-where routing delays are variable and path-dependent-the PIA has a fixed delay. Because the PIA eliminates skew between signals, timing performance is easy to predict. Timing Model Timing within EPMSOOO-series EPLDs is easily determined with MAX +PLUS software or with the models shown in Figure 6. EPMSOOO-series EPLDs ha ve fixed internal delays, that allow the user to determine the worst-case timing delays for any design. For complete timing information, MAX+PLUS software provides a timing simulator, a delay predictor~ and a detailed timing analyzer. I A/tera Corporation Page 121 I 3 I EPM5016 to EPM5192: High-Speed, High-Density MAX EPLDs Figure 6. Timing Models Data Sheet I Multiple-LAB EPLDs Design performance can be predicted with these timing models and the device performance specifications. Expander INPUT Input Delay tiN r --1[tI~~~}=~L~~~i~cA~rr~~~ Logic Control Delay tLItC Array Delay tLItD Output Delay tI/D toal8 tLltTCH 110 Pin too txz tzx PIA Delay t Pl" Single-LAB EPLDs INPUT Output Delay tI/D toal8 tLltTCH 110 Pin too txz tzx The timing models shown in Figure 6 may be used together with the internal timing parameters for a particular EPLD to derive timing information. External timing parameters are derived from a sum of internal parameters and represent pin-to-pin timing delays. Figure 7 shows the internal timing waveforms for these devices. Refer to Application Brief 75 (EPM5000·Series MAX EPLD Timing) in this data book for further information. Design Security I Page 122 MAX EPLDs contain a programmable Security Bit that controls access to the data programmed into the device. If this feature is used, a proprietary design implemented in the device cannot be copied or retrieved. This feature provides a high level of design security, since programmed data within EPROM cells is invisible. The Security Bit that controls this function, as well as all other program data, is reset by erasing the EPLD. Altera Corporation I Dsts Sheet EPM5016 to EPM5192: High-Speed, High-Density MAX EPLDs Figure 7. Switching Waveforms Input Mode i-tIN-i In multiple LAB EPLDs, 110 pins used as inputs can traverse the PIA Input Pin -----.*~---~i-! _________________ i--tIO-...J I/O Pin -----.*~--___t.---------------- i--tEXP~ Expander Array - - - - - - -...... :r----;..·- - - - - - - - - - - - - tR& tF< 3 ns. Inputs are driven at 3 V for a logic high and 0 V for a logic low. All timing characteristics are measured at 1.5 V. Delay Logic Array Input X'-----+1-----------l-t/.AC, tLAD---! -----------""""\v.:AIo..._ _ _ _ _......;.. _ _ _ _ _ __ tCOMB ~ ~ L09i~~~ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _---JX~+-..,..----~too~ Output Pin _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ . ---li,.---- Asynchronous Clock Mode tF~ j... tR ~ i--tACH-l i--tACL-l Clock Pin Clock into Logic Array ---V '\ V ; V,...---""""\\Io..-----II i+tlN ~ ;-tiC Clock from Logic Array tsu .1. ----~* . tRD. tLATCH~ Register Output to local LAB Log ic Array tH 11 *~----~~~---------:"tCLR, tpRE ~ i+-tFD-1 ~tFD --------------~.--~:~--------~q---. -------------~~~-------~~ _________________________ +: :"tPIA Register Output to another LAB \~---- ---! ------------~V-------~\~-----I !. Data from Logic Array \\.-j- - - - ~x.~------------ System Clock Mode System Clock Pin !+\'-1_ _ _ ___ System Clock at Register \~--- tF ~ Data from Logic Array Output Mode Clock from Logic Array L~~~~~; __--II ===x=X-=+r-=+i CJ : t xz :----: Output Pin IAltera Corporation \~--- I \ ' - -_ _ _--J • tRD • too • t.·=============j.. . _ Xr - - - - - - : tzx . ~ State /-fgh Impedance ~,...---- :\-._ _ __ Psge 1231 I I EPM5016 to EPM5192: High-Speed, High-Density MAX EPLDs Data Sheet I MAX EPLDs are fully functionally tested and guaranteed. Complete testing of each programmable EPROM bit and all internal logic elements ensures 100% programming yield. AC test measurements are performed under the conditions shown in Figure 8. ._---Vee Figure 8. AC Test Conditions Power supply transients can affect AC measurements. Simultaneous transitions of multiple outputs should be avoided for accurate measurement. Threshold tests should not be performed under AC conditions. Large-amplitude, fast-ground current transients normally occur as the device outputs discharge the load capacitances. When these transients flow through the parasitic inductance between the device ground pin and the test system ground, it can create significant reductions in observable input noise immunity. Note: Numbers in parentheses are for the EPM5016. to Test Device input rise and fall times < 3 ns Test programs may be used and then erased during early stages of the production flow. This facility to use application-independent, generalpurpose tests is called generic testing and is unique among user-configurable logic devices. EPLDs also contain on-board logic test circuitry to allow verification of function and AC specifications once they are packaged in windowless packages. MAX+PLUS Development System The MAX+PLUS Development System is a unified CAE system for integrating designs into EPMSOOO-series MAX EPLDs. Designs can be entered as logic schematics with the Graphic Editor or as state machines, truth tables, and Boolean equations with the Altera Hardware Description Language (AHDL). Logic synthesis and minimization optimize the logic of a design. Design verification and timing analysis are performed with the Simulator or the delay prediction feature. Errors in a design are automatically located and highlighted in the schematic or text design file. Hosted on IBM PS/2, PC-AT, or compatible machines, and workstations (e.g., Apollo, Sun, IBM), MAX+PLUS gives the designer the tools to quickly and efficiently create complex logic designs. Further details about the MAX+PLUS Development System are available in the PLS-MAX Data Sheet. Device Programming EPMSOOO-series EPLDs may be programmed on an IBM PS/2, PC-AT or com patible com puter with an Altera Logic Programmer card, the PLE3-12A Master Programming Unit, and an appropriate device adapter. These items are included in the complete PLDS-MAX Development System or may be purchased separately. EPMSOOO-series EPLDs may also be programmed with third-party hardware (see the Third-Party Development & Programming Support Data Sheet in this data book). Contact Altera or your programming equipment manufacturer for more information. IPage 124 A/tera Corporation I EPM5016I o Features o o o o o o o General Description Fast 20-pin MAX single-LAB EPLD Combinatorial speeds with tpo = 15 ns Counter frequencies up to 100 MHz Pipelined data rates up to 100 MHz 16 individually configurable macrocells 32 expander product terms (expanders) that allow 34 product terms in a single macrocell Up to 21 flip-flops or 32 latches Up to 10 input latches that can be constructed with cross-coupled expanders 24-mA output drivers to allow direct interfacing to system buses Programmable I/O architecture allowing up to 16 inputs and 8 outputs Available in 20-pin windowed ceramic or plastic DIP, plastic J-Iead (PLCC), and plastic 3OO-mil SOIC packages The Altera EPM5016 (Figure 9) is a Multiple Array MatriX (MAX) CMOS EPLD optimized for speed. It can integrate multiple 551 and MSI TTL and 74HC devices. In addition, it can replace any 20-pin PAL or PLA device with logic left over for further integration. Figure 9. EPM5016 Pin-Out Diagrams Package outlines not drawn to scale. INPUT INPUT INPUTICLK g g INPUT INPUTIClK 110 110 110 VO INPUT INPUT GND 1.0 110 INPUT INPUT GM> 1.0 1.0 INPUT INPUT INPUT INPUT INPUTICLK INPUT 110 INPUT 0 0 0 > z INPUT 110 110 g 1.0 110 vee Altera Corporation z (!j INPUT g 1 > c INPUT INPUT vee sOle 0 0 110 vee vee GND GND 110 110 110 110 INPUT INPUT INPUT INPUT g g (!j J-Lead DIP Page 1251 EJ I EPM5016 Oats Sheet I Figure 10 shows output drive characteristics of EPM5016 I/O pins and typical supply current versus frequency for the EPM5016. Figure 10. EPM5016 Output Drive Characteristics and Icc vs. Frequency 180 200 IOL~ _ __ ci ....>. <- .§. C ~ :s 0 ~ a. 150 160 ci.. ~ 120 Vee = 5.0 V Room Temp. ;( 120 Vee = 5.0 V Room Temp. §. (I) > 90 4=1 ~ 80 ~ 0 _0 0 60 0 30 100 Hz 1 K~z Vo Output Voltage (V) 10-KHz 100 KHz 1 MHz 10 MHz 100 MHz Maximum Frequency The EPM5016, shown in Figure 11, contains 16 macrocells. The expander product-term array for the EPM5016 contains 32 expanders. The I/O control block contains 8 bidirectionalI/O pins that can be configured for dedicated input, dedicated output, or bidirectional operation. All I/O pins feature dual feedback for maximum pin flexibility. I Page 126 Altera Corporation I OataSheet EPM5016 I Rgur. 11. EPM5016 Block Diagram The EPM5016 has 16 maaocel/s and 32 expanders. Numbers in parentheses are br the PLCC package. 11 (16) In~t .7-------------------4 12 (17) In~t .7-------------------4 19 (4) In~t .>------------------4 20 (5) L->-------------------t In~t ~-----------------c~ m~t I N T E R C In~t ~----------~----_<~~ In~t o MACROCELL4 MACROCELL6 1 (6) InputICLK 2 (7) N N E C T MACROCELL 1 9(14) 10(15) I/O 3(8) MACROCELL3 I/O 4 (9) MACROCELL5 I/O 7(12) MACROCELL7 110 8(13) MACROCELL 10 MACROCELL9 I/O 13(18) MACROCELL 12 MACROCELL 11 I/O 14(19) MACROCELL 14 MACROCELL 13 I/O 17(2) MACROCELL 16 MACROCELL 15 I/O 18(3) MACROCELL8 11 Expander Product Term Array (32) IAltera Corporation Page 1271 I EPM5016 Data Sheet / Absolute Maximum Ratings Symbol Note: See Operating Requirements for EPLDs in this data book. Parameter Conditions Min Max vee Su~~I~ vOltage With respect to GND -2.0 7.0 Vpp Pr~ramming su~~I~ vOltage See Note (1) -2.0 13.5 II DC input voltage I VI I DC V cc or GND current MAX I _'....::O:::::;uT..!....-_ _1 DC output current, per pin I Storage temperature I -25 -65 T AMB 1 Ambient temperature 1 Under bias I-T---'J=---li-J-u-nc-tio-n-te-m-'-p-er-at-ur-e-----I_u_n_d_er_b_ia_s_ _ _ _ _,_ _ __ I I Symbol I Parameter mA mW +150 Conditions Min I Max I_u_n_it_l V--"c;..=.e_ _ _1 Su~ply vOltage 1 4.75 (4.5) 5.25 (5.5) I_ _v~_! VI -,-np.......u'-'tv'-o-,ta-g-"'e-------I--------·!I--..:..:..:...~0J...;..;..;;L-1 Vee 1 V 1 I J V-':'o--- Output vOltage 1-1 T_A~_ _ _ _O; .J;pe;. . ; . ;. ; ;ra~tin.;.;i!g~te:;. ;.;m.;J.:pe. .:. ;r. .:. ;at:. ;:;.ur~e_ _ _ _ 1 For commercial use :-1 T_A:.:.-_ _ _ _O~pe_ra_tin.....g_te_m......pe_r_at_ur_e_ _ _ _ 1 For industrial use :-1 ITC I For military use Case temperature tF 0 I Vee 1--v-- I 0 1 +70 I_ _ I --40 I +85 1_ _ I -55 I +125 I t....:..:R:......-_ _ _'n...l;.p...;...ut_ris_e_ti_me_ _ _ _ _ _ I . 1-1 I 25 1000 See Note (2) 1-1 1 I'. Input fall time 1 o....;;c~_! o_c__ I 1 °C 100 n-s - ; II·.-- 100 ns DCOperatingConditions See Notes (2), (3), and (4) Symbol I Parameter Conditions High-level input voltage 1 VIH I LOW-level input voltage I VIL I V--'o=H"'--_ _ i- 1 High-level TTL output VOltage 1:-V-.,;o=L'---_ _1 Low-level output voltage ~I I I I OH = -12 mA DC I --I Vee supply current (standby) i-'...!:c=e-1 I Vee supply current Unitl Max 2.0 ~ Vee +0.3 I 0.8 I _2_.4__ •_ _ _ _ _ _ _1 1 -10 -40 1 I I-V--',=-=-V-.=.c=-o-r-G-N-D-- - - - - --8-0e V, = Vee or GND v v :;: I ~ I OL = 24 mA DC I 'nput leakage current I V I = Vee or GND '-.!.o-z---I-T-":ri--s-ta-te-o-":ut:!...p-ut-o-ff--st-at-e-cu-rr-en-t-I V 0 = Vee or GND :-1 Typ -{).3 1II I 1 +135 1 Recommended Operating Conditions V mA +150 -65 I No bias I -H 7.0 200 I 1p o l Power dissipation 1 T STG -2.0 Unit 85 +40 110(150) 115 (175) II mllAA I I I II mA No load, f = 1.0 MHz See Note (5) Capacitance 1_ _ Sy_m_b_O_I_il_____p_a_ra_m_e_te_r____I_ _ _C_o_n_di_tio_n_s___,__M_in__i__M_a_x___U_ni_t_! -C--=,N---il-_'n:.....pu_t_ca-'-p_aCl_·ta_n_ce_ _ _ _ _ _I_V.....:;IN:.!.-=_0_V,_f_=_1.0_M_Hz_ _i_ _ _--i_ _ _ 10___-,p,-F__ I ._C_O=U=-:T_ _ _!I_O.. :. ;u; ;,:;tPL;. ;u. .:. ;tc;;;,:;a,J;.,pa.;;,;c:.,;.ita=n...:..;ce=--_ _ _ _ 1 VOUT =0 V, f = 1.0 MHz I Page 128 1 12 pF A/tera Corporation I I Data Sheet EPM5016 AC Operating Conditions See Note (4) External Timing Parameters Symbol I t PDl I I t su Parameter 1 C1 VO input to non-reg. output 1 I Setup time Hold time ItH t ASU C1 0 1 Asynchronous setup time J - I 15 9 5 Asynchronous hold t i m e ' I EP~~016 I , 5' Max MIn 15 6 I C1 =35 pF Max Min = 35 pF = 35 pF Clock to output delay ~1 , t AH EPMS016-1 I Conditions 1 Input to non-registered output 1 I MIn 1_17_1_ _ Max Unit 20 ns I:I~'I'__:_1~'~~~_3~'~~~ '7' '8 1 1 '--I ns I ns 1 ns t-='c'::":H-~li-C-IOC:'-"'k-h-i9-ht-im-e----'li----~--f6l-~1~8-i1--iI~n-s-i !-I I t CL I Clock low time 1 _ _ _ _1 5 , 4 I tACH I Asynchronous clock high time I t ACL I Asynchronous clock low time I I t ACOl I Asynch. clock to output delay I C1 = 35 pF I I I I I 15 I I I' 10 I' 6' , t CHT I f CHT 1 1 Minimum clock period Internal maximum frequency I I t ACHT 1 Minimum asynch. clock period I See Note (6) I I f ACHT I Max. intemal asynch. frequency I See Note (6) 1 100 1 f MAX I Max. frequency; pipelined data I 1 100 I I 100 ,'.' 6 1 '5' 7 83.3 I I I I I 17 I I 20 I' 12 " 62.5 I, 16 '9' ns 1 I ns I ns I II MHz , 1 '16 I~ , 83.3 1 I 62.5 1 1 MHz 1 , 83.3 1 62.5 1 1 MHz I '10' 1 8 '7 '12 1 For information on internal timing parameters, refer to App. Brief 75 (EPMSOOO-Series MAX EPLD Timing). I Internal ~ming Parameters I Symbol I I _ _ _ _ EPM~16-1 I Conditions Min Max I Parameter I I EPM5916-2 I I. t zx , t xz I Output buffer disable delay , t EXP I t LAD , t LAC , t OD 1 I t su t LA TCH t RD t COMB tH t /C t,CS t FD t PRE , = I' Register setup time Flow-through latch delay 1 C1 = 5 pF I I Register hold time I Clock delay I System clock delay I Feedback delay I Register presettime I I 7 I'" I , I " I 2 , " '5' '5' ns I I '8' '10 ns , I I I I I 5 7 5 1 , 6 I 1 5 I I I I 6 I 0' I I 7' I 5 9 7 I I I I I ns ns ns 1 I I~~ '8' ns I ns 1 ns I I I 1 I 1 I . I l' ' 1 ' I '9 I I '8 1 , I I 7 1 " 1 8 I 6 I I l' I I 8 2 ns ns ns I I ns ns , I I I , I I I I I I 1 I I 1 I I 1 I ns I I I 1 3 I I 6 I I 6 1 ns 1 I.____1 _t-=C.=.LR.!..--_,-'R_eg...;;;..i_ste_r_cl_ea_rt_im_e_ _ _ _ IA/tera Corporation 1 I I Register delay I 1 4' 5 ns , --\------.-----. I I' I Combinatorial delay I~ I Min I Max I Min I Max I Unit I I Input pad and buffer delay I I I 4 I 11/0 input pad and buffer delay 1----' ' 4 ' , Expander array d e l a y ' I I 5 I I Logic array delay I " 6 I , Logic control array delay I I I 4 I buffer and pad delay 1 '4 I I Output II C1 35 pF . Output buffer enable delay . 7 I t IN I t 10 I EPM.5016 I 3 I I 6 1~_6_1~' Page 1291 3 I EPM5016 Oats Sheet I Notes to tables: (1) Minimum IX input is -0.3 V. During transitions, the inputs may undershoot to -2.0 V or overshoot to 7.0 V for periods shorter than 20 ns under no-load conditions. (2) Numbers in parentheses are for military and industrial temperature-range versions. (3) Typical values are for TA =25° C and Vcc =5 V. (4) Vcc = 5 V ± 5%, TA = 0° C to 70° C for commercial use. Vcc = 5 V ± 10%, TA = -400 C to 85° C for industrial use. Vcc = 5 V ± 10%, Tc = -55° C to 125° C for military use. (5) Measured with device programmed as a 16-bit counter. (6) This parameter is measured with a positive-edge-triggered clock at the register. For negative-edge clocking, the tACH and tACL parameters must be swapped. Product Avai/abl/ity I I Grade Availability EPM5016-1, EPM5016-2, EPM5016 Commercial (0" C to 70' C) Industrial (-40 0 C to 850 C) EPM5016 (-55 0 C to 1250 C) EPM5016 I Military Note: Only military-temperature-range EPLDs are listed above. MIL-STO-883compliant product specifica lions are provided in Military Product Drawings (MPDs), available from Altera Marketing by calling 1 (800) SOS-EPLD. These MPDs should be used to prepare Source Control Drawings (SCDs). See Military Products in this data book. I Page 130 Altera Corporation I EPM5032i o Features o o o o o o Fast 28-pin DIP or J-Iead single-LAB MAX EPLD Combinatorial speeds with tpD = 15 ns Counter frequencies up to 76 MHz Pipelined data rates up to 83 MHz 32 individually configurable macrocells 64 expander product terms (expanders) that allow 66 product terms on a single macrocell Up to 42 flip-flops or 64 latches Up to 21 input latches that can be constructed with cross-coupled expanders Programmable I/O architecture allowing up to 24 inputs and 16 outputs Available in 28-pin windowed ceramic or plastic one-timeprogrammable DIP and J-Iead packages, as well as plastic 3OO-mil SOIC packages The Altera EPM5032 (Figure 12) is a Multiple Array MatriX (MAX) CMOS EPLD optimized for speed. It can integrate multiple 551 and M51 TTL and 74HC devices. In addition, it can replace multiple 20-pin PAL or PLA devices with logic left over for further integration. General Description Figure 12. EPMS032 Pin-Out Diagrams Package outlines not drawn to scale. 0 0 0 g g g zc;, > INPUT g g INPUT 110 3 INPUT INPUTlCI.K INPUT INPUT 1.0 1.0 I.<> 1.0 1.0 1.0 I.<> I.<> vee vee GND I.<> GNO 1.0 1.0 1.0 1.0 I.<> I.<> INPUT INPUT 1.0 INPUT INPUT sOle I Altera Corporation 2 1 28 27 26 110 I/O 25 110 INPUT 24 110 23 INPUT vee 22 INPUT GND 21 INPUT 110 20 INPUT INPUT INPUTICLK 110 110 0 INPUT EPM5032 10 110 19 12 13 g g 15 14 0 0 > 0 Z 110 16 17 18 g g g c;, J-Lead 110 110 110 110 110 INPUT INPUT INPUT INPUT DIP Page 131 IJ Data Sheet EPAf5032 I Figure 13 shows output drive characteristics of EPM5032I/O pins and typical supply current versus frequency for the EPM5032. Rgure 13. EPM5032 Output Drive Characteristics and Icc vs. Frequency 240 100 ci .- >. <' 200 80 ci §. E ~ :; ... ~ 60 () :J a. S Vee =5.0V Room Temp. 160 <' Vee = 5.0 V Room Temp. §. Q) ~ 120 0 40 < 0 J:~ 80 0 40 100 MHz Vo Output Voltage (V) Maximum Frequency The EPM5032, shown in Figure 14, contains 32 macrocells. The EPM5032 expander product-term array contains 64 expanders. The I/O control block contains 16 bidirectional I/O pins that can be configured for dedicated input, dedicated output, or bidirectional operation. All I/O pins feature dual feedback for maximum pin flexibility. I Page 132 Altera Corporation I Data Sheet EPM5032 Rgure 14. EPM5032 Block Diagram The EPM5032 has 32 macrocells and 64 expanders. Numbers in parsntheses are J-/ead packages. Input 15 (22) Input for 1(8) InputICLK 2 (9) 16(23) Input 27(6) Input 28 (7) Input I N T E MACROCELL4 MACROCELL6 MACROCELL8 MACROCELL 10 MACROCELL 12 Input 13(20) Input 14(21) R 110 3(10) C 110 4 (11) 0 N N E C T 110 5(12) I!O Control Block 110 6(13) I/O 9(16) 110 10(17) I/O 11 (18) MACROCELL14 MACROCELL 16 I/O 12(19) MACROCELL 18 110 17(24) MACROCELL 20 110 18(25) MACROCELL 22 I/O 19(26) MACROCELL 24 110 20(27) MACROCELL 26 11023(2) MACROCELL 28 I/O 24(3) MACROCELL 30 I/O 25(4) MACROCELL 32 11026(5) Expander Product Term Array (64) IAltera Corporation I Page 133 IJ EPM5032 Data Sheet Absolute Maximum Ratings Symbol I vee Vpp , V, I I MAX I lOUT I Po I TSTG , T AMB IT J Note: See Operating Requirements for EPLDs in this data book. Parameter I With respect to GND Pr~rammlng su~~I~ vOltage See Note (1) I DC input voltage J DC V cc or GND current I DC oU!eut current, ~er ~in 'Vo 11 11 e A 1. 1A tF I Ambient temperature Operating temperature I I I I +135 I V V mA -65 Min 4.75(4.5) , I For industrial use I I I mA mW 1500 +150 I,:. , Max I 5.25(5.5) 0 I 0 , Vee 0 , +70 -40 I I For military use Input rise time Input fall time -55 I'. I V ee +85 I +125 ',. 110000 See Notes (2), (3), (4) Parameter ,--Co-n-dl-tlo-n-s--"--M-in-'-T-YP- ,-H-j9-h--I-ev-e-1in-p-ut-vo-I-ta-ge----' I YOH High-level TIL output voltage LOW-level output voltage Input leakage current Tri-state output off-state current Vee supply current (standby) Vee supply current V °C +150 , For commercial use I Case temperature LOW-level input voltage lee3 7.0 300 I ~I Under bias I I , Y Il I I, Iloz II ee1 7.0 13.5 Unit -65 I ,--c-O-nd-iti-'O-ns---, . Operating temperature II I I, I l_o_u...... tP_ut_v_o_lta......g.....;e_ _ _ _ _ _ II_ _ _ _ _ _ _ _1 I Max See Note (2) I Input voltage I Symbol I I Y Ol -2.0 I I Under bias I Junction temperature DC Operating Conditions I YI H -2.0 I No bias ~I Suppl~voltage , TA -2.0 -25 dissipation Recommended Operating Conditions ~I Parameter I VI I I I I I . Storage temperature I Min Conditions Supply vol_ I Power I 2.0 I Max , Unit I===v==: I V '_ _V_ _: I__o_c_ _, I__o.....;c~_ I °C I--n-sns I -0.3 0.8 I 1:_I-=O~H_=_-4_m_A_DC _ _ _i-_2_.4__i_ _ _ _ _ _ _ _ I I Ol = 8 mA DC I V I = V cc or GND I V 0 = Vee or GND I V I = Vee or GND , V I = V cc or GND Unit -V-ee-+0.3 -!,--V---' +10 -40 +40 I 120 125 I V_--l II,.._ _ 0.45 -10 V V I I ).LA ).LA 150 (200) mA 155 (225) mA I No load, f = 1.0 MHz See Note (5) Capacitance I Symbol 1_ _ _ _p_a_ra_m_e_te_r____ 1 I I Conditions , c IN Input capacitance Y,N = 0 V, f = 1.0 MHz ,!-·-c:. ;:;. O;. :. . U;:. ;-T:. -_ .- -_-_-,-O ......u-tP-ut--'ca'-p-a-cit-a-nce-----, VOUT = 0 V, f = 1.0 MHz I Page 134 Min Max Unit 10 pF 12 pF Altera Corporation I I Data Sheet EPM5032 AC Operating Conditions See Note (4) I External Timing Parameters I Symbol I Parameter I Input to non-registered output , t PD1 , Setup time I t su ItH I Hold time , t C01 1 1::: Clocktooutputdelay I~:~:~:ehOld time , C1 = 35 pF 15 , C1 = 35 pF 15 I 1 0 I 7' -A-S-yn-Ch-ro-n-ou-s-CI-Ock-hi-9h-t-im-e-il-----' 6 I _A---,SY,--nC_h_ro_no_us_C_IOC_k_lo_w_tim_e_.il _ _ _ _ _I,' Asynch. clock to output delay 'C1 = 35 pF 7 'I I Minimum clock period I '_f.--..:C=N:..:...T__ i_ln_te_rn_a_1m_ax_i_m_Um_f_req....:.u_e_nc....:.,.y__il_ _ _ _ _ I I 76.9 I '_t---!A=C=NT-=--+'_M_in_.a_s.:..-yn_Ch_._CIOC_k.:..-pe_ri_od_ _ _il_ _S_ee_N_o_te...;..(6-=-~_, 25 25 I~I , ns , 1---;-' 15 I 0 , '12' I 7 I 15 1 9 I, 13 I I '13 I Max. internal asynch. frequency , See Note (6) , l=f:M:A:X:=~I:~=M=a=x.=fre=q=ue=n=ct=:P=ipe==lin=ed=d=a=ta=~:I~========1 I f ACNT , , 1 ns , '-1_12_1_ _ 1_1_5_1~1 '9 I tACH I t CNT -,- ~ 20 'ns 1----IR+=I ~ l=l ~ I 1-, 7' 181 ;1 _ _ _ _ _ ' 6 t ACL t AC01 10 1 r-...;;- ~MaX ~unitl 1 12 C1 =35pF EPMS032 20 0 -C-IOC-k-IO....::..w-ti-me-----il- I Min 9 , t CL I EPMS032-2 Max Min 1 -.;1-_As...;..y_nc_hr_on_o_us_s_etu...;.p_ti_m_e_ _ '_t....:.A=s=-u EPMS032-1 I Conditions I 110 input to non-reg. output I t PD2 I 1 9' 20 I, 11 16 I I~: 1 ns I 16 1 I , 'ns' II' 25 II: I 20 I I~I__I~I__I I 1 nnss ns MHz 1 20 I ns II' I I I I 76.9 , I 62.5 1 '50' , MHz 83.3 I I 71.4 . I 62.5 I I MHz I For information on internal timing parameters, refer to App. Brief 75 (EPM5000-Series MAX EPLD Timing). Internal Timing Parameters Symbol Parameter ,~N t 10 . t EXP 1 I I Conditions Input pad and buffer delay I I/O input pad and buffer delay I Expander array delay , t /C tiCS t FD I t PRE I t CLR Output buffer disable delay Register setup time Flow-through latch delay I Registerdelay 'Combinatorial delay , Register hold time , Clock delay I 4 I I 8' I Output buffer and pad delay Output buffer enable delay' t xz t su t LA TCH t RD t COMB tH I I I I I I 6 4 I I I I 9 7 I 7 7 Unit I I I I 15 I 10 I 7 I 5 I I 11 I I I I I I ns ns ns ns ns I I , I I 4 5 ns , - - , - 7 - , - - ' - 8 - ' - - , - 1 - 1 - , - n - s-, I 1 I 5 I 6 I 1 I I 1 1 1 I I I I 7 I I I 6 I 1 I I , , , 'Register preset time I 1 I I I 5 I , System clock delay I A/tera Corporation I I EPMS~32 I 5 I I 10 I I , Feedback delay I Register clear time I 5 4 I C1 = 5 pF t OD EPMS032-2 I~ ~ Max Lfinl Max I I 'I Logic array delay t zx Min I I I I C1 = 35 pF t LAD t LAC Logic control array delay EPMS032-1 5 5 I I I 1 I I 1 1=1 I 9 8 ns I 1_ _1_8_1_ _I_n_s_I 1 I 1 = 1 12 I I 3 1 3 I I I I ns ns I I ns I 1 ns I 1_8_1 _ _1_1_0_1_ns_1 I 1 2 I '1' ns I 1 ns , I 6 I 1 9 ns I 6 1 I 1 3 I 9 1 I I ns I I Page 1351 EJ EPAf5032 DBta Sheet I Notes to tables: (1) (2) (3) (4) (5) (6) Minimum DC input is -0.3 V. During transitions, the inputs may undershoot to -2.0 V or overshoot to 7.0 V for periods shorter than 20 ns under no-load conditions. Numbers in parentheses are for military and industrial temperature versions. Typical values are for TA =25° C and Vcc =5 V. Vcc =5 V ± 5%; TA = 0° C to 70° C for commercial use. Va:. =5 V ± 10%;TA =-400 C to 85° C for industrial use. Vcc = 5· V ± 10%; Tc =-55° C to 125° C for military use. Measured with device programmed as a 32-bit counter. This parameter is measured with a positivEH! - I- ~ .§. C ~ :; 80 ci 60 0 5a. 5 Vee =5.0V Room Temp . 150 Vee = 5.0 V Room Temp. ?=' ~ .§. 100 Q) > 40 ~ 0 c( 0 0 0 50 0 1 KHz Vo Output Voltage (V) 10 KHz 100 KHz 1 MHz 10 MHz 50 MHz Maximum Frequency The EPM5064, shown in Figure 17, consists of 64 macrocells equally di vided into 4 Logic Array Blocks (LABs) that each contain 16 macrocells. Each LAB also contains 32 expander product terms. The flexibility of the LABs allows easy integration of any common PLD. The EPM5064 has 8 dedicated input pins, one of which may be used as a synchronous system clock that provides enhanced clock-to-output delays. The device has 28 I/O pins that can be configured for input, output, or bidirectional data flow. The I/O pins feature dual-feedback to allow any macrocell to be buried. Two of the LABs have 8 I/O pins (ensuring high speed for 8-bit bus functions) and the other two LABs have 6 I/O pins. I Page 138 Altera Corporation I Data Sheet EPM5064 I Figure 17. EPMS064 Block Diagram The EPM5064 has 64 maaocelJs divided into 4 Logic Array Blocks. 9 Input Input 35 11 Input InpurCI< 34 12 Input Input 33 13 Input Input 31 Dedicated Inputs System Clock 2 110 Pin LAB 0 MACROCELL 66 I/O Pin 1 4 110 Pin MACROCELL2 MACROCELL 55 I/O Pin 44 5 I/O Pin MACROCELL3 MACROCELL 54 I/O Pin 42 6 110 Pin MACROCELL4 MACROCELL 53 I/O Pin 41 7 I/O Pin MACROCELL5 MACROCELL 52 I/O Pin 40 8 110 Pin MACROCELL6 MACROCELL 51 I/O Pin 39 MACROCELL 50 I/O Pin 38 MACROCELL 49 I/O Pin 37 MACROCELLS 7·16 LABB MACROCELLS Programmable Interconnect Array (PIA) I/O Pin 30 15 VOPin MAC ROC ELL 17 16 VOPin MAC ROC ELL 18 17 VOPin MAC ROC ELL 19 MACROCELL 36 110 Pin 28 18 110 Pin MAC ROC ELL 20 MACROCELL 35 I/O Pin 27 I/O Pin 29 19 110 Pin MAC ROC ELL 21 MACROCELL 34 110 Pin 26 20110 Pin MAC ROC ELL 22 MAC ROC ELL 33 I/O Pin 24 22 I/O Pin MAC ROC ELL 23 23 I/O Pin MAC ROC ELL 24 MAC ROCELLS MACROCELLS 39-48 25-32 IA/tara Corporation Page 139 EJ I EPM5064 Dsts Sheet Absolute Maximum Ratings I Symbol Iv cc V pp Note: See Operating Requirements for EPLDs in this data book. I Parameter I Supply voltage I-p-rog..!...!...-Jra'--m-m-in...... g -SU-P-PIY-V-o-Ita-g-e Min Conditions , With respect to GND ---I See Note (1) I Max -2.0 7.0 -2.0 13.5 -2.0 7.0 Ii--V-'-'_ _ _ DC input voltage 1_'~M=AX:.!..-_ _!I_DC_V....:c=c:.....o_r_G_N_D_Cu_rr_en_t_ _ _ _ ,I_ _ _ _ _ _ _ _.!_ _ _ _!_ _400 __ ,_,-=O=uT-=--_ _1 DC output current, per pin I No bias I Ambient temperature I Under bias Junction temperature I Under bias I-T---'S==-T-G---I Storage temperature i-IT-J~---I Recommended Operating Conditions I Symbol -25 I , P o ' Power dissipation 1 T AMB 25 ~ I I ,I V , v I mA ,I mA 2000 I mW --65 +150 I DC --65 +135 I +150 I Max I DC DC I I 1 I See Note (2) I Parameter I I I Conditions Min I 1 Unit V--:::;. =.c_ _ _I-S-U-pp-IY-V-01-tag-e------+I--------1-4-.7-5-(4-.5-)I 5.25 (5.5) I - - v - - : c f-I 'I-v--,-,_ _ _llnput voltage I , I Vo -o--'u-tp-'-u-t-vo......l t - a g - e - - - - - - , : - - - - - - - - I ' T-A=------ Operating temperature , For commercial use i-, , TA Operating temperature 1 For industrial use If-T-'c'-'----- Case temperature 1 ,t Input rise time I R ,I I Symbol For military use , eC3 , V I--v--: -400 ,I ++7a05 ,I__D-=C:.....-_: '-55 I +125 1 1 100 I 100 Tri-state output off-state current , V CC supply current (standby) V cc supply current I Va 0 C l__n_s__, I o._a__li _ _V__: -0.3 1 IVI 1 I--n-s--: Min I Typ I Max Unit ---!.--'·-V-c-c-+-0.-!,·---' 3 2.0 V High-level TTL output voltage , I OH = -4 mA DC -L-o""W--le-v-el-o-ut-pu-t--'vo-Ita-g-e---><--I I OL = a mA DC 1-'---'1==--- Input leakage current II eCl I--c-o-n-di-ti-on-s-- High-level input voltage ,-V---'I":":L- - - Low-level input voltage I-,-'-o-z--- Vee I ---------,. I V OH , VOL Vcc 1 See Notes (2), (3), (4) Parameter ,. VI H , 0 DC ,!-t......:F_ _ _ _ -I--'np-u-tf-al-It-im-e-------I DC Operating Conditions 0 I_ _ 1 1 V 1--0-.4-5- , I - - v - - ' 2.4 V CC or GND -10 I = V CC or GND -40 1--+-4-0--l. -..... J.lA--: = I V I = V cc or GND = V CC or GND No load, f = 1.0 MHz VI 90 95 , +10 125 (200) 135 (225) i I J.lA mA mA See Note (5) Capacitance I Symbol I Parameter I Conditions ,-c-IN---II--'n-pu-t-ca-p-ac-ita-n-ce------, V IN = 0V, f = 1.0 MHz I_C_O=U::..:T_ _ _,I_O_u_tP,-u_tc_a..... pa_c_ita_n_ce_ _ _ _ _, VOUT = 0 V, f = 1.0 MHz IPage 140 Min .Max Unit 10 pF 20 pF Altera Corporation I I Data Sheet AC Operating Conditions See Note (4) External Timing Parameters Symbol t PDl t PD2 t su t H t COl t ASU t AH t t CH CL tACH t t t CNT f CNT I C1 Min =35 pF 1 I 30 I 1 1~_35_I_ns_1 I 1451 1 120 I 6 1 I ~I : EPM?064 IMax I Min IMax I Unit I 1551 l ns 1251 Insl 1 1 101--- 0-I--I-o---I~ , I 14 I 16 I 1 20 I ns I 5 6 8 I 1 I 1 I 10 1 9 1 11 1=1 I 1 1 30 20 1=1 I 20 ----150 I ns 1 1 ns 1 1 16 1 25 Minimum clock period Internal maximum frequency Minimum asynch. clock period See Note (6) Max. internal asynch. frequency See Note (6) 50 Max. frequency; pipelined data _ _ _ _I 62.5 I 10 1 12.5 1 14 1 11 1 -C-1-=-35-P-F-1 8 I I ns 1 lOI--12.5I--I-ns-1 8 ----I Asynchronous clock low time Asynch. clock to output delay 1 EPM5964-2 I Max 1 15 I ACOl MAX =35 pF C1 =35 pF I I f ACNT f Min 1 C1 I I t ACNT 1 EPMS064-1 I Conditions Parameter 1 Input to non-registered output 1 1/0 input to non-reg. output 1 Setup time I Hold time Clock to output delay 1 Asynchronous setup time Asynchronous hold time 1 Clock high time Clock low time Asynchronous clock high time ACL I EPM5064 14 1 I 1 ns 1 1=1 1 35 ns 1 1 ns 1 25 1 = 1 30 1 ns I 1_4O_1__1~1__1~1 I I 40 1 50 I I 25 I 1 I 33.3' 1=1 40 30 I E] I ns , MHz I 1 = 1 MHz 1 For information on internal timing parameters, refer to App. Brief 75 (EPM5000-Series MAX EPLD Timing). 1 Internal Timing Parameters I Symbol I Parameter I Conditions --1'----- EPM5064-1 Min I-t-,N--"I--Inp-u-tp-ad-a-n-d-bu-ffe-r-de-Ia-y 1 t 10 1 t EXP 1 1/0 input pad and buffer delay 1 1 Expander array delay 1 '-t-"'L=AO'---'I--LO....:.9-iC-a-rra-y-de-=-la-y--"---·I'-- - - - t LAC 1 Logic control array delay 1 '-t--=o=o=<----'I--O-"ut'--Pu-t-bu-ff-er-a-nd"":"'p-ad-d"":"'e-Ia-y- I C1 t ZX 1 Output buffer enable delay 1 = 35 pF I _t-=C=O=MB:.....-+I_c_om_b_in_at_or_ia_1d_e--'Iay'----_ _ _ll_ _ _ _ _ I t H ' 1 Register hold time 1 1 -t-'-'lc--'I--C"""::lock'---de-la-y- - - - - ' 1 - ----I 1 = 1 6 1 = 1 9 1 ns 1 I [14 I 20 1 ns 1 '--'-14-1--'-16-'--;;;-1 1 10 '--'-12-1--1-13-,-n-s-I I 3 1 IAltera Corporation 1 1 1 14' 1 14 I I 1 3 1--1-4-1--1-4-I-n-s-, 1-1- ' - - ' - 2- ' - - ' - 2-I-ns-, I I I 1--'-8-'--1-10-1--I-n-s-I I 6 I t CLR . t PIA 1 I 10 I 11 I 13 ns I 1-10-'--1-11-1--1-13-1--;;;-1 'I 1 I 1 6 1 12 1 12 i-t-!.P~R-E-+I-R-eg-is-te-r-pr-es-et=--ti-m-e---I 1 Progr. Interconn. Array delay I 1--'-7-1--1-9-,-n-s-1 I 1 Register clear time EPM5064 5 _t.....:.;lc=s'--_:I:_s...;;..y_ste_m_c_lock_de_la-'-y_ _ _+I_ _ _ _1 t FO Feedback delay I I 1_ _1_5_'_ _1_5_1_ _1_6_I_n_s_I -t-=x=z--'I--O-ut-pu-t-bu-ff-er-d-isa-b-Ie-d-ela-y--'I--C-1=-5p-F--, t su 1 Register setup time 1 , 6 t LATCH 1 Flow-through latch delay 1 1 ,-t-"'R'-'-'-O=':"--,'-R-e-gis-te-rd---'e'--Iay----'----I----" I I EPM5064-2 I1Max I Min IMax I Min IMax I Unit I 1 2 1 1 5' I I 5 I I 1 ns 1 I ns I '-1-6-1--'-1-8-I-ns-I I 8 4 1 I I I 2 1 6 1 6 I 16 1 1 4 I 12 1 1=1 3 1 1 2 7 1 1 7 1 ns I 1 1 20 1 ns 1 Page 141 I I I I I 1 ns ns ns 1 I 1 I EPM5064 Data Sheet I Notes to tables: Minimum IX input is ~.3 V. During transitions, the inputs may undershoot to (1) (2) (3) (4) (5) (6) -2.0 V or overshoot to 7.0 V for periods less than 20 ns under no-load conditions. Numbers in parentheses are for military and industrial temperature-range versions. Typical values are for T A = 25° C and Vee = 5 V. Vee = 5 V ± 5%, TA = 0° C to 70° C for commercial use. Vee =5 V ± 10%, TA =-400 C to 85° C for ind ustrial use. Vee =5 V ± 10%, Te =-55° C to 125° C for military use. Measured with device programmed as a 16-bit counter in each LAB. This parameter is measured with a positive-edge-triggered clock at the register. For negative-edge clocking, the tACH and tAa. parameters must be swapped. Product Availability Grade Availability Commercial (0° C to 70° C) Industrial (-400 C to 85° C) EPM5064 (-55° C to 125° C) EPM5064 I Military EPM5064-1, EPM5064-2, EPM5064 Note: Only military-temperature-range EPLDs are listed above. MIL-STD-883-compliant product specifications are proVided in Military Product Drawings (MPDs), available from Altera Marketing by calling 1 (800) SOS-EPLD. These MPDs should be used to prepare Source Control Drawings (SCDs). See Military Products in this data book. I Page 142 Altera Corporation I EPM512S1 o o Features o o o General Description High-density 128-macrocell general-purpose MAX EPLD 256 shareable expander product terms that allow over 32 product terms in a single macrocell High-speed multiple-LAB architecture tpD as fast as 25 ns Counter frequencies up to 50 MHz Pipelined data rates up to 62.5 MHz Programmable 1/0 architecture allowing up to 60 inputs or 52 outputs Available in 68-pin windowed ceramic or plastic one-timeprogrammable J-lead packages and in 68-pin windowed ceramic PCA packages The Altera EPM5128 is a user-configurable, high-performance MAX EPLD that provides a high-density replacement for 7400-series 551 and MSI TTL and CMOS logic. (For example, a 74161 counter uses only 3% of the EPM5128.) The EPM5128 can replace over 60 TfLMSI and 551 components and integrate multiple 20- and 24-pin low-density PLDs. Figure 18 shows the J-Iead and PCA package diagrams for the EPM5128. Figure 18. EPM5128 Pin-Out Diagrams A quad flat pack (QFP) package is under development. Contact Altera Marketing for information. See Table 1 in this data sheet for PGA package pin-outs. Package outlines not drawn to scale. a gggggg~iii§iggggg 110 110 110 110 110 110 GNO 110 110 110 vee 110 110 110 110 110 110 o EPM5128 PIN 1 LO LO LO LO LO LO vee 110 LO LO GNO LO LO LO LO LO LO K 0000@@@00 00000@0@000 ~ 00 ~~ ~~ 00 00 ~:m 00 00 00 00000 c 00 00 00000000000 000000000 EPM5128 G F E o EPM5128 B A 1 J-Lead 1 Altera Corporation 2 3 4 5 6 PGA 7 8 9 10 11 QFP Page 1431 a EI I EPM5128 Data Sheet I Figure 19 shows output drive characteristics of EPM5128 I/O pins and typical supply current versus frequency for the EPM5128. Figure 19. EPM5128 Output Drive Characteristics and Icc vs. Frequency 400 100 IOL ci >. I- ;( .s C ~ :; 80 ci ~ Vee =5.0V Room Temp. 60 () "5 Q. "5 .. 300 ;( .s (J) > Vee = 5.0 V Room Temp. 200 +=I 0 40 « 0 0 .2 0 0.45 1 2 3 Vo Output Voltage (V) 100 10 KHz 100 KHz 1 MHz 10 MHz so MHz Maximum Frequency The EPM5128 consists of 128 macrocells equally divided into 8 Logic Array Blocks (LABs) that each contain 16 macrocells (see Figure 20). Each LAB also contains 32 expander product terms. The EPMS128 has 8 dedicated input pins, one of which may be used as a synchronous system clock. The EPM5128 contains 52 I/O pins that can be configured for input, output, or bidirectional data flow. Four of the LABs have 8 I/O pins, and the other 4 haveS I/O pins. I Page144 Altera Corporation I I DataShHt EPM5128 Rgure 20. EPM5128 Block Diagram Numbers in parentheses are packages. for 32 (L4) c::> Input c::> Input c::> 34(L5) Input PGA .---- ~ Input 1 (86) InputICLK 2 (A6) LAB A 4 (A5) 5 (B4) 6 (A4) 7(B3) 8 (A3) 9 (A2) 10(B2) 11 (B1) c--- ~ ~ ~ 13(C1) ~)' MACROCELL 113 MACROCELLS MACROCELLS 9-16 121-128 \7 28(L2) 29 (K3) 30 (L3) 31 (K4) t t MACROCELL 100 {7 ..... ... , MACROCELL 99 .A ~ ...-L MACROCELL 98 -"'" ... .... , MACROCELL 97 Programmable Interconnect Array (PIA) ~ ~ ~ C-- IAltera Corporation {7 59(C11) f--CI 58 (C10) - C 57(011) :g 56(010) 55(E11) ~ 53(F11) -C 52(F10) MAC ROC ELL 82 :g :g h .... .... MACROCELL 81 ---iOJ 46 (J10) MACROCELL 83 .A. ..... h ... ..... MACROCELLS MACROCELLS 38-48 86-96 0 LAB F MACROCELL 84 MACROCELL 35 c-- 61 (810) 60(811) 102·112 MACROCELL 85 MACROCELL 34 MACROCELL 37 62 (A10) MACROCELLS MACROCELL 33 MACROCELL 36 tg 64 (A9) 63(89) LABG MACROCELL 18 MACROCELL 21 C- \7 MACROCELL 101 LAB D 27(K2) MACROCELL 116 MACROCELL 17 LABC 26(K1) ..... MACROCELL 114 22-32 25 (J1) MACROCELL 117 .... .... MACROCELL7 MACROCELLS 24 (J2) ..... MACROCELL8 ~ 23 (H1) .... MACROCELL 115 MACROCELL 20 22 (H2) MACROCELL 118 .A MACROCELL6 15(01) 21 (G1) LABH ~ ~ _h MACROCELL5 ~ ~ '1'1 ;--C 65(88) ...-L ~ 35 (K6) MACROCELL 119 MACROCELL4 C- Input MACROCELL2 MACROCELL 19 19(F1) System Clock 36(L6) MACROCELL 120 0- 18(F2) , Dedicated Inputs 66(A8) Input MACROCELL 1 14 (02) 17(E1) , MACROCELL3 LABB 12 (C2) r::::::: 68(A7) Input t t 0 51 (GIl) 49(H11) 48(H10) 47(Jll) LAB E MACROCELL 49 MACROCELL 72 MACROCELL 50 MACROCELL 71 - C 45(K11) MACROCELL 54 MACROCELL 67 MACROCELL 55 MACROCELL 66 :g :g :g MACROCELL 56 MACROCELL 65 - C 38(L7) MACROCELLS MACROCELLS 57-64 73-80 MACROCELL 51 h .... MACROCELL 52 .A MACROCELL 53 ..... .... MACROCELL 70 ..... MACROCELL 69 h .... MACROCELL 68 44 (K10) 43 (UO) 42 (L9) 41 (K9) 40(L8) 39 (K8) Page 145 II Data Sheet EPM5128 Absolute Maximum Ratings · I I Symbol Note: See Operating Requirements for EPLDs in this data book. I Parameter Conditions Min I With respect to GND Vee Supply voltage V pp Programming supply voltage 1 I Max -2.0 7.0 -2.0 13.5 -2.0 7.0 DC input voltage I I I MAX DC V cc or GND current ---------i---- 500 lOUT DC output current, per pin _ _ _ _ _ _ _ __ 25 Power dissipation 1----------1---- I VI Po T STG T AMB Storage tempe~ature Ambient temperature TJ Junction temperature Recommended Operating Conditions I Symbol I Vee See Note (1) I I No bias -25 i I I I DC DC Conditions Min Max Unit 4.75 (4.5) 5.25 (5.5) V 0 Vee V 0 Vee V 0 +70 DC Operating temperature For commercial use Operating temperature For industrial use -40 +85 DC Case temperature For military use -55 +125 DC Input rise time 100 ns Input fall time 100 ns I See Note (2), (3), (4) Conditions Parameter I:_V-=OH'-'--_ _ I High-level TTL output voltage 1,_v-=oL=--_ _1 Low-level output voltage I Input leakage current I'---'Io-z---I Tri-state output off-state current ICC3 DC See Note (2) I I:_v-.!!::IL_ _ _I Low-level input voltage II CC1 mW 1 I +150 I:_v---,-,-IH"---_ _ High-level input voltage I II . mA I_uc:....n_d-'-er_b'--'ia....:,s_ _ _ __ Supply voltage I +150 V l=:::j I I Output voltage Symbol 2500 I +135 Input voltage I -65 .~ I""';u""';n=-d=-e':';':rb=-ia-s------ --..:;,.;;..-65 Parameter DC Operating Conditions I I V cc supply current (standby) V CC supply current Min Typ 2.0 -0.3 IOH =-4 mA DC Max I Unit Vec +0.3 I V 0.8 1 ___I 2.4 IOL =8mADC 0.45 V I = Vee or GND -10 I V 0 = V CC or GND -40 +10 +40 V V I V I I !!A !!A I V I = Vec or GND 150 225 (300) I mA V I = V CC or GND 155 250 (350) mA No load, f = 1.0 MHz See Note (5) Capacitance I Symbol I C IN I Parameter I Input capacitance I Conditions I V IN = 0 V, f = 1.0 MHz I=C=:O:UT======I_o_u_t:.....pu_t_ca...:.p_a_ci_ta_nc_e_ _ _ _ _ _ 1 VOUT= 0 V, f = 1.0 MHz Page 146 Min Max Unit 10 pF 20 pF A/tera ~orporation I IData Sheet EPM512S1 AC Operating Conditions See Note (4) ExtmnalTlmlng Paramelllfs EPM5128-1 !-S_ym_bo_I_I-___ p_ar_ame_t_er_ _ _I_co_n_d_iti_on_s-l-_M_in-!I Max I EPM5128-2 I ~I I EPM5128 I I Min I Max I Unit I I I I ,-I I Max 1_3O_1__I_35_I_n_s_I _t.....:;P-=D-=-1__!_In...;....pu_t_to_n_on_-r_eg,-is_te_red_ou_tp_u_t_I C1 = 35 pF _25_ t PD2 I VO input to non-reg. output I-C-1-=-3S-P-F--I---I 40 R=I _t-=su=----_'_S_etu......;..p_tim_e_ _ _ _ _ 1 t H I Hold time l-t--=-C=-O-1-,-C-IOC-k-I-O-ou-tp-ut-d-ela-y---I Cl = 35 pF I t ASU I Asynchronous setup time I 5 I I 81 I Clock high time I I-C-IOC-k-Io-'-w-ti-me-----I Asynchronous clock high time 1 t ACL Asynchronous clock low time I 1 t AC01 Asynch. clock to output delay 1 C1 = 35 pF I t CHT 1 f CNT 1 t ACHT Minimum dock period Internal maximum frequency Minimum asynch. dock period I f ACHT f MAX Max. internal asynch. frequency 1 See Note (6) Max. frequency; pipelined data I ns I 6 I I 8 I I ns I 1-----sj--1sl~-1-0-I--I~ 1 tACH I 55 15 - - 20 25 ~ 0 0 I 0 I I ns I ' - - / - 1 - 4-/--1-1-6-1--1-2O-1~ I Ii-t--'A=H=----rI_A_sy_n_ch_ro_n_ou_s_hO_ld_ti_·m_e_ _ 1 I t CH I t CL I 45 I I 8 I 1101 I 10 I I 12.5 I 12.5 I I I I ns ns I I 1 11 1 1 14 I 1 I ns 1 I I I I I See Note (6) I 50 1 I I 9 I I I I 1 20 20 I 40 1 ns 1 I I 33.3 I MHz I 1-25-1--1-30-1----;;-1 I I I 11 40 I I I 30 25 I I I 16 I I I 25 1 50 1 62.5 I 1 14 1 33.3 1 I 35 I 30 I I I I I ns I ns I I MHz I 1-50-1--1-4O-1--1~1 For information on internal timing parameters, refer to App. Brief 75 (EPM5000-Series MAX EPLD Timing). 1 Internal Timing Parameters I Symbol I I t Parameter I EPM5128-1 1 EPM5128-2 1 EPM5128 1 1 I-c-o-n-di-Uo-n-s-!I Min I Max I Min I Max I~I Max I Unit I I Input pad and buffer delay I I 5 I t 10 1 t EXP I t LAD I t LAC 1 t OD 1 tzx 11/0 input pad and buffer delay I I 6 ~1-6-1--I-g-l-n-s-I 1 Output buffer enable delay I . t xz t su 1 Output buffer disable delay I-C-1-=-S-PF--!1 IN I I Expander array delay , Logic array delay I -----1 I Logic control array delay 1 Output buffer and pad delay C1 = 35 pF 7 I - - I - g - I - n - s-I 1 12 1 12 1 1 1 14 1 14 1 I 20 I ns 1 1--1-16-I-n-s-I 1 I 10 1 1 12 1--,-13-I-n-s-I 1 1 5 1 1 5 1--'-6-,-n-s-I 1--1-10-1--1-11-1--'-13-1~ 1 10 1 1 11 1--'-13-1----;;-1 1 8 1 1-10-1--I-n-s-1 1 Flow-through latch delay I I 1 t LA TCH 1 1 3 1 1 4 1 '4 1 ns t RD t COMB tH t /C 1 Register delay I 1 1 1 1 1 2 1 1 2 1 ns 1 Combinatorial delay , 1 Clock delay 1 t /cs 1 System dock delay 1 t FD I Register setup time I 1 Register hold time I Feedback delay I t CLR I Register clear time I I ----;-----1 I I_t...:..p..=.;/A'---_,I __P_rOQ=.r_.l_nt_er_co_n_n._A_rra~y_de_la~y__,_ _ _ _ _1 IAltera Corporation 6 I -t"':"P=-RE--il--R-eg-is-te-r-pr-es-et'-ti-m-e I I I 6 3 I I I 1 14 1 I 2 I I 1 I 15 1 I 8 1 4 I I I 10 I 1 16 1 I 18 1 2 I I 3 I ns I I 1 1 6 I I 2 7 I 1 ns ns 1 1 I ns I I ns I 1 5 I I 6 I I 14 I I 16 1 I 1 I I 4 I 7 I 20 I ns I I ns I 1 ns I Page 147 I 3 Data Sheet \ EPAl5128 Notes to tables: (1) Minimum DC input is -0.3 V. During transitions, the inputs may undershoot to -2.0 V or overshoot to 7.0 V for periods less than 20 ns under no-load conditions. (2) Numbers in parentheses are for military and industrial temperature-range versions. (3) Typical values are for TA = 25° C and Vee = 5 V. (4) Vee =5 V ± 5%, TA =0° C to 70° C for commercial use. Vee = 5 V ± 10%, TA = -40" C to 85° C for ind ustrial use. Vee =5 V ± 10%, Tc =-55° C to 125° C for military use. (5) Measured with device programmed as a 16-bit counter in each LAB. (6) This parameter is measured with a positive-edge-triggered clock at the register. For negative-edge clocking, the tACH and tAo.. parameters must be swapped. Product Availability 1_________G_r_ad_e__________!__________ I Commercial I Industrial I Military (0° C to 70° C) A_v_a_ila_b_il_i~__________~ EPM5128-1, EPM5128-2, EPM5128 (-40° C to 85° C) EPM5128 (-55° C to 125° C) EPM5128 Note: Only military-temperature-range EPLDs are listed above. MIL-STD-883-compliant product specifications are provided in Military Product Drawings (MPDs), available from Altera Marketing by calling 1 (800) SOS-EPLD. These MPDs should be used to prepare Source Control Drawings (SCDs). See Military Products in this data book. Table 1 shows the pin-outs for the EPM5128 PCA package. Table 1. EPM5128 PGA Pin-Outs Pin Function Pin Function Pin Function A2 A3 A4 A5 A6 A7 AS A9 Al0 81 82 B3 110 B10 B11 C1 C2 C10 C11 01 02 010 011 El E2 E10 El1 F1 F2 FlO F11 I/O I/O G1 G2 G10 G11 H1 H2 Hl0 Hl1 Jl J2 Jl0 Jll K1 K2 K3 K4 K5 K6 I/O VCC GNO I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O GNO Input B4 B5 B6 B7 B8 B9 Page 148 I/O I/O I/O Input Input Input 110 I/O I/O I/O I/O I/O VCC Input/ClK GNO 1/0 I/O 110 I/O I/O 110 I/O I/O I/O I/O I/O GNO VCC I/O I/O 110 1/0 I/O Pin K7 KS K9 K10 K11 l2 l3 l4 l5 l6 l7 lS 19 L10 Function VCC 110 I/O I/O 110 I/O I/O Input Input Input I/O I/O 110 I/O Altera Corporation I EPM51301 o o Features o o o o o o General Description High-density 128-macrocell general-purpose MAX EPLD 128 macrocells optimized for pin-intensive applications, easily integrating over 60 TIL M51 and 551 components High pin count for 16- or 32-bit data paths 256 shareable expander product terms More than 32 product terms in a single macrocell 128 additional latches provided bycross-couplingexpanders All inputs can be latched without using macrocells 20 high-speed dedicated inputs for fast latching of 16-bit functions Multiple LAB architecture ensuring high speeds tpD as fast as 25 ns Counter frequencies up to 50 MHz Pipelined data rates up to 62.5 MHz Synchronous clocking providing fast clock-to-output delays for busoriented functions Programmable I/O architecture that allows up to 84 inputs or 64 outputs in a windowed ceramic PGA or QFP or plastic QFP package The Altera EPM5130 is a user-configurable, high-performance MAX EPLD that is optimized for pin-intensive designs. It provides a high-density replacement for 7400-series 551 and MSI TIL and CMOS lOgic. Package pinout diagrams for the EPM5130 are shown in Figure 21. Figure 21. EPM5130 Pin·Out Diagrams See Table 2 in this data sheet for QFP pin-outs. Package outlines not drawn to scale. g iii i ~ i g g PIN 1 o EPM5130 110 110 110 110 i/O 110 110 110 110 110 110 10 110 110 110 10 110 110 110 110 K vee vee 110 H 110 110 G 110 GNO GNO F 110 110 110 110 110 GNDGND 110 110 110 10 110 vee vee o 110 110 110 10 110 110 110 110 110 110 110 110 110 110 110 110 1 2 3 4 5 II 7 II II 10 11 12 13 PIN51 QFP PGA l- I Altera Corporation I- g g i~ ~ i~ Q Q ~ ~ 0 ~ I- ez i c:I ~ ~ ~ ~~ ~~ ~~ ~~ Q Psge149 I II I EPM5130 Data Sheet I The EPM5130 EPLD is available in a windowed ceramic pin grid array (PCA) or 1oo-pin windowed ceramic or plastic one-time-programmable quad flat pack (QFP) package. A single EPM5130 can quickly integrate multiple 20- and 24-pin lowdensity PLDs and high-pin-count subsystems, such as custom DMA controllers. In addition, it can handle a 32-bit data path application with enough I/O to allow the required control signals to be implemented. Figure 22 shows output drive characteristics of EPM5130 I/O pins and typical supply current versus frequency for the EPM5130. Figure 22. EPM5130 Output Drive Characteristics and Icc vs. Frequency 500 100 ci >- ~ ;( 80 ci g 'E ~ :; ~ Vee =5.0V Room Temp. 60 u '5 a. S 400 ;( g Vee =5.0V Room Temp. 300 Q) > ~ 0 40 « 0 200 0 ..!:? a 100 2 3 Va Output Voltage (V) 1 KHz 10 KHz 100 KHz 1 MHz 10 MHz so MHz Maximum Frequency The EPM5130 consists of 128 macrocells equally divided into 8 Logic Array Blocks (LABs), each containing 16 macrocells and 32 expander product terms (see Figure 23). Expander product terms can be used and shared by all macrocells in the device to ensure efficient use of device resources. Because the LAB is very compact, the high speeds required by most I/O subsystems are maintained. The EPM5130 has 20 dedicated input pins that allow high-speed input latching of 16-bit functions. One of these inputs can be configured as a synchronous system clock to provide enhanced clock-ta-output delays for bus-oriented functions. The EPM5130 also has 64 I/O pins, 8 in each LAB, that can be configured for input, output, or bidirectional data flow. Dual feedback on the I/O pins provides the most efficient use of device pin resources. I Page 150 Altera Corporation I EPM5130 IOatsShHt Rgure 23. EPM5130 Block Diagram 9 (AID) IIlIU 10 (B9) Numbers in parentheses are for PGA packages. IIlIU 11 (A9) IIlIU 14 (A8) IIlIU 2 (CI2) 110 Pin 3 (A13) 110 Pin 4 (812) 110 Pin 5 (A12) 110 Pin 6 (811) 110 Pin 7 (All) 110 Pin 8 (810) 110 Pin 23 (A4) 110 Pin 24 (84) 110 Pin 2S (Al) 110 Pin 26 (A2) 110 Pin 27 (83) 110 Pin 28(M) 110 Pin 29 (B2) 110 Pin 30 (81) 110 Pin 32 (Cl) 110 Pin 33 (02) 110 Pin 34(01) 110 Pin 35 (E2) 110 Pin 36(El) 110 Pin 39(Fl) 110 Pin 4O(G2) 110 Pin 110 Pin 42 (Gl) 110 Pin 4S (H3) 110 Pin 46 (Jl) 110 Pin 47 (J2) 110 Pin 48(1<1) 110 Pin 49(1<2) 110 Pin 50 (l1) 110 Pin 66(l7) 64 (N6) ~InPl' 67(N7) 20 (C6) IIlIU ::::::- <:JInPl' 70 (l8) 21 (AS) IIlIU ::::::- =InPl' 71 (N9) IIlIU 8lnPl' 72 ~ g: .. ~ .. LABB t System Clock MACROCELL2 MACROCELL 119 ..... .A. J-.. ...... ~ 1'00"'= .... ~ ~ MACROCELL 70 MACROCELL 69 MACROCELL 68 MACROCELLffl MACROCELL 55 MACROCELL 66 MACROCELL 56 MACROCELL 86 MACROCELL5 MACROCELL5 57-64 73-60 :g :g :g :g :g :g :g ~ 110 Pin 80 (MI3) 110 Pin 79 (MI2) 110 Pin 110 Pin 110 Pin 110 Pin 78 (NI3) 7S(Nll) 110 Pin 74 (Ml0) 110 Pin 73 (Nl0) 110 Pin 58 (M4) 110 Pin 57(N3) 110 Pin 56(M3) 110 Pin 110 Pin 110 Pin 55 (N2) 53 (Nl) 110 Pin 110 Pin 51 (Ml) n(Mll) 76 (NI2) 54 (M2) 52 (L2) Page 151 EJ I EPM5130 Preliminary Data Absolute Maximum Ratings I Symbol I I I I Conditions Min Max With respect to GND -2.0 7.0 See Note (1) -2.0 13.5 Parameter Programming supply voltage vpp I Note: See Operating Requirements for EPLDs in this data book. Sueel~ voltage vee Data Sheet V, DC ineut voltage I MAX DC V cc or GND current lOUT DC output current, per pin -2.0 1 I I --'Po:<.-_ _ _, Power dissieation ;-1 I_T..:sc..:..:TG=--_ _1 Storage temperature 7.0 I~I 2500 1 +150 1 No bias -65 500 25 ~ EE mA mW 1 0 C ---6-5--~'--o......;C~I_T-=.J_ _ _I_J_u_nc_tio_n_te_m.-.,p_e_ra_tu_re_ _ _ _ _,I._u_n_de_r_b_ias_ _ _ _ _._ _ _ I~1 C '_T....:..;A::..:.:;MB=--_ _I_A_m_b_ie_nt_te_m->..pe_r_at_ur_e_ _ _ _ _I_u_n_de_r_b_ias_ _ _ _ _ 0 Recommended Operating Conditions I Symbol I Parameter Conditions 1 Min Max Unit I _vc=c"'-_ _I......;s~u""__'pp. . . .ly'_v;,...;;.0....;,;lta~g_e . _ _ _ _ _ _ _!I._ _ _ _ _ _ _ _ _ _ 4_.7_5_,' _ _5......;.2....;,;5_1 V ;-1 ~I_V~I_ _ _I_I~np_u_tv_O_lta~g_e_ _ _ _ _ _ _i'._ _ _ _ _ _ _ _ _ _ _ _O__i___V~c~c-I' VV 1;-V_o~____I_o~u=tP....;,;ut:.....v,;,,;;o,.;,,;.lta=g~e_ _ _ _ _ _I_________. . . ;o=_________ V c=c_ I TA I For commercial use , Operating temperature ;-,T--'A:...:.....-----' Operating temperature , For industrial use 0 +70 -40 +85 I 0 C 0 C I I I TC I Case temperature For military use -55 + 125 1 0 c I :'t=R:======'-I:.....np....;,;u:.....tr....;,;is......;e~tim......;e~:.....-------!I·~~~~~---!-~~-!---100=--~ ~It--'F_ _ _ _I-I--'np-u-tf-al-It-im-e--------I!·--------'----l---1oo--I~1 DC Operating Conditions I I Symbol vI H IV Vee = 5 V ± 5 %, TA = 0° C to 70° C for commercial use, 1----p-a-ra-me-te-r----II.:--Co-n-di-ti-On-s-----li-----M_a_x__il._u_n_it~ 'loW-level outeut voltage I II I Input leakage current /i oz I Tri-state output off-state current 1 I CC1 I V CC supply current (standby) ICC3 V cc + 0.3 / High-level input voltage I low-level input voltage I~__I High-level TTl outeut voltage II , VOl See Note (2) V CC supply current 1. _ _ _ _ _ _ _ _ _ _ _ II OH I l I V ______ O._8__ =.==v==: i i =-4 mA DC V 1,_I-"O=l-=-8-m-A-DC--~i---_i_-- _----'0....;,;.4;..;;,5__l._......;v_-i I V I = V CC or GND +10 I Vo V CC or GND I V I = V CC or GND = VI = V cc or GND 180 il _~J!A~_ +40 ,I_..L;;J!A"--_: 250 mA 275 mA Max Unit 10 pF 20 pF No load, f = 1.0 MHz See Note (3) Capacitance 1_ _ Sy_m_b_O_I_,I,----p-a-ra-me-te-r____I , C IN I Input capacitance I VIN = °V, f =1.0 MHz ,=C:O:U~T~-_-_-_-,:"-O--'u"--tP-u:.....tc=a=pa:.-c-ita..:...n:.....ce-----' I Page 152 Conditions VOUT= ° V, f = 1.0 MHz Min Altera Corporation I IData Sheet AC Operating Conditions Externa~ Symbol t P01 t PD2 t su tH t t t t t C01 ASU I CL tACH 1 t ACL t AC01 t CNT f CNT t ACNT f ACNT f MAX Vee = 5 V ± 5 %, TA = 0° C to Timing Parameters Parameter I Input to noo-registered output 110 input to non-reg. output I Setup time I Holdtime 1 Clock to output delay I Asynchronous setup time Asynchronous hold time Clock high time AH CH Preliminary Data I Clock low time 1 Asynchronous clock high time 1 Asynchronous clock low time I Conditions 70° C for commercial use EPM5130-1 1 Min EPM5130-2 Max Min EPM5130 I ~ ~ 25 I 30 35 40 1 45 55 I I C1 =35 pF 1 I I I 1 1 : 1 1 1 I' I Asynch. clock to output delay 1 1 C1 1 Minimum dock period jlnternal maximum frequency I 1 Minimum asynch. dock period 1 See Note (4) =35 pF 1 I Max. internal asynch. frequency 1 See Note (4) I Max. frequency; pipelined data I I~~= 20 0 0 14 I 6 1 1 6 1 8. 1 . 8 I 1 10 I ns I ns 1 1 1 ns 1 10 1 12.5 . 1 ns • ns '-1_1_6_1 _ _1_20_1 5 8 11 I I Unit 1 C1 =35 pF 15 1~ r~ ~Max 1 C1 = 35 pF I I EPM5130 I . 8 I 1 1 1 10 1 14 1 1 12.5 1 1 ns 1 1--1-1-6-I--I-n-s-I 9 i I 11 1 50 I I I 40 I 1 1 1 40 1 I 14 I I ns 1 1~-25-I---30-I--'-35-I-n-s-I I I 1 I I 1 50 20 20 1 I 62.5 I I _1__I_30_I~1 I 33.3 I I MHz I 25 1 I 30 I ns I 1 33.3 I 1 MHz 1 1_25 I 50 '40 I I MHz I For information on internal timing parameters, refer to App. Brief 75 (EPM5000-Series MAX EPLD Timing). I I Internal T~ming Parameters Symbol Parameter I I Conditions I t IN It I Input pad and buffer delay 1 I 110 input pad and buffer delay ,I 1 t EXP , Expander array delay 10 EP~130-1 Min 1 EPM5.130-2 1 EPM.5130 I I 7 I 6 1 I I I I I IMax I Min IMax I Min I M~x I Unit I I I I 5 1 I 6. 12 9 9 I I ns ns ' - ' _ 1 4 _ ._ _ ~'~1 _I 1 t LAO logic array delay 1 12 '-1_14_1_ _1_16_I_n_s 1 t LAC logic control array delay I 1--=1 12 1 1 13 1 ns 1 I I I 1 ns 1 I t 00 I tzx =35 pF Output buffer and pad delay Output buffer enable delay C1 I t xz Output buffer disable delay C1 = 5 pF 1 t LATCH Register setup time Flow-through latch delay I t su 1 t RO t COMB tH t IC t ICS t FO t PRE t CLR Register delay Combinatorial delay I I I I 10 I 5 I 5 6 1--1-10-1--1-11-1--1-13-1~1 I I I 1 Register hold time , Clock delay I System dock delay 1 Feedback delay 1 Register preset time 1 _R_eg,,-i_ste_r_cle_a_rt_im_e_ _ _ _ - - - - - ; 1 _t~P.=...!IA _ _ _ P_rog...::<.-r._In_te_rc_on_n_.A_rr-,ay,--d_el--,aY~_'_ _ _ _ _ A/tera Corporation 10 JI 6 I I I 3 I 1 1 3 1 I I 11 I 8 1 1 4 I I 1 I 2 4 I I 13 10 1 I 1 ns I ns I I 1-' 2 4 1 1 -I 1~-4-I-n-s ns ns I I . 2 8 1 ----w-I--I~I ~1-1-6-'--1-1-8-I~I 1 1 - 2-11-3-1~1 I I 1 1 I 1 I 5 1 I 6 I ~I-n-s-I 1 16 I 1 20 6' I' 14 2 I ns I 1_6_1__1_7_1~ 1~_ _ 1141 I 1 ns Page 153 1 I 3 I EPM5130 DataShHtl Notes to tables: (1) (2) (3) (4) Minimum DC input is -0.3 V. During transitions, the inputs may undershoot to -2.0 V or overshoot to 7.0 V for periods less than 20 ns under no-load conditions. Typical values are for TA =25° C and Vcc =5 V. Measured with device programmed as a 16-bit counter in each LAB. This parameter is measured with a positiv~ge-triggered clock at the register. For negative-edge docking, the tACH and tAc. parameters must be swapped. Product Availability Commercial Industrial I Military I Availability Grade EPM51~1,EPM51~2,EPM5130 (0° C to 70° C) (-40° C to 85° C) Consult factory (-55° C to 125° C) Consult factory I Note: Only military-temperature-range EPLDs are listed above. MIL-STD-883-compliant product specifications are provided in Military Product Drawings (MPDs), available from Altera Marketing by calling 1 (800) 5OS-EPLD. These MPDs should be used to prepare Source Control Drawings (SCDs). See Military Products in this data book. Pin-outs for the quad flat pack (QFP) are shown in Table 2. Table 2. EPM5130 QFP Pin-Outs Page 154 Pin Function Pin Function Pin Function Pin Function 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 I/O 1/0 1/0 I/O I/O I/O 1/0 I/O Input Input Input 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 I/O 1/0 1/0 I/O I/O 1/0 1/0 I/O 1/0 I/O I/O 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 1/0 1/0 1/0 1/0 1/0 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 1/0 1/0 I/O I/O I/O I/O I/O I/O I/O I/O I/O GND GND Input Input Input/ClK Input Vee Vee Input Input Input I/O I/O I/O GND GND I/O I/O I/O I/O Vee Vee I/O I/O I/O I/O I/O I/O 110 1/0 I/O Input Input Input GND GND Input Input Input Input Vee Vee Input Input Input I/O 1/0 I/O GND GND I/O I/O I/O I/O Vee Vee I/O I/O I/O I/O I/O I/O A/tara Corporation I EPM5192I o Features o o o o General Description 192 macrocells for easy replacement of over 100 TIL devices and for integration of complete logic boards into a single package 384 shareable expander product terms that offer flexibility for register and combinatorial logic expansion Multiple LAB architecture that ensures high speeds tpD as fast as 25 ns Counter frequencies up to 50 MHz Pipelined data rates up to 62.5 MHz Programmable I/O architecture allowing up to 72 inputs or 64 outputs, and I/O tri-state buffers that facilitate connections to system buses A vailable in 84-pin windowed ceramic and plastic one-timeprogrammable J-Iead packages; 84-pin windowed ceramic PCA package; and 100-pin windowed ceramic and plastic one-timeprogrammable QFP packages Altera's EPM5192 is a user-configurable, high-performance MAX EPLD that provides high-density replacement for 7400-series S5I and MSI TIL and CMOS logic. It is available inJ-Iead ceramic aLCC} and plastic (PLCC), ceramic pin-grid array(PCA},andceramicand plastic quad flat pack (QFP) packages (see Figure 24). Because windowed packages are erasable, they may be used for quick and efficient system prototyping. On the other hand, plastic one-timeprogrammable (OTP) packages provide a low-cost solution for volume production. Figure 24. EPM5192 Pin-Out Diagrams 110 110 110 110 110 110 GND GND 110 110 110 110 vee 110 110 110 110 110 110 110 110 Tables 3 and 4 in this data sheet list the PGA and QFP package pin-outs. Package outlines not drawn to scale. PIN 1 110 110 110 ~ ~ 0 EPM5192 00000000000 0000@@0<*>00 J0@ 000 00 ~cH00 00 ~ 000 EPM5192 000 ~D F 000 Bottom ,... ... ,...,.,...,.. L K 110 G GNO 0~0 View : E ~ ~u~~.~~~. 000@0@@- o EPM5192 A 1 J-Lead I Altera Corporation 2 3 • 5 6 PGA 7 8 9 10 11 QFP Page 155 I a E.I IEPM5192 Data Sheet I The EPM5192 can replace over 100 TIL 551 and M51 components and integrate the logic contained in over 20 22V10 devices. In addition, it accommodates other low-density PLDs of all sizes. These fea tures allow the EPM5192 to easily integrate complete systems into a single device. Figure 25 shows output drive characteristics of EPM5192 I/O pins and typical supply current versus frequency for the EPM5192. Rgure 25. EPM5192 OUtput Drive Character/stlcs and Icc vs. Frequency 500 100 ci. ~ <' g 'E ~ ~ 0 5a. 5 400 80 ci. Vee =5.0V Room Temp. ~ 60 Vee =5.0 V Room Temp. <' g Q) £i0 40 c( 0 300 200 8 0 100 50 MHz Vo Output Voltage (V) Maximum Frequency The EPM5192 consists of 192 macrocells equall y di vided into 12 Logic Arra y Blocks (LABs) that each contain 16 macrocells and 32 expander product terms (see Figure 26). Because each LAB is very com pact, high performance is maintained and device resources are used efficiently. The EPM5192 has 8 dedicated input pins, one of which can be used as a system clock. The EPM5192 can mix synchronous and asynchronous clocking in a single device, facilitating easy integration of multiple subsystems. It also has 64 I/O pins that can be configured for inpu t, outpu t, or bidirectional da ta flow, prOviding an interface to high-speed, bus-orien ted a pplica tions. I Page 156 A/tera Corporation I IData Sheet EPM5192 Figure 26. EPII5192 Block Diagram l(A&) (91) InpuIICIk Numbers in parentheses are for PGA packages; numbers in square brackets are for OFP packages. 2(AS) 4(CS) (96) 5 (A4) (96) 6 (84) (97) 7 (A3) (98) 8 (A2) (99) 9 (83) (100) Input 42(.16) (4G) Inpil LAB A =- ~ MACROCELL 1 MACROCELL2 )g: MACROCELL8 MACROCELLS 9-16 14(Cl) (8) 15 (02) (9) 18 (01) (10) 17 (El) (II) 20 (F2) (14) 21 (F3) (15) g: g: System Clock LABB <'t MACROCELL 183 .z...... ,.... ~ MACROCELL 182 ~ MACROCELL 180 ......- IG== MACROCELL 181 MACROCELL 179 MACROCELL 178 , MACROCELL 177 , MACROCELLS MAC ROCELL 17 MACROCELL 19 Jo... ,.... MAC ROCELL 20 ¢= '""'- ......- ~ MACROCELL 183 MACROCELl 162 MACROCELL 181 MACROCELLS 186·176 <'t + <'t + MACROCELL 35 MACROCEll38 ¢= MACROCELLS Programmable Interconnect Array (PIA) .A.. .....~ MACROCELL 147 MACROCELL 146 MACROCELL 146 23 (Gl) (17) 25 (Fl) (20) 26 (HI) (21) g: g: <'t MAC ROCELl 49 + <'t + -v ¢= MACROCELL 52 .A. ......- =C> MACROCELLS 28 (Jl) (23) 29 (1<1) (24) 30 (J2) (25) 31 (l1) (26) 32 (1<2) (27) 33 (1<3) (31) 34(l2) [321 35 (l3) (33) 36 (1<4) (34) 37 (l4) (35) 38 (.lS) (36) g: g: g: g: g: g: MACROCELL 65 MACROCELL 130 MACROCELL 129 , .... ¢= MAC ROCELL 88 ~ ... .A.. ===t> MACROCELL 115 MACROCELl114 MACROCELL 113 MACROCELLS 89-80 117·128 MACROCELL 81 + + ~ (74) (811)71 (73) (Cll) 70 (72) (010) 89 ~ ~ (71) (011)88 (70) (£9) ff1 (67) (Ell) 86 (86) (Fl1)84 MACROCELL 103 MACROCELL 84 -~ .... MACROCELL 86 ...:;:= .... .A.. ==;:> ~ ~ [86) (F9) 83 [64) (G9) 82 (81) (FlO) 59 (80) (Hll)58 tg ~ (59) (Hl0)57 (58) (J11)58 (57) (1<11)55 (58) (Jl0)54 LABG MACROCELL 104 MACROCELL 82 MAC ROCELL 83 (75) (Cl0)72 LABH MACROCELLS ~ ~ ~ 133·144 MACROCELL 118 ..J'o... MACROCELLffT MACROCELL 102 MACROCELL 101 MACROCELL 100 MACROCELL 88 MACROCELL 99 MACROCELLIrl MACROCELL 98 MACROCELL 88 MACROCElL 'R MACROCELLS MACROCELLS 105·112 89-96 IA/tera Corporation + MAC ROCELL 88 LABF MACROCELL 131 MACROCELLS 53-84 27 (H2) (22) (810)74 (76) (All)73 LAB I MACROCELL 132 Jo... MACROCELl 51 <'t (81) (B9) 7S 149-180 MAC ROCElL so LABE (83) (A9)77 {821 (Al0)78 MACROCELlS 37·48 22 (G3) (16) (86) (A8)79 (84) (88)78 LABJ MACROCELL 148 Jo". 'V" (86) (88)80 LABK 21-32 MACROCELL 34 LABD ~ MACROCELLS MAC ROCELL 33 ~ ~ ~ enl ~ 186·192 MACROCELL 184 MACROCELL 18 LABe LABL MACROCELL 184 MACROCELL6 IS 13 (81) [7) • MACROCELL5 11 (82) •~ Dedicated ~Is MACROCELl4 MACROCELL7 Ir!pI.f [421 (l7) 44 ~ Ir!pI.f (41) (.17)43 MACROCELL3 (1) g: g: ~ Ir!pI.f (89) (C7) 83 --= =: 10 (AI) 12(C2) (6) ~ Ir!pI.f (90) (C6) 84 Input ~ [921 41 (1<6) (39) g: g: g: - =:; ~ ~ ~ ~ (55) (1<10)53 (51) (l11)52 (50) (1<9) 51 (49) (l10)50 [48) (l9) 49 (47) (1<8) 48 (48) (L8) 47 (45) (l8) 46 Page 157 I EPM5192 Data Sheet Absolute Maximum Ratings I I Note: See Operating Requirements for EPLDs in this data book. Conditions Parameter Symbol vee SU2J)/y volta~e With respect to GND See Note (1) . Vpp Programming supply voltage I VI DC input voltage I I MAX DC Vee or GND current I lOUT . I I Min I Max Unit -2.0 7.0 V -2.0 13.5 -2.0 7.0 500 DC output current, per pin -25 I I Storage temperature 25 2500 I No bias I _T--<.A=M=B--_I: Ambient temperature I_T~J~ I I I Power dissipation I Po I T STG I Under bias -65 +150 -65 +135 ___~I~J=u~nc=no=n~m=m=p~er=at=ur~e__________u=n=d=er=b=ia=s_______,_______ +150 V I V I RR I I mW I °C I I °C . ~ Recommended Operating Conditions I I Symbol I vee Conditions Parameter I Supply voltage II-T-,A~_ _--,I For commercial use Operating temperature Min Max Unit 4.75 5.25 V 0 Vee V 0 Vee V 0 +70 °C I T A l Operating temperature For industrial use -40 +85 °C 1 T e l Case temperature For military use -55 +125 °C 100 ns I I tA Input rise time l!-t....;....F_ _ _ _I_I........ np_u_t'_aI_It_im_e_ _ _ _ _ _ _ _ ,_ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _____ 100 ns_ _, DC Operating Conditions I ~m~ See Notes (2), (3) I-------p-M-a-me-t-w------II:--co-n-d-H-~-~--~---~---i~-M-a-x~1 I_V--!.I.w..H_ _ _1 High-level input voltage I LOW-level input voltage ;-1V--=I.=. . L_ _ _ I_V-"O:.:...:H~_ _I High-level m output voltage II-V--'o=L:---_ _1 LOW-level output voltage Vee + 0.3 I I I II I Input leakage current I VI = Vee or GND IICC1 I Vee supply current (standby) I Vo = Vee or GND I-V-'I:""=-V-e'=e=-o-rG-N-D--'!---'!--- 1_I~oz!':....-_ _ 1 Tri-state output off-state current 'CC3 Vee supply current VI = Vee or GND 270 No load, f = 1.0 MHz See Note (4) Capacitance I Symbol I Parameter I Input capadtance I C OUT I Page 158 I Output capacitance I I I V 0.45 I V + 10 I +40 360 I I JlA JlA L V mA mA Max Unit V IN = 0 V, f = 1.0 MHz 10 pF I VOUT=OV, f=1.0MHz 20 pF Conditions Min V 0.8 1=I=O=H===-4==m=A=DC=====::===:==::~===~_l_ _ _ _1 I IOL = 8 mA DC U~ A/tera Corporation I I Data Sheet AC Operating Conditions IExternal Timing Parameters I Symbol I Parameter , t P01 I t P02 I t su I tH I teo. I t ASU I t AH ItCH I t CL I tACH I t ACL , t AC01 I tCNT ' f CNT , t ACNT , f ACNT I f MAX , EPM5192 See Note (3) I Conditions Input to non-registered output , C1 = 35 pF I 110 input to non-reg. output I C1 = 35 pF II Setup time Holdtime I I Clock to output delay I 1 5 I 1 Clock low time Asynchronous clock high time Asynchronous clock low time Asynch. clock to output delay 'C1 = 35 pF 1 1 1 1 1 , I 1 Minimumclockperiod I I I I 6 8 8 11 9 1 Internal maximum frequency I 1 Min. asynch. clock period See Note (5) Min. internal asynch. frequ. 'See Note (5) Max. frequency; pipelined data 1 I I 50 I,' 1 1 I ,I ,I nnss I I 1-4 -'-6-,1-1-6-1':-8-',:~,1 nnss ,I I 20 0 1 25 1 8 1 10 1 10 1 14 11 1 1 20 I I 1 1 I I 20 I: I 50 1 1 62.5 1 I,' 40 1 30 45 I I,: I 1 I 1 I I I 25 25 1 40' 50 I I I I I 1 1 30 I," 33.3 I,' 35 30 1 33.3 1 40 I I 205 1 10 1 112.51 1 12.5 1 1 16 14 1 30 I,' 1 I 1 35 55 I I I I I Unit I I I 1" I Clock high time 25 40 1~05,' I Asynchronous hold time I I I~ Cl =35pF I Asynchronous setup time I ~192-1 EPM5192-2 EPM5192 I Min I~I~I Max I~I Max I I 1 ns ns I 1 ns ns ns ns ns ns I 1 I I I , I I 1 , I 1 ns I,' MnHs Z I, 1 MHz I I I MHz I For information on internal timing parameters, refer to App. Brief 75 (EPM5000-Series MAX EPLD Timing). I Internal Timing Parameters I Symbol I Parameter I t IN I Input pad and buffer delay Conditions , t EXP , 1 110 input pad and buffer delay 1 Expanderarraydelay 1 , t LAD , t LAC 1 logic array delay 1 logic control array delay , t 10 ItH I t IC I tiCS I t FD I t PRE I t CLR I t PIA Min 7 I I I 1 Register hold time 1 Clock delay clock delay , Progr. Interconn. Array delay IAltera Corporation 12 10 I 1 I I l' I I Feedback delay Register preset time Register clear time EPM5192 I '9' I I I I I I I I I I I I I 1 ns , I 14 1 16 ns 1-1-2-'--1-1-3-I~ I , 1 5 5 6 ns ,--,-1-0-'---'-1-1-1--'-1-3-I~ , '10 '--1-1-1-1--1-1-3-'---;-1 , 6 1 1 8 1--'-1-0-'--1---;-1 1 1 3 1--1--4-1--1--4-I-n-s I Combinatorial delay System EPM5192-2 1 5 1 1 1 ns , 1 6 1 1 6 1 9 ns 1 12 1--1-1-4-1--I~I-n-s-' I I t OD I Output buffer and pad delay I C1 = 35 pF I t zx 1 Output buffer enable delay' I t xz , Output buffer disable delay 'C1 = 5 pF I t su 1 Register setup time I t LArCH 1 Flow-through latch delay , t RD I Register delay , t COMB I I Ii IMax I Min IMax I Min I Max I Unit I EPM5192-1 1 I , , 1 I 6 3 1 -I I '2 2 ns 1--4- 1 - - ' - - 4-,-n-s-, 1 8 1 1 10 1 1 14 1 1 16 1 ' 1 8 ' ns , 1 2 ' - - ' - - 2- 1 - - ' - - 3-,---;-, 1 I I I 1 5 5 14 I I I I I I 1 I I I I 7 I I 1 20 I 1 1 6 6 1 16 1 2 1 ns ns 7 1 ns ns Page 159 I I , I I B IEPM5192 Data Sheet I Notes to tables: (1) (2) (3) (4) (5) Minimum DC input is ~.3 V. During transitions, the inputs may undershoot to -2.0 V or overshoot to 7.0 V for periods less than 20 ns under no-load conditions. Typical values are for TA =25° C and Vee =5 V. Vee = 5 V ± 5%, TA = 0° C to 70° C for commercial use. Vee =5 V ± 10%, TA =-400 C to 85° C for ind ustrial use. Vee = 5 V ±10%, TA = -550 C to 1250 C for military use. Measured with device programmed as a 16-bit counter in each LAB. This parameter is measured with a positive-edge-triggered clock at the register. For negative-edge clocking, the tACH and tAcL parameters must be swapped. Product Availability Grade Commercial Availability EPM5192-1, EPM5192-2, EPM5192 (0° C to 70° C) Industrial (-400 C to 85° C) Military (-55° C to 125° C) I Consult factory I I Consult factory Note: Only military-temperature-range EPLDs are listed here. MIL-STD-883-compliant product specifications are provided in Military Product Drawings (MPDs), available from Altera Marketing by calling 1 (800) SOS-EPLD. These MPDs should be used to prepare Source Control Drawings (SCDs). See Military Products in this data book. Table 3 shows the pin-outs for the EPM5192 PCA package. Table 3. EPM5192 PGA Pin-Outs Pin Function Pin Function Pin Function Pin Function A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 A11 B1 B2 110 110 I/O I/O Input Input/ClK GNO I/O 110 I/O 110 I/O 110 I/O I/O VCC I/O GNO I/O C2 C5 C6 C7 C10 C11 01 02 010 011 E1 E2 E3 E9 E10 E11 F1 F2 F3 F9 F10 F11 G1 1/0 110 Input Input I/O I/O 110 I/O 110 I/O GNO GNO I/O I/O VCC I/O I/O I/O I/O I/O I/O I/O I/O G2 G3 G9 G10 G11 H1 H2 H10 H11 J1 J2 J5 J6 J7 J10 J11 K1 K2 K3 K4 K5 K6 K7 VCC 1/0 K8 K9 K10 K11 L1 l2 l3 l4 l5 l6 l7 la 19 L10 L11 110 110 110 I/O 110 B3 B4 B5 B6 B7 B8 B9 B10 B11 C1 I Page 160 1/0 I/O I/O I/O IJO GNO GNO I/O IJO I/O I/O I/O I/O 1/0 Input Input 110 110 110 I/O 1/0 110 I/O GND 110 Input I/O I/O I/O I/O 1/0 1/0 GNO Input vec Altera Corporation I I Dsts Sheet EPM5192! Table 4 shows the pin-outs for the EPM5192 QFP package (n.c. indicates "not connected"). Table 4. EPM5192 QFP PIn-Outs I Altera Corporation Pin Function Pin Function Pin Function Pin Function 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 1/0 n.c. n.c. n.c. 1/0 1/0 110 1/0 1/0 1/0 1/0 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 1/0 1/0 n.c. n.c. n.c. 1/0 //0 1/0 1/0 1/0 1/0 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 1/0 n.c. n.c. n.c. 1/0 1/0 1/0 1/0 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 1/0 I/O n.c. n.c. n.c. I/O GND GND 1/0 1/0 1/0 1/0 n.c. vee 1/0 1/0 1/0 1/0 1/0 I/O GND GND Input Input Input Input vee n.c. 1/0 1/0 1/0 1/0 1/0 I/O 110 1/0 1/0 GND GND 1/0 1/0 1/0 1/0 n.c. vee 1/0 1/0 1/0 1/0 1/0 I/O 110 1/0 1/0 110 1/0 GND GND Input Input Input/elK Input vee n.c. 1/0 110 I/O 1/0 1/0 1/0 Psge 161 ! PLS-MAX MAX+PLUS Programmable Logic Software I October 1990, ver. 2 Data Sheet I o o Features o o o o o o o Software support for MAX (Multiple Array MatriX) EPLDs Hierarchical design entry methods for both graphic and text designs Multi-level schematics and hardware language descriptions Over 340 7400-series ITL and bus macrofunctions optimized for MAX architecture Altera Hardware Description Language (AHDL) for state machines, Boolean equations, truth tables, arithmetic and relational operations Delay prediction and timing analysis for graphic and text designs Logic synthesis and minimization for quick and efficient processing Compiler that compiles a 100% utilized EPM5128 in only 10 minutes Automatic error location for schematics and AHDL text files Interactive Simulator with probe assignments for internal nodes Waveform Editor for entering and editing waveforms and viewing simulation results Used with IBM PS/2, PC-AT, or compatible machines EDIF industry-standard workstation and third-party interfaces available separately The Altera PLS-MAX (MAX+PLUS Programmable Logic Software) package, shown in Figure 1, is a unified CAE system for designing logic with Altera's MAX family of EPLDs. PLS-MAX includes design entry, design processing, timing simulation, and device programming support. It runs on IBM PS/2, General Description Figure 1. MAX~PLUS Design Framework f·D~·~i~·~··E~t~····························l j ism~ Hierarchical -!!o-..-.-......_ User-defined symbols Hierarchical AHDLfiles, including Boolean Equations, State Machines, ................................................. ~ Design Verification Truth Tables A+PLUS files -....................................................: I A/tera Corporation t .....................................................................................: Page 1631 IPLS-MAX Data Sheet I PC-AT, and compatible computers and provides sophisticated tools to quickly and efficiently create and verify complex logic designs. PLS-MAX is a software-only package. PLOS-MAX (MAX+PLUS Programmable Logic Development System) includes PLS-MAX software, all hardware required to program MAX EPLDs, and an extended software warranty (see the PLDS-MAX Data Sheet in this data book). Designs may be entered with a variety of design entry methods. MAX+PLUS supports hierarchical entry of both Graphic Design Files (GOFs) with the MAX+PLUS Graphic Editor, and Text Design Files (TOFs) in the Altera Hardware Description Language (AHOL) with the MAX+PLUS Text Editor. The Graphic Editor offers advanced features such as multiple hierarchy levels, symbol editing, and an extensive library of 7400-series devices and basic SSI gates. AHOL designs may be mixed into any level of the hierarchy or used stand-alone. AHOL is tailored especially for EPLD designs and includes support for complex Boolean and arithmetic functions, relational comparisons, state machines with automatic state variable assignment, truth tables, and function calls. MAX+PLUSalso includes the sophisticated MAX+PLUSCompiler, which synthesizes and optimizes designs in minutes. The Compiler uses advanced logic synthesiS and minimization techniques together with heuristic fitting rules to efficiently place designs into MAX EPLOs. The Compiler creates a programming file that the MAX+PLUS Programmer uses to program MAX EPLDs with standard Altera programming hardware. Simulations are performed with a powerful, event-driven timing simulator. The MAX+PLUS Simulator interactively displays timing results in the MAX+PLUS Waveform Editor. Hardcopy table and waveform output is available. With the Waveform Editor, input vector waveforms may be entered, modified, grouped, and ungrouped. The Waveform Editor can also compare simulation runs and highlight the differences between them. MAX+PLUS also provides features such as automatic error location and delay prediction. If a design contains an error in a schematic or an AHDL text file, MAX +PLUS flags the error and takes the user to the location of the error in the original schematic or text file. Propagation delays of critical paths can also be determined from within both the Graphic and Text Editors with the delay prediction feature. After the source and destination nodes are tagged, the shortest and longest timing delays are calculated. MAX +PLUS software provides a seamless design framework that uses a consistent graphical user interface throughout. This framework simplifies all stages of the design cycle: design entry, processing, verification, and programming. In addition, MAX+PLUS offers extensive on-line help. I Page 164 Altera Corporation I 1 Data Sheet Design Entry PLS-MAX 1 MAX+PLUS offers both graphic and text design entry methods. GDFs are entered with the MAX+PLUS Graphic Editor; Boolean equations, state machines, and truth tables are entered in the Altera Hardware Description Language (AHDL) with the MAX+PLUS Text Editor. The ability to freely mix graphic and text files at all levels of the design hierarchy, and to use a top-down or bottom-up design method, makes design entry simple and versatile. As the designer traverses the hierarchy, the Text Editor is automatically invoked for text files, and the Graphic Editor is invoked for schematics. Once the user saves a text or graphic file, the Graphic Editor automatically generates a symbol for this file. This symbol, and the design it represents, can then be used as a subdesign in a higher-level schematic or in another design. MAX+PLUS also accepts third-party netlists from OrCAD, Viewlogic Systems, and Data I/O (ABEL, FutureNet-DASH), as well as existing EPLD designs implemented with Altera's and Texas Instruments' A+PLUS, and Intel's iPLDS or iPLDS II systems. MAX+PLUS Graphic Editor The MAX+PLUS Graphic Editor (Figure 2) provides a mouse-driven, multi-windowed environment in which commands are entered with popup menus or simple keystrokes. The Hierarchy Display window lists all schematics used in a design. The designer navigates the hierarchy by placing the cursor on the name of the design to be opened and clicking a mouse button. The Total View window shows the entire design. By clicking inside this window, the main work window is moved to the corresponding area of the schematic. The Error Report window lists all warnings and errors in the compiled design; selecting an error with the mouse highlights the problem node and symbol. A design is entered in the main work window, which can be enlarged by closing the auxiliary windows. Figure 2. MAX+PLUS Graphic Editor The Graphic Editor provides a mUltiwindowed, menu-driven environment. Auxiliary windows can be closed to increase work space. I Altera Corporation p~~~~~~ ~:::: :~~u~: ~~:~~~~: ~ ~:~~T' Page 1651 I PLS-MAX Oats Sheet I When entering a design, the user can choose from a library of over 300 7400-series and special-purpose macrofunctions that are all optimized for MAX architecture. In addition, the designer can create custom functions that can be used in any MAX+PLUS design. Tag-and-drag editing is used to move individual symbols or entire areas. Lines stay connected with orthogonal rubberbanding. Designs are printed on an Epson FX-compatible printer; HP7475A, 7485B, and 7495A plotters; or a Houston Instruments 695-compatible plotter. MAX+PLUS Symbol Editor MAX+PLUS AHDL The MAX+PLUS Symbol Editor enables the designer to create or modify a custom symbol representing a GDF or TOF. It is also possible to modify input and output pin placement on an automatically generated symbol. A symbol represents a lower-level deSign, described by a GDF or TDP. The lower-level design can be displayed with a single command that invokes the Graphic Editor for schematics or the Text Editor for AHDL designs. The Altera Hardware Description Language (AHDL) is a high-level, modular language used to create logic deSigns for MAX EPLDs. It is completely integrated into MAX+PLUS, so AHDL files may be created, edited, compiled, simulated, and programmed from within MAX+PLUS. AHDL supports state machines, truth tables, and Boolean equations, as well as arithmetic and relational operations. It is hierarchical, so that frequently used functions such as TTL and bus macrofunctions can be incorporated into a design. it also supports complex arithmetic and relational operations-such as addition, subtraction, equality, and magnitude comparisons-with the automatically generated logic functions. Standard Boolean functions, including AND, OR, NAND, NOR, XOR, and XNOR are also included. Groups are fully supported so operations can be performed on groups as well as on single variables. AHDL also allows the designer to specify the location of resources (e.g., latches, flip-flops, and pins) within MAX EPLDs. Together, these features enable complex designs to be implemented in a concise, high-level description (see Figure 3). Figure 3. AHDL (Part 1 of 2) AHDL allows complex arithmetic and relational operators to be described in a few lines. Page 166 TITLE "Ti"ed Add and Co"pare function."; DESIGH IS "add_c"p" DEUICE IS "EPH5128-2"; FUHCTIOH 74161 (LDH,A,B,C,D,EHT,EHP,CLRH,CLX) RETURNS (QA,QB,QC,QD,RCO); SUBDESIGH add_c"p ( a£? .81, b£? .81, ~ inputs for adder/co"parator c"pen, clock,reset :IHPUT; resulU7 •. 81. ehpse[3 .. 8l. ellual, less_than, grtr_thn, done : OUTPUT; A/tera Corporation I Data Sheet I PL5-MAX Figure 3. AHDL (Part 2 of 2) VARIABLE titter regi ster£? . B] flag 74161; DFF; ttODE; Yo Yo titter is 74161 counter register is an octal FF Yo set up accuftUlate register BEGItt result[] register[]; register[].clrn = reset; register[].clk = clock; register[] = a[] + b[]; flag = (register[] != B); done = flag; titter.enp = cttpen & flag; titter.clk = clock; titter.clrn = reset; Yo elapse is the nuttber of clock Yo this is the actual addition Yo set flag high if register is not ettpt9 Yo Yo Yo connect inputs for titter (74161) Yo it takes to do add c~cles elapse[3 .• B] = (titter.QA.titter.QB.titter.QC.titter.QD); e~ual = ( a[] == b[]); Yo the cottparator section less_than (a[] < b[]); grtr_than = (a[] > b[]); EttD; MAX+PLUS Text Editor The MAX+PLUS Text Editor, shown in Figure 4, enables the user to view and edit text files within the MAX+PLUS environment. Any ASCII text file, including AHDL Text Design Files (TOFs), Vector Files, Table Files, and Report Files, may be viewed and edited in the Text Editor. The Text Editor parallels the Graphic Editor's structure with Hierarchy Display and Total View windows for moving through hierarchy levels and around the design. It also provides automatic error location. If an error is found in a TOF during compilation, the Text Editor is automatically invoked and the line of AHDL code where the error occurred is highlighted. Figure 4. MAX+PLUS Text Editor E W!!!£>. . .App"W pp ....r: .~ • The Text Editor and AHDL offer such features as hierarchical design entry and automatic e"or location. ~ I'ULL iEEbfiflEJ -KOfJ"if" - .rDh r?. . . . t ..wI.. ~"-. ~HALI'ADD ::::. ' 2 L--+HALFADD'l ------ HRN ..--~ I .. **.......**..................................... .. .. .**.-••••• ** ••• -.-•• -.-•• - ••• --•••••••••••••• x ••• Top Level o¥ Hierarohioal Serial Adder Desivn -.*-~ ~ Sp.cl~I ~ ~or • • the por~. ~u11Add_gd~ FUNCTION avat1ab1. X ~ ~ull&dd(x,y,cl) QEBION IS DEVICE BUB DEB ION RETURNS (CO,.UN); ~~seradd" IS "EP"S832"; ~serAdd ( d~t~tnHI da~~'ny clock c l • ..r oin, oout., :INPUT' :INPUT' INPUT' OUTPUT; X X X X Input .erl&l ~It. to on r •• j~g edge Y~lld cl.~r ~lr.~ ~ Added ~ clock .hould ~. ~ ••• rt.d •• ~ar bi~. are clocked in X ~ ::~!:i~~,~::r~~r::~~ ::!~:~ :~ VARIABLE IAltera Corporation carry NODE. ~ull ~ul1 .. dd' Y. hald. current carry X tn.~anc. D# .~a~u. .acra#unc~lon X #ul1add X Page 1671 I PLS-MAX Macrofunction Library Data Sheet I The MAX +PLUS TIL MacroFunction Library contains the most commonly used 7400-series devices such as counters, decoders, encoders, shift registers, flip-flops, latches, and multipliers, as well as special bus macrofunctions, all of which increase design productivity. The flexible architecture of MAX EPLDs (which includes asynchronous Preset and Clear) ensures that true TIL device emulation is achieved. Altera has also created special-purpose bus macrofunctions for designs that use buses. All macrofunctions have been optimized to provide the best speed and part utilization. Table 1 lists some of the macrofunctions currently available. Table 1. Sampling of TTL MacroFunctions TTL Macrofunctions: Adders: ALU: AND-OR Gates: Comparators: Code Converters: Counters: Decoders: Encoders: Frequency Divider: Latches: Multipliers: Multiplexers: Parity Generators: Registers: Shift Registers: SSI Gates: Storage Elements: True/Camp Elements: 8FADD, 7480, 7482, 7483, 74183,74283, 74385 74181,74182,74381,74382 7452 8MCOMP, 7485, 74518, 74684, 74686,74688 74184, 74185 4COUNT, acOUNT, 16CUDSLR, GRAY4, UNICNT, 7493, 74160, 74161,74162,74163,74190,74191,74192, 74193, 74393 ... 7442, 7443, 7444, 7445, 7446, 7447, 7448, 7449, 74138, 74139, 74154,74155,74156 ... 74147, 74148 FREQDIV, 7456, 7457 INPLTCH, NANDLTCH, NORLTCH, 7475, 7477, 74116, 74259, 74279, 74373 ... MULT2, MULT4, MULT24, 74261... 21MUX, 74151, 74153, 74157, 74158,74298 ... 74180, 74280 7470,7471,7472,7473,7474,7476,7478,74173,74174,74175, 74178,74273,74374 ... BARRELST, 7491, 7494 ,7496, 7499, 74164, 74165, 74166, 74179, 74194,74198 ... CBUF, INHB, 7400, 7402, 7404, 7408, 7410, 7411, 7420, 7421, 7427, 7430, 7432, 7486 ... 7498, 74278 7487, 74265 Bus Macrofunctions: Adder: Buffers: Comparators: Counter: Latches: Multiplexers: Multipliers: Parity Generators: Registers: Shift Registers: IPage 168 8FADDB 74240B,74241B,74244B 8MCOMPB, 74518B 16CUDSRB 74373B, 74841B, 74842B 74151B MULT4B 74180B,74280 74174B, 74273B, 74374B, 74821 B, 74822B, 74823B, 74824B, 74825B,748268 BARRLSTB, 74164B, 74165B A/tera Corporation I Data Sheet Design Processing PLS-MAX I The MAX+PLUS Compiler processes designs in minutes (see Figure 5). It offers several options that speed the processing and analysis of a design. For example, the user can specify the degree of detail of the Report File, as well as the maximum number of errors to be detected before processing halts. The user may also select whether to extract a netlist file for simulation. Figure 5. MAX+PLUS Complier ---- .-a-. ON The Compiler uses minimization, logic synthesis, and heuristic fitting algorithms to place designs into MAXEPLDs. . @ t .. .. .. .. 18 ~®® The Compiler compiles a design in increments. If a design has been compiled previously, only the new portion is compiled to reduce compilation time. This ''Make'' facility is an automatic feature of the Compiler. The first module of the Com piler, the Com piler Netlist Extractor, extracts a netlist from each file. At this time, design rules are checked for any errors. If errors are found, the Graphic Editor or Text Editor is invoked after the compilation, depending on whether the error occurred in a GDF or TOF. The Error Report window displays the error and its location. The Compiler Netlist Extractor also generates a Hierarchy Interconnect File (HIF) that describes the hierarchy of the total design. This information is used by the Database Builder, which flattens the hierarchical deSign, examines design logic, and checks for schematic boundary connectivity and syntax errors. The Logic Synthesizer module translates and optimizes the user-defined logic for the MAX architecture. The design is first minimized with SALSA (Speedy Altera Logic Simplification Algorithm). Any unused logic is automatically removed. This module uses expert system synthesis rules to factor and map logic within the multi-level MAX architecture. It then chooses the approach that ensures the most efficient use of silicon resources. I A/tera Corporation Page 1691 I PLS-AIAX DafaSheef I The next module, the Fitter, uses heuristic rules to place the synthesized design into the chosen MAX EPLD. For MAX devices with a Programmable Interconnect Array (PIA), the Fitter also routes the signals across this interconnect structure, so the designer doesn't have to worry about placement and routing issues. The Fitter issues a Report File (.RPT) that shows how the design is implemented and which resources in the EPLD are unused. The designer can then determine how much additional logic may be placed in the EPLD. Next, the Simulator Netlist Extractor optionally generates a Simulator Netlist File (.SNF) that is used to perform timing simulation. Finally, the Assembler creates a Programmer Object File (.POF) from the compiled design that is used by the MAX+PLUS Programmer to program the target EPLD. The advanced synthesis and minimization techniques employed by the Compiler allow designs to be placed within the MAX architecture in a matter of minutes. For example, a 16-bit counter I shift register compiles in less than 1 minute on a 16-MHz 386-based PC. The Compiler is equally efficient when compiling complex designs. For example, 5 serially linked multiplier ladder circuits that use 100% of the macrocells and 95% of all expanders in an EPM5128 take only 10 minutes to compile on a 20-MHz 386-based PC. Delay Prediction and Probes MAX+PLUS includes powerful analysis tools to verify and analyze the completed design. Delay prediction is performed interactively in the Graphic Editor, Text Editor, or Simulator. The delay prediction feature provides instant feedback on the timing of the processed design. After selecting the start point and end point of a path, the designer may determine the shortest and longest propagation delays of speed-critical paths. In addition, the designer can use probes to mark internal nodes in a design. A probe is entered in a Graphic Editor schematic by selecting any node, entering a command, and then assigning a unique name to define the probe. This name is then used in the Graphic Editor, Simulator, and Waveform Editor to identify the node. MAX+PLUS Simulator The designer defines input stimuli with a straightforward vector input language, or draws waveforms directly in the Waveform Editor. The Simulator uses the Simulator Netlist File (SNF) extracted from the compiled design to perform timing simulation with O.l-ns resolution. Simulator commands are provided to halt the simulation based on userdefined conditions; to force and group nodes; and to detect glitches, setup and hold violations, and unwanted oscillation. For example, if flip-flop IPage 170 Altera Corporation I Data Sheet PL5-MAXI setup or hold times have been violated, the Simulator warns the user. Or, if a pulse is shorter than the minimum pulse width specified, or if a node oscillates for longer than the specified time, the Simulator issues a warning. A Command File is used for batch operation, or commands may be entered interactively. MAX+PLUS Waveform Editor The MAX +PLUS Waveform Editor, shown in Figure 6, provides a mousedriven environment for editing and viewing waveforms. It functions as a logic analyzer, enabling the user to observe simulation results. Simulated waveforms can be viewed and manipulated at multiple zoom levels. Nodes can be added, deleted, and combined into buses. Buses can contain up to 32 signals that are represented in binary, octal, decimal, or hexadecimal format. Logical operators can also be used on pairs of waveforms, so that waveforms can be inverted, ORed, ANDed, or XORed together. Figure 6. MAX+PLUS Waveform Editor With the Waveform Editor, input stimuli can be entered and modified, and Simulator outputs can be viewed and compared. LEFT I RIGHT I FLASH KIOHT-fUU' 0 L-R-DRI(-OR RIGHT-O 0 o RIGHT-H RIOHT-I o LEFT-ORP LEFT-I o LEFT-H 0 LEFT-D IGN-LITE 0 I Altera Corporation ,.,.22..." : 6.229us IONITIDN · · · · .. ~ I] .. i· .-1- ... ~.l.~~~~~':1!".'! . ~~.~~ . ~·~~1!'~ .~~.-:~ -: .... I I I • • • ·,. Page 1711 I PLS-MAX Oats Sheet I The Waveform Editor includes sophisticated editing features to define and modify input vectors. The designer uses the mouse and familiar commands to create and copy waveforms, to repeat waveform patterns, and to move and copy blocks of waveforms. For example, all or part of a waveform can be compressed to simulate an increase in clock frequency. The Waveform Editor can also compare and highlight the differences between two different simulations. A user can simulate a design, observe and edit the results, and then resimulate the design; the Waveform Editor can then show the results superimposed on each other to highlight their differences. Device Programming PLS-MAX contains the MAX+PLUS Programmer software for programming and verifying the MAX-family EPLDs. (Programming hardware and several device adapters are provided with PLDS-MAX. See the PLDS-MAX Data Sheet in this da ta book for details.) The MAX +PLUS programming software drives the PC-AT or PS/2 add-on card and uses standard Altera programming hardware. If the Security Bit of the device is off, the designer can also read the contents of a MAX device and use this information to program addi tional EPLDs. MAX+PLUS The MAX+PLUS Timing Analyzer (MTA) provides user-configurable reports that help the designer to analyze critical delay paths, setup and hold times, and overall system performance of any MAX EPLD design. Critical paths identified by these reports can be displayed and highlighted. Timing Analyzer (MTA) The MTA calculates timing delays between multiple source and destination nodes and creates a connection matrix that gives the shortest and longest delay paths between all specified source and destination nodes (Figure 7). The MTA also displays the detailed paths and delays between specified sources and destinations. The setup/hold option provides information on setup and hold requirements at the device pins for all pins that feed the D, eLI, or ENABLE inputs of flip-flops and latches. Critical source nodes can be specified individually, or for all pins can be calculated. This information is then displayed in a table with one set of setup and hold times per flip-flop or latch. The MTA also allows the user to print a com plete list of all accessible nodes in a design, i.e., all nodes that can be displayed during simulation or delay prediction. All MTA functions can be executed in batch mode with an MTA command file, so the user can specify all information needed to configure the output. IPage 172 Altera Corporation I I Oats ShfHIt I PLS-MAX Rgure 7. Delay Analysis Matrix HAX+PLUS Ii"in, Desi,n Anal~sis Anal~zer Uersion 2.5 5/11/9. PIge 1 : C: 'HAX_UORK'COU"TER : Deh~ "atrix Destination out1 out2 out3 ----------+-----------------------------------------+ inpl 28.' 15.' / 24.' 18.' / 46.' Source 1 1 1 1 ----------1-----------------------------------------1 --~~~---j!--;;:;----;-~;:;-;-;~-~~~:~~~~:!-i ::~~~::::j~~-::J ---f-::::::::-:-:::::::-::::-- I-----. are not connected. One number at an intersection indicates that the two nodes are connected by one path. SNF2GDF Converter Two numbers at an intersection indicate that the two nodes are connected by roore than one path; these numbers show the shortest and the longest delay path. The Simulator Netlist File-to-Graphic Design File (SNF2GDF) Converter converts the MAX+PLUSSimulator Netlist File (SNF) into logic schematics that contain basic gates and flip-flop elements. It uses the SNF's delay and connection information to create a series of schematics that are fully annotated with propagation delay and setup and hold information at each logic gate. Certain speed paths of a design can be specified for conversion, so the user can graphically analyze only those paths that are considered critical. See Figures 8 and 9. If the Altera Hardware Description Language (AHDL) is used, SNF2GDF shows how the high-level description has been synthesized and placed into the MAX architecture. PLS-MAX Contents Ordering Information I Altera Corporation o o Floppy disks containing all programs and files for MAX+PLUS software for both PC-AT and PS/2 and compatible computers Documentation PLS-MAX (supports both PC-AT and PS/2 formats) Refer to the PC System Requirements Data Sheet in this data book for information on system requirements and sample system configurations. Page 173 I PLS-MAX Data Sheet I Figure 8. Original Schematic File :dRAKE: ....... · ......T I Figure 9. Schematic Converted and Annotated with SNF2GDF This screen capture shows the schematic for the compiled and converted design, which displays delay information and the results of logic synthesis. ~~~~(: . . . . . . . W. . . UT· I Page 174 UIIZ 'liZHZ1 Altera Corporation Contents I Octobsr 1990 Section 4 EPM7000-Series MAX EPLDs EPM7000-Series: High-Performance, High-Pin-Count MAX EPLDs ...... 177 1 A/tera Corporation Page 1751 EPM7000·Series Devices High-Performance, High-Pin-Count MAX EPLDs Data Sheet I October 1990, ver. 1 Features o o o o Advance Information o o o o o o o o o General Description A/tera Corporation High-density, high-speed CMOS EPLDs with second-generation Multiple Array MatriX (MAX) architecture Advanced 0.8-micron double-metal CMOS EPROM technology Complete EPLD series ranging from 1,500 to 20,000 gates Fast, 15-ns pin-ta-pin logic delays with 70-MHz true system-clock frequency (including interconnect) Programmable "power saver" mode 44 to 208 pins available in PLCC, PGA, and QFP packages User-defined I/O options for support of bus-interface functions Enhanced Programmable Interconnect Array (PIA) that provides a fixed delay from any internal source to any destination within the EPLD Advanced macrocell to efficiently place logic for optimum speed and density Programmable registers providing D, T, JK, or SR flip-flops with individual Clear, Preset, and Clock controls High pin-ta-Iogic ratio for I/O-intensive data path applications and 32-bit microprocessor support logic Full software support for PC and workstation platforms (including Apollo, Sun, and IBM) with Altera's software development systems Hierarchical schematic capture with over 340 TIL and custom macro functions Altera Hardware Description Language (AHDL) for Boolean equation, state machine, and truth table design entry Waveform entry Logic synthesis and minimization Device fitting within minutes Full timing simulation Automatic multi-chip partitioning and simulation EDIF netlist interface for additional schematic capture and simulation support The EPM7000 series-Altera's next generation of erasable, high-density, high-performance MAX EPLDs-provides a variety of solutions for generalpurpose logic integration. Ranging in gate density from 1,500 to 20,000 gates and supplied in packages from 44 to over 250 pins, the EPM7000 series offers up to 4 times the logic density and more than 3 times the system clock speed of Field Programmable Gate Arrays (FPGAs). See Figure 1. Page 1771 n 11.1 I EPM700O-Series Devices Data Sheet I Rgure 1. EPM7000-Serles EPLDs 300 • • • 200 Pins • 100 EPM7025 • • • • • EPM7200 EPM7150 EPM7100 EPM7075 EPM7050 EPM7040 EPM7020 EPM7015 5 10 15 20 Gat. Density (In thousands) EPM7000-series MAX EPLDs are based on a logic matrix architecture that consist of modular Logic Array Blocks (LABs) connected with a Programmable Interconnect Array (PIA). See Figure 2. The PIA provides a connection path with a small fixed delay between all internal signal sources and logic destinations. It has been carefully optimized so that device performance can be accurately predicted early in the design phase, and speed penalties for design changes can be avoided. Macrocells within the LAB are optimized for efficient placement of logic resources on the basis of speed and density requirements. These enhanced macrocells support both combina torial and regis tered functions and allow 100% TTL emulation. Register options (D, T, JK, SR) and programmable Clock control may be individually configured for each macrocell. The EPM7000-series macrocell, together with a fast PIA, provides true system clock rates of 70+ MHz, even with complex logic functions. Logic designs are implemented in EPM7000-series EPLDs with Altera's PC- and workstation-based development systems. Designs can be entered using hierarchical schematic capture with TTL macrofunctions, as well as using Boolean equations and state machines with the Altera Hardware Description Language (AHDL). Waveform design entry is also supported. Interfaces to third-party tools are available to allow design entry and logic simulation on a variety of workstation platforms. IPage 178 Altera Corporation I I Data Sheet EPM7000-Series Devices I Figure 2. EPM7000-Series Block Diagram VO Control Block , Enhanced macrocell provides efficien t placement of logic for optimum .......... speed and density. LAB ~ I/O Control Block 1- -- r--- -+- 004- -.. -.. LAB LAB !4- ~ 004- -.. -.. LAB H " ~ LAB f4- 4- ~ ~ LAB LAB - f4- 0+- ---- ~ LAB V V VO Control Block PIApr'OVides fixeddelays betweenail logie resou roes. no. LAB f4f-- f4- ~ I LAB ILAB va T LAB t LAB Medium to very high count meets a wide range 0 f application needs. f4f-- H -- --- -+- 40- LAB LAB T/~ ~oControl Block 4- ---- EachL AB /allows full emulatian of TTLfunetions. I -4- T \ LAB "----- ·Coast toeoast" logiedelays are only 15 ns, inc/udin9 interconnect. ~ EPM7000-series MAX EPLDs have an expandable, modular architecture, from 1,500 to 20,000 gates. A powerful compiler minImizes and synthesizes the design, then automatically fits it into the most appropriate EPLD. If the design is too large, the compiler automatically partitions the logic into two or more EPLDs. The design may be verified with an integrated simulator, a full AC timing simulator, and with an interactive waveform editor that speeds waveform creation and debugging. Since the design is processed in minutes, several iterations can be completed in a single day. IAltera Corporation Page 179 II Notes: Contents I October 1990 Section 5 EPS-Series SAM & STG EPLDs EPS-Series EPLDs: Synchronous State Machine & Waveform Generation EPLDs .......................................................................... 183 EPS448 SAM EPLD: Stand-Alone Microsequencer .................................... 185 EPS464 STG EPLD: Synchronous Timing Generator ................................. 203 PLS-SAM: SAM +PLUS Programmable Logic Software ............................ 207 IAltera Corporation Page 181 I EPS-Series EPLDs SYSTEM CLOCK RATE (MHz) 50 Synchronous State Machine and Waveform Generation EPLDs .& EPS464 (STG) - .& EPS448 (SAM) 30 - -- 2~ USER 1/0 EPS448: Stand-Alone Microsequencer EPS464: Synchronous Timing Generator o Provides efficient solutions for state machines, bus- and memory-control functions, graphics, and DSP algorithm controllers. o Generates complex control timing waveforms for all types of imaging applications (CCDimagers, video displays, optical disks, etc.). o On-chip reprogrammable microcode EPROM up to 448 words deep Prioritized, multi way branch control o Programmable architecture implements NTSC, PAL, and SECAM synchronization standards for TV/ video applications. Powerful macrocell structure supports complex waveform and state machine designs. o o 15 x 8 stack for implementing subrou tines, nested loops, branch control, and other iterative functions o 8-bit loop counter for timing and delay loops o o o o o o Programmable I/O supports up to 36 inputs and 32 outputs. 3D-MHz clock frequency o 28-pin, 300-mil DIP or JLCC/PLCC package Vertically and horizontall y cascadable "Quiet" outputs minimize output switching noise. o o SAM+PLUS development software: o 50-MHz clock frequency 44-pin JLCC/PLCC or 44-pin plastic QFP package options Advanced development software support: Altera State Machine Language (ASMILE) Input Assembly Language (ASM) SAM Design Processor (SDP) Waveform design entry Logic syntheSiS Timing simulator Functional Simulator (SAMSIM) IA/tera Corporation Page 183 I EPS448 SAM EPLD Stand-Alone Microsequencer I October 1990, ver. 2 Data Sheet o Features o o o o o o o o o o o User-configurable ::>tand-Alone Microsequencer (SAM) for implementing high-performance controllers On-chip reprogram mabie microcode EPROM up to 448 words deep 15 x 8-bit stack Loop counter Prioritized multiway control branching 8 general-purpose branch-control inputs 16 general-purpose control outputs Cascadable to expand the number of outputs or states Low-power CMOS technology Footprint-efficient packages: 28-pin, 3OO-mil DIP or JLCC/PLCC 30-MHz clock frequency High-level software support with SAM+PLUS Development System: Altera State Machine Input Language (ASMILE) Assembly Language (ASM) SAM Design Processor (SDP) SAMSIM functional simulator The EPS448 EPLD is a function-specific, user-configurable stand-alone microsequencer (SAM). The on-chip EPROM of each EPS448 device (up to 448 words) is integrated with branch-control lOgic, a pipeline register, a stack, and a loop counter. This generic microccxied architecture can efficiently implement a broad range of high-performance controllers, from state machines to waveform-generation applications. General Description Figure 1. EPS448 Pin-Out Diagrams Iii ffl !l! !2 'Iii ~ C,) ~ d F14 ~ F13 !!! F12 4 11 2 3 1 28 27 26 Fl1 16 5 F10 17 FOt 8 F02 9 F03 10 F04 11 0 21 FOg elK F15 F08 F14 GND F13 F07 F12 EPS448 F11 12 It) ~ 13 ~ u.. 14 f"- 0 u.. 15 0 z G 16 00 0 u.. J-Lead I A/tera Corporation I 17 g u.. 18 0 u:: F01 DIP The EPS448 EPLD is available in 28-pin, 3OO-mil windowed ceramic dual in-line packages (DIPs) and in 28-pin ceramic J-Iead chip carriers OLCCs). One-timeprogrammable plastic J-Iead (PLCC) versions of the EPS448 EPLD are also available for volume production. See Figure 1. The 1.2-micron CMOS EPROM technology allows the EPS448 EPLD to operate at 3D-MHz clock frequency while still benefitting from low CMOS power consumption. This technology also Page 1851 n a I EPS448 SAM EPLD: Stand-Alone Microsequencer Data Sheet I facilitates 100% generic testability, which eliminates the need for postprogramming testing. Altera's SAM+PLUS software provides design entry, logic optimization and functional simulation for EPS448 designs. With SAM+PLUS, designs are entered in either state machine or microcoded format. The software automatically performs logic minimization and design fitting. The design can then simulate the design or program it directly to create customized working silicon. Programming takes only a few minutes with standard Altera programming hardware, LogicMap II software, and a PLED448 or PLEJ448 adapter. New users can purchase the complete PLDS-SAM Development System with programming hardware included; PLS-SAM is a software-only package for existing Altera systems. Applications Ideal EPS448 applications include programmable sequence generators (Le., state machines), bus and memory control functions, graphicS and DSP algorithm controllers, and other high-performance control logic. EPS448 devices can be cascaded horizontally for greater output capabilities and vertically for deeper microcode memory. See Application Brief 65 (Vertical Cascading of EPS448 SAM EPLDs) in this data book for more information. EPS448 as a State Machi ne EPS448 architecture easily implements synchronous state machines. The device's internal EPROM memory and pipeline register allow up to 448 unique states to be specified. Its branch-control logic allows single-clock, multiway branching based on the 8 inputs, the current device state, and the user-defined transition conditions. Design entry is simplified with the Altera State Machine Input Language (ASMILE) supported by SAM+PLUS software. This high-level language uses IF-THEN statements to define state transitions and truth tables to define or tri-state the outputs on a state-bystate basis. EPS448 as a Microcoded Controller EPS448 architecture provides several advanced features that make the device suitable for use as a complex microcoded controller. The EPS448 EPLD's 448-word on-chip EPROM is integrated with a microcode sequencer consisting of branch-control logic, a stack, and a loop counter. The branchcontrol logic-fed by the 8 general-purpose inputs, the counter, the stack, and the pipeline register-provides flexible, multi way microcode branch capability in a single clock, enhancing throughput beyond that of conventional controllers or sequencers. For microcoded controllers, SAM+PLUS software offers the high-level Assembly Language (ASM) design entry format. This language consists of powerful instructions (i.e., opcodes) that easily implement conditional IPage 186 Altera Corporation I I Data Sheet EPS448 SAM EPLD: Stand-Alone Microsequencer I branches, subroutine calls, multi-level FOR-NEXT loops, and dispatch functions (Le., branching to an externally specified address). For more information, see "Instruction Set" later in this data sheet. Functional Description As shown in Figure 2, the EPS448 EPLD consists of microcode EPROM, a 36-bit pipeline register, branch-controllogic, a 15 x 8-bit stack, and an 8-bit loop counter. The branch-control logic generates the address of the next state and applies it to the microcode memory. The outputs of the microcode memory represent user-defined outputs and internal control values associated with the next state. These new values are clocked into the pipeline register on the leading edge of the clock and become the current state. The new values in the pipeline register-along with the counter, stack, and inputs-are used by the branch-control logic to generate the new next-state address. Figure 2. EPS448 Block Diagram Clock Reset Inputs (10 to 17) Outputs (FO to F15) I Altera Corporation Page 1871 [EPS448 SAM EPLD: Stand·Alone MicrosequenctN Data Sheet I Microcode EPROM and Pipeline Register The microcode EPROM is organized into 448 36-bit words, each of which can be viewed as a single state location. Each of the 36 bits is divided into the following categories: F-field (16 bits) Q-field (8 bits) D-field (8 bits) consists of user-defined outputs at device pins. provides the next-state address. is a general-purpose field used either as a constant or as an alternative next-state address. OP-field (3 bits) contains the instruction (opcode). E-field (1 bit) enables or tri-states the device outputs. As shown in Figure 3, the microcode memory is organized as 255 addresses. Addresses 0 through 191 contain a single 36-bit word, which is associated with the desired next state. This state information is clocked into the pipeline register on the rising edge of the clock, and the outputs become valid one clock-to-output delay (teo) later. Addresses 192 through 255 access 4 unique 36-bit words, each of which corresponds to a different possible next state. (The extensions .0, .1, .2, and .3 are added to the addresses to distinguish the four states.) These 64 addresses make up the multiway branch locations, and are used to perform single-clock, four-way branching. Whenever the next-state address falls within the multi way branch locations, the branch-control logic makes the necessary l-of-4 selection based on the next-state address and user-defined input conditions. Figure 3. Microcode Memory and Pipeline Register Address Next·State Address from Branch Control 8 --,.-- 4 1-of-4 Branch Select from Branch Control I Page 188 Clock---- A/tera Corporation I I Data Sheet EPS448 SAM EPLD: Stand-Alone Microsequencer 1 Branch-Control Logic Block The branch-control logic is the key to the high-performance sequencing ability of the EPS448 EPLD. This block determines the next state to be clocked into the pipeline register, based on the current status of the pipeline register, the counter, the stack, and the eight input pins. The branch-control lOgic is divided into two segments: the address multiplexer and the branch-select logic. See Figure 4. Figure 4. Branch-Control Logic Zero Rag from Counter Opcode 3 Top-of-Stack 8 Inputs (10-17) 8 The address multiplexer provides the next-state address to the microcode memory. The next-state address can come from the Q-field, the D-field, or the top-of-stack. The selection is based on the instruction in the pipeline register and the condition of the zero flag from the counter. The branch-select logic is a programmable logic block with 768 product terms, 16 inputs, and 4 outputs. It is used to perform a 2-, 3-, or 4-way branch based on user-defined input conditions. When the next-state address falls within the multiway branch range of memory-i.e., any address greater than 191-the branch-select logic performs the necessary l-of-4 selection. When the next-state address is less than 192, no selection is required and the branch-select logic is turned off. I Altera Corporation Page 1891 I EPS448 SAM EPLD: Stand-Alone Microsequencer Data Sheet I The conditions controlling the multiway branch are defined by the user with a simple IF-THEtt-ELSE format, as shown in the following example: IF ELSEIF ELSEIF ELSE THEN THEN THEN (cond3) (cond2) (cond1) select select select select 281.3 281.2 281.1 281.8 The conditions are prioritized so that if the first condition (Le., cond3) is met, then microword 281.3 is selected and clocked into the pipeline register, regardless of the results of cond2 and cond1.1f none of the conditions is met, then microword 281.8 is clocked into the pipeline register. The three conditional expressions are user-defined. They may contain any logical equation that is based on the inputs and can be reduced to four product terms, as shown in the following example: 11 .. /12 .. /14 .. /14 .. /15 .. /16 .. /17 + 13 + 18 + 12 .. /14 .. /15 A unique set of 12 product terms is present in each of the 64 available multiway branch locations for a total of 768 product terms. See Figure 5. Figure 5. Branch Logic in a Multiway Branch Location Programmable Logic Priority Encoder D 8 8 8D D ~A ~V'I (~ 10 11 12 (~ ~V'I (f\ (V'I 13 14 Inputs 15 16 17 ~A 3 30 0 Select .3 Select .2 Select .1 .-~ Select .0 IPage 190 ~ Altera Corporation EPS448 SAM EPLD: Stand-Alone Aficrosequencer 1 Data Sheet The EPS448 EPLD is designed so that the number of available product terms is always sufficient for a design. Prioritization provides an effective product-term count of more than 12 per location. A tradeoff between the number of product terms and the number of possible branches can be made simply by placing identical state information in 2 locations, as shown in Figure 6. Figure 6. Multiway Branching vs. Product-Term Needs 4-Way Branch 3-Way Branch Stack The EPS448 stack is a Last-In First-Out (LIFO) arrangement that consists of 15 8-bit words. The top of stack may be used as the next-state address or popped into the counter. Values may be pushed onto the stack from either the D-field in the pipeline register or from the counter. Thus subroutines, nested loops, and other iterati ve structures may be efficiently im plemented. The logic levels on the 8 dedicated input pins may also be pushed onto the stack to allow external address specification in a dispatch function or to externally load the counter. See Figure 7. The pushing or popping of the stack occurs on the leading edge of the clock. The stack is "zero-filled" so that a pop from an empty stack will reset all 8 bits to zero. On the other hand, a push to an alread y full stack will write over the top-of-stack, leaving the other 14 values unchanged. Figure 7. Stack from dedicated input pins, D-field, or counter I Altera Corporation 8 ===:;, to counter or microcode memory Page 1911 Ii I EPS448 SAM EPLD: Stand-Alone Aficrosequencer Data Shf;!j Loop Counter The EPS448 EPLD contains an eight-bit loop counter called count register (CREG), which is useful for controlling timing loops and determining branch . Vee =5.0V TA I- <" ~ 25° C ci .§. C = 80 ~ Vee = 5.0 V Room Temp. 60 :5 () S0S 40 0 20 .§. Q) «~ 0 0 0 70mA <" SOmA 3OmAa...-__........____-'-____..L.._ _ _ _' - -_ _............... 0.45 1 2 Vo OUtput Voltage (V) I Altera Corporation 100 Hz 1 KHz 10 KHz 100 KHz 1 MHz 10 MHz 30 MHz Maximum Frequency Page 199 I EPS448 SAM EPLD: Stand-Alone Microsequencer Data Sheet I Absolute Maximum Ratings Note: See Operating Requirements for EPLDs in this data book. Symbol Parameter Conditions Min Max Unit vee Supply voltage With respect to GND -2.0 7.0 V Vpp Programming supply voltage See Note (1) -2.0 14.0 V V, DC input voltage -2.0 7.0 V I MAX DC V ee or GND current -250 +250 mA lour DC output current, per pin -25 Po Power dissipation +25 mA 1200 mW TSTG Storage temperature No bias ~5 +150 DC T AMB Ambient temperature Under bias -10 +85 DC Min Max Unit Recommended Operating Conditions Symbol Conditions Parameter vee Supply voltage 4.75 (4.5) 5.25 (5.5) V V, Input voltage 0 Vee V Vo Output voltage 0 Vee V See Note (2) TA Operating temperature For commercial use 0 70 DC TA Operating temperature For industrial use -40 85 DC Te Case temperature For military use -55 125 DC tR tF Input rise time 500 (100) ns Input fall time 500 (100) ns DC Operating Conditions See Note (2) Vee = 5 V ± 5%, T A = 0° C to 70° C for commercial use Vee = 5 V ± 10%, TA = -40° C to 85° C for industrial use Vee = 5 V ± 10%, Te = -550 C to 1250 C for military use Symbol Parameter Max Unit V1H High-level input voltage 2.0 V CC +0.3 V V IL low-level input voltage -0.3 0.8 V VOH High-level TIL output voltage IOH=-8mADC 2.4 V OH High-level CMOS output voltage IOH=-4mADC 3.84 VOL low-level output voltage I OL = 8 (4) mA DC I, Input leakage current V I = Vee or GND, Note (3) loz Tri-state output off-state current V o=V CC or GND ICC1 V CC supply current (standby) V I=V CC or GND, See Note (4), Note (5) I CC3 V CC supply current (active) No load, 50% duty cycle, f = 20 MHz, See Note (4) I Page200 Conditions Min Typ V V 0.45 V -10 +10 -10 +10 JlA JlA 60 95 (120) mA 90 140 (200) mA A/tera Corporation I IData Sheet Capacitance I EPS448 SAM EPLD: Stand-Alone Microsequencer See Note (6) Symbol Max Unit CIN Input capacitance Parameter V IN =0 V, f = 1.0 MHz Conditions Min 10 pF COUT Output capacitance V OUT = 0 V, f = 1.0 MHz 1S pF CCLK Clock pin capacitance V IN =0 V, f = 1.0 MHz 10 pF CRST nRESET pin capacitance 7S pF AC Operating Conditions vcc = 5 V ± 5%, T A = 0° C to 70° C for commercial use Vee = 5 V ± 10%, TA = -40° C to 85° C for industrial use Vcc = 5 V ± 10%, Tc = -55° C to 125° C for military use EPS44B·30 Symbol f CYC Parameter Maximum frequency Conditions Min =35 pF 30 C1 t CYC Maximum clock cycle ts Input setup time Max EPS448·25 Min 40 33.3 Input hold time tco Clock to output delay t cz Clock to output disable or enable t CL Minimum clock low time 0 16.5 ns ns ns 0 22 20 12 Unit MHz 50 20 16.5 11 Max 22 20 0 C1 =35pF Min 20 25 16.5 tH Max EPS448·20 22 ns ns 15 ns t CH Minimum clock high time 11 12 15 ns t SUR nRESET setup time 16.5 18 18 ns t HR nRESET hold time 5 5 5 ns Notes to tables: Minimum DC input is -0.3 V. During transitions, the inputs may undershoot to -2.0 V or overshoot to 7.0 V for periods less than 20 ns under no-load conditions. (2) Numbers in parentheses are for military and industrial temperature versions. (3) For 1.0 < VI < 3.8, the nRESET pin will source up to 200 J1A. (4) Typical values are for TA =25° C, Vee =5 V. (5) This condition applies when the present state is a single-way branch location. (6) Capacitance is measured at 25° C. Sample-tested only. (1) Product Availability Grade Availability Commercial (0 0 C to 700 C) Industrial (-40 0 C to 850 C) EPS448-20 Military (-55 C to 125 C) EPS448-20 0 EPS448-3O, EPS448-25, EPS448-20 0 Note: Only military temperature-range EPLDs are listed above. MIL-STD-883B-compliant product specifications are provided in Military Product Drawings (MPDs), available from Altera Marketing at 1 (800) SOS-EPLD. These MPDs should be used to prepare Source Control Drawings (SCDs). See Military Products in this data book. I Altera Corporation Page2D1 I EPS448 SAM EPLD: Stand-Alone Mlcrosequencer Data Sheet I Figure 13 shows EPS448 timing and reset timing waveforms. Figure 13. EPS448 Waveforms If nRESET is held /ow for more than three clock edges, then the outputs associated with the boot address (00 Hex) will remain at the pins until the third clock after nRESET goes high. Timing Waveforms :_ t F --+1 Clock Input 10 to 17 tCYC *- t Cl ---.: .: ;...- t CH ~ 4 . ~tsu~ ~. Valid Input; tR~ ----i ~tH j...- \-----i'l-:.i.·-----..L )(,--.------+------ ?-tco~ Output FO to F15 - --+:_----J~ ! ____ r-""'-_tcz_-..c-l~ _ _ _ _ _ _... Output FO to F15 High-Impedance . Mr--lttez~--.iC·i Trl-State Reset Timing Waveforms Clock ---! 1-- ts~ ~ 1-- tlfl nRESET \~~_ _ _ _~~i'--~----~-too-i Output FO to F15 r- ~"----ln-va-Iid-O-ut-P-u-t---:.,X " Page 202 too ---eo1 F (00) ! C1-- Counter and stack cleared Altera Corporation I EPS464 STG EPLD Synchronous Timing Generator I October 1990, ver. 1 Features Data Sheet I o o Advance Information o o o o o o o High-performance Synchronous Timing Generator (STG) EPLD is ideally suited for custom waveform and state machine designs. Generates complex control timing waveforms for all typesofimaging and display applications: CCO imagers, video displays, optical disks. Programmable architecture implements NTSC, PAL, and SECAM synchronization standards for TV/video applications. High-performance 50-MHz clock frequency Programmable I/O supports up to 36 inputs and 32 outputs "Quiet" output buffers and input buffers with 2S0-mV hysteresis for noise immunity and reliable operation Powerful macrocell structure Modulo-n binary and Gray-code counters Complex state machines Multiple-product-term JK flip-flops for complex waveform generation Phase comparator and clock oscillator functions Available in 44-pin, windowed ceramic JLCC, one-time-programmable PLCC, and plastic QFP packages Advanced software support featuring waveform design entry, Altera Hardware Description Language (AHDL), compilation, and simulation The Altera EPS464 Erasable Programmable Logic Device (EPLO) provides an integrated solution for synchronous timing waveform-generation applications. Each of the EPS464 outputs can generate cu stomized waveforms to meet a variety of different system requirements. Possible applications include TV /videosynchronization signals (e.g., NTSC, PAL, SECAM, Figure 1. EPS464 Pin-Out Diagrams HOTV) as well as CCO timing controllers, high-performance state machines, and memory-and servocontrollers. The EPS464 EPLO is packaged in a 44-pin, windowed ceramicJ-Ieadchipcarrier(JLCC) a one-time-programmable plastic J-Iead chip carrier (PLCC), or a 44-pin plastic quad flat pack (QFP) EPS464 package. See Figure 1. General Description o J-Lead ! A/tera Corporation QFP Page203! 5 I EPS464 STG EPLD Data Sheet I The EPS464 EPLDcontains 32 I 10 pins that canbe independently configured as dedicated outputs, dedicated inputs, or bidirectional pins. The EPS464 also contains 4 dedicated input pins, one of which may be programmed as a synchronous system clock. The EPS464 EPLDcontains 64 macrocells that are ideally suited for waveformsynthesis applications (Figure 2). The advanced macrocell structure of the EPS464 device allows integration of com plex logic functions, with over 100 product terms available to anyone macrocell. Each of the 64 internal flipflopsmaybeprogrammedforD, T,JK,orSRoperation.JKandSRflip-flops are well suited for pattern-generation applications, since simple set and reset operations can be used to define the transi tions of output waveforms. Each flip-flop can be clocked from either a fast system clock or a programmable asynchronous clock. Figure 2. EPS464 Block Diagram Inputr=:>--e--------, r---------<----.1 Input InputC>--+-------, r-------+-~llnput 1/0 MACROC ELL 33 MACROCELL 34 MACROCELL2 I~ MACROCELL 35 MACROCELL 3 1/0 MAC ROC ELL 36 MACROCELL 4 1/0 MACROCELL 37 MACROCELL 5 1/0 MACROCELL 38 MACROCELL 6 1/0 MACROCELL 39 MACROCELL 7 1/0 MACROCELL 40 MACROCELL8 I~ MACROCELL 41 MAC ROC ELL 9 1/0 MACROCELL 42 MACROCELL 10 1/0 MACROCELL 43 MACROCELL 11 1/0 MACROCELL 44 MACROCELL 12 I~ MAC ROC ELL 45 MACROCELL 13 1/0 MAC ROC ELL 46 MACROCELL 14 1/0 MACROCELL 47 MACROCELL 15 1/0 MACROCELL 48 MACROCELL 16 1/0 MACROCELL 49 MACROCELL 17 1/0 MACROCELL 50 MACROCELL 18 1/0 MACROCELL 51 MACROCELL 19 1/0 MACROCELL 52 MACROCELL 20 1/0 MAC ROC ELL 53 MACROCELL 21 1/0 MACROCELL 54 MACROCELL 22 1/0 MACROCELL 55 MAC ROC ELL 56 MACROCELL 57 MACROCELL 25 MAC ROC ELL 58 MACROCELL 26 I~ MACROCELL 59 MACROCELL 27 1/0 MACROCELL 60 MACROCELL 28 1/0 MACROCELL 61 MACROCELL 29 1/0 MACROCELL 62 Page 204 I~ ~--M~AC~R~OC~EL~L~~~-~~~I~ MACROCELL 23 1/0 ~--M-AC~ROC-E-L-L-30---~~~I~ MACROCELL 63 MACROC ELL 31 1/0 MAC ROC ELL 64 MACROCELL 32 1/0 A/tara Corporation I I EPS464 STG EPLD Dats Sheet I The EPS464 EPLO is programmed with Altera's development software. To simplify design entry for waveform-generationapplications, a new graphical waveform entry method is available to describe the necessary timing waveforms. This wa veform entry method is used with the Altera Hardware Description Language (AHOL), reducing the overall design time and allowing modifications to be made within minutes. The logic is then automatically synthesized "to implement the function specified by the waveforms. Principle of Operation Waveform-generation and state machine applications can be efficiently implemented with the EPS464device.Outputwaveformsaredecoded from internal counters and, optionally, from internal state registers to set (i.e., perform low-to-high transition) or reset (perform high-ta-Iow transition) the specified waveform. Figure 3 shows a sample EPS464 design in which the EPS464 generates NTSC video-display waveforms and CCO timing control. Twenty of the EPS464 macrocells are configured for counters (1 0 bits horizontal and 10bits vertical), and 4 macrocells are used to implement a state machine. The counters and state machine registers are then decoded by the EPS464 macrocells and connected to internal synchronous JK registers to set and reset desired ou tput waveforms. I A/tera Corporation Page 205 I I EPS464 STG EPLD Data Sheet I Figure 3. Typical EPS464 Application Video Analog Block Out CCD Image Sensor I Page206 Altera Corporation I PLS·SAM SAM+PLUS Programmable Logic Software Data Sheet I , October 1990, ver. 2 Features o o o o o o o o o o General Description Development software for Altera's EPS448 Stand-Alone Microsequencer (SAM) EPLDs Altera State Machine Input Language (ASMILE) Assembly Language (ASM) User-definable macros SAM Design Processor (SDP) that generates industry-standard JEDEC files SAMSIM interactive functional simulator with Virtual Logic Analyzer (VLA) user interface Disassembler for examination of assembly code during simulation Full support for horizontal cascading of multiple EPS448 EPLDs Runs on IBM PC-AT, and PS/2 computers (and compatibles) Device programming with Al tera programming hardware The Altera PLS-SAM (SAM+PLUS Programmable Logic Software) provides a complete software solution for implementing state machine and microcoded applications in Altera's EPS448 SAM EPLD. PLS-SAM is a comprehensive, easy-to-use system that includes state machine and assembly language design entry, design processing with the SAM Design Processor (SDP), and design debugging with SAMSIM. The EPS448 EPLD is programmed with Altera's LogicMap II software and programming hardware. See Figure 1. PLS-SAM is a software-only package. PLDS-SAM (Programmable Logic Development System) includes LogicMap II, programming hardware, and a software warranty (see the PLDS-SAM: SAM+PLUS Programmable Logic Development System Data Sheet for details). The SDP accepts two forms of design entry-state machine and assembly language-and automatically generates an industry-standard JEDEC file Figure 1. SAM+PL US Block Diagram I Altera Corporation Page 207 I B I PLS-SAM Oats Sheet I for simulation and programming. SAMSIM is an interactive functional simulator created especially to verify state machine and microcoded designs implemented in EPS448 EPLDs. Functional Description Designs are entered in either the Altera State Machine Input Language (ASMILE) or the Altera Assembly Language (ASM). A standard text editor is used to create the input file with either method. If ASMILE is used, the State Machine File (SMF) is processed by a converter to produce an ASM file. The various modules of the SOP then process the ASM file .. The SOP produces three outputs: an industry-standard JEOEC file used to simulate and program the EPS448 EPLO, an error log file, and a utilization report file that shows how resources within the EPLO are used. After the JEOEC file is created, the user can simulate the design with the SAMSIM functional simulator, which provides an interactive designdebugging environment. SAMSIM's Virtual Logic Analyzer (VLA) provides on-screen examination of input and output waveforms, and the disassembler converts object code back into the original ASM source code during simulation. Horizontal cascading (Le., using multiple EPS448 EPLDs to increase the number of outputs) is fully supported in design entry, processing, simulation, and programming. Multiple EPS448 EPLDs are listed in a single source file, but separate report and JEOEC files are created for each device. Finally, the EPS448 EPLO is programmed with LogicMap II software and programming hardware. Users who alread y have an Altera development system may use existing hardware together with the LogicMap II software and PLED448 or PLEJ448 adapters to program EPS448 EPLDs. For new users, PLDS-SAM includes all the programming hardware and software required to program the EPS448 EPLOs with a PC-AT, PS /2, or com patible system. State Machine Design Entry SAM+PLUS software supports high-level state machine design entry through ASMILE. A designer can use this language with any standard text editor to create a file describing a state machine. The State Machine File-toAssembly Language file (SMF2ASM) Converter translates the SMF into an equivalent ASM file before sending it to the SOP. ASMILE provides a simple yet comprehensive means of converting a conceptual state diagram into a simple text description. Figure 2 shows the state diagram for a 68020 bus arbiter. Each circle represents a state, the values within the circles represent the output values for that state, and the expressions adjacent to the arrows represent the conditional branches between states. Page 208 A/tera Corporation I I Data Sheet PL5-SAM I Figure 2. State Diagram for a 68020 Bus Arbiter RIA RAG- TX- Bus request input Bus grant acknowledge input Bus grant output Tri-state control to bus-control logic Don't care Figure 3 shows the ASMILE description of the state machine shown in Figure 2. The states and their respective outputs have been defined in the States Section with a truth table, and the transitions between states have been defined with simple IF-THEN constructs. Once this file is created, it can be submitted to the SOP without any further modifications. Assembly Language Design Entry Direct ASM design entry is also available for those who prefer to use EPS448 EPLDs for microcoded controller designs. This entry method provides access to the advanced features of the EPS448 device, including the on-chip stack and loop counter. Thirteen instructions directly control such functions as multi way branching, subroutines, nested FOR-NEXT loops, and dispatch calls (i.e., jumping to an externally specified address). User-defined macros that allow users to define their own instruction mnemonics are also available, providing a higher-level design entry approach. Macros can also be used to define values for various output fields so that the deSigner does not have to work at the binary level. Figure 4 shows an example of an ASM file in which macros have been used to define the seven new instructions GOTOSe through GOTOS6. I Altera Corporation Page 209 I I PLS-SAM Data Sheet I Rgure 3. State Machine File DESIGHER HAt1E COt1PAHY HAt1E 181'11'98 68828 Bus Arbitration Controller for EPS448 SAt1 EPLD PART: IHPUTS: OUTPUTS: t1ACHIHE: CLOCK: EPS448 REQUEST ACK GRAHT TRISTATE BUSARBITER CLK '" The state table defines the outputs for each state '" STATES: [ GRAHT TRISTATE ] S8 [ 88] 11] S1 [ S2 [ 1 1 S3 [ 1 1 S4 [ 1 1 S5 [ 8 1 S6 [ 8 1 '" Transition specifications '" S8: IF REQUEST*I'ACK THEN SI IF AeK THEN S5 S8 S1: S2 S2: IF I'REQUEST *I'ACK +ACK THEH S6 '" It1PLIED ELSE '" S2 S3: IF I'REQUEST THEH S6 IF REQUEST*I'ACK THEH S2 S3 S4: S3 S5: IF I'REQUEST*I'ACK THEN S8 IF REQUEST THEH S4 55 56: S5 END$ Design Processor The SDP takes an ASM file and creates an optimized ]EDEC file for the target EPLD. This process includes the following steps: o o o o I Page 210 The user-defined macros are expanded. The design is parsed, and any syntax or connection errors are listed in an error log file. The Boolean expressions that define the transition conditions are minimized. The design is fitted into the EPS448 EPLD and an industry-standard ]EDEC programming file is generated. A utilization report that shows how the design is implemented in the EPS448 EPLD is also created. Altera Corporation I IOats Sheet PL5-SAM I Rgure 4. Assembly Language File DESIG"ER "AME COMPA"Y "AME 18/1/98 68828 Bus Arbitration Controller for EPS448 SAM EPLD PART: EPS448 I"PUTS: REQUEST ACX OUTPUTS: GRA"T TRISTATE MACROS: GOTOS8 GOTOSI GOTOS2 GOTOS3 GOTOS4 GOTOS5 GOTOS6 "[881 "[11] "[111 "[11] "[111 "[81] "[81] JUMP JUMP JUMP JUMP JUMP JUMP JUMP S8" SI" S2" S3" S4" S5" S6" PROGRAM: X BUSARBITER X X CLX X 8D: GOTOS8; S8: IF REQUEST*/ACX THE" GOTOS1; ELSEIF ACX THE" GOTOS5; ELSE GOTOS8; S1: GOTOS2; S2: IF /REQUEST*/ACX+ACX THE" GOTOS6; ELSE GOTOS2; S3: IF /REQUEST THE" GOTOS6; ELSEIF REQUEST*/ACX THE" GOTOS2; ELSE GOTOS3; S4: GOTOS3; S5: IF /REQUEST*/ACX THE" GOTOS8; ELSEIF REQUEST THE" GOTOS4; ELSE [811 JUMP S5; S6: GOTOS5; E"D$ SAMSIM Functional Simulator I Altera Corporation Once a design has been processed, it can be simulated with the SAMSIM Functional Simulator. SAMSIM provides a comprehensive design debugging environment. The Virtual Logic Analyzer (VLA) displays the input and output waveforms interactively, providing multiple zoom levels, split screens, and differential time displays (see Figure 5). The internal sta te of the EPS448 EPLD, including the stack and counter, can be examined and modified. An online disassembler can convert the actual object code back into the original ASM source code. Page 211 I I PLS-SAM Dsts Sheet I Figure 5. Virtual Logic Analyzer Screen 1:1~~~~--~--~--~----------~--==---------=~ REQUEST ACK ----.J -----,L-__--' ----D~ ___--lin ~6 ______ L~nL- ________________ 'L- ~ ____~~~______~nL- ............. ·8··· Range: B to 74 ~~ "aMe: 68B28ARB. JED ____~________~~ Cycle: 1 Signals: 11 Programming Hardware & Software LogicMap II is the software used to program the EPS448 SAM EPLD. The software fully calibrates the programming environment and checks out the programming hardware (available in PLDS-SAM) when initiated. Programming hardware consists of a software-configured Logic Programmer card that occupies a half-card slot in the computer, a Master Programming Unit (PLE3-12A, and a programming adapter. LogicMap II works with this hardware to program and verify EPS448 EPLDs. PLS-SAM Contents PLS-SAM is provided for existing owners of Altera programming hardware. PLDS-SAM or PLDS-SAM/PS users receive all of the required programming hardware and PLS-SAM software (see the PLDS-SAM Data Sheet for more information). o o Ordering Information I Page212 Floppy diskettes containing all programs and files for SAM+PLUS software for both PC-AT and PS/2 computers Altera State Machine Input Language (ASMILE) Assembly Language (ASM) SAM Design Processor SAMSIM Functional Sim ula tor LogicMapII Documentation PLS-SAM (supports both PC-AT and PS/2 formats) A/tera Corporation I Contents I October 1990 Section 6 EPB·Series EPLDs EPB-Series EPLDs: Altera U ser-Configurable Micro Channel Interface ............................................................................................ 215 ~ IAltera Corporation This section presents an overview of the EPB-Series EPLDs. Complete data sheets and application notes and briefs about EPBSeries EPLDs are available in the Micro Channel Adapter Handbook (April 1990). Page213 I EPB·Series EPLDs Altera User-Configurable Micro Channel Interface Micro Channel Bus Programmable POS 1/0 Lines o o o o o o Memoryl DMA 1/0 Control Handshake 100% Micro Channel-compatible architecture eliminates design debug problems and results in faster board design time. 3O-mA power-supply current conserves limited board power for memory, I/O, and other essential ICs. 2S-ns address decoding supports highspeed, zero "wait-state" data transfers. EPROM board ID POS registers eliminate extra ID registers. Programmable POS register I/O gives the designer a choice of POS bits accessible on board. 8 programmable chip-select outputs eliminate the need for extra address decoder PLDs and glue logic ICs. IAltera Corporation o o o o o o 24 Micro Channel address inputs support· full address decoding from the Micro Channel bus. Multiple I/O or address decode ranges (up to 8 per chip-select output) provide multiple addressing options for the designer's board. 24-mA current drive outputs eliminate extra buffer ICs. Channel-check interrupt support enables the board to use bus Non-Maskable Interrupts for fast CPU interrupt response. Optional 28-pin EPB2002A EPLD provides DMA arbitration support. Altera's MCMap Development System simplifies Micro Channel design and eliminates design errors. Page 215 I Contents I October 1990 Section 7 Operating Requirements for EPLDs Operating Requirements for EPLDs ............................................................ 219 IAltera Corporation Page 217 I Operating Requirements for EPLDs I October 1990, ver. 1 Introduction Altera EPLDs combine unique architectures with an advanced CMOS EPROM process that provides exceptional performance with low power. Like any high-performance CMOS process, systems must be designed with care to obtain maximum performance with minimum problems. Operating Conditions Opera tion of Altera EPLDs at condi tions above those listed under Absol u te Maximum Ratings" in the EPLD data sheets may cause permanent damage to the devices. These ratings are stress ratings only. Functional operation of the device at these conditions or at any other conditions above those indicated in the operational sections of these data sheets is not implied. Exposure to absolute maximum ratings conditions for extended periods of time may affect device reliability. Altera EPLDs contain circuitry to protect device pins from high-static voltages or electric fields; however, precautions should be taken to avoid voltages higher than maximum-rated voltages. II For proper operation, input and output pins must be in the range GND < (VIN or Your) < Vee. Unused inputs must be tied to Vee or GND. Unused I/O pins should be tied to Vee or GND, or left unconnected ("reserved"). Specific requirements are given in the EPLD pin-out in the Report File (utilization report) for a design. Each set of Vee and GND pins must be connected directly at the device, with power supply decoupling capacitors of at least 0.21lF connected between them. For effective decoupling, each Vee pin should be separately decoupled to GND, directly at the device. Decoupling capacitors should have good frequency response, such as the response in monolithic-ceramic types. Noise Precautions If more than 12 EPLDoutputpins are switching simultaneously, precautions must be taken to minimize system noise. Certain board layouts can induce switching noise into the system from high-speed devices due to transmissionline effects and radiated coupling. These effects can be minimized by using printed circuit boards with embedded Vee and GND planes. They can also be lessened by restricting trace length in a board to under eight inches. In cases where long board trace~ or highly capaciti'le loads are impossible to avoid, a small series resistance (10 to 30 n) usually lessens undershoot and overshoot voltages if they cause a problem in a particular printed circuit board layout. Turbo Bit Some EP-series EPLDs contain a programmable Turbo Bit, set with A+PLUS software, to control the automatic power-down feature that enables lowstandby-power mode. When the Turbo Bit is programmed (Turbo = On), I Altera Corporation Page 219 I I Operating Requirements for EPLDs the low standby power mode (lCC1) is disabled, making the circuit less sensitive to Vcc noise transients created by the low-power mode powerup/power-down cycle. Typical Icc versus frequency data for both turbo and non-turbo mode is given in each EPLD data sheet. All AC values are tested with the Turbo Bit programmed on. If the design requires low-power operation, the Turbo Bit should be disabled (Turbo = Off). In this mode, some AC parameters rnayincrease. To determine worst-case timing, values from the AC Non-Turbo Adder specifica tions in the EPLD data sheet must be added to the corresponding AC parameter. Device Erasure Altera EPLDs begin to erase when exposed to lights with wavelengths shorter than 4,000 A. Since fluorescent lighting and sunlight fall into this range, opaque labels should be placed over the EPLD window to ensure long-term reliability. The recommended erasure procedure for EPLDs is exposure to UV light with a wavelength of 2,537 A. Required erasure times assuming use of a lamp with a 12,000 ~W /cm 2 power rating are given in the table below; some low-power erasers may take longer. Part Number EP320, EP610,EP910,EP1810, EPS448 EP640, EPB2001, EPM5016, EPM5032, EPM5064, EPM5128, EPM5130, EPM5192 EP330, EP630,EP1830 Erasure Time 30 minutes 1 hour 2 hours Altera EPLDs may be damaged by long-term exposure to high-intensity UV light. Altera EPLDs may be erased and reprogrammed as often as necessary if the recommended erasure exposure levels are used. ESD and Latch-Up Protection EPLD input, I/O, and clock pins have been designed to resist the electrostatic discharge (ESD) and latch-up inherent in CMOS structures. Unless otherwise noted, each of the EPLD pins will withstand voltage energy levels exceeding 1,500 V, per method specified by MIL-STO-883C. The pins will not latch up for input voltages in the range Vss-1 V to Vcc + 1 V with currents up to 100 rnA. During transitions, the inputs may undershoot to -2.0 V for periods less than 20 ns. Additionally, the programming pin is designed to resist latch-up to the 13.5 V maximum device limit. Power Calculations As with any CMOS device, power is a function of frequency and internal node switching. To obtain the most accurate power information, current consumption should be measured after the design is completed and the EPLD is placed in the system. Conclusion If the precautions given in this data sheet are followed during system and board design, Altera EPLDs should provide superior system performance and design flexibility, regardless of design size or production volume. IPage 220 Altera Corporation Contents I October 1990 Section 8 Development Products PLDS-ENCORE: Complete Programmable Logic Development System .............................................................................................. 223 PLDS-MAX: MAX+PLUS Programmable Logic I>evelopment System ...................................................................... 225 PLCAD-SUPREME: Enhanced A+PLUS Programmable Logic I>evelopmentSystem ........................................................... 227 PLDS2: Basic A+PLUS Programmable Logic I>evelopment System ...................................................................... 229 PLDS-SAM: SAM+PLUS Programmable Logic I>evelopment System ...................................................................... 231 PLDS-MCMAP: MCMap Programmable Logic I>evelopment System ...................................................................... 233 AB73 Software Utility Programs ............................................................. 235 PC System Requirements ............................................................................... 237 PLS-EDIF: Bidirectional EDIF Netlist Interface to MAX +PLUS Software ..................................................................... 238 PLS-APOLLO: MAX+PLUS Programmable Logic Software for Apollo Computers .................................................................... 249 PL-ASAP: Altera Stand-Alone Programmer ............................................... 256 PLE3-12A: EPLD Master Programming Unit .............................................. 257 PLED/J/G/S/Q: PLED, PLEJ, PLEG, PLES & PLEQ Programming Adapters ................................................................. 258 PLAESW-PC: Extended Software Warranty ............................................... 260 Third-Party I>evelopment & Programming Support ................................. 261 IA/tera Corporation Page221 I PLDS·ENCORE Complete Programmable Logic Development System I October 1990, ver. 1 Contents Data Sheet o o o o o o o General Description I PLS-MAX-MAX +PLUS Programmable Logic Software PLS-SUPREME-Enhanced A+PLUS Programmable Logic Software PLS-SAM-SAM+PLUS Programmable Logic Software PL-ASAP-Altera Stand-Alone Programmer: Software-controlled Logic Programmer interface card PLE3-12A-EPLD Master Programming Unit Programming adapters: PLED5016 DIP PLEJ5128 J-lead PLED1810 DIP PLED5032 DIP PLED610 DIP PLED448 DIP PLEJ5064J-Iead PLED910DIP Sample EPLDs for evaluation PLAESW-PC-12-Month Software Warranty and Update Service PLDS-ENCORE is Altera's most comprehensive EPLD development package. It supports design entry, logic optimization, and design verification for all Multiple Array MatriX (MAX), EP-series, and Stand-Alone Microsequencer (SAM) EPLDs. MAX EPLD designs are implemented with PLS-MAX-MAX+PLUS Programmable Logic Software. Designs can be entered with any combination of hierarchical schematic files, and hierarchical text files I Altera Corporation Page 223 I I PL05-ENCORE DataShHt I containing Boolean equations, state machines, and truth tables in the Altera Hardware Description Language (AHDL). Over 300 7400-series and special-purpose macrofunctions are available for design entry. MAX+PLUS also includes a fast and efficient design compiler, automatic error location, delay prediction, interactive timing simulation, timing analysis, and device programming applications. EP-series EPLD designs are implemented with PLS-SUPREME (Enhanced A+PLUS Programmable Logic Software). PLS-SUPREME supports schematic capture, Boolean equation, state machine, truth table, ahd netlist design entry methods. It includes LogiCaps schematic capture, TTL MacroFunction Library, Altera Design Librarian (ADLIB), State Machine Entry, Altera Design Processor, Functional Simulator (FSIM), and LogicMap II software. SAM EPLD designs are implemented with PLS-SAM (SAM+PLUS Programmable Logic Software). SAM+PLUS includes state machine and microcode design entry, the SAM Design Processor, and the SAMSIM Functional Simulator, providing an efficient logic development system for SAM EPLDs. The PLDS-ENCORE Development System includes all necessary hardware-a Logic Programmer card, Master Programming Unit, and a range of programming adapters-to program EPLDs at the designer's desktop. PLDS-ENCOREalsoincludesPLAESW-PC,a 12-month renewable warranty that covers all PC-based Altera software, including PLS-MAX, PLS-SAM, and PLS-SUPREME.1t provides automatic upgrades to each new version of Altera software and guarantees software support for new EPLDs as they are introduced. PLAESW-PC also provides a toll-free hotline and 24-hour modem interface to Altera's Electronic Bulletin Board Service. Individual PLDS-ENCORE components can be purchased separately. However, PLDS-ENCORE provides a full range of EPLD logic development support at a significant savings compared with the cost of purchasing each individual software application separately. See the individual data sheets for PLDS-ENCORE components (in this data book) for additional information on Altera software and hardware. Ordering Information IPage224 PLDS-ENCORE PLDS-ENCORE/PS (for IBM PC-AT and compatibles) (for IBM PS/2 Models 50, 60, 70, 80, and compatibles) Altera Corporation I PLDS-MAX MAX+PLUS Programmable Logic Development System Data Sheet I I October 1990, ver. 1 Contents o PLS-MAX-MAX +PLUS Programmable Logic Software o o PLE3-12A-EPLDMaster Programming Unit Programming Adapters: PLED5016 DIP PLEJS064J-lead PLEDS032 DIP PLEJS128 J-lead Sample EPLDs for evaluation PLAESW-PC-12-Month Software Warranty and Update Service o Software-controlled Logic Programmer interface card o o General Description The Altera PLDS-MAX Development System is a unified CAE tool kit for implementing designs in the MAX (Multiple Array MatriX) family of EPLDs. PLDS-MAX, which includes MAX+PLUS software, provides a comprehensive range of design entry, design processing, timing simula tion, and device programming capabilities. PLDS-MAX allows MAX designs to be completed rapidly and efficiently. Designs can be entered with any combination of hierarchical schematic files created with the MAX+PLUS Graphic Editor, and hierarchical text files containing Boolean equations, state machines, or truth tables in the Altera Hardware Description Language (AHDL). The MAX+PLUS Compiler minimizes and synthesizes the design logic, and fits the design I Altera Corporation Page22s1 I PL05-MAX OstsSheet I into a targeted MAX EPLD. Processing is completed within minutes. The MAX+PLUS Simulator provides full interactive timing simulation. To simplify design verification, simulation inputs can be edited graphically in the MAX +PLUS Waveform Editor. (For more information on MAX +PLUS software, refer to PLS-MAX: MAX+PLUS Programmable Logic Software Data Sheet.) PLDS-MAX hardware consists of a Master Programming Unit, Logic Programmer card, programming adapters, and a variety of device samples. The MAX+PLUS Programmer uses this hardware and the programming file created by the Compiler to translate design outputs into working MAX EPLDs. PLAESW-PC, a 12-month renewable warranty that covers all PC-based Altera software, is included in PLDS-MAX. It provides automatic upgrades to each new version of Altera software and guarantees software support for new MAX EPLDs as they are introduced. PLAESW-PC also provides a toll-free hotline and 24-hour modem interface to Altera's Electronic Bulletin Board Service. (See PLAESW-PC: Extended Software Warranty Data Sheet for further details.) The optional PLS-EDIF package provides an interface between MAX+PLUS and third-party CAE systems. For customers who already own Altera programming hardware, PLS-MAX (MAX+PLUS Programmable Logic Software) is available as a software-only enhancement to their current system. Ordering Information IPage 226 PLDS-MAX PLDS-MAX/PS (for IBM PC-AT and compatibles) (for IBM PS/2 Models 50,60,70,80, and compatibles) Altera Corporation I PLeAD-SUPREME Enhanced A+PLUS Programmable Logic Development System Data Sheet I I October 1990, ver. 1 Contents o o o o o o o o General Description I Altera Corporation PLS-SUPREME-Enhanced A+PLUS Programmable Logic Software and Documentation A+PLUS Programmable Logic Software Altera Design Processor (ADP) LogicMap II device programming software LogiCaps schematic capture software TIL MacroFunction Library Altera Design Librarian (ADLIB) software State Machine Entry software Functional Simulator (FSIM) software Software-controlled Logic Programmer interface card PLE3-12A-EPLD Master Programming Unit PLED610 DIP programming adapter PLED910 DIP programming adapter PLEJ1810 J-lead programming adapter Sample EPLDs: EP320DC, EP610OC, EP910OC, EP1810JC PLAESW-PC-12-Month Software Warranty and Update Service PLCAD-SUPREME provides basic A+PLUS software, additional software applications for design entry and design verification, and programming hardware that supports all Altera general-purpose EP-series EPLDs. PBge227 I I PLCAD-SUPREME Da,. Sheet I PLCAD-SUPREME offers four different methods of design entry: LogiCaps schematic capture, state machine, Boolean equation, and netlist. Designers can use over 100 functions from the A+PLUS TIL MacroFunction Library, and design their' own macrofunctions with the Altera Design Librarian (ADLIB). The Altera Design Pra:cessor optimizes the design and generates aJEDEC file for EPLD programming. The Functional Simulator provides a convenient method for testing the logical operation of the compiled design. PLCAD-SUPREME also includes LogicMap II device programming software, a Master Programming Unit, a Logic Programmer card, and a variety of device samples and programming adapters. (For more information on A+PLUS software and enhancements, refer to PLS-SUPREME: Enhanced A+PLUS Programmable Logic Software Data Sheet.) PLAESW-PC, a 12-month renewable warranty that covers all PC-based Altera software, is included in PLS-SUPREME. It provides automatic upgrades to each new version of Altera software and guarantees software support for new EPLDs as they are introduced. PLAESW-PC also provides a toll-free hotline and 24-hour modem interface to Altera's Electronic Bulletin Board Service. (See PLAESW-PC: Extended Software Warranty Data Sheet for further details.) PLCAD-SUPREME provides a complete logic design capability for the full range of Altera EP-series EPLDs at a significant savings compared with the cost of purchasing the PLDS2 and PLS-SUPREME packages separately. For customers who already own Altera programming hardware, PLSSUPREME (Enhanced A+PLUS Programmable Logic Software) is available as a software-only package. Ordering Information IPage228 PLCAD-SUPREME PLCAD-SUPREME/PS (for IBM PC-AT and compatibles) (for IBM PS/2 Models 50, 60, 70, 80, and compatibles) A/tera Corporation I PLDS2 Basic A+PLUS Programmable Logic Development System Data Sheet ! October 1990, ver. 1 Contents o o o o o o General Description A +PLUS Programmable Logic Software and Documentation Altera Design Processor (ADP) LogicMa p II device programming software Software le Bib 11122221111111111 D03211198765432UI9876543218 765432187&5432187654321876543218 ,8185.., r8184, r8183, ,8182, DXXXXXXXXl CCCCCCCCCCC~lCXXXXXXXXXX XBXXXlCCCC 8888888811 X8XXXlCCCC I!!! 888888888 DXXXXlCXXXl CO CCceo CCCC:XXXXXlCXXXXX relB5.., r811H-. re183.., re18Z.., DXXXXXXXX)OO()O()O()O(l(XXXXXXXXXXX X8XXXlCCCC 8118888888 DXXXXXXXXl CCCCCCCCCCC:XXXXXXXXXXX O;.iif ••••• ;!;!;!;@mlmlmnnumu ~185.., ~111S.., ~185.., rf'1B4-, rf'1B4-, rf'1B4-, ~183.., ~18z-, ~183, r4'182.., ~183, ~182, X8XXXlCCCC 888888888 DXXXXlCXXXlCCCCCCCCCCC~XXXXXXXXlOO( X8XXXlCCCC ! ! ! B888888888 .... 185..., rf'UM--, .... 1113..., .... 182-, DXXXXXXXX)OO()O()O()O(l(XXXXXXXXXXX X8XXXlCCCC 8_. ~t85.., ~185.., X8XXXlCCCC u ... : ..- - .. t , 8B88BB8811 to ......... rf'tB4-, ~183, rfl18z-, DXlClClClCXICXl CC: CCCCCCCC~XICICICICXICICXXX rf'1B4-, ~183-, r~118z-, DlClCXICXXXXlC CCCCCCCCcctxxxxxxxxxxx Esc to exit PLDS-MCMAP also includes PLAESW-PC, a 12-month renewable warranty that provides automatic upgrades to each new version of MCMap software. (See PLAESW-PC: Extended Software Warranty Data Sheet for further details.) For customers who already own Altera programming hardware, PLS-MCKIT (MCMap Programmable Logic Software) is available as a software-only enhancement to their current system. Ordering Information I Page234 PLDS-MCMAP (for IBM PC-AT and com patibles) (Contact Altera Marketing for information on systems containing PS/2compatible hardware.) A/tera Corporation I Software Utility Programs I October 1990, ver. 2 Introduction Application Brief 731 Altera provides a variety of software utility programs that complement the MAX+PLUS, A+PLUS, SAM+PLUS, and MCMap development systems. All programs are available via Altera's Electronic Bulletin Board Service (BBS) from the EAU (Electronic Application Utilities) directory. The BBS telephone number is (408) 249-1100; operation of the BBS is described in this data book and Altera software manuals. These utility programs can also be obtained by contacting Altera Applications at 1 (BOO) BOO-EPLD. Customers outside North America can obtain copies of these programs from their local Altera representative or distributor. All utility programs operate on an IBM PC-AT or compatible, and on IBM PS/2 Model 50 or higher computers with OOS version 3.1 or higher. PAL2EPLD (EAU002) The PAL2EPLD utility converts 20-pin PAL designs into EP320 or EP330 designs. It directly converts PAL JEDEC files into EP320/EP330 JEDEC files. 310-to-320/30 Converter (EAUOO3) The EP310-to-EP320/EP330 JEDEC HIe Converter automatically converts EP310 JEDEC files to EP320 /EP330-compatible JEDEC files. LogiCaps Plotter Interface (EAU004) An Al tera customer has written an interface program between LogiCaps and Houston Instruments plotters. This EAU provides information on how to obtain the interface program. JEDPACK (EAUOOS) The JEDPACK utility compacts the size of JEDEC files, freeing up space on the computer's hard disk while retaining EPLDprogramming information. This utility is handy for archiving A+PLUS-, SAM+PLUS-, and MCMap-generated JEDEC files. Address Decoder (EAU006) The DECODER utility automatically generates Boolean equations for address decoding applications. The program accepts a userspecified address bus width with upper and lower address bounds. It generates equations that can be placed into a MAX +PLUS-compatible Text Design File (TOF) or an A+PLUS-compatible Altera Design File (ADF). JEDSUM (EAU007) The JEDSUM utility calculates the EPROM data checksum, the file transmission checksum, and the number of programmed architecture I Altera Corporation Page23s1 -=- r.. I Software Utility Programs Application Brief 731 bits contained in the JEDEC file for an EP-series, EPB-series, or SAM EPLD. The EPROM data checksum is often useful for documenting programming files. AVEC (EAUOO8) The AVEC utility adds functional test vectors to EP-series EPLD JEDEC files. AVEC translates the table output files generated by the A+PLUS Functional Simulator into JEDEC-standard test vectors. Thirdparty programmers (e.g., Data I/O 29B and UniSite 40 machines) have built-in hardware drivers that can apply these vectors to the programmed EPLD. Note, however, that Altera EPLDs are 100% generically tested before they leave the factory, so post-programming functional testing is not required. BACKPIN (EAUOO9) The BACKPIN utility extracts the pin assignments-assigned during design fitting--contained in an A +PLUS-generated JEDEC file and places them into the corresponding LogiCaps schematic drawing. If the Altera Design Processor (ADP) is set up to make pin assignments automatically, BACK PIN can then place the ADP's pin assignments back into the LogiCaps schematic drawing. The same pin assignments are then retained even if additional changes are made to the circuit design. LEF2ABEL (EAU012) The LEF2ABEL utility translates a Logic Equation File (LEF) generated by the Altera Design Processor (ADP) to ABEL format. A+PLUS users may thus take advantage of theADP's SALSA Minimizer to generate an optimized ABEL input file. PAL2ADF (EAU013) The P AL2ADF utility converts PALASM 1 or 2 files to the A+PLUS- and MAX+PLUS-compatible ADF input format. LCA2ADF (EAU016) The LCA2ADF utility converts LCA design files for XC2CXX>and XC3000-series devices into the A+PLUS- and MAX +PLUS-compatible ADF format. The new target device may be a larger-size Altera EPLD, such as the EP1810, EPl830, EPMS064, EPMS128, EPMSl30, or EPMS192. LEF2AHDL (EAU017) The LEF2AHDL utility converts an A+PLUS-generated Logic Equation File (LEF) to aMAX+PLUS-compatible Text Design File (TOF) in the Altera Hardware Description Language (AHDL). PLD2EQN (EAU018) The PLD2EQN utility converts JEDEC files from a variety of 20- and 24- pin PAL and GAL devices (e.g., 16V8, 20V8, 22VIO, 16L8, 16R8, 2358) into the A+PLUS-compatible ADF and MAX+PLUS-compatible AHDL Text Design File (TOF) formats. This utility allows users to combine multiple PALs and GALs into a single Altera EPLD. ABEL2MAX (EAU019) The ABEL2MAX utility converts ABEL version 4.0 design files (with the extension .TT2) into the MAX+PLUS-compatible Text Design File (TOF) format. IPage236 Altera Corporation I PC System Requirements I October 1990, ver. 1 Data Sheet I Introduction All PC-based Altera Programmable Logic Development Systems, Programmable Logic Software, and Software Utility Programs can be installed in IBM PS/2 Model 50 or higher, PC-AT, or compatible computers. Minimum System Configuration 0 0 0 0 0 IBM PS/2 Model 50 or higher, PC-AT, or compatible computer OOS version 3.1 or higher 640 Kbytes of RAM For MAX+PLUS only: 1 Mbyte of expanded memory with version 3.2 or higher of the Lotus/Intel/Microsoft (LIM) Expanded Memory Specification VGA, EGA, or Hercules Monochrome display (CGA is also supported by A+PLUS, SAM+PLUS, and MCMap) 20-Mbyte hard disk 1.2-Mbyte 51 /4-inch or 1.44-Mbyte 3 1/2-inch floppy disk drive 3-button serial-port mouse or 2-button Microsoft-compatible serialport or bus mouse (plus a serial port for a serial-port mouse.) Em pty card slot (full length) for programming card Recommended System Configuration 0 0 0 0 0 0 0 0 0 IBM PS/2 Model 70 or higher, or 20-MHz or higher 386-based computer OOS version 3.3 640 Kbytes of RAM VGA graphics display 40-Mbyte hard disk 3 Mbytes Expanded Memory with LIM 3.2-compatible driver 1.2-Mbyte 5 1/4-inch or 1.44-Mbyte 3 l/2-inch floppy disk drive Serial port and 3-button serial-port mouse Empty card slot (full length) for programming card Sample Configurations 0 Compaq 386-20 with 3 Mbytes of RAM, the CEMM Expanded Memory Manager, Compaq VGA display, and Mouse Systems 3-button serialport mouse Wyse 386-16 with Intel Above Board 286, VEGA VGA card with NEC MultiSync II monitor, and Logitech C-7 seri.al-port mouse IBM PS/2 Model 80 with 4 Mbytes of RAM, IX>S version 4.01 Expanded Memory Manager, Logitech Series 9 Mouse attached to pointing device port, and EGA display Everex 386-25 with extended memory configured as expanded memory, Paradise VGA card, VGA display, and 2-button Microsoftcompatible bus mouse 0 0 0 0 0 0 0 I Altera Corporation Page 237 I PLS-EDIF Bidirectional EDIF Netlist Interface to MAX+PLUS Software IOctober 1990, ver. 2 Features Data Sheet o o o o o o o General Description Provides a bidirectional netlist interface between MAX+PLUS and other major CAE software packages. Supports the industry-standard Electronic Design Interchange Format (EDIF) version 2 0 O. Allows MAX EPLD designs to be created with workstation CAE tools and transferred to MAX +PLUS for compilation; com piled designs can be returned to the workstation for device- or system-level simulation. Altera EDIF netlist reader imports EDIF netlists into MAX+PLUS. Altera-provided Library Mapping Files (LMFs) convert basic gate and many common TIL library functions from Dazix, Mentor Graphics, Valid Logic Systems, and Viewlogic Systems CAE tools to equivalent MAX +PLUS functions. Altera EDIF netlist writer produces post-synthesis logiC and delay information used during device- or board-level simulation with popular CAE tools. Runs on IBM PS/2, PC-AT, or compatible machines. The Altera PLS-EDIF tool kit is a bidirectional EDIF netlist interface between PC- or workstation-based CAE software packages and the Altera MAX+PLUS Programmable Logic Development System. See Figure 1. Figure 1. PL5-EDIF Workstation Interface CAE Workstationl PC Platform Shading indicates items provided with PLS-EDIF. PC Platform • logic Entry • Device Simulation • Board Simulation ··_................................................................................................. ··· ... · . 1 I Page 238 I 1 • logic Entry • logiC Synthesis • Device Simulation • Programming Lbtary Mapping Files EDIF200 Altera Corporation I I Data Sheet PLS-EDIF I PLS-EDIF (Bidirectional EDIF Netlist Interface to MAX+PLUS Software) allows designers to enter and verify logic designs for Altera MAX EPLDs with third-party CAE tools. The EDIF 2 0 0 netlist exchange format provides a two-way bridge betweenMAX+PLUS and third-party schematic capture and simulation tools. PLS-EDIF runs on an IBM PS/2, PC-AT, or com patible computer. Any CAE software package that produces EDIF 2 0 0 netlists can use the PLS-EDIF interface to MAX+PLUS. EDIF netlists are imported into MAX+PLUS with the EDIF JRsign File-to-Compiler Netlist File (EDF2CNF) Converter. Library Mapping Files (LMFs) are used with EDF2CNF to map library functions from third-party CAE tools to the MAX+PLUS library functions. LMFs are provided for Dazix, Mentor Graphics, Valid Logic, and Viewlogic software, but designers may create their own LMFs to map any CAE software library. After a design is imported into MAX+PLUS, it is compiled with the sophisticatedMAX+PLUSCompiler, which uses advanced logic synthesis and minimization techniques together with heuristic fitting rules to optimize the design for MAX EPLD architecture. MAX devices are then programmed with a Programmer Object File (POF) created by the MAX+PLUS Compiler and standard Altera or third-party programming hardware. EDIF netlists can be exported from MAX+PLUS with the Simulator Netlist File-to-EDIF Design File (SNF2EDF) Converter. This converter generates an EDIF output file from a compiled MAX+PLUS design. The EDIF file contains the post-synthesis information used by CAE simulators to perform device- or board-level simulation. PLS-EDIF provides an open environment that allows designers to use popular third-party CAE tools to crea te and sim ula te MAX EPLD designs. The designer can use a preferred workstation schematic capture package to enter a logic design, quickly convert it with EDF2CNF, and compile it with MAX+PLUS. Likewise, designs compiled in MAX+PLUS and converted with SNF2EDF can be transferred to a workstation for simulation. Together, the PLS-EDIF netlist reader and writer (EDF2CNF and SNF2EDF) allow MAX EPLD designs to be entered and simulated on the workstation platform of choice. I A/tera Corporation Page 239 I II .- I PLS-EDIF EDF2CNF Converter Data Sheet I The EOF2CNF Converter generates one or more MAX+PLUS Compiler Netlist Files (CNFs) from an EOIF file. For each CNF, a Hierarchy Interconnect File (HlP) and a Graphic Design File (GOP) are also created. See Figure 2. The CNF contains the logic and connectivity da ta for a design file, while the HIF defines the hierarchical connections between design files. The GOF is a token symbol that represents the actual design data in the CNF. This symbol-and the underlying logic-may be used in a logic schematic in the MAX+PLUS Graphic Editor. Figure 2. EDF2CNF Block. Diagram One or more Library ~ngFlesmay be used as inputs. One or more sets of CNF, HIF, WId GDF files are generated. EOF2CNF can convert any EOIF 2 0 0 netlist with the following characteris tics: o EOIF level B o o view type NElLISl cell type GENERIC o keyword levelB EOF2CNF gives designers the flexibility to design logic solely with workstation CAE tools, or to mix design inputs from a variety of platforms and software packages. For example, a workstation CAE schematic converted with EOF2CNF may be combined with an Altera Hardware Description Language (AHOL) state machine in MAX+PLUS. Designers can choose the entry methods and platforms that best meet their needs. Library Mapping Files (LMFs) are used with EDF2CNF to convert functions of workstation CAE tools to equivalent MAX +PLUS functions. This direct substitution is beneficial because MAX+PLUS functions are optimized for both logic utilization and performance in MAX EPLO designs. I Page 240 A/tera Corporation I I Data Sheet PLS-EDIF I Workstation Information EDF2CNF has been specifically tested for use with the Dazix, Mentor Graphics, Valid Logic Systems, and Viewlogic Systems CAE software packages. LMFs for these products are also provided with the PLS-EDIF tool kit. Dazix To design logic and create an EDIF file with Dazix software, the following applications are required: DACE (Dazix graphics editor) DANCE and DRINK (Dazix compiler) ENW version 1.0 (Dazix EDIF netlist writer) o o Table 1 lists the Dazix basic functions that are mapped to MAX+PLUScompatible functions: Table 1. Dazix Library Mapping File (Basic Functions) Dazix Function R#AND R#ANDD R#NAND R#NANDD R#NOR R#NORD R#OR R#ORD R1BUF R11NV R11NVD R10CBUF R10TBUF R1TINV R2XNOR R2XOR R3UAOI R4AOI R40AI R8AOI R13TNAND R13TNANDD RDFLOP RDLATCH RJKFlOP I Altera Corporation MAX+PLUS-Compatible Function AND# BNOR# NAND# BOR# NOR# BAND# OR# BNAND# MCELL NOT EXP SCLK TRIBUF TRINOT XNOR XOR 1A2NOR2 2A2NOR2 20R2NA2 4A2NOR4 TNAND13 TBOR13 DFF2 RDLATCH JKFF2 (#=2,3,4,5,6,7,8,9) (#=2,3,4,5,6,7,8,9) (#=2,3,4,6,7,8,9,13) (#=2,3,4,5,7,8,9,13) (#=2,3,4,5) (#=2,3) (#=2,3,4,5) (#=2,3,4,5) II Page 241 I I PLS-EDIF Mentor Graphics Dsts Sheet I To design logic and create an EDIF file with Mentor Graphics software, the following applications are required: o o o NETED (Mentor Graphics graphics editor) EXPAND (Mentor Graphics schematic file translator) EDIFNET version 7.0 (Mentor Graphics EDIF netlist writer) Table 2 lists the Mentor Graphics basic functions that are mapped to MAX +PLUS-com patible functions: Table 2. Mentor Graphics Library Mapping File (Basic Functions) Mentor Graphics Function AND# aUF DELAY DFF INV JKFF LATCH NAND NOR OR XNOR2 XOR2 I Page 242 MAX+PLUS-Compatible Function AND# SCLK MCELL DFF2 NOT JKFF2 MLATCH NAND# NOR# OR# XNOR XOR (#=2,3,4,5,6) (#=2,3,4,5 6,9) (#=2,3,4,6,8,16) (#=2,3,4,6,8) Altera Corporation I IData Sheet Valid Logic Systems PL5-EOIF I To design logic and create an EDIF file with Valid Logic Systems software, the following applications are required: o ValidGED (Valid Logic Systems graphics editor) o Valid Compiler (Valid Logic Systems compiler) o GEDIFNET (Valid Logic Systems EDIF netlist writer) Table 3 lists the Valid Logic Systems basic functions that are mapped to MAX+PLUS-compatible functions: Table 3. Valid Logic Systems Ubrary Mapping File (Basic Functions) Valid Logic Systems Function INV LSOO LS02 LS04 LS08 LS10 LS11 LS20 LS21 LS27 LS28 LS30 LS32 LS37 LS40 LS74 LS86 LS126 LS280 LS386 1 A/tera Corporation MAX+PLUS-Compatible Function EXP NAND2 NOR2 NOT AND2 NAND3 AND3 NAND4 AND4 NOR3 NOR2 NAND8 OR2 NAND2 NAND4 DFF2 XOR TRI DFF2 XOR Page 2431 I PL5-EDIF Viewlogic Systems Data Sheet I To design logic and create an EDIF file with Viewlogic Systems software, the following applications are required: o o WorkView (Viewlogic Systems graphics editor) EDIFNETI version 3.02 (Viewlogic Systems EDIF netlist writer) Table 4 lists the Viewlogic Systems basic functions that are mapped to MAX+PLU5-compatible functions: Table 4. View/ogic Syst~s Ubrary Mapping File (Basic Functions) Viewlogic Systems Function AND# ANDNOR22 BUF DAND# DELAY DOR# DXOR# JKFFRE MUX41 NAND# NOR# NOT OR# TRIAND# TRIBUF TRINAND# TRINOR# TRINOT TRIOR# UBDEC38 UDFDL UJKFF XNOR2 XNOR# XOR2 XOR# I Page 244 MAX+PLUS-Compatible Function AND# 2A2NOR2 SOFT DAND# MCELL DOR# DXOR# JKFFRE MUX41 NAND# NOR# NOT OR# TAND# TRIBUF TNAND# TNOR# TRINOT TOR# DEC38 UDFDL UJKFF XNOR XNOR# XOR XOR# (#=2,3,4,8) (#=2,3,4,8) (#=2,3,4,8) (#=2,3,4,8) (#=2,3,4,8) (#=2,3,4,8) (#=2,3,4,8) (#=2,3,4,8) (#=2,3,4,8) (#=2,3,4,8) (#=2,3,4,8) (#=3,4,8) (#=3,4,8) A/tera Corporation I 1 Data Sheet LMF Support forTTL Macrofunctions PLS-EDIF 1 In addition to mapping the basic functions listed above, Altera-provided LMFs map various TIL macrofunctions from Dazix, Mentor Graphics, Valid Logic Systems, and Viewlogic Systems to their MAX+PLUScompatible equivalents. See Table 5. Table 5. TTL Function Mappings In Altera-Provlded LJfFs 1 Altera Corporation MAX+PLUS Oazix 7442 DFF2 7483 7485 7491 7493 74138 74139 74139M 74151 74153 74153M 74157 74157M 74160 74161 74162 74163 74164 74165 74174 74174M 74181 74190 74191 74194 74273 74174M 74279MD 74279M 74280 74373 74373M 74374 74374M 74393M LS42 LS74 LS83 LS85 LS91 LS93 LS138 LS139 LS151 - Mentor Graphics Valid Logic Systems Viewlogic Systems 74LS42 74LS74A 74 LS83A 74 LS85 74LS91 74LS93 74LS138 LS42 LS74 LS83 LS85 LS91 LS93 LS138 74LS42 74LS74A 74 LS83A 74LS85 74LS91 74 LS93 74LS138 - - - 74LS139A 74LS151 74LS153 LS139 LS151 - 74LS139 74LS151 74LS153 LS153 - LS153 LS157 - - - LS160 LS161 LS162 LS163 LS164 LS165 LS174 74LS16OA 74LS161A 74LS162A 74LS163A 74LS164 74LS165 74LS174 - - LS181 LS190 LS191 LS194 LS273 74LS181 74LS190 74LS191 74LS194A 74LS273 LS279 - LS280 LS373 74LS279 74 LS 280 74LS373 - - LS374 74LS374 - 74LS393 LS374 LS393 LS393 74LS157 - LS160 LS161 LS162 LS163 LS164 LS165 LS174 LS181 LS190 LS191 LS194A LS273 LS279 LS280 74LS157 LS157 74LS16OA 74LS161A 74LS162A 74LS163A 74LS164 74LS165 74LS174 74LS181 74LS190 74LS191 74LS194A 74LS273 - - 74LS279 74 LS 280 74LS373 LS373 74 LS374 74LS393 Page 2451 I PLS-EDIF Custom Library Mapping Files Data Sheet I Designers can map their commonly used workstation functions to MAX+PLUS-<:ompatible equivalents by modifying an LMF or creating a new one. If no equivalent function currently exists in MAX+PLUS, the designer can create the function with the MAX+PLU5 Graphic Editor or Text Editor and then map it in an LMF. Figure 3 demonstrates this process. Figure 3. Creating a Library Mapping File Step 1: Select a workstation function for mapping. ADS Y)------t=:=> Z B c.->--~----~~ Step 2: Design an equivalent circuit with the MAX+PLUS Graphic Editor. ALIR_A8S ~HD2"" · .• .1. . . . . . . : ~HD2"" · . . .NOR3···· .. ::~~~~ ~~~: :~~~~~ :: : : !'t• . • . . • . • · ~HD2"" .. Step 3: Map the workstation function to the MAX+PLUS function in an LMF. XUser Librar~ Mapping FileX BEGIN FUNCTION ALTR_A85 (A_IN, B_IN, C_IN) RETURNS (Z_OUT) FUNCTION "A85" ("A", "B", "C") RETURNS ("Z") END I Page 246 Altera Corporation I 1 PLS-EDIFI Data Sheet SNF2EDF Converter The SNF2EDF Converter creates an industry-standard level 0 EDIF file from a MAX+PLUS Simulator Netlist File (SNF). The SNF, which is optionally generated during compilation of a MAX EPLD design, contains all post-synthesis functional and delay information for the completed design. This design-specific information is also contained in the EDIF output file after conversion, so it can be integrated into a workstation environment for simulation. The user can customize the output EDIF file for various workstation environments with an optional command file that renames certain constructs, or changes the EDIF level or keyword le:vel. See Figure 4. Rgure 4. SNF2EDF Block Diagram Optional Canmand File MAX+PLUS Confi{JJralion File The EDIF output file may have one of two formats. The first format expresses all delays with special EDIF property constructs. The second expresses combinatorial delays with port-delay constructs and registered delays as path-delay constructs-a format that is especially useful for behavioral simulators. Both formats are shown in the example in Figure 5. Figure 5. EDIF File Formats Format 1: Combinatorial delays expressed with property constructs instance xor2_S ( viewRef view1 ( cellRef XOR2 propert~ TPD ( inte,er 28 ) ( unit TIME) ) ) Format 2: Combinatorial delays expressed with port-delay constructs instance xor2_S ( viewRef view1 ( cellRef XOR2 port Instance &1 ( portDela~ ( derivation CALCULATED ( dela~ ( e 28 -18 ) ) ) ) ) 1 Altera Corporation Page 2471 IPLS-EDIF System Requirements Data Sheet I 0 0 0 0 0 0 0 0 0 PLS-EDIF Contents 0 o Ordering Information I Page 248 IBM PC-AT or compatible computers; IBM PS/2 models 50, 60, 70, 80 DOS version 3.1 or higher 640 Kbytes of RAM 1 Mbyte of expanded memory compatible with version 3.2 or higher of the Lotus/Intel/Microsoft Expanded Memory Specification VGA, EGA, or Hercules Monochrome display 20-Mbyte hard disk drive 1.2-Mbyte 5 1/4-inch or 1.44-Mbyte 3 1/:z-inch floppy disk drive MAX+PLUS version 2.01 or higher Workstation-to-PC network hardware and software with the ability to transfer ASCII files Floppy diskettes containing all PLS-EDIF programs and files for both PC-AT and PS/2 computers EDF2CNF Converter SNF2EDF Converter Library Mapping Files for Dazix, Mentor Graphics, Valid Logic, and Viewlogic netlists MAX+PLUS macrofunctions for Dazix, Mentor Graphics, Valid Logic Systems, and Viewlogic Systems libraries Sample files Documentation PLS-EDIF (supports both PC-AT and PS/2 formats) Altera Corporation I PLS·APOLLO MAX+PLUS Programmable Logic Software for Apollo Computers I October1990, ver. 2 Features Data Sheet o Software support for MAX (Multiple Array MatriX) EPLDs o Runs on Apollo (Hewlett Packard) Series 3000, 3500, 4000, and 4500 o o o o o General Description I computers with Domain/OS SR 10.1 operating system Supports hierarchical design entry for graphic and text designs Schematic capture with Mentor Grapnics' NETED Altera Hardware Description Language (AHDL) supporting state machines, Boolean equations, truth tables, and arithmetic and relational operations Full Altera/Mentor Graphics cross-compatibility supplied via bidirectional EDIF 2 0 0 netlist interfaces MAX+PLUSCompiler provides logic synthesis and minimization for efficient device utilization Generates post-synthesis timing simulation data for use with Logic Automation's SmartModel and Mentor Graphics' QuickSim simulator Produces MAX EPLD programming files for use with an Altera PCbased programmer (PL-ASAP) or third-party programming hard ware The Altera PLS-APOLLO package brings the popular MAX+PLUS Development System to Apollo Series 3000, 3500, 4000, and 4500 computers (see Figure 1). PLS-APOLLO includes AHDL design entry, bidirectional Figure 1. PLS-APOLLO Design Framework Shading indicates items provided with PLS·APOLLO. Mentor Graphics PLS-APOLLO _ Schematic capture _ Device Slmulallon _ EDIF Netllst Writer _ Board SImulation _ AHDI.. Design Entry - logic Synthesis - EDlF Nellist Reader EDiF Netllst Writer MAX+PLUS TTL MacroFunction Lbrary, including primitive functions and over 300 TTL and custom macrofunctions I A/tera Corporation Page 249 I I~P_L_~_A_P_O_L_L_O___________________________________________________D_a_m~ EDIF netlist interfaces, Mentor Graphics library support, advanced logic synthesis, and design fitting in the Apollo computer environment. Together, PLS-APOLLO and Mentor Graphics software provide the tools to quickly and efficiently create, compile, and verify logic designs for MAX EPLDs. Design Entry PLS-APOLLO supports both schematic and textual design entry options. Hierarchical schematic designs are entered with the Mentor Graphics NETED schematic capture program. Hierarchical Text Design Files (TOFs) created in the Altera Hardware Description Language (AHDL) can be used separately or mixed with NETED schematic designs. AHDL is tailored especially for EPLD designs and supports com plex Boolean and arithmetic functions, relational comparisons, multiple hierarchy levels, state machines with automatic state variable assignment, and truth tables. AHDL designs can also use over 300 primitives and TTL and custom macrofunctions from the MAX +PLUS TTL MacroFunction Library. EDF2CNF Converter NETED schematics are converted into EDIF 2 0 0 netlist files with the Mentor Graphics EDIFNET netlist writer. PLS-APOLLO's EDF2CNF Converter and Library Mapping File (LMF) then translate each EDIF netlist into a MAX+PLUS-compatible format. (See Figure 2.) Library symbols from the Mentor Graphics generic and LSTTL libraries are automatically mapped to corresponding primitive and TTL functions in theMAX+PLUS TTL MacroFunction Library. Table 1 shows the mappings provided in the LMF. PLS-APOLLO users can add to these libraries or build their own libraries by creating additional Logic Mapping Files. (See "Custom Library Mapping Files" later in this data sheet.) Figure 2. EDF2CNF Block Diagram One or more Library Mapping FI7es may be used as inputs. I Page250 One or more sets of CNF and HIF files are generated. A/tera Corporation I I Data Sheet I PL5-APOLLO Table 1. Mentor Graphics Library Mapping File (Basic and TTL Functions) Mentor Graphics Generic Function AND# BUF DELAY DFF INV JKFF LATCH NAND# NOR# OR# XNOR2 XOR2 Mentor Graphics LSTIL Function 74LS42 74LS74A 74LS83A 74LS85 74LS91 74LS93 74LS138 74LS139A 74LS151 74LS153 74LS157 74LS160A 74LS161A 74LS162A 74LS163A 74LS164 74LS165 74LS174 74LS181 74LS190 74LS191 74LS194A 74LS273 74LS279 74 LS 280 74LS373 74LS374 74LS393 MAX+PLUS Primitive Function (1) AND# SCLK MCELL DFF2 NOT JKFF2 MLATCH NAND# NOR# OR# XNOR XOR (#=2,3,4,5,6) (#=2,3,4,5 6,9) (#=2,3,4,6,8,16) (#=2,3,4,6,8) MAX+PLUS TIL Macrofunction (1) 7442 DFF2 7483 7485 7491 7493 74138 74139M 74151 74153 74157 74160 74161 74162 74163 74164 74165 74174 74181 74190 74191 74194 74273 74279M 74280 74373 74374 74393M Note: (1) I A/tera Corporation Contact Altera Applications at 1 (800) 800-EPLD for the most mappings. up-to~ate list of Page251 I I PLS-APOLLO Data Sheet MAX+PLUS Compiler I PLS-APOLLO includes the sophisticated MAX+PLUS Compiler, which synthesizes and optimizes designs for MAX EPLDs in minutes. (See Figure 3.) The Compiler uses advanced logic synthesis and minimization techniques together with heuristic fitting rules to efficiently place designs into MAX EPLDs. Rgure 3. MAX+PLUS CompIler .TDFor.EDF input design file(s) The Compiler offers several options to customize the processing and analysis of a design. The user can set the degree of detail of the Report File as well as the maximum number of errors to be detected before processing halts. The user can also choose whether to extract a netlist containing postsynthesis timing information. Designs are compiled in increments, so that if a design has been compiled previously, only the new portion is extracted to save time. All errors encountered during design processing are documented in an Error File (.E:R:R). The first module of the Compiler, the Compiler Netlist Extractor, extracts a Compiler Netlist File (.CtlF) and a Hierarchy Interconnect File (.HIF) from each file. At this time, design rules are checked for any errors. The Database Builder module then converts the successfully extracted CNFs and HIFs into a database to be used by the Logic Synthesizer module. The Logic Synthesizer translates and optimizes the user-clefined logic for the MAX architecture. The design is first minimized with SALSA (Speedy Altera Logic Simplification Algorithm); unused logic is automatically removed. The Logic Synthesizer module uses expert system synthesis rules to factor and map logic within the multi-level MAX architecture, choosing the approach that ensures the most efficient use of silicon resources. I Page 252 Altera Corporation I I Data Sheet PLS-APOLLO I The next module, the Fitter, uses heuristic rules to optimally place the synthesized design into the chosen MAX EPLD. For MAX devices that have a Programmable Interconnect Array (PIA), the Fitter also routes the signals across the PIA, automatically handling all placement and routing issues. The Fitter issues two files: a Report File (.RPT) that shows how the design is im plemented in the EPLD and whether any unused resources are available for additional logic; and a Fit File (.FIT) that preserves pin assignments for optional future use. The Simulator Netlist Extractor module optionally creates a Simulator Netlist File (described later in this data sheet). Finally, the Assembler creates a Programmer Object File (.POF) from the compiled deSign. The MAX+PLUS Programmer uses this file with Altera or third-party hardware to program the target EPLD. The advanced synthesis and minimization techniques used by the Compiler allow designs to be placed within the architecture in a matter of minutes. For exam pIe, a 16-bit counter I shift register com piles in less than 1 minute. The Compiler is equally efficient when compiling complex designs. For example, a series of 5 serially linked multiplier ladder circuits that uses 100% of the macrocells and 95% of all expanders in an EPM5128 takes only 10 minutes to compile. For more information on AHDL, the MAX+PLUS Compiler, and Altera programming hardware, refer to the PLS-MAX: MAX+PLUS Programmable Logic Software and PL-ASAP: Altera Stand-Alone Programmer data sheets in this data book. SNF2EDF Converter The Simulator Netlist Extractor of the MAX+PLUS Compiler optionally creates a Simulator Netlist File (.SNF) that contains post-synthesis logic and delay information for the compiled design. PLS-APOLLO's Simulator Netlist File-to-EDIF Design File (SNF2EDF) Converter can then generate an annotated EDIF 200 netlist output file with the same information. (See Figure 4.) The Logic Automation SmartModel for MAX EPLDs can convert this EDIF file into a behavioral model for use with the Mentor Graphics QuickSimsimulator. Figure 4. SNF2EDF Block Diagram r ................._...........................:::.::] I A/tera Corporation Page 253 I n.a I PLS-APOLLO Custom Library Mapping Files Data Sheet I A designer can map his or her commonly used NETED functions to MAX+PLUS equivalents by creating a new LMF. If no equivalent function currently exists, the designer can create the function in an AHDL Text Design File, and then map it in an LMF. Figure 5 demonstrates this process. Figure 5, Creating a Library Mapping RI. Step 1: Sslsct a Mentor Graphics function for mapping A05 A r->--........- - - - - f -....\ ____ z B c Step 2: Design an equivalent circuit in the AIIera Hardware Description Language (AHDL) TITLE "ALTR_A0S" ; DESIGN IS "ALTR_ABS" SUBDESIGN ALTR_ABS ( A_IN. B_IN. C_IN : INPUT Z_OUT : OUTPUT ; ) VARIABLE Xl. XZ. X3 : NODE BEGIN Z_OUT = !(XI I XZ I X3) Xl A_IN & B_IN XZ A_IN & C_IN X3 B_IN & C_IN END ; Step 3: Map the Mentor Graphics function to the AHDL function in an LMF % User Librar~ Happin, File % BEGIN FUNCTION ALTR_ABS (A_IN. B_IN. C_IN) RETURNS (Z_OUT) FUNCTION "ABS" ("A". "B". "C") RETURNS ("Z") END I Page 254 A/tara Corporation I IDataShHt System Requirements o o o o o PLS-APOLLO Contents o o Ordering Information I Altera Corporation I PLS-APOLLO HP Apollo Series 3000, 3500, 4000, or 4500 computer with 5+ Mbytes of free disk space Domain/OSSR 10.1 operating system Quarter-inch cartridge (QIC-24, 9 track) 6O-Mbyte tape drive Schem3tic capture and EDIF conversion software: Mentor Graphics NETED version 7.0 (graphics editor) Mentor Graphics EXPAND (schematic file translator) Mentor Graphics EDIFNET version 7.0 (EDIF 2 0 0 netlist writer) EDIF conversion and simulation software: Logic Automation SmartModel for MAX EPLDs Mentor Graphics QuickSim version 7.0 Quarter-inch cartridge tape (QIC-24, 9 track) containing all PLSAPOLLO programs and files: EDF2CNF Converter Library Mapping Files for converting Mentor Graphics-generated EDIF 2 0 0 netlists MAX+PLUS TTL MacroFunction Library MAX+PLUSCompiler SNF2EDF Converter Sample files Documentation PLS-APOLLO Page 255 I PL-ASAP Altera Stand-Alone Programmer IOctober 1990. Data Sheet ver. 1 ! Contents C1 C1 C1 Software 30 krad (Si) for 5.5 V operation, and in excess of 40 krad (SO for 5.0 V operation. If, on the other hand, the control gate is grounded during the irradiation, the total-dose radiation tolerance is reduced to about 14 krad (SO for 5.5 V operation, and to about 18 krad (Si) for 5.0V operation. This behavior suggests that energetic holes created in the bulk silicon by the radiation and attracted toward the floating gate by its negative (programmed) charge are the dominant cause of cell charge loss. When the control gate of these EPROM cells is grounded during irradiation, the worst-case result of about 14 krad (SO for 5.5 V operation is the best estimate of the radiation tolerance of these products. Most radiationtolerance experiments on EPROM technologies include control gates that are biased at Vcc during at least part of the irradiation. EPM5000Series EPLDs Tests for the EPM5000-series MAX EPLDs were performed at radiation hardness to 6.5 krad (SO under worst-case Vce. For the EPM5000 series, the EPM5032DC was used as the vehicle for evaluation. The tests were conducted with a cobalt-60 isotope source with a dose rate of 117 rad (SI)/s. All irradiation was performed at room temperature (+25 0 C, ±3), while device-under-test (OUT) Vee was set to +5.5 V. Nine input pins were pulled to Vee through 1-!dl resistors and three were tied directly to GND. All output pins, were pulled up to Vee through 1-!dl resistors, while the reserved I/O pins were left open. These specifications provided a realistic control-gate bias distribution for a typical design. Total-dose-induced functional failure thresholds are highly sensitive to measurement voltage. Under the worst-case military power-supply range (Vee = 5.5 V), the EPM5032 test devices function properly until total doses in excess of 6.5 krad (SO are reached. For Vee = 5.0 V, proper functioning occurs above 13.0 krad (Si) and 17.5 krad (Si) for Vcc = 4.5 V. Timing parameters were measured using Vcc = 5.0 V. No significant change in maximum operating speed or propagation-delay times occurred at levels as high as 10 krad (SO. I Page272 Altera Corporation I I Data Sheet Conclusion Total-Dose Gamma-Radiation Hardness of Altera EPLDs I The differences in the test results between the EP600 and EPM5032 indicate tha t actual device types used in a final design should be separately evaluated to verify total-dose effects. The evaluation should include a complete functional test at the expected operating supply-voltage extremes, as well as extensive parametric measurements. More complex devices may show greater increase in response to irradiation if additional features that have not been checked in these test devices-such as the Programmable Interconnect Array (PIA)-are included. Programmed cell margin fell linearly with increasing total radiation dose. Therefore, to maximize radiation hardness, it is important to program an adequate cell margin into the EPLD before irradiation. Designers are advised to use Altera-approved programming systems that provide optimized cell programming. IA/tera Corporation Page 273 I Contents I October 1990 Section 10 Application Notes & Briefs AN2 Replacing 20-Pin PAL and GAL Devices with EP300-Series EPLDs ........................................................................ 277 AN3 Memory and Peripheral Interfacing with EP-Series EPLDs ...... 281 AN9 Metastabili ty Characteristics of EPLDs ........................................ 289 AN10A Design Entry for the EPS448 SAM EPLD .................................... 297 AN16 Integrating PAL and PLA Devices with the EPM5032 MAX EPLD ..................................................................... 327 AN19 DSP /Imaging Applications with the EPS448 SAM EPLD ........ 343 AN20 Fast Bus Controllers with the EPM5016 MAX EPLD ................. 355 AN22 DesigningwithAHDL ................................................................... 371 ABB Counter Design for EP-Series EPLDs ........................................... 389 Designing Asynchronous Latches for EP-Series EPLDs ............ 397 AB9 AB14A A+PLUS State Machine Design Entry .......................................... 401 State Machine Partitioning for EP-Series EPLDs ....................... .405 AB18 EP1810 EPLD as a Bar Code Decoder .......................................... 417 AB27 Timing Simulation for EP-Series EPLDs ...................................... 427 AB54 Estimating a Design Fit for EP-Series EPLDs ............................. .443 AB60 AB63 Multiway Branching with the EPS448 SAM EPLD ................... .449 Vertical Cascading of EPS448 SAM EPLDs ................................ .453 AB65 Input Reduction for the EPS448 SAM EPLD .............................. .465 AB66 AB71 A+PLUS Boolean Equation Design Entry ................................... .475 EPM5000-Series MAX EPLD Timing ........................................... 479 AB75 U sing Expanders to Build Registered Logic in AB76 EPM5000-Series MAX EPLDs ...................................................... .491 AB77 Design Guidelines for EPM5000-Series MAX EPLDs ............... .497 Optimizing Memory for MAX+PLUS Software ......................... 505 AB78 AB79 Simulating Internal Nodes with MAX+PLUS Software ............ 511 ABB2 Emulating Internal Buses in General-Purpose EPLDs ............... 521 ABB3 Programmable Frequency Divider with the EP630 EPLD ......... 527 DMA Controller with the EPM5064 MAX EPLD ....................... 535 AB84 DRAM Controller with the EP1830 EPLD ................................... 547 AB85 ill IAltera Corporation Page27s1 Replacing 20-Pin PAL and GAL Devices with EP300-Series EPLDs 1 Application Note 21 October 1990, ver. 3 Introduction Altera EP300-series Erasable Programmable Logic Devices (EPLDs) have an I/O architecture that is user-configurable, allowing them to be functionally and pin-ta-pin compatible with PALs and GALs. These EPLDs have the following features: o o o o Highly flexible user-configurable I/O architecture "Zero power" (typically 10 to 40 JlA standby) High speed (tpD = 12 ns) with "quiet" outputs Directly replaces all common 20-pin PALs and GALs EP300-series EPLDs can directly replace functions implemented with 20-pin PAL and GAL devices. The EP320 is optimized for low-power applications, typically consuming 10 JlA when operating in standby mode. The EP330 EPLD offers very high speed while taking advantage of the lowpower benefits of CMOS. Thus, EP300-series EPLDs offer significant power savings over conventional fuse-programmable bipolar PALs and CMOS GALs, and are also erasable and reprogrammable. These benefits, combined with a highly flexible architecture, enable a single EP300-series EPLD to replace a variety of other 20-pin Programmable Logic Devices (PLDs). EP300-Series Functional Overview EP320 and EP330 EPLDs, like PALs and GALs, implement sum-of-products logic with a programmable-AND/fixed-OR logic array. These EPLDs provide 10 dedicated inputs and 8 I/O pins. Each I/O pin can be independently configured for input, output, or bidirectional operation (see the EP300-Series EPLDs Data Sheet for more information). The internal architecture of EP300-series EPLDs is divided into 8 macrocells, each of which implements logiC with up to 8 product terms. An additional product term controls Output Enable. Each product term represents a 36-input AND gate, whose inputs come from the true and complement signals of the 10 input pins and 8 feedback paths. Altera's proprietary programmable I/O architecture allows the deSigner to program output and feedback paths for combinatorial or registered operation in activehigh and active-low modes. Pin 1 may be used \0 directly clock all registers, or as an additional inputto the AND array if no clocking is required. The EP300-series flip-flops are positive-edge-triggered, i.e., data is registered on the rising edge of the clock signal. During chip power-up, all registers are automatically reset to zero. / Altera Corporation Page277/ iIiI I Replacing 20-Pin PAL and GAL Devices with EP300-Series EPLDs PAL Compatibility Application Note 21 While PAL devices have fixed I/O architectures, the macrocells of EP300series EPLDs are based on a flexible, user-configurable I/O structure that gives the designer freedom to configure macrocells for combinatorial or registered operation in active-high or active-low modes. Figure 1 shows the possible output modes, which can be configured on a macrocell-bymacrocell basis. Rgure 1. EP30O-Ser/es VO Options Combinatorial I/O ~]PIN_N'ME ....... !~ ···CO·IF······1PIN NAME . . - i........................J Output with Pin Feecback Output Only ~:"""·TltIN_NAME i. . . . . . . . . . . . Active-Low Output (Optional) Registered I/O c C l •••••••••••••••••••••••••••••••••••• Output with Internal Feedback Output Only c Active-Low Output (Optional) Table 1 gives a detailed listing of proper I/O configurations to replace many commonly used 20-pin PALs and GALs. Table 2 compares the Altera EP300-series EPLDs with competitive PAL/GAL devices. IPage 278 Altera Corporation I I Application Note 2 Replacing PAL and GAL Devices with EP300-Series EPLDs I I Table 1. EP300-Series ConOguration for 2O-Pin PAUGAL Replacement I I PAL Part Number 10H8 10L8 12H6 I I I I L:J 14H4 I 14L4 I 16C1 16H2 16L2 16H8 and 16HD8 16L8 and 16LD8 16R4 16R6 16R8 16P8 16RP4 I I I L:J I I 16RP8 16V8 I I EP3()()'Serte. Pin Numbers 12 to 19 12 to 19 12 13 to 18 19 12 13 to 18 19 12 to 13 14 to 17 18t019 12 to 13 14 to 17 18 to 19 12 to 14 15 16 17 to 19 12 to 14 15 to 16 17 to 19 12 to 14 15 to 16 17 to 19 12 13 to 18 19 12 13 to 18 19 12 to 13 14 to 17 18 to 19 12 13 to 18 19 12 to 19 12 13 to 18 19 12 to 13 14 to 17 18 to 19 12 13 to 18 19 12 to 19 12 to 19 I Altera Corporation II I I I I EP3()()'Sertes Macrocell Numbers 1 to 8 1 to 8 8 2to 7 1 8 2to 7 1 7 to 8 3 to 6 1 to 2 7 to 8 3to 6 1 to 2 6to 8 5 4 1 to 3 6to 8 4 to 5 1 to 3 6t08 4 to 5 1 to 3 8 2to 7 1 8 2to 7 1 7t08 3to 6 1 to 2 8 2 to 7 1 1 to 8 8 2t07 1 7to 8 3 to 6 1 to 2 8 2to 7 1 1 to 8 1 to 8 OutputlPolarlty 110 Configuration Mode I I I Combinatorial Combinatorial Combinatorial Combinatorial Combinatorial Combinatorial Combinatorial Combinatorial Combinatorial Combinatorial Combinatorial Combinatorial Combinatorial Combinatorial Combinatorial Combinatorial Combinatorial Combinatorial Combinatorial Combinatorial Combinatorial Combinatorial Combinatorial Combinatorial Combinatorial Combinatorial Combinatorial Combinatorial Combinatorial Combinatorial Combinatorial Registered Combinatorial Combinatorial Registered Combinatorial R~istered Combinatorial Combinatorial Combinatorial Combinatorial Registered Combinatorial I CombJHigh CombJlow None CombJHigh None None Com b Jlow None None CombJHigh None None CombJLow None None Comb.lLow CombJHigh None None Comb.lHigh None None Comb.llow None CombJHigh/Z Comb.lHigh/Z Comb.lHigh/Z CombJlow/Z CombJlow/Z Comb.lLow/Z Comb.lLow/Z Reg.llowlZ Comb.llow/Z CombJLow/Z Feedback I I I I I I I Reg.llow/Z Comb.lLow/Z I I CombJOption/Z I R~.ILow/Z Comb.lOptionlZ Comb.l°etionlZ CombJOption/Z Reg.lOptionlZ Comb.IOetion/Z I None None Pin None Pin Pin None Pin Pin None Pin Pin None Pin Pin None None Pin Pin None Pin Pin None Pin None Comb. None None Comb. None Comb. Reg. Comb. Comb. Reg. Comb. R~. None Comb. None Comb. Reg. Comb. Combinatorial Registered Combinatorial Reg.lOption/Z Comb.l°etion/Z Comb. Reg. Comb. R~istered R~.IOption/Z R~. Combinatorial Registered CombJReg. °etiontZ CombJReg. Comb.lOption/Z Page 279 I I I I I I I I 11m II I I I Replacing 20-Pin PAL and GAL Devices with EP3oO-Series EPLDs Application Note 2 I Table 2. Comparison of EPLD and PAUGAL Features EPLD Feature Array"l~ic I PAUGAL Device EP300 Series 16L8 16R8 16V8 AND-OR AND-OR AND-OR AND-OR Inputs 17 16 10 17 Outputs a a a a Array input lines 36 32 32 Product terms 72 64 64 a n.a. a No No D-!Y..ee flip-floes I I Reprogrammable I Output Enable Yes I ~ I I I a Yes Every output of the EP320 and EP330 has a tri-sta te buffer that is controlled by a dedicated product term. When the product term is high, the output is enabled. Since the Output Enable logic is implemented directly in the AND array, it can be programmed active-high or active-low, or conditionally asserted by any of the selected inputs and feedback paths. Tri-state combinatorial outputs are implemented similarly in EP300-series EPLDS and PAL/GAL devices. However, PALs and GALs use 1 of the 8 product terms in the macrocell to control the buffer, leaving only 7 product terms for logic. In contrast, EP300-series EPLDs provide an additional product term, allowing the 8-input OR gate to remain intact. Registered PALs hard-wire the Output Enable function to pin 11, forcing active-low operation. However, EP300-series EPLDs can connect the true complement of pin 11 to the Output Enable product term, giving the designer the ability to preserve exact compatibility or the flexibility to configure the device for a particular system. Design Tools IPage 280 Logic is implemented with Altera's A+PLUS Development System, which supports schematic capture, state machine, Boolean equation, and netlist design entry methods. Logic schematics, created with LogiCaps, allow the user to quickly construct a wide range of designs (see the PLS-SUPREME Data Sheet in this data book). After the design is entered, A+PLUS automatically translates it into logic equations, performs Boolean minimization, and fits it into an Altera EP300-series EPLD. The device can then be programmed in seconds at the designer's desktop to create customized working silicon. Extensive third-party support also exists for design entry, design processing, and device programming. Altera also provides several free software utilities to quickly convert PAL and GAL designs into EP300-series EPLDs. See Application Brief 73 (Software Utility Programs) in the Development Products section of this data book, or contact Altera Applications at 1 (BOO) 800-EPLD for more information. A/tera Corporation Memory and Peripheral Interfacing with EP-Series EPLDs 1 Application Note 31 October 1990, ver. 4 Introduction Programmable Logic Devices (PLDs) have long been used for address decoding and other microprocessor support functions. PLDs offer faster decode times and require little PC board space. Erasable Programmable Logic Devices (EPLDs) offer the additional benefits of significant power savings and a more efficient debugging cycle. This application note discusses the following subjects: o Designing address decode and chip-select logic o Wait-state generation o Dynamic RAM (DRAM) control This application note shows how to interface the Intel 8086 (synchronous data bus) and the Motorola 68000 (asynchronous data bus) to several memory and peripheral devices with the EP610 and EP330 EPLDs. Intel 8086 Solutions This section describes how to use the EP610 EPLD with the Intel 8086 device. Address Decoding The circuit in Figure 1 shows an EP610 EPLD that provides chip-select signals in a high-speed serial-data-line m ul tiplexer. This circuit is controlled by an 8086 microprocessor program stored in a 2764 EPROM. A 6164 static RAM stores data packets as they are assembled for transfer. Four serial communication control (SCC) peripheral chips provide an interface between the 8086 CPU and the serial data lines. The EP610 in this design decodes the address lines of the 8086 into chipselect signals for the computer's peripherals (RAM, ROM, and seC). The EP610's outputs follow the memory map shown in Table 1. The Boolean equations in this table describe the memory map for a 16-bit address bus; a more complicated memory map can be implemented by changing the equations. An Altera utility program, called DECODER, automatically derives equations for complicated memory maps. See Application Brief 73 (Software Utility Programs) in the Development Products section of this data book for more information. I Altera Corporation Page281 I 1m I Memory and Periphersllnterlacing with EP-Series EPLDs Application Note 3 I Figure 1. 8086-EP610 Interfacs The EP610 EPLD controls the ROM, RAM, and sec chip-select logic, as well as the wait-state generation. Syslem Clock ClK 8284 to lOW-speed communications transceivers and lines '-----it--!/CS to high-speed communications transceivers and lines Table 1. Address Decode Memory Map Table Signal Low High Name Address Address I Page 282 Equation ROM 0000 1FFF A15'. A14'. A13' RAM 2000 3FFF A15'. A14'. A13 SIOO 8000 OOFF SI01 8100 81FF A15. A14'. A13'. A12'. A11 '. A10'. A9'. A8' A15. A14'. A13'. A12'. A11'. A10'. A9'. A8 SI02 8200 82FF A15. A14'. A13'. A12'. A11'. A10'. A9. A8' SI03 8300 83F.F A15. A14'. A13'. A12'. A11 '. A10'. A9. A8 SI04 8400 84FF A15. A14'. A13'. A12'. A11'. A10. A9'. A8' Altera Corporation I I Application Note 3 Memory and Peripheral Interlacing with EP-Series EPLDs I Wait-State Generation The EP610 also performs wait-state generation. It is inefficient to run a microprocessor at the speed of its slowest peripheral chip. Instead, the microprocessor is usually clocked at its top speed, and a wait-state generator slows the microprocessor's bus cycles when the the slowest peripheral is selected. A wait-state generator is typically implemented with a counter and a handful of decode logic. Figure 2 shows the state table for an 8086 wait-state generator. To implement a wait state, the READY signal must be driven low before the falling edge of T2. When accessing the ROM, the EP610 asserts the READY signal low for one 8086 clock cycle (i.e., one wait state). READY is asserted low for two clock cycles (Le., two wait states) if the RAM is selected. For either wait state, a memory read or write (MR DC or MUTC) and address latch enable (ALE) must be valid. Figure 3 shows the EP610 logic required for both the address decode and wait-state circuits. Figure 2. EP610 Wait-State Generation To implement an 8086 wait state, the READY signal must be /ow before the falling edge of T2. One processor cycle (with one wait state) System Clock L Memory Cycle WAIT READY WO W1 Device STANDBY L H L L RESET WAIT1 WAIT2 H H RAM State Name ! Altera Corporation ROM Page283! IMemory and Peripheral InterfaCing with EP-Serles EPLDs Application Note 3 I Figure 3. EP610 Address Decode and Wait-State Generator Logic Schematic A1S ~I ROMS.A1S'·A14'·A13': ROMS INP A14 ~ r--::~~"":,,,,:,,:~"':"":":'"~~---------' INP I RAMS .A1S'·A14' .A13; INP A11 ~ I S101.A1S ·A14' ·A13' 'A12' ·A11' 'A10' .A9'.AS'; · . ·· I'''''']'' 1 ~i/S'01 !...... i ~!/SI02 I""" i ~i/S103 INP SI01 OONF" ~ .-------------------, INP I SI02. A1S. A14'· A13'· A12'· A11'. A10'. A9'. AS; SI02 j .. CONF .. SI03 j"CONF" A10~ INP A9 . RAMS !······]··CONF·l ~. RAM A13~ A12 ~"""]"OONj:""1/ROM ~. ~ I S103.A1S ·A14' ·A13' ·A12' ·A11' ·A10' ·A9 • AS'; INP AS ~ ,--_ _ _ _ _ _ _ _ _ _ _ _ _- - , INP SI04=A1S.A14'.A13'.A12'.A11'.A10'.A9.AS; I SI04 !·....·].. coNj:..·i ~1/s'04 r•••••••••••••••••••••• : AND2 ALE CIN=P>--.--------r~r-_V_A_LlD~. MRDC C=>--+---""""r'--"'" INP MWTC c=>--+------I INP C ........................ ClK c=>--+-~--~~--~--;~~~-~ INP NOT Motorola 68000 Solutions IPage 284 Figure 4 shows a 68OOO-based system with an EP610 programmed as a wait-state generator and address decoder. Wait states in a 68000 circuit are easily generated by controlling the DTACK (Data Transfer Acknowledge) signal. The clock pulses are counted by two or three flip-flops after the assertion of one of the 68000' s data select lines, LDS and UDS. The flip-flops then assert DTACK after a programmed count is reached. The programmed terminal count depends on the speed of the selected peripheral device, allowing different numbers of wait states for different peripherals. A/tera Corporation ~plication Note 3 Memory and Peripheral Interfacing with EP-Series EPLDs I Figure 4. 68000-EP610 Interface The EP610 implements both address decode and waitstate generation for RAM and ROM memory devices. .--_ _ _ _---, External Reset /RESET .....~~...... to ROM chip selects to RAM chip selects 68000 IWR~--"'" IUDS ~--...... ILDS~--"'" IDTACK from other peripheral chips or their wan-state generators .---~_.--~ IBERR OOOOO-<>FFFF ROM 10000-1 FFFF 2OOOO-2FFFF 3OOOO-3FFFF RAM 4oooo-4FFFF RAM SOOOO-SFFFF UNUSED RAM UNUSED 6oooo-6FFFF ROM ROM 70000-7FFFF SET MODE 1 UNUSED MOOED MODE 1 Figure 5 shows the logic schematic for the EP610. The design provides two chip-select signals, one for 256 Kbytes of DRAM (RAMENL) and the other for 64 Kbytes of ROM (ROMENL). The EP610 asserts DTACK one clock cycle after ROM is selected, providing the ROM with two wait states (UAIT2), two clock cycles after a RAM read (UAIT4), and three clock cycles after a RAM write (UAIT6). As an added element of security, the 68OOO's bus error line (BERR) is asserted if neither RAM nor ROM is selected and if the DTACKIN signal is not asserted before the eighth wait state. This feature is useful in industrial control applications, for example, to signal a controller fault or to reset the system after a fault. This design requires only half of an EP610 EPLD for implementation. I A/tera Corporation Page28s1 1m [Memory and Peripheral Interfacing with EP-8erles EPLDs Application Note 3 Figure 5. EP610 Address Decode and Walt-State Logic As5?------lIAHi~~==~~;=~--------------------------~ A16 C)>------, A17 c:::::>---..., A18C:::::---"" A19 ~-"-'---4"':' mACKNLc:::::~NO~T___________~D~T~AC~K~N~__________________________- - , INP WRITE WRLc:::::>--_~ _______~R~~~D_ _ _ _ _ _ _- , ANoo INP ··........................................ : P RORF : RAMEN NOT ,...•.....•c ........................ STANDBY .1.'" CLKc:::::>---~~~----+~~---. INP WO W1 Device STANDBY L WAIT4 H H L L RESET WAIT2 RAM READ WAITS L H H State Name IPage 286 ROM RAM WRITE Altera Corporation I Application Note 3 Dynamic RAM Control Memory and Peripheral Interfacing with EP-Series EPLDs I DRAM circuits must generate the RAS, CAS, and L1R control signals for the DRAMs, MAS (memory address select) for the address decoder, and a DTACIC or READY line to acknowledge the data transfer to the CPU. DRAM controllers connected to some 16-bit microprocessors may use either half of the 16-bit bus for 8-bit transfers. In addition, DRAMs must be refreshed by the DRAM controller, a DMA channel, or an interrupt-driven computer software loop during each bus cycle or while in burst mode. Figure 6 shows a DRAM controller design for the EP330 that handles all of the above actions. The EPLD performs the following steps: 1. Places half of the CPU address on the DRAM's address lines and negates MAS. 2. Asserts the RAS address strobe. 3. a) b) Places the second half of the CPU address on the DRAM's address lines (asserts MAS). Asserts the DRAM's UR line if the bus transfer is a write opera tion. 4. Asserts the CAS signal. 5. Waits for the bus cycle to finish, then negates all signals. An EP330 programmed with the design shown in Figure 6 remains in a state with all signals negated (step 1 above) until a bus cycle is started with RAMEN and UDS or LDS. The EP330 then cycles through the steps listed above at a rate set by the clock, stopping at step 5 until the bus cycle ends (Le., RAMEN, UDS, and LDS negate), and returning to step 1 to wait for the next bus access. I Altera Corporation Page 287 I ,. Memory and p'!riehe~ral.lnterlacing with .EP~ries EPLDs Application Note 3 Figure 6. EP330 Dynamic RAM Control The controller's clock frequency must be exactly the same as the 68000 system clock. NOR! RAMEN RAMCYCn INP REF DRAM Wtie INP DRAM Column Adctesa Slrobe NOT WRL WRITE INP ............................. NOT IJDSl AONF INP Memory Adctesa SeIec:I DATASEL LDSl INP ··........................................... : ~--l-L;r-Hr-tt-tt:--t> BANKSEL RORF ,..........................-...1"'" : ...............1 ...,... INP DRAMAow Address Slrobe c~~-----------~==~~~~--+-~ INP Page 288 Altera Corporation I Metastability Characteristics of EPLDs Application Note 91 October 1990, var. 2 Introduction This application note provides the following information: o o o o Definition of metastability Description of an experimental setup for metastability measurements Metastability characteristics of Altera EPLDs How to calculate MTBF numbers The application note describes the problems associated with synchronization of asynchronous signals, in particular, the phenomenon of metastability in clocked flip-flop elements. To help the designer predict and guarantee required mean-time-between-failure (MTBF) rates in circuits targeted for Altera EPLDs, this application note also presents experimental data for Altera EPLDs when the associated flip-flops are used in asynchronous signal synchronizer applications. This information can then be compared to data on standard TIL components, which is also provided. Altera EPM5000-series MAX EPLD flip-flops show metastability characteristics ranging from those of Advanced Low-Power Schottky (ALS) TTL flip-flops to Fairchild Advanced Schottky (FAST) TTL flip-flops. Altera's EP-series EPLD flip-flops show metastability characteristics that are better than those of Low-Power Schottky (LS) TTL flip-flops. Synchronization Many designs require communication between asynchronously clocked systems to be synchronized. Most systems are designed synchronously so that all signal transitions within, and generated by, a system use an edge of a master clock as reference. Synchronous systems also require synchronous inputs to avoid race conditions, setup time violations, and other logic problems. The goal, therefore, is to synchronize external inputs with each system's local clock to ensure that operation and MTBF requirements for the composite system(s) are met. The edge-triggered flip-flop is frequently used to obtain synchronization. Clocked by the system's master clock or a derivative, the flip-flop synchronizes transitions on its data input with the clock, and outputs the result to the system. Its output transitions are synchronous with its clock. However, when asynchronous signals are synchronized, the minimum timing requirements of the flip-flop cannot be guaranteed. For example, if a signal changes at a flip-flop's data input from low to high at the same time as the clock, the output state is ambiguous. Since the minimum setup I A/tera Corporation Page 289 I m aa:.- 1 Application Note Metastability Characteristics of EPLDs 91 and hold times are not met, electrical parameters and logic functions are no longer guaranteed. The combination of these logical! electrical uncertainties manifests itself in a state known as metastability (see Figure 1). Figure 1. Metastability Characteristics 5 Metastability Point 0./0 /Q a Va logic low CMOS Flip-Flop o 5 Volts Flip-Flop Output Switching Waveform Metastability A flip-flop is typically defined as a bistable element, with the /0 output at logic high and the 0 output at logic low, or vice versa. However, the description "bistable" is misleading, since a third stable state is possible where both nodes are at identical voltages. This third state is called "metastable," since the smallest disturbance will push the flip-flop in one direction or the other. Although the flip-flop does eventually stabilize, it hovers in a metastable state while /0 and 0 are both at equal (indeterminate) logic levels. Clocking a flip-flop while its data input is in transition-which may happen during synchronization-can create such a metastable event. The resulting indeterminate output logic levels can, in fact, produce unpredictable results if allowed to propagate throughout the system. The likelihood of encountering this metastable period depends on the width of the window and the signal frequencies being synchronized. The following experiments confirm this conclusion. The basic equation for the MTBF of a synchronizer due to metastability events is: MTBF = [FCLOCK x FDATA x Ccl x e(-C2 XL1TI]-l If this equation is plotted on semi-log graph paper, the MTBF / ~T relationship appears as a straight line (Figure 2). In the equation shown above, ~T represents the amount of settling time allowed for the flip-flop to settle to a valid stable state. I Page290 Altera Corporation I I Application Note 9 I Metastability Characteristics of EPLDs Rgure 2. Metastability Function & Effects of Parameters 109 Increasing Frequency -10 Years 8 10 -1 Year 107 -1 Month ~ 106 -1 Week ~ ::::E 105 g> -1 Day ...J 4 10 -1 Hour 103 102 -1 Minute 101 -10 Seconds 10° 10 20 30 40 50 60 70 Settling Time (£\T) The constants C1 and C2 in this equa tion reflect particular characteristics of the device and, more importantly, the process technology used to manufacture it. Different devices fabricated on the same technology have similar metastability characteristics. Device design tricks and optimiza tions do not have a marked effect. Fundamental technology parameters such as on-chip capacitances and inverter gain predominate. The constant C1 linearly scales the MTBF equation. Therefore, the smaller the value of Cl , the higher the MTBF. Cl affects the MTBF/ L\T curve in an absolute sense, tending to translate it along the MTBFaxis. The constant C2 affects the slope of the MTBF / L\ T plot. Therefore, it is a measure of how quickly, in a relative sense, the flip-flop snaps out of metastability. The steeper the relationship on the plot, the better the settling. The equation indicates that MTBF is a linear function of clock and data frequencies. Results for other operating conditions can be predicted with the data for a set of input frequencies. Experiment Setup I Altera Corporation To help evaluate the relationship between metastability-event frequency and input-signal frequencies, the following experiment shows a means for defining and measuring a metastability event. In this experiment, a flipflop is defined to be in a metastable state whenever its output voltage Q is greater than Vn.. maximum and less than Vrn minimum for longer than normal output transition times. For TTL levels, this state corresponds to 0.8 V < output voltage < 2.0 V. Page291 I 1m I I Metastability Characteristics of EPLDs Application Note 91 An experimental circuit for measuring a metastable event must include the following items: o A Device Under Test (DUTI, i.e., the synchronizing device to be evaluated o Two independent signal sources that act as local system clock and data inputs o A way to compare the OUT's output to Vrn and V1L levels. Such a comparison can be performed with dedicated comparator devices, or, as shown in Figure 3, with inverters that have appropriate bias voltages applied to the device grounds. The inverter arrangement is a veryhigh-speed arrangement-with a few nanoseconds delay, dependent on logic family-and requires fewer power supplies than most dedicated comparators. Figure 3. Experiment Setup a FOATA 7-Segment LEOs Metastability Event Readout FCLOCK FCLOCK FDATA - 1/2 FCLOCK _ _ _....J DELTA CLOCK - - - . . . . , DUTa I Page292 -~ Altera Corporation I I Application Note 9 Experiment Results I Altera Corporation Metastability Characteristics of EPLDs I o A means to strobe the comparators' outputs at variable delay times from the OUT clock to detect a metastable event of a given duration. As shown in Figure 3, strobing can be provided with an inverted version of the OUT clock. By varying the width of the OUT clock pulse, a variable delay between the two rising edges is obtained. o A counter that counts the metastable events. In this experiment, the data measured is the number of metastability events as a function of AT and length of observation. Length of observation divided by the number of events gives an MTBF number. Plotting the data yields the characteristic lines. The experiments analyze not only Altera's EPLDs for metastability characteristics, but also several other logic devices, including standard TTL 7474 devices from LS, ALS, and FAST logic families. The plotted data is shown in Figure 4. The results are summarized here: o Metastability characteristics of devices in a given family are Virtually identical since these characteristics are technology-dependent rather than individual device/ circuit-dependent. o MAX devices exhibit metastability characteristics that are substantially better than those of EP-series devices because they are fabricated on a faster O.B-micron double-metal process. The technology dependence of flip-flop settling time is thus reinforced. o Altera's EPM5000-series MAX EPLDs exhibit metastability characteristics that are equivalent to those of FAST-TIL series devices. When integrating such designs, EPLD flip-flops may be used as synchronizers with equivalent characteristics. o In cases where very fast settling time is required of a synchronizer, AS-TTL logic still provides characteristics that are superior to highdensity solutions such as EPLDs. o Integrating multiple logic levels into a single EPLD, can often reduce the need for rapid stand-alone settling times. This option is available when isolated performance is not critical. PBge293 I 1 Application Note Metastability Characteristics of EPLDs 91 Rgure 4. Results of the Experiment 109 -10 Years EP630 74ALS74 74F74 -1 Year -1 Month -1 Week u. ~ :E 105 -1 Day ~ ...J 104 -1 Hour 103 102 -1 Minute 101 -10 Seconds 10° 10 20 50 Settling Time (AT) 90 FeU( - 1 MHz FoATA - 500 kHz Vee - 5 V Room Temp Given the plotted data, the derivation of the two constants C1 and C 2 for the MTBF equation is relatively easy. C2 defines the slope of the line. Once C2 is defined, C1 can be determined. When FOATA = 0.5 X FCl(xX (representing a transition on every synchronizing clock edge), the equations reduce to C1 = 2 x e(C2x~T) / MTBF x f2 Table 1 summarizes the values of C1 and C2 for Altera's EPLDs as well as alternative devices. From these values, MTBF calculations can be made for a particular system/ clock rate/ device combination. I Page294 A/tera Corporation I I Application Note 9 Metastability Characteristics of EPLDs I Table 1. Metastability Equation Constants vs. Device C1 Device EP630 EP610 EP600 EP1810 EPMS016/EPMS032 EPM5064 74F74 74 LS74 3.081E+52 4.SS7E+12 1.916E+22 3.529E+38 4.981 E+20 4.747E+11 6.100E+21 5.270 C2 5.415 1.919 1.340 2.068 2.482 1.641 4.000 0.5081 The following example assumes use of an EPM5032 in a synchronizing application and requires MTBF of one year (approximately 3x 107 seconds). The system clock rate is 10 MHz, while the input to be synchronized has a frequency of 2 MHz. To calculate the minimum settling time allowance required to assure the specified MTBF, the constants shown below are used for the EPM5032 to solve the metastability equation: MTBF = [FCLOCK x FDATA X C1 x e(-C2 x,\T)] -1 Settling time required is: L\T = In [MTBF x FCLOCK x FDATA x C1 ] / C2 Substitution provides the following result: In [3x107 x 1Ox1()6 x 2x1()6 x 4.981E+20] /2.482 L\T = In [2.989 x 1()41] / 2.482 = 95.5 / 2.482 = 39 ns To ensure an MTBF of one year with an EPM5032, the application should allow approximately 39 ns of settling time before the output of the EPM5032 synchronizing macrocell is evaluated or required to be stable elsewhere in the system. Similar calculations can be made for any combination of MTBFs and clock/data frequencies. Due to the logarithmic relationship between MTBF and settling time, dramatic changes in MTBF can be obtained from small changes in L\T. For exam pIe, if the MTBF requirement is increased from ore year to ten years in the calculations shown above, the L\T allowance need only be increased by 2 ns to 41 nsf Increased design margin is relatively inexpensive and should be examined for each individual application. I Altera Corporation Page 295 I 1m I Metastability Characteristics of EPLDs Conclusion Application Note 91 Altera's EP-series EPLDs have metastability characteristics that make these devices superior to standard LS-TIL flip-flops when used in synchronizer applications. They also outperform typical low-power PAL devices substantially. Characteristics of EPM5000-series MAX EPLDs offer characteristics that are suitable for today's high-speed applications, and make these devices superior to ALS-TIL. Given the required settling time, any required MTBF may be predicted and obtained for circuits using Altera EPLDs. When designing synchronizer circuits, it is prudent to provide adequate guardbands. Synchronization is probabilistic at best, and MTBF numbers only show mean or average times taken over a large sample. A circuit may have an MTBF of ten years, yet still has a probability of failure in its first few minutes of use. In all high-reliability applications, the potential of a metastable event can never be totally discounted. I Page296 Altera Corporation I Design Entry for the EPS448 SAM EPLD I October 1990, ver. 1 Introduction Application Note 1DA I This application note describes design entry methods for the Altera EPS448 Stand-Alone Microsequencer (SAM) EPLD. The following subjects are included: o o o o o An overview of the SAM+PLUS Development System used in entering, compiling, simulating, and programming EPS448 designs A discussion of applications suited for the EPS448 EPLD Descriptions of the two entry languages supported by the EPS448 EPLD-the Altera State Machine Input Language (ASMILE) and the SAM Assembly Language (ASM) A 68020 microprocessor bus arbiter application example that demonstrates ASMILE entry A graphics controller application example that demonstrates ASM entry and cascading of multiple EPS448 EPLDs in large designs Figure 1 shows a block diagram of the EPS448 EPLD. (Refer to the EPS448 SAM EPLD: Stand-Alone Microsequencer Data Sheet in this data book for more information.) A general knowledge of EPS448 architecture is assumed. Figure 1. EPS448 Block Diagram Outputs (FO to F1S) I Altera Corporation Page 297 I I Design Entry for the EPS448 SAM EPLD SAM+PLUS Development System Application Note 1DA I The SAM+PLUS Development System (Figure 2) provides an efficient PCbased method for entering and compiling EPS448 designs. SAM+PLUS also allows interactive functional simulation for rapid verification of design operation. PC-compatible programming hardware and LogicMap II software allow EPLO programming right at the designer's desk. The accelerated design process that SAM+PLUS provides is very helpful because control logic is often difficult to design and design changes are common. Figure 2. SAM+PLUS Development System SAM+PLUS supports two design entry methods: o o ASMILE - a state machine input language ASM - a microassembly language To create a design with either language, the designer first enters the design file with any standard text editor. The file is then submitted to the SAM Design Processor (SOP), which converts it to ASM format if it is in ASMILE format. The SOP automatically minimizes transition equations in the ASM file and generates a standard JEOEC file for simulating the design and programming the EPS448 EPLO. The SOP also generates a Report File that lists total resources consumed, absolute memory assignments of microassembler instructions, and pin assignments. The SAM Simulator (SAMSIM) provides functional testing of EPS448 designs, including multi-EPLO applications with horizontal cascading. The Virtual Logic Analyzer (VLA) in SAMSIM provides the designer with a graphical display of the simulation results. In addition, the designer may print out a hard-copy waveform output file. Choosing EPS448 Applications I Page29B The EPS448 architecture supports high-performance synchronous control applications. It has a classic Moore machine architecture, i.e., all outputs are asserted synchronously with respect to the clock. All inputs must also obey a required setup time (tsu) relative to the clock input. Altera Corporation I I Application Note 1DA Design Entry for the EPS448 SAM EPLD I Applications with the following characteristics are most likely to fit into a single EPS448 EPLD: o o o o o o o Operating frequency of up to 30 MHz Synchronous operation Up to 8 control inputs exclusive of Clock and nRESET Up to 16 control outputs Up to 256 primary microcode locations Up to 64 multiway-branch microcode locations Transition expressions reducible to four product terms per IF-THE" expression Horizontal cascading is used to obtain more than 16 outputs in an EPS448 design. Similarly, EPS448 EPLDs may be vertically cascaded-sharing a common output bus-if greater microcode depth is required. Horizontal and vertical cascading may be used simultaneously to increase capacity in both dimensions. For example, SAM +PLUS supports horizontal cascading of up to 8 EPS448 EPLDs, for a total output count of 128 lines. ASMILE Syntax The ASMILE file consists of the following sections (sections enclosed in brackets are optional): [Header] PART: INPUTS: OUTPUTS: [EQUATIONS:] MACHINE: [CLOCK:] STATES: Transition Specifications END$ Note that the ASMILE input format is very similar to the State Machine (SMF) format used with A+PLUS and Altera's EP-series EPLDs. ASMILE files may be entered with any standard text editor in non-document mode. (Format control characters inserted in document mode are interpreted as syntax errors during compilation.) Filenames have the extension .SI1F. The case of characters in the ASMILE file is significant. For example, the names RUB and rwb are not the same. Comments enclosed in percent symbols (Yo) may be inserted freely into the source code as shown in the following example: % Header Section I Altera Corporation This is a COMMent % The Header Section contains design identification information. Typical information includes the designer's name and company, date, design number and revision, and other comments. Page 299 I I Design Entry tor the EPS448 SAM EPLD Application Note 1DA I Part Section The Part Section of the ASMILE file (keyword PART:) specifies the EPS448 EPLD as the target EPLD for the application. Inputs Section The Inputs Section (keyword INPUTS:) defines all external inputs to the design and optionally assigns pin numbers to the inputs. SAM+PLUS automatically assigns any remaining pins. Pin assignments are specified in the following format: Only user-defined inputs can appear in the Inputs Section, e.g., the dedicated Clock and nRESET inputs to the EPS448 EPLD are not included. Outputs Section The Outputs Section (keyword OUTPUTS:) contains a list of all outputs from the design and optional pin assignments. Output pin assignment syntax is the same as for input pins. Equations Section The Equations Section of the ASMILE file (keyword EQUATIONS:) is used to define intermediate equations to be used later in the design. For example, the following equation can be defined in the Equations Section: E~entClk = 11 • /14 + 13 • 16 • /17 The designer can then use EYentClk inanIF-THEN statement later in the file instead of entering the actual equation. Machine Section The Machine Section of the ASMILE (keyword HACHINE:) file specifies a state machine's name and the state, output, and transition definitions required for the EPS448 EPLD. It has the following structure: State machine declaration Clock Subsection (optional) States Subsection Transitions Subsection State Machine Declaration This declaration gives the name of the state machine. It has the following format: Clock Subsection The optional Clock Subsection (keyword CLOCK:) specifies the synchronous clock source for the EPS448 EPLD.1t is used primarily for documentation purposes. I Psge3DD Altera Corporation I I Application Note lOA Design Entry for the EPS448 SAM EPLD I States Subsection The States Subsection (keyword STATES:) specifies all states in the machine and the outputs corresponding to the states. The States Subsection has the following format: STATES: [output_na"e_l ... output_na"e_nl [output_value_list] state nill-Ie The first line contains a list of output names enclosed in brackets and separated by white space. Each subsequent line contains a state name followed by a binary string enclosed in brackets, which specifies all output values provided when the machine is in that state. For example: STATES: [ S8 [ 8 8 88] ABC D ] SI [ 8 1 18] S2 S3 [ 1 888 ] [ 888 1 ] This States Subsection specifies a machine with four outputs A, I, C, and D. State S8 has all outputs low; S1 takes I and C to logic high; S2 has only output A high; and S3 has only output D high. Transitions Subsection The Transitions Subsection in an ASMILE file (no keyword) has the following format: state_na"e: transition_specification Every state in the machine must have a transition specification that specifies successor states with either unconditional (e.g., S8: S2) or conditional (IFTHEN) statements. The first state name in the Transitions Subsection is defined as the initial state of the machine coming out of reset. This state name has special significance as an "inactive" or passive machine state. The position of other transition specifications is not significant. IF-THEN Statements The EPS448 architecture implements the user-defined state transition specifications in the branch-controllogic block. This block allows up to 64 complex branching expressions to be specified in a single machine. (Up to 192 unconditional state transitions can be specified for a single EPS448 EPLD.) Multiway branching is shown in Figure 3. I Altera Corporation Page301 I !Ii' 11:.1 I Design Entry for the EPS448 SAM EPLD Application Note 1DA I Rgure 3. EPS448 Mult/way Branch Each IF-THEN statement may be a function of any of the eight EPS448 external inputs and may contain up to four product terms after logic minimization. For most designs, these quantities should be sufficient. However, a tradeoff between the number of branch destinations and the number of product terms per destination can be made by pointing mul tiple IF-THEN statements to the same destination. For example, the following expression provides a three-way branch with up to eight product terms available for the specification of transitions to state SI: SB IF (condl) THEN SI IF (cond2) THEN SI IF (cond3) THEN S2 S3 Order of IF-THEN Statements Order is importantin IF-THEN statements and can determine the machine flow. Transition specifications need not be mutually exclusive in expressions. For example, the following expression at first appears ambiguous: SB: IF 11 • 12 + 15 THEM SI IF 15 • 16 + 14 • /13 THEN S2 IF 14 THEN S3 S4 If EPS448 inputs IS and 16 both become true during SB, either SI or S2 might be the next state. However, the EPS448 priority logic determines the next state on the basis of transition order. Since the SI transition is specified before the S2 transition, it is the next state entered. Similarly, if 14 * 1'13 becomes valid, S2 is the next state entered before S3. This precedence-resolving ability is built into the EPS448 EPLD, which uses a hardware priority-encoder to select the next-state transition. This capability not only resolves conflicts, but can also be exploited in the design to priori tize transitions. I Page3D2 Altera Corporation I I Application Note 1DA Design Entry for the EPS448 SAM EPLD I Default Transitions Another feature of the IF-THEN syntax is the implicit default transition. In the previous example, S4 is the next state entered if S1, S2, and S3 are not selected. This feature can reduce design effort and resource requirements substantially, since default transitions do not have to be defined as the negation of non-default transitions. Such inverted expressions tend to consume logic product terms or resources quickly. For example, the following transition specification is valid in ASMILE: S8: IF 11 • 12 + 15 • /17 + 18 THE" S1 IF 13 + /16 • 14 THEN S2 IF 12 • 13 • 14 • 15 • /17 THE" S3 S4 If the ASMILE syntax did not support default transitions, the default transition, S4, would have to be explicitly defined as shown in the following unminimized example: IF /(11 • 12 + 15 • /17 + 18) • /(13 + /16 • 14) • /(12 • 13 • 14 • 15 • /17) THE" S4 End Statement Every ASMILE source file must terminate with the END$ statement. ASMILE Design Example A 68020 microprocessor bus arbiter state machine example is used to illustrate ASMILE design entry. This example assumes knowledge of the 68020 bus exchange protocol. Table 1 shows the flow of the bus arbiter control function. The state machine controls the handshakes between the processor and the bus masters. Table 1. Bus Arbiter Operation States IAltera Corporation Processor and Requesting Bus Master Actions SO Bus master asserts request. S1 & S2 Processor asserts grant. Bus master arbitrates (if required) among multiple requests. Bus master waits for completion of current cycle. S6&S5 Next bus master asserts acknowledge (ACK). Next bus master deasserts request. Processor deasserts grant (waits for ACK to be deasserted). Bus master performs bus operations. Bus master deasserts ACK. SO Processor resumes operation. S4&S3 Processor rearbitrates. Page303 / Design Entry for the EPS448 SAM EPLD Application Not. 1DA I Figure 4 shows a state machine diagram for the bus arbiter. The 68020based system runs at 25 MHz, and the bus arbiter machine runs with a 4O-ns clock cycle. Rgure 4. Bus Arbiter State Machine Diagram IAlA RIA RAGT X- Bus request input Bus grant acknowledge input Bus grant output Tri-state control to bus-control logic Don't care Three signal lines on a 68020 bus-IEQUEST, GIAttT, and ACJCttOIolLEDGE-define the handshake required to arbitrate bus exchanges between multiple bus masters. S8 represents the "normal," active state of the processor; $1 and $2 correspond to the grant phase; $5 and $6 to the acknowledge phase; and S3 and S4 to the arbi tration phase if requests are pending at the end of the current bus exchange. The file shown in Figure 5, 68828AIB.SMF, is the actual ASMILE file entered for the state machine in Figure 4. In the Outputs and States sections, output variables OS8 through OS6 are defined. Each variable is valid only for one unique state. As the design is simulated, these variables indicate the state of the machine. Page3D4 Altera Corporation I I Application Note fDA Design Entry for the EPS448 SAM EPLD I Rgure 5. 68020 Bus Arbiter State Machine RIB (68020ARB.SMF) 18/1/98 68828 Bus Arbiter for EPS448 X This description uses IF-THE" transition specifications X PART: EPS448 X Pin assisn"ents are optional X I"PUTS: REQUEST ACX OUTPUTS: GRA"T TRISTATE OS8 OSI OS2 OS3 OS4 OS5 OS6 HACHI"E: BUSARBITER CLOCX: CLX STATES STATES: S8 SI S2 S3 S4 S5 S6 X sives the output value "appins X [GRA"T TRISTATE osa OSI OS2 OS3 [8 8 8 8 1 8 [1 8 1 8 1 8 [1 1 8 8 1 8 [1 1 8 8 8 1 [1 1 8 8 8 8 [8 8 8 1 8 8 [8 8 8 1 8 8 OS4 8 8 8 8 1 8 8 OS5 8 8 8 8 8 1 8 OS6] 8] 8] 8] 8] 8] 8] 1] Transition Specifications follow X S8: IF REQUEST*/ACX THE" SI IF ACX THE" S5 S8 SI: S2 S2: IF /REQUEST*/ACX + ACX THE" S6 S2 S3: IF /REQUEST THE" S6 IF REQUEST*/ACX THE" S2 S3 S4: S3 S5: IF REQUEST THE" S4 IF /REQUEST*/ACX THE" S8 S5 S6: S5 Yo E"DS ASMILE Design Entry & Compilation I Altera Corporation The SAM Design Processor (SOP) is used to com pile the design. First, the ASMILE file is automatically translated into an ASM file. Transition equations are then au tomaticall y minimized, and "object code" is generated for the EPS448 EPLO. Finally, a JEOEC programming file (.JED) is generated. The JEDEC file can also be used as a design template in functional simulation. A report file (.RPT) with the results of the compilation process is also generated. Figure 6 shows key portions of this file. Page3Dsi Design Entry for the EPS448 SAM EPLD Application Note 1DA I Figure 6. Bus Arbiter Report Rle (68020ARB.RPT) Excerpts SAM Design Processor Utilization Report Version 2.82 11/13/89 .* ••• Design i"ple"ented successfullg IB/l/98 68828 Bus Arbiter for SAM X This description uses IF-THE" Transition Specifications X EPS448 RESERVED G"D G"D G"D G"D CLOCX vec nRESET G"D G"D REQUEST ACX RESERVED RESERVED .* ••• 1 2 3 4 5 6 7 8 9 18 11 12 13 14 28 21 26 25 24 23 22 21 28 19 18 17 16 15 RESERVED RESERVED RESERVED GRA"T TRISTATE OS8 OSI G"D OS2 OS3 OS4 OS5 056 RESERVED DESIG" LISTING PART: EPS448 IttPUTS: REQUEST@ll, ACX@12 OUTPUTS: GRA"T@25, TRISTATE@24, OSB@23, OSl@22, OS2@2B, OS3@19, OS4@18, OS5@17, OS6@16 PINS: DEFAULT: [BBBBBBBBB] PROGRAM: [BBIBBBBBB] JUMP SB; BD: 192D: SB: IF REQUEST • ACX' THE" [11BIBBBBB] JUMP SI; ELSEIF ACX THE" [BIBBBBBIB] JUMP S5; ELSE [BBIBBBBBB] JUMP SB; ID: 51: [11BBIBBBB] JUMP S2; 193D: 52: IF REQUEST' + ACX THEN [BIBBBBBB1] JUMP S6; ELSE [11BBIBBBB] JUMP 52; 194D: 53: IF REQUEST' THEN [BIBBBBBB1] JUMP S6; ELSEIF REQUEST • ACX' THE" [11BBIBBBB] JUMP 52; ELSE [11BBBIBBB] JUMP S3; 2D: 54: [ltBBBtBBB] JUMP 53; 195D: 55: IF REQUEST THE" [IIBBBBIBB] JUMP S4; ELSEIF REQUEST' • ACX' THE" [BBtBBBBBB] JUMP SB; ELSE [BIBBBBBtB] JUMP 55; 3D: 56: [BIBBBBBIB] JUMP S5; EttD$ .*.** PART UTILIZATIO" 4/192 Unconditional Branches ( 2.B8x) 4/ 64 Conditional Branches 6.25~) B Uarnings B Fatal errors Page 306 Altera Corporation I I Application Note 10A ASMILE Design Simulation I Design Entry for the EPS448 SAM EPLD After a design has been successfully processed, the user can specify input stimuli in a variety of formats and observe the EPLD response quickly and effectively with the SAMSIM Functional Simulator. SAMSIM supports both hard-copy and on-screen Virtual Logic Analyzer (VLA) output formals. Split windows, multiple zoom levels, and delta time display are a few of the capabilities of this interactive display mode. SAMSIM supports both interactive and Command File input. Figure 7 shows a sim pIe inpu t Command File for the 68020 bus arbiter design. The first line specifies the source JEDEC file. The next two lines contain logic sequences for the two machine inputs. The PATTERN CREATE command specifies a sequence of input logic levels to be applied to selected nodes. The notation O*n, where n is an integer, is used to hold the indicated logic value on the associated input for n clock cycles. The fourth line, SItiULATE 41, instructs SAMSIM to run the simulation for 41 clock cycles. The interactive display is invoked in the last line with the VIE'" command. Typically, Command Files are given the design name with the extension .CtlD (in this case, 6S8Z8ARB.CtlD). Figure 7. Bus Arbiter Command File for SAMSIM (68020ARB.CMD) JEDEC 68B2BARB PATTERN CREATE REQUEST PATTERN CREATE ACX = SIMULATE 41 UIE" = (B)-3 1 1 1 1 (B)-12 1 1 1 liB B (1)-7 (B)-5 (B)-5 (1)-8 (B)-IB (1)-6 (B)-2 (1)-6 (B)-4 The following list describes other SAMSIM commands that are not used in this example: TRACE Dumps the entire state of the machine (inputs, outputs, internal register, etc.) for each clock executed. GROUP Specifies the logical grouping of signals for easy observation or input vector specification. SET Modifies the values of the internal counter, stack, etc. LINK Logically links EPLD pins for simulation purposes. RADIX Defines the default radix for all SAMSIM commands. The radix may be binary, hexadecimal, or decimal. Figure 8 shows the VLA screen after running SAMSIM with the Command File in Figure 7. The screen displays the input stimuli to the bus arbiter design and the resulting state machine operation. I A/tera Corporation Page 307 I !'r:I l1l:I 1 Design Entry for the EPS448 SAM EPLD Application Note fDA I Figure 8. VLA ScrHll for Bus ArbIter Design 1:1 -F'--------~------~~--------------------------~ REQUEST ACK GRAttT TRISTATE 088 OSI OSZ --1 --.'-------" __________ ~ __--In ~n'- __________________ ~ ~~ n ~ 084 085 OSG ____ ~~ ________ ~nL ____ ~~~ 1 ______ ~~ 11 - - - - - - - - -- - - - -8- - - - - - - - - - -- - -- - - - - - - - - - - - - - - - - - - -- - - - - - - - - - - - - - - - - - - -- - - - - - - -- Range: 8 to 74 "a.e: &882BARB.JED Cycle: Signals: The initial input stimulus applied to the EPS448 design shows a straightforward bus exchange between the 68020 and another bus master. This exchange corresponds to the first REQUEST /GRANT /ACJC sequence. Upon detecting a REQUEST, the 68020 asserts its TRISTATE line and issues a GRANT pulse, allowing the new bus master to assume control. The alternate bus master asserts ACJC when it detects that the bus has been granted. When ACJC finally drops, the 68020 can resume control. The second sequence involves not just a single initial REQUEST (bus master 1), but a second REQUEST from another bus master (bus master 2) during the time bus master 1 has control. As a result, the 68020 must generate a new GR ANT pulse during S4 to S2, and hand over bus control to bus master 2 when bus master 1 is finished (Le., when ACJC is dropped). When bus master 3 is finished and no requests are pending, the 68020 finally takes control of the bus again and TRISTATE goes low. Page3D8 Altera Corporation I I Application Note 1DA ASM Syntax I Design Entry for the EPS448 SAM EPLD The ASM file consists of the following sections (sections enclosed in brackets are optional): [Header] PART: INPUTS: OUTPUTS: [PINS:] [DEFAULT:] [MACROS:] [EQUATIONS:] PROGRAM: END$ ASM files may be entered with any standard text editor in non-document mode. ASM is case-sensitive. It allows comments that are enclosed in percent symbols (%). Filenames are terminated with the extension .ASH. Header The Header Section contains design identification information. Typical information includes the designer's name and company, date, design number and revision, and other comments. Part Section The Part Section of the ASM file (keyword PART:) specifies the EPS448 as the target EPLD for the application. Multiple EPS448 EPLDs may be specified for designs requiring more outputs than a single device can supply. The SAM +PLUS software supports horizontal cascading of EPLDs at a source code level. (See the EPS448 SAM EPLD: Stand-Alone Microsequencer Data Sheet for more information.) Inputs Section The single Inputs Section of the ASM file (keyword INPUTS:) defines all external inputs to the design, as well as any required user pin assignments. Pin assignments are specified in the following format: Only user-defined inputs should appear in the Inputs Section. All design inputs must be common in a horizontally cascaded design. A source file can contain only as many inputs as a single EPS448. Outputs Section The Outputs Section(s) of the ASM file (keyword OUTPUTS:) lists all outputs from the design and pin assignments. Output pin assignment syntax is the same as that of input pin assignments. If multiple EPS448 EPLDs are specified in the Part Section of the design file, multiple Outputs Sections must be inserted in the ASM file, one for each EPS448 com ponen t. Pins Section The optional Pins Section (keyword P INS:) allows external variable names to be mapped onto internal variable names. For example, an active-low system signal called /UR might be entered into the transition specifications. To keep the logical sense of such specifications clear, it is helpful to change all active-low external signals to equivalent active-high names internally. I Altera Corporation Page3D9 1 Design Entry for the EPS448 SAM EPLD Application Note 1DA I Defaults Section The optional Default Section (keyword DEFAULT:) specifies a default output combination that can be used whenever the output string is not explicitly defined in an instruction. In a single EPS448 specification, the syntax is DEFAULT: [08 •••0nl, where 08••• 0n represents a binary string corresponding to the n outputs specified for the EPS448 design. Default output values are matched to output pins in the order in which they appear in the Outputs Section. If multiple Outputs Sections appear in a cascaded EPS448 application, the binary string is increased in width to accommodate this change. Macros Section The optional Macros Section (keyword tlACROS:) defines strings that can be substituted universally throughout the ASM source code. Instruction mnemonics may be redefined for efficiency or clarity, or binary output strings may be redefined to have alphanumeric labels. An example of a macro definition is REGI TOALU = "8181111881188888". Embedded strings are not macro-substituted. To be recognized, macro instances must be delimited by white space. For example, the macro substitution REG = "8118" causes the string 8118 to be substituted into [REG ALU OP] CONTINUE, but not into [:BREG4 AL OP] CONTINUE. Equations Section The optional Equations Section of the ASM file (keyword EQUATIONS:) defines intermediate equations to be used later in the design. For example, an equation such as EventClk = 11 * /14 + 13 * 16 * /17 could be defined in the Equations Section. The designer could then use EventClk in an IFTHEN statement, such as IF EventClk THEN JUtiP START instead of entering the actual equation. Program Section The Program Section of the ASM file (keyword PROGRAtI:) specifies the sequence of instructions to be executed and the associated outputs required from the EPS448 EPLD. The format of a basic instruction specification in the Program Section is as follows: label: [Ou.tpu.t_spec] opcode; The label is an optional alphanumeric string that can be used to identify the instruction in branching expressions. [output_spec] represents an actual numeric string (in binary, hexadecimal, or decimal format), a macro substitution, or the character Z for tri-state (high-impedance) output pins. Hexadecimal and decimal strings are defined by a string of valid digits of correct length, followed by H or D, respectively. In horizontally cascaded applications, each output is enclosed in brackets. The output specification defined in the Default Section is assumed whenever it has length zero (Le., empty brackets ( [ ] ) imply the default output specification). End Statement Page 310 Every ASM source file must terminate with END$. Altera Corporation I IApplication Note 10A Multiway Branch Syntax Design Entry for the EPS448 SAM EPLD I To specify multiway branching in the ASM file, a complex expression of the following form is used: IF (expressionl) THEN ELSEIF (expression2) THEN ELSEIF (expression3) THEN ELSE [output_~.lue] [output_~.lue] [output_~.lue] [output_~.lue] (instructionl) (instruction2) (instruction3) (instruction4) For example, a complex instruction of this type might appe~r as follows: IF 18-11-15-/17 + 13-14 + 16-/18 + /13-/11 THEN [11118811188188800] CALL l.bell RETURNTO l.beI2; ELSEIF 13-/12 + 15-16 + /10-14-11 THEN [18110000011100011] LOADC 255 GOTO l.beI3; ELSEIF 14-16-10 THEN PUSH 15 GOTO l.beI4; ELSE [1111111100000001] PUSH1 GOTO 1.be15; Each expression can be a function of any of the eight EPS448 external inputs and can contain up to four product terms. If more than four product terms are needed to define a transition from one state to another, it is possible to trade off product-term counts for multiway branch destinations. In the following example, expression1 and expression2 could consist of four product-term expressions, resulting in eight product terms that could be used to specify the transition to START: IF (expression1) THEN [] JUMP ELSEIF (expression2) THEN [] JUMP ELSEIF (expression3) THEN [] JUMP ELSE JUMP START; START; NEXT1; NEXT2; Note the inherent priority scheme in the previous statements. The EPS448 architecture physically implements such a priority scheme in the branchcontrol logic block. ASM Opcodes Thirteen easy-to-use micro-instructions (called opcodes) are built into the ASM syntax. This compact instruction set allows the designer to take full advantage of the advanced features of the EPS448 EPLD, such as the counter and the stack. Three opcode examples are shown here. For a complete list of opcodes, consult the EPS448 SAM EPLD: Stand-Alone Microsequencer Data Sheet in this data book. LOOPtiZ
Source Exif Data:
File Type : PDF File Type Extension : pdf MIME Type : application/pdf PDF Version : 1.3 Linearized : No XMP Toolkit : Adobe XMP Core 4.2.1-c041 52.342996, 2008/05/07-21:37:19 Create Date : 2017:11:01 10:44:05-08:00 Modify Date : 2017:11:01 11:38:25-07:00 Metadata Date : 2017:11:01 11:38:25-07:00 Producer : Adobe Acrobat 9.0 Paper Capture Plug-in Format : application/pdf Document ID : uuid:9c74f617-d02d-a545-8bd1-99e9459567a4 Instance ID : uuid:b1d4d072-4538-d348-93ae-4a90e21e2648 Page Layout : SinglePage Page Mode : UseNone Page Count : 628EXIF Metadata provided by EXIF.tools