1990_Harris_Product_Selection_Guide 1990 Harris Product Selection Guide
User Manual: 1990_Harris_Product_Selection_Guide
Open the PDF directly: View PDF
.
Page Count: 260
| Download | |
| Open PDF In Browser | View PDF |
HARRIS
SEMICONDUCTOR
HARRIS
RCA
GE
INTERSIL
HARRIS SEMICONDUCTOR
This product guide represents the full line of Harris Semiconductor products.
A detailed Table of Contents shows product categories and references page
numbers. Only key product information - features, ratings, or characteristics
- is furnished.
For complete, current and detailed technical speCifications on any Harris
device please contact the nearest Harris sales, representative or distributor
office; or direct literature requests to:
Harris Semiconductor Literature Department
P.O. Box 883, MS CB1-28
Melbourne, FL 32901
(407) 724-3739
FAX 407-724-3937
Copyright @ Harris Corporation 1990
(All rights reserved)
Printed in U.SA, 2/1990
Harris Semiconductor products are sold by description only. All specifications in this
product guide are applicable only to packaged products; specifications for die are
available upon request. Harris reserves the right to make changes in circuit design,
specifications and other information at any time without prior notice. Accordingly,
the reader is cautioned to verify that information in this publication is current
before placing orders. Reference to products of other manufacturers are solely
for convenience of comparison and do not imply total equivalency of design,
performance, or otherwise.
HARRIS
SEMICONDUCTOR
HARRIS
RCA
liE
ii
IHTERSIL
Table of Contents
PAGE
COMMERCIAL INTEGRATED CIRCUITS
ASIC Standard Cells and Gate Arrays ....•........•.....•.........••......•........•••..•.......
ASIC FASTRACKw Design System. . . . . . . . . . . . . . . . • . . . . . . • . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . • . . . . . . .
5
ASIC Engineering Workstations . . . . . . . . . . • . . . . . . . . . . . . . . . . . . . . . . . . • . . . . . . . . . . . . . . . . . . . . . . . . . . . .
11
Microcontrollers and Microprocessors ......................... . . . . . . . . . . . . . . . • . . . . . . . . . . . . . . . . .
17
CMOS Peripheral Circuits ..........................................•..........................
26
CMOS Data Communication Circuits. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
33
CMOS Bus Support Circuits. . . . . . . . . . . . . . . . . . . . . . . . . . . . • . . . . . . . . • • . . . . . . . . . . . . . . . . . . . • . . . . . . . .
36
CMOS Logic ICs .•.•...........................................•......••...........•.•....•..
40
BiMOS FCT Interface Logic ICs ............••...•......•...........................•..••....•••
52
CMOS Memories. • . . . . . . . . . . . . . . . . . . . . . . . • . . . . . . . . . . . . • . . . . . . . . • • . . . . . . . . . . . . . . • . . . . • . . . . . . . .
55
Converters. . . . . . . • . . . . . . . . . . . . . . . . . . . . . . • . • . . . • • . • . . . • . • • . . . . . . . . . . • . . • . . . . . . . . . • • . . • • . . . . . . .
64
Display Drivers and Interface ICs .....................................•.•......................•
69
Clocks/Timers/Counters ...•.••..........•...........•.........•...........•..................
72
Multipliers. . . . . . . . . • • • . . . . • . • . . . . . . . . . . . • . . . . • . . • • . • • . . . . . . . . . • . • . . . . . . . . . • • . . . . . . . . . . . . . . . . .
73
Digital Signal-Processing ICs •.•.........•........••........•.......•......•.•.................
74
Amplifiers. . . • • . . . • • . . . . . . . . . . . . . . . . . . . • • . . . . . . . . • • • . . . . • . . . . . . . . • • • . . . . . . • . • . . . . . . . . . . . . . . . . .
75
Comparators. • . . . • • . . . . . . . . . . . . . • . . . . . • • . . . • . . . . • • . . . . . • . . . . . . . . • . • . . . . . . . . • . . . . . . . . . . . . . . . . .
90
IC Arrays •••.....•.............••........•••...•.•....••........•.•.....•.........•..•.......
91
Analog Multiplexers...........................................................................
96
Analog Switches. . • • • . • . • . • . • • • . . • . . . . . . . • . . . . • . • . • . • • . . • . . . . • • . • . • . • . . . . . . • • • • . . . . . . . . . . . . . . .
99
Power Control Circuits •.•••.•••..•....••••...•....•.•...•.....••..••.......•••................
105
Intelligent Power. . . . • • • • • • • • • • • . . • . . . . . . . • . . . • . . . . • • • • . . • . . . . • • . . . • • . . . . . . . • • • . . . . . . . . . . . . . . . .
109
Telecommunications Products ••..•......••...................••....•.......••........•.....•..
110
Automotive Circuits. • • • . • . • . . • • . . • . . . . . . • • . . . . . . . . . . . . . . . . . . . • . . . . . • . . . • . . . • • • . . . . • . . . . . • . . . . .
117
Consumer ICs. • . . . • • . . . . . • . . . • . . • . . . . • • . • . . • • . . . . . . . • . . . . . . . . . . . . . . . . . . . . . . . • . . . . . • . • . . • . . . . •
121
Graphics. . • • • . • • . • • . . . . . . . . . . . . . . . . . . . . . • • • . . . . • • • . . . . • . . . . . . • . . . • . . . . . . . • • • . . . . . . . . . . • . . . . .
123
Video/Monitor Circuits ..........•..........••....••.....•.......•.•.........••...............•
124
Radio/Communication Circuits. . . . . . . . . . . . . . • • . . . . . • . • . . . • . . . . . . • . . • . . . . . • . . • . • . . . . . . . . . . . . . . . •
125
TV/CATV Circuits ...•...............•.••••.••.•••••••••••••...••..••.......•••......••.•......
126
COMMERCIAL POWER PRODUCTS
Power MOSFETs ...•...................•...•....•••••..•.........• '. . . . . . . . . • . . . . . . . . . . . . . . . . .
127
Insulated-Gate Bipolar Transistors (IGBTs) ......................................... .••.•••. . .•. .
138
Transient Voltage Suppressors. . . . . . . . . • . . . . . • . . . . • • • • • . . • . . . . . • . . . • • . . . . . . . • • . . . . . . . . . . . . . . . . .
139
Bipolar Power Transistors ..................••....•••....••.•.......•.......•••..••..•.........
142
Discrete Transistors. . . . . . . . . . . . . • . . . . . . . . . • • • . . . . . • . . . . . • . . . . . . . . . . • . . . . . . . . • • . . . . . . • • . • . . . • . .
161
Rectifiers • . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . • . . . . . . . . . . . . . . . . . . . . . . . . • . . . . . . . . . . . . . . . . . . . . • . . . . .
168
Optoelectronic Products. . .•. . .••. . . . . . .. .•.. . ... . . .. . . .. . . .. . .•. .. .• . . ..•. . .. . ... . . .. . . .. . . .. .
171
iii
Table of Contents
PAGE
MILITARY AND AEROSPACE PRODUCTS
JAN Qualified CD4000-Series ......•.....••...........•... . . • • . . . . . . . . • • . . . . . . . . . • • . . . . . • • . . . .
180
High-Reliability CD4000 Slash-Series Types.. . .. . . . .. . . .. .. .. .. . .. . .. . . .. . . . .. .. .. .. .. . . .. .. .. •
181
High-Reliability CD54HC/HCT -Series Types ••..•............................................•.•
185
High-Reliability, High-Speed CMOS Logic/SMD/DESC Military Drawings Cross Reference. . . . . . . . • . .
190
HCS/HCTS-Series Products. . . . • • . . . • . . . . . . . . . . • • . . . . . . . . • • . . . . . . • . • . . . . . . . . . . . • . . . . . . . . • . . • . .
192
High-Reliability CD54AC/ACT -Series ACL Types ..•....••.......................................
193
High-Reliability ACL, SMD/DESC Military Drawings Cross Reference. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
194
Microprocessor, Memory, Peripheral and Communication ICs • . . • • • . . . . . . . . . . . . . • . • . . . . . . . . . . . . . • . .
195
SMD/DESC and /883 Microprocessor and PeripherallCs Cross Reference. . . . . . • • . • • • . . . . . . . . . • . • . .
197
BS9000 (UK) Microprocessor and PeripherallCs . . . . . . . . . . . . . . . . . . . • • . • . . . . . . . . . . . • . . . . . . • . . . . • . .
197
/883 1K Static RAMs. . • . • . . . . . . . . . . . . . . . . . . . . . . • . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
198
JAN, SMD/DESC and /883 RAMs ..............••....................••.........••.......•.....
198
/883 Fuse Link PROMs .•.....................••.....•.•.•....................................
198
Static RAM Modules •••.•.....•........•..............••.• . . . . . . . . . . . . . . . . • . . . . . . . . . . . . . . . . . . .
199
3Mt> and /883 Data Communication Products. . . . . . . . . . • • • . • . • . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . • . .
199
High-Reliability JAN, SMD/DESC CMOS LSIIC Cross Reference •.................................
199
Radiation-Hardened CMOS LSllCs .................••.•.•..••..............••..............•..
200
High-Reliability Analog ICs ...••..........•............•.•• . • . . . . . . . . . . . . . . . . . . . . . . . . . • . . . . . . . .
201
High-Reliability JAN, SMD/DESC Military Drawings/Device Types Cross Reference . • • • . . . . . . . . . . . • . .
208
High-Reliability CA3000 Slash-Series Types ...•.....•.........................••••........•.•..
209
High-Reliability Standard Cells - HSC1000.................. ................................. ...
211
High-Reliability Gate Arrays - AGC40K & TAGC40K Series.. .. .. . .. • .. .. . . . .. .. .. . . .. . . .. . .. . .. .. .
211
High-Reliability Radiation Hardened SOS Gate Arrays - AUA Series ..................•........•. . . .
212
High-Reliability Gate Arrays - AGC50K & TAGC50K* Series. . . . . . . . . . . . . . . . . . . . . . . • . • . . . . . . . . • . • . .
212
High-Reliability Ceramic Package Options for ASIC Devices. • . . . . . . . • . . . . . . . . . . . . . . . . . . . . • . . . . . . . .
213
JAN and JANTX Bipolar Power Transistors. • • . . . . . . . . . . . . . . • . . . . . . • • • . . . . . . . . . . . . . . . . . . . . . . . . . . . .
214
Radiation-Hardened Bipolar Power Transistors ............•......•...........................•..
214
JAN and JANTX Power MOSFETs . . • . . . . . . . . . . . . • . . . . . . • . • . . . . . . . . . . . . . . . . . . . . . . . . . . . . • . . . . . • . .
215
Radiation-Hardened Power MOSFETs . . . . . . . . . . . . . . . . . . • • . • . • . . . . . . . . . . . . . . • . • . . . . . . . . . . . . . . . . .
216
Ultra-Radiation-Hardened Power MOSFETs Developmental Devices . . . . . . . . . . . . • . . . . . . . . . . . . . . . . . .
217
Available QPL Types. • . . • . . . . . • • . . . . . . . . . . . . . . . . . . . . . . . . • . . . . . . . . . . • . . . . . . . • . • • . . . . . . . . . . . . • . .
218
Available TX Model Types ...•••.••••..••••.........•....•.......•............•••..............
218
SMD/DESC Drawing - Metal-Oxide Varistor (MOV) Controlled Types. . . . • • . . . . • . . . . . . . . . . . . . . . . . . . .
219
Gallium Arsenide Products. . . . . . . . . . . • . . . . . . . • . . . . . . . . • . . . . . . . . . . . . • . . . . . . . . . . . . . . . . • . . . . • . . . . .
220
High-Reliability JAN, SMD/DESC Military Drawings/Device Types Cross-Reference ..............•..
223
High-Reliability Product Device Type Index. . . . . . . . . . . . . . • . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . • . . . .
225
High-Reliability Product SMD/DESC Number Index ...••..•••....•...............................
237
High-Reliability Product SMD/DESC Number Index, JAN Number Index .....•••..•.................
239
PACKAGING . . . . . . • . . . . . . • . . • . . . . . . . . . . . . . . . . . . • • • . . • • • . . . . • . • . • . • . . . . . . . • . . . . . . . . . • . . . . . . . .
242
INDEX ••• .... ••... .•....... ..••... ....•........ .•••....•... .•.••................ ............
250
SALES OFFICES •.....•...............•..............................••..............•......
254
iv
ASIC Standard Cells and Gate Arrays
The Harris ASIC product line includes a full range of
cost-effective design elements for use in designing
application-specific integrated circuits (ASICs). Capabilities
include state-of-the-art cell based, gate array, and full custom
design technologies. Each is supported by the powerful Harris
FASTRACK~ CAD system, which leads you from conception to
reality in one integrated environment. If your preference is for
third party design systems, Harris offers full support for most
libraries on Daisy, Mentor Graphics~, Valid, and OrCad design
tools.
With more than 20 years of experience producing custom and
semicustom parts, Harris has satisfied the most demanding
customers in data processing, industrial, consumer, automotive, and military markets. Over 10 million circuits have been
sold in the automotive market alone. Harris ASICs have a firsttime-success rate of over 95%.
Features
• Standard cells and gate arrays
Full Range of Cost-Effective Design Elements
• Extensive cell libraries
Standard Cells
o
Long Experience
SC3000
2 micron; double layer metal; extensive
library,
compiled
RAM,
PLA,
and
crosspoint switch; analog blocks; 74HC
and CD4000 series macros
1.5 micron scale of SC3000
• HSC4000
1.5 micron ADVANCELL" family; joint
venture of Harris, Toshiba, and Siemens;
400 cells; compilable RAM, PLA, Dual Port
RAM, and FIFOs; analog functions; 35
MHz VCO; RTX and 20C51 core micros
scale
micron
ADVANCELL"';
HSC4000 (Introduction 2QCY90)
of
Gate Arrays
• CGA200
1.5 micron; high density gate structure;
alternate source of VLSI Technology
Inc.'s VGT200; 280 cells; 13 arrays up to
30K usable gates.
Harris FASTRACK Integrated Design Tool
N
FASTRACKN provides a comprehensive design environment
for completing high performance digital and full custom analog
designs. Designed with an open architecture, FASTRACK~
integrates proprietary Harris tools with state-of-the-art third
party tools to provide the user with the utmost in capability.
Harris chose the flexible Cadence Design FRAMEWORK as
the underlying structure of the FASTRACK tool set. The use of
this system enables broad technology support and portability
to various hardware platforms. FASTRACK~ is currently
supported on the SUN3/4, and Sparcstation systems. An
Apollo release is also available. Netlists can also be imported
from a wide range of industry-standard tools, including: Daisy,
Mentor Graphics Valid, and OrCad design systems.
N
N
N
,
• Fully supported design automation software
• Quality and reliability
• Fast turnaround
• HSC3500
• HSC4500
• High-reliability circuits
Service and Support
Harris provides a Training Course in automated ASIC circuit
design as well as technical documentation covering both hardware and software.
Quality and Reliability
In addition to total commitment to the very highest levels of
quality and reliability in the development and manufacture of its
products, Harris Semiconductor provides a comprehensive
design audit system to assure the customer that the design will
work the first time. Moreover, by supplying the design verification samples and the subsequent production quantities from
the same factory and fabrication equipment, the customer is
assured of a reliable and quality product that is replicated
throughout its production run.
CMOS Application-Specific Integrated Circuit Design
ASICS fall into two product groups:
• Gate Arrays
• Standard Cells
Gate arrays are the simplest semlcustom IC. For a logic circuit
design based on a gate array, the starting point is the user's list
of simulated network connections (netlist) and a standardized
CMOS base array provided by Harris Semiconductor containing NMOS and PMOS transistors. By the addition of a double
layer of metallization, the base array is converted into a speCific
Design Framework- is a trademark of Cadence. FASTRACK" is a trademark of Harris Corporation.
MIMIC" is a trademark of Harris Corporation.
AOVANCELLC!> is a registered trademark of Harris Corporation, USA or licensed from Siemans or Toshiba in certain countries.
ASIC Standard Cell and Gate Arrays
LSI logic circuit. The metallization layers are custom designed
for a specific application by the customer using Harris software at a computer terminal.
SOFTWARE - Harris offers the FASTRACK- ASIC Design
System on SUN and Apollo platforms. The MIMIC- Logic
Simulator is available on Apollo, SUN, and VAX-based platforms. In addition, Harris offers standard cell and gate array
libraries on the most popular work stations: Daisy, Mentor-,
Valid, and OrCad. Most library kits include schematic capture,
logic simulation, and nellist extraction. Some kits even have
ERC capabilities.
Standard cells are also building blocks for LSI circuit designs.
Harris provides an extensive library of standard cells and
supercells each designed to provide a specific logic function.
All the cells are characterized and verified. As with the gate
arrays, the customer, with training and software supplied by
Harris Semiconductor, combines these cells into the configuration that best serves the application.
COMPUTER ACCESS SERVICE - Minimal charges for use of
local terminal and CPU time.
NRE - A one-time all-Inclusive nonrecurring engineering development charge. There are no hidden costs, no addon extras
for design consultation and the like. One half of the charge Is
payable upon receipt of order. The balance is payable upon
delivery by Harris Semiconductor of functional design-verification samples (DVs). Production quantity commitments are not
required for this design development service.
After the user-specified circuit design is completed, Harris
provides design verification samples for pre-production review. At the customer's option, and after design verification
samples are approved, production can be started.
Gate Arrays
A gate array is a CMOS LSI chip consisting of p devices, n
devices, and tunnels in a repetitive, ordered structure on either
a silicon or a sapphire substrate. All device nodes (gates,
drains, and sources) are accessible. Gate arrays are available
for both double-level and single-level metallization.
PRODUCTION - Harris Semiconductor can deliver production quantities 10 to 12 weeks after approval of the design
verification sample. Production is from the same factory that
produced the original samples for design verification.
In double-level-metal gate arrays, a sequence of four
"personalization" masks (contact, first metal, via and second
metal) defines the Interconnect pattern that implements the
required logic function. In single-level-metal gate arrays, a
single personalization metal mask defines the logic function.
The ABCs of Harris Semlcustom LSI Design
One of the reasons Harris Semiconductor is successful In the
semicustom marketplace Is the flexibility it provides for the
customer-interface procedure. Because of this flexibility,
Harris Semiconductor and the customer can determine the
procedure that Is in the customer's best Interest for an L.SI circuit design. The customer's entry level into the deSign program
and the degree of Harris Semiconductor participation are both
selected by the customer. The customer, consequently, has
maximum control of both the design and the costs.
Gate arrays are a proven high-reliability, low-cost solution to
ASIC circuit deSign. Because gate arrays use standard chips
customized by one or four personalization masks, design turnaround time is short and the correction of potential system-integration errors or design change is easily accomplished. Gate
arrays rival the speed of bipolar devices and offer the additional inherent CMOS advantages.
The three-step design process is charted below.
Services Available
Chart of the DeSign Process Showing
User-Selectable Alternatives
HarriS Semiconductor offers the customer of the CMOS ASIC
design program five separate and distinct services for
optimizing semicustom circuit requirements. These services
are:
TRAINING - Utilizing the best circuit-design software tools
available in the industry, Harris Semiconductor has an extensive network of Design Centers and Design Representatives.
Contact the nearest Harris Semiconductor Sales Office for a
list of Harris Semiconductor ASIC Design Centers and Training
Facilities.
2
Entry
Level
STEP A
Logic
DeSign
& Simulation
STEPB
Automatic
Placement, Routing
& Connectivity Check
STEPC
Mask Tooling
& Prototype
Production
1
Harris
Harris
Harris
2
User
Harris
Harris
3
User
User
Harris
ASIC Standard Cells and Gate Arrays
Gate Array
Gate Array Die Photo
Gate Arrays
Libary
CGA200
Technology
1.5 micron;
double layer
metal
Workstation Library Availability
Typical
Gate
Delay
@5V
(ns)
0.75
Operating
Voltage
Range
(V)
4.5-5.5
No. of
Usable
Gates
960
2,018
3,285
5,302
7,260
8,640
10,140
12,790
16,934
22,118
32,854
No. of
I/O
Pads
48
68
88
112
128
140
152
172
196
224
272
Family
Mentor
Daisy
Valid
HSC4000
Des
Des
Des
Sim
-
-
HSC3500
Des
Des
Des
Sim
Sim
Sch
SC3000
Des
Des
Des
Sim
Sim
Sch
CGA200
Sch
Des
Sch
-
-
-
Des (Designer's Kit)
Sim (Simulation Kit)
Sch (Schematic Kit)
PNET
3
OrCad P-CAD F'NET
=Schematic Capture, Simulation and ERCs
= Schematic Capture and Simulation
= Schematic Capture
= FutureNet
ASIC Standard Cells and Gate Arrays
Standard Cell
Standard Cell Ole Photo
HSC4000 - Standard Cells
SC3000/HSC3500 - Standard Cells
Characteristic
SC3000/HSC3500 - DLM
CHARACTERISTIC
ADVANCELL" - 1.5 DLM
Gate Complexity
12000 + Subchips
Gate Complexity
30K + Subchips
In/Output Profile
Low,High
In/Output Profile
Medium, High
Delay Time (ns)
Internal Gate
2-lnput NAND (F = 2)
Output Buffer
CL= 15pF
Input Buffer
Power Dissipation at 1 MHz
Operating Temperature
Commercial
Industrial
Military (Ceramic Package)
Supply Voltage
Absolute Maximum
Operating
Delay Time (ns)
Internal Gate
2-lnput NAND (F = 2)
1/0.8
Output Buffer
CL= 15pF
1.5/1.2
Input Buffer
1/0.8
17"W/Gate 1mW/Output Buffer
CL=50pF
Power Dissipation at1 MHz
Operating Temperature
Commercial
Industrial
Military (Ceramic Package)
00Cto+700 C
-400C to +85 0C
-550 C to +1250 C
Supply Voltage
Absolute Maximum
Operating
-0.3V to +6.5V
+2Vto+6V
0.8
1.5
1
17"W/Gate 1mW/Output Buffer
CL=50pF
00Cto+70 0C
-400 C to +850 C
-550 C to +1250 C
-0.3V to +6.5V
+2Vto+6V
I/O Interface
TTL CMOS Compatibility
I/O Interface
TTL CMOS Compatibi.lity
Technology
2" Drawn 1.5" Effective Si-Gate/
1.5" Drawn 1.1" Effective SI-Gate
CMOS Double Level Metal
N-Well
Technology
1.5" Drawn 1.1" Effective Si-Gate
CMOS Double Level Metal
N-Well
Compilable Macros
Bit-Slice Macros
Compilable Macros
RAM, ROM, PLA
Bit-Slice Macros
16 Cells Equivalent to
A2900Family
Analog Cells
10 Basic Cells
Soft Macros
63 CD4000 Macros
151 TTL Macros
UART/Adder/Multiplier
Core Microprocessor
4
RAM, PLA, FIFO, Dual Port
16 Cells Equivalent to
A2900Family
80C51 Equivalent,
RTX 2000, 6805
Analog Cells
10 Basic Cells
Soft Macros
108 Macros = 74 LS Family
20 Macros = UART, Timer,
Interrupt Controller, DMA,
CRT Controller, Adder, ALU, etc.
ASIC FASTRACK Design System
lM
CMOS Digital Design
Features
Description
• Supports Harris 1.5-Micron Double-Level-Metal Standard
Cell Libraries (HSC4000 and SC3000)
HARRIS FASTRACK~ provides schematic capture, design
verification and place and route capability for Harris cell
families. The package has been extensively used at Harris to
develop high-performance standard products and customerspecific designs.
• Schematic Capture and Simulation for SSI, MSI Functions
• RAM, PLA and FIFO Compilers
•
MIMIC~ Logic and Fault Simulation Capabilities Include
Best Case, Worst Case, Typical
The design package supports the entire design process from
design capture through physical layout. The customer can
enter the design process at three levels, diagrammed below:
• Back Annotation of Fanout and Routed Delays Provided
• Supports Logic Area and Timing Optimization
1) High-Level Specification
• Support Logic Synthesis from HDL Input
2) Schematic capture and design verification
• Supported on Sun and Apollo Platforms
3) Fully verified layout database
ASIC Design Flow
• CUSTOMER DESIGN
SPECIFICATION
,..
ENTRY LEVEL 1
t
• VERIFIED DESIGN
DATABASE
• TEST VECTORS
ENTRY LEVEL 2 - -
-t
• VERIFIED LAYOUT
DATABASE
• TEST VECTORS
ENTRY LEVEL 3 - -
,..
t
• FABRICATION
• TEST
HARRIS FASTRACK" is a Trademark of Harris Corporation
MIMIC'" is a Trademark of Harris Corporation
5
ASIC FASTRACK'" Design System
CMOS Digital Design
(Continued)
Typical Design Flow
CELL
LIBRARY
FAULT
SIMULATION
CUSTOMER
HARRIS
TEST PROGRAM
GENERATION
I I
6
DENOTES HARRIS
AND CUSTOMER
ACTION
ASIC FASTRACK™ Design System
CMOS Digital Design
(Continued)
Features
Design Rule Checks (ORCs)
The features of the design package are described below.
The descriptions are in sequence with the design flow on the
opposite page.
DRCs are run as a final check before the masks are made and
the circuit is committed to manufacture. This function checks
that all layout geometries conform to the manufacturing
requirements of the target process and fabrication line.
Schematic Capture
The schematic capture system is hierarchical and flexible in
the choice of design style. Electrical Rules Checks (ERCs)
are an integral part of the schematic capture system, and are
available at any point during a design by a simple menu pick.
Facilities for adding custom cells and macroblocks to a design
are also provided.
Compiled Functions
The compiler menu is selected from the schematic capture
menu. From the compiler menu, the designer may select either
the RAM, PLA, or FIFO compiler and answer several questions
about the desired configuration. Several performance and
aspect ratio options are presented to the user for evaluation.
After an option is selected, a symbol and simUlation model are
automatically created and placed in the design hierarchy for
later use. At the layout phase, the layout for the defined
function is generated and routed as a block in the design.
Simulation and Fault Simulation
The system simulator, provides functional, gate level timing and
fault simulation capabilities. Gate-level simulation capabilities
include best case, worse case, typical timing data as well as
back-annotation of fanout and routing delays. Fault simUlation
capabilities include statistical or deterministic fault simulation
using "stuck-at-one" or "stuck-at-zero" fault model.
The Harris HSC Library Description
The Harris HSC libraries are a common set of cells on multiple
process technologies. The libraries are forward compatible
with advances in processing capability.
Logic Optimization and Synthesis
The Synopsys Design Compiler- and HDL Compiler- are part
of the Harris FASTRACK- ASIC Design System. The Design
Compiler enables ASIC designers to optimize logic for either
maximum speed, minimum area, or any combination of these
requirements. The HDL Compiler is a VHDL logic synthesis
tool that automatically generates functionally correct gatelevel logic directly from a high-level VHSIC Hardware
Description Language (VHDL) input. Schematics, complete
with back-annotated timing information, are automatically
created within the FASTRACK- environment.
The libraries support commerCial, industrial and military
applications.
The two HSC libraries are the HSC4000 Advancell" (1.5
micron DLM) and SC3000 (2.0 micron DLM). The Advancell'"
library is alternate sourced by Toshiba and Siemens. See
Individual library data sheets for details.
Each library Is complemented by versatile options: complied
cells and macrocells.
Place and Route
The base library option consists of logic primitives and popular
74XX series cells.
The place and route package allows the user to continue the
design process through the layout generation stage. Place and
route is a menu driven operation used to simplify the task. The
interface allows the user to complete a hands off layout of
simple "rows only" designs or to interact with the software for
more complex designs. Mixed standard celVmacrocell layouts
may also be done in a fully automatic mode. After satisfactory
completion of placement and routing, manufacturing test
structures to monitor process parameters are added to the
design.
The compiled cell options Include RAM up to 1 6K bits.
Macrocell Families
• 29XX Series ALU Functions
• RTX Core Microcontroller
• Microprocessor Peripheral Functions
• Communication Functions
Layout Versus Schematic (LVS)
• Multiplier Functions
The LVS utility compares the final layout to the captured
schematic to ensure integrity of the design. This is performed
by extracting a transistor level netHst from the layout and
comparing it to the extracted schematic data base.
• 20C51 CPU Microcontroller
• 6805 Core Microcontroller
AdvanceU e Is a Registered Trademark of Harris Corporation, USA or licensed from Siemens or Toshiba in certain countries.
Design Complier- and HOL Compiler· are Trademarks of Synopsys, Inc.
7
ASIC FASTRACK Design System
lM
Bipolar Analog Design
Features
Description
• Bipolar Analog Design Toolset on HARRIS FASTRACK
The Bipolar Analog Design toolset provides comprehensive
software for the full custom design of analog circuits using
bipolar technology. The toolset contains all tools necessary to
perform both the electrical and physical design of an analog IC
using Harris wafer fabrication processing. The toolset also
contains a complete statistical description of the process
being used, allowing a comprehensive statistical analysis of
circuit performance using Monte Carlo procedures.
• Transistor-level Analog IC Design Capability
• Menu-Driven Interface
• Hierarchical Schematic Capture
• Coupled Electrical and Physical Design Features
• Electrical Design Rules Checking and layout vs.
Schematic Checking
The geometry of transistors and other circuit elements is determined by the user, according to the individual application,
using bUilt-in software.
• User Variable Diffused and Thin Film Resistors
• Automatic Device Model Parameter Determination
The design toolset includes software tools for design capture,
device design, electrical simulation, and data analysis. The
physical design system provides tools for layout graphics
editing, layout to schematic checking, layout groundrule
checking, and parasitic extraction.
• Self-Contained Statistical Process/Device Data Bases
• A Variety of High Performance Bipolar Analog Processes
• User Variable Transistor Geometries
• Powerful Electrical Statistical Simulation Capability
• layout Modifications and Parasitics Automatically Back
Annotated to Schematics
• Supported on Sun and Apollo Platforms
High Frequency Process
Features
General Purpose Process
Features
• Dielectrically Isolated
• Dielectrically Isolated
• Complementary Vertical Bipolar Transistors
• Complementary Vertical Bipolar Transistors
• P Channel JFET
• Double level Metal Interconnect
• Various Diffused Resistors
• P Channel JFET
• laser Trimmable NiCr Resistors
• Various Diffused Resistors
• High Quality Capacitor
• laser Trimmable NiCr Resistors
• High Quality Capacitor
NPN
PNP
NPN
PNP
BVCEO
40V
40V
BVCEO
20V
20V
HFE
250
125
HFE
150
125
750MHz
400MHz
1.2GHz
1.0GHz
FT
FT
HARRIS FASTRACK- is a Trademark of Harris Corporation
8
ASIC FASTRACK'" Design System
Bipolar Analog Design
(Continued)
Bipolar Analog Processes
General Discussion
Bipolar analog processes at Harris are implemented using
Dielectric Isolation (01) techniques, and are intended for
high performance applications, particularly those requiring
precision and high speed.
01 provides true electric isolation between electrical components, particularly between the transistors. This eliminates the
parasitic lateral and substrate devices and SCR's found in
Junction Isolated (JI) structures, as well as the latch-up and
other forms of electrical instability associated with these
parasitic devices. This makes it possible to model the actual
structure of the active devices more accurately than when
parasitic devices are present, and eliminates the parasitic
devices as a cause of circuit malfunction. 01 also eliminates the
leakage and minimizes the capacitance effects associated with
the substrate. This facilitates the implementation· of high speed
and precision circuits, and allows the designer to consider the
transistors to be discrete components as far as isolation is
concerned.
Design Rule Checker (DRC) - The function of this software
is to check the layout for conformance to the various lateral
spacing and overlap requirements of the particular process
being used. This check can be incremental, in which the check
is performed on those portions of the layout that have been
constructed or changed since the last check, or it can be done
on the entire layout.
Layout Versus Schematics Checker (LVS) - This tool
compares the layout to the captured schematic for accuracy.
Among those items checked are device type and geometry,
resistor type, geometry and value, capacitance value, and
overall interconnection topology.
Parasitic Extraction - This software examines the completed
layout and determines the magnitude and location of electrical
parasitics, including the capacitance associated with metal
interconnect These parasitic elements are filtered according to
user-controlled limits and a new electrical circuit representation,
which includes the retained elements, is prepared for simulation.
ASIC Design Flow
Electrical Design Tools
Design Capture - Using this tool, the designer constructs
a schematic diagram on an engineering workstation which
includes descriptive information for all active and passive
components, and shows their interconnection.
Device Design - This software allows the user to quickly
determine an optimum device geometry for each transistor,
resistor, and capacitor in the circuit The procedure makes use
of a family of variable geometry generic devices, and a highly
developed device optimization software package.
ELECTRICAL
DESIGN
Electrical Simulation - Using SLICE, a proprietary SPICE
based circuit simulator developed by Harris Semiconductor
as the core analysis tool, the system provides DC, AC, and
transient simulation capability, in both deterministic and
statistical modes. In the statistical mode, circuit performance is
evaluated using Monte Carlo techniques, and output data is
statistical in nature.
PHYSICAL
DESIGN
Data Analysis - This tool is used by the designer to evaluate
the data generated by a statistical simulation. Capabilities
Include performance parameter statistics by histogram, yield to
performance limits, correlation of performance parameters to
process/device parameters, sensitivity of yield to performance
parameters, overall yield estimation, and test development.
Physical Design Tools
Device Library Generation - This software examines the final
circuit schematic and generates a library of the components
required to layout the circuit. Also included in this library are all
additional structures that must be included in the circuit for
manufacturability, such as alignment marks, etc.
Layout Graphics Editor - Using this software, the designer
physically arranges the components relative to each other
subject to thermal, matching, and topological constraints and
constructs the interconnections between them.
9
IDI
DENOTES
HARRIS AND
CUSTOMER
ACTION
ASIC FASTRACK™ Design System
Bipolar Analog Design
(Continued)
Design Flow
ENTER
1
DESIGN
CAPTURE
-.
ELECTRICAL
SIMULATION
1 r
~
DATA ANALYSIS
& YIElD EST.
r-
r
DEVICE
DESIGN
ElECTRICAL DESIGN
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
PARASITIC
EXTRACTION
-
PHYSICAL DESIGN
l__
LAYOUT
GRAPHICS
EDITOR
LAYOUT vs.
SCHEMATIC
CHECKING
r-----.
~
DEVICE
LIBRARY
GENERATION
LAYOUT
GROUNDRULE
CHECKING
---.
WAFER FAB
& TESTING
10
.-
ASIC Engineering Workstations
DaisyTM Designers' Toolkit
HSC4000, SC3000, and CGA200
Features
Description
• Compatible with Standard Daisy Platforms - Supports
Standard Daisy Tools
The Harris Daisy Designers' Toolkit supports the Harris
HSC4000, SC3000, and CGA200 cell-based and gate array
families on standard Daisy logic design tools. Symbols for
ACE'· and OED liN schematic capture packages and SPARC N
models for the DlS simulator are provided for minimum,
typical and maximum delay conditions. Additionally, a Harris
electrical rules checker and other design management
functions are provided to improve design productivity.
o
Supports Harris Cell-Based and Gate Array Families
• Schematic Capture, Simulation and Netlisting Using SSI,
MSI Functions
o
Simulation Capabilities Include Min!Typ/Max Delays for All
Functions
o
Supports DED II and ACE Schematic Capture - DeMorgan
Symbols For Many Functions
o
Additional Harris Tools Enchance Daisy Productivity
~ ERC
~ TCAl
~ MODGEN
N
Daisy Design Flow
r---------~
DED II OR ACE
SCHEMATIC
CAPTURE
~--~~
,--1___
M_O_D_G_E_N
__
..--J
,
~
HSC,SC,CGA
LIBRARY
... - - - - -
I
I
I
ROUTING
DELAYS
FROM
I LAYOUT
TO HARRIS VERIFICATION
AND LAYOUT TOOLS
Additional information is available in the Daisy Users Guide available from Harris.
Daisy", ACE", DED II", SPARC" and DLS" are Trademarks of Daisy Systems Corporation.
11
ASIC Engineering Workstations
Daisy Designers' Toolkit
(Continued)
SC3000-Serles Standard Cells
Tool Overview
1. Standard Cell library
Features
2. OED II and ACE - Schematic Drawing Editors
• low-power silicon-gate CMOS technology
3. DANCE - Schematic Compiler
• Supply voltage - 5 volts
4. DRINK - Hierarchy Linking
• Implement user-defined logic
5. SIFT - Attaches Delay Information to Drawings
• Supported by MIMIC simulation system
6. SOM - Defines Simulation Input Stimulus
• Wide range of packaging capabilities
7. TCAl - Adjusts Delays Based on Fanout and Parallel
Drivers
• Commercial and military temperature range
8. DlS - Daisy logic Simulator
• Double-level metal
• LSTTL and CMOS compatibility
9. Nellist - Generates MIMIC Input
N
10. Chip Statistics - Calculates Gate Count and Cell Usage
CGA200-Serles Gate Arrays
11. ERC - Electrical Rule Checking
Features
The Harris HSC Library Description
• -Continuous Gate architecture offers maximum layout efficiency with 30% gate utilization for random logic nellists
The Harris HSC libraries are a common set of digital cells on
multiple process technologies. The libraries are forward
compatible with advances in processing capability.
• Available In 13 sizes from 960 to 32,000 usable gates
(3,200 to 110,000 available gates)
Each library has a base library complemented by models of
RAM, Dual-Port RAM, PLA, and FIFO compiled cells, and
macrocells.
• Proven 1.5-micron (drawn) silicon-gate double-level-metal
CMOS technology
• High performance with balanced drive - 0.56 ns typical for
a 2-input NAND gate with a fanout of 2 (0.21pF load)
The base library option consists of logic primitives and popular
74XX series cells.
• TTL, CMOS, and Schmitt Trigger I/O compatibility
Macrocell Families
• Programmable output drive from 2 to 16 mA
Contact Harris for availability.
• Separate I/O and core power bus capability for noise
reduction
• Microprocessor Peripheral Functions
• Flip-flop toggle frequency 250 MHz
• Extensive portable Macro IIbary
• Communication Functions
• High reliability - with 2000 V ESD and 400 mA at 1250 C
• Multiplier Functions
ADVANCELl" HSC4000-Serles Standard Cells
Features
• low-power silicon-gate CMOS technology
• 1.0 ns speed (2-input NAND gate, fanout = 2, AI = 2 mm)
• Maximum toggle frequency - 1 50 MHz
• More than 250 cell types (primitives, I/Os, 74HC seriescompatible macrofunctions)
• CPU peripherals
• 2900-Serles macros
• Supported by MIMIC simUlation system
N
• Wide range of packaging capabilities
• Commercial and military temperature range
• Alternately sourced by Siemens and Toshiba
• Commercial, extra value, or high-reliability screening
available
MIMIC· Is a trademark of Harris Corporation.
ADVANCELL· is a registered trademark of Harris Corporation, USA, or licensed from Siemens or Toshiba in certain countries.
12
ASIC Engineering Workstations
Mentor'" Designers' Toolkit
HSC4000,.SC3000, and CGA200
Features
Toolkit Description
• Supports Harris Cell-Based and Gate Array Families
Harris provides a high-performance, enhanced-functionality
toolkit for Mentor Graphics supporting Harris HSC4000,
SC3000, and CGA200 cell-based and gate array families. The
design flow for this toolkit is shown below.
• Schematic Capture, Simulation and Netlisting for SSI, MSI
Functions
• Ability to Integrate with Mentor Graphics Board-Level
Simulations
The toolkit for Mentor Graphics will run on any Mentor IDEASeries workstation, and is based on Mentor Graphics
schematic capture and simulation tools. It interfaces to Harris
tools for layout and layout verification.
• Simulation Capabilities Include Scaled Delays for
Voltage, Temperature, and Process Variations for all
Functions
Behavioral models provide increased simulation and compilation speed while reducing disk usage for large designs.
• Interfaces fully with Harris' MIMIC- Logic Simulator
• Back Annotation of Fanout Delays
Applications support is provided as a service by Harris.
• Compilable RAM, Dual-Port RAM, PLA, Crosspoint Matrix,
and FIFO
• Additional Harris Design Management Tools Enhance
Mentor Graphics Productivity:
~
Comprehensive Electrical Rule Checking (ERCs)
~
Tester Interface
~
Design Transfer
~
Gate Count/Die Size Estimates
Mentor Design Flow
[=:!M~o~D~G~E~N:::JI---------i~~1 ~~~~~, 1-1-------+1
CROSSPOINT
......,.;--r"-....
RU~ .-----{::~~:::rr---{2~~~~
VALIDATION
REPORT
Additional information Is available in the Mentor Graphics Users Guide available from Harris.
Mentor Graphics· is a Registered Trademark of Mentor Graphics. Inc.
QuickSim", NETED" and IDEA" are Trademarks of Mentor Graphics, Inc.
MIMIC· is a Trademark of Harris Corporation.
13
ASIC Engineering Workstations
Mentor Designers' Toolkit
(Continued)
ADVANCELL ., HSC4000-Series Standard Cells
Tool Overview
Designs are captured and simulated using standard Mentor
Graphics-provided tools including NETED, SYMED Expand
and QuickSim In addition, several functions are provided by
Harris to speed the Mentor Graphics design and verification
process.
Features
In addition to supporting QUickSim, Harris' MIMIC Logic
Simulator is fully supported within the Mentor environment.
• More than 250 cell types (primitives, I/Os, 74HC seriescompatible macrofunctions)
N
N
,
• Low-power silicon-gate CMOS technology
•
N
1. ERC (Electrical Rules Checking)
The ERC program performs comprehensive Design Rule
checks on the design. These checks are the first step in
ensuring that the designer has not done anything incorrect
in the design process, such as connecting two cell outputs
together, unconnected inputs, outputs tied to power, etc.
When a condition is found that violates the design rules, a
warning or error is issued to inform the designer of a
potential problem.
2. LOADS
Because the performance of CMOS cells varies with fanout,
the circuit connections are analyzed by the LOADS
program and the simulation models are modified to reflect
this fanout dependent variation. This increases the
accuracy of the simulation that the designer would be able
to do if he had only the Semicustom Cell Library described
above. Warnings are issued when heavily loaded nodes are
found and a capacitive loading summary table is provided
for the user.
In addition, scaling for voltage, temperature, and process
variation is allowed. The effect of predicted or actual routing
capacitance fed back by the layout can also be included.
3. NETLISTER2
The NETLISTER2 translates the Mentor Graphics database
into a format usable by Harris tools.
By bypassing EXPAND, it is 10 to 100 times faster than
competitiors' netiisters.
4. TWINS
The TWINS program translates the results of the Mentor
Graphics simulation into an intermediate format compatible
with the Harris design verification and test generation flows.
It is also a check for tester compliance.
5. MODGEN
The MODGEN program creates compiled RAM, Dual-Port
RAM, PLA, FIFO or crosspoint switch that is fully
compatible with SYMED, NETED, and Harris' MiMIC
Simulator.
6. INSTALL
The INSTALL utility automatically installs the toolkit
software and library databases on the workstation with a
minimum amount of user involvement.
• 1.0 ns speed (2-input NAND gate, fanout = 2, AI = 2 mm)
• Maximum toggle frequency - 150 MHz
• CPU peripherals
• 2900-Series macros
• Supported by MIMIC simulation system
N
• Wide range of packaging capabilities
• Commercial and military temperature range
• Alternately sourced by Siemens and Toshiba
• Commercial, extra value, or high-reliability screening
available
SC3000-Series Standard Cells
Features
• Low-power silicon-gate CMOS technology
• Supply voltage - 5 volts
• Implement user-defined logic
• Supported by MIMIC simulation system
N
• Wide range of packaging capabilities
• Commercial and military temperature range
• Double-level metal
• LSTTL and CMOS compatibility
CGA200-Serles Gate Arrays
Features
• Continuous Gate architecture offers maximum layout efficiency with 30% gate utilization for random logic netlists
• Available in 13 sizes from 960 to 32,000 usable gates
(3,200 to 110,000 available gates)
• Proven 1.5-micron (drawn) silicon-gate double-level-metal
CMOS technology
• High performance with balanced drive - 0.56 ns typical for
a 2-input NAND gate with a fanout of 2 (0.21 pF load)
• TTL, CMOS, and Schmitt Trigger I/O compatibility
• Flip-flop toggle frequency 250 MHz
• Programmable output drive from 2 to 16 mA
• Separate I/O and core power bus capability for noise
reduction
• Extensive portable Macro libary
• High reliability - with 2000 V ESD and 400 mA at 1250 C
SYMEO", and QuickSim" are Trademarks of Mentor Graphics, Inc.
ADVANCELL· is a registered trademark of Harris Corporation, USA, or licensed from Siemens or Toshiba in certain countries.
14
ASIC Engineering Workstations
Valid"" Designers' Toolkit
HSC4000, SC3000, and CGA200
Features
Toolkit Description
• Supports Harris Cell-Based and Gate Array Families
Harris provides a high-performance, enhanced-functionality
toolkit for Valid Logic supporting Harris HSC4000, SC3000
and CGA 200 cell-based and gate array families. The design
flow for this toolkit is shown below.
• Schematic Capture, Simulation and Netlisting for SSI, MSI
Functions
• Simulation Capabilities Include Scaled Delays for
Voltage, Temperature, and Process Variations for all
Functions
• Support for Harris
MIMIC~
Logic Simulator
• Back Annotation of Fanout Delays
• Compilable RAM, Dual-Port RAM, PLA, Crosspoint Matrix,
and FIFO
• Additional Harris Design Management Tools Enhance
Valid~ Productivity:
~
Comprehensive Electrical Rule Checking (ERCs)
~
Tester Interface Package
~
Design Transfer
~
Gate CounVDie Size Estimates
Valid Design Flow
ERC
RU~ .---------{::~~:::rr-----~~~~~
VALIDATION
REPORT
TO HARRIS VERIFICATION
AND LAYOUT TOOLS
AddRional Information is available in the Valid Users Guido available from Harris.
Valid-, ValidSim- and GEO- are Trademarks of Valid Logic Systems.
MIMIC- is a Trademark of Harris Corporation.
15
The Valid Designers' Toolkit runs on any Sun or SCALD
system and is based on Valid LogiC schematic capture and
simulation tools. It interfaces to Harris tools for layout and
layout verification.
Applications support is provided as a service by Harris.
ASIC Engineering Workstations
Valid Designers' Toolkit
(Continued)
Tool Overview
ADVANCELL" HSC4000-Series Standard Cells
Designs are captured and simulated using standard Valid
Logic-provided tools including GEDN. In addition, several
functions are provided by Harris to speed the Valid Logic
design and verification process.
Features
In addition to supporting GEDN, Harris' MIMIC- Logic
Simulator is fully supported within the Valid environment.
• Maximum toggle frequency - 150 MHz
1. ERC (Electrical Rules Checking)
The ERC program performs comprehensive Design Rule
checks on the design. These checks are the first step in
ensuring that the designer has not done anything incorrect
in the design process, such as connecting two cell outputs
together, unconnected inputs, outputs tied to power, etc.
When a condition is found that violates the design rules, a
warning or error is issued to inform the designer of a
potential problem.
2. LOADS
Because the performance of CMOS cells varies with fanout,
the circuit connections are analyzed by the LOADS
program and the simulation models are modified to reflect
this fanout dependent variation. This increases the
accuracy of the simulation that the designer would be able
to do if he had only the Semicustom Cell Library described
above. Warnings are issued when heavily loaded nodes are
found and a capacitive loading summary table is provided
for the user.
In addition, scaling for voltage, temperature, and process
variation is allowed. The effect of predicted or actual routing
capacitance fed back by the layout can also be included.
3. GET_NETLIST
Harris' patented hierarchical netlist extraction tool
translates the Valid database into a format (HDL) usable by
Harris tools.
4. TWINS
The TWINS program translates the results of the Valid
simulation into an intermediate format compatible with the
Harris design verification and test generation flows. It is also
a check for tester compliance.
5. MODGEN
The MODGEN program creates compiled RAM, Dual-Port
RAM, PLA, FIFO or crosspoint switch that is fully
compatible with GED- and Harris' MIMIC- Simulator.
6. INSTALL
The INSTALL utility automatically installs the toolkit
software and library databases on the workstation with a
minimum amount of user involvement.
• Low-power silicon-gate CMOS technology
• 1.0 ns speed (2-input NAND gate, fanout
= 2, AI = 2 mm)
• More than 250 cell types (primitives, I/Os, 74HC seriescompatible macrofunctions)
• CPU peripherals
• 29OO-Series macros
• Supported by MIMIC- simulation system
• Wide range of packaging capabilities
• Commercial and military temperature range
• Alternately sourced by Siemens and Toshiba
• CommerCial, extra value, or high-reliability screening
available
SC3000-Serles Standard Cells
Features
• Low-power silicon-gate CMOS technology
• Supply voltage - 5 volts
• Implement user-defined logic
• Supported by MIMIC· simulation system
• Wide range of packaging capabilities
• Commercial and military temperature range
• Double-level metal
• LSTTL and CMOS compatibility
CGA200-Series Gate Arrays
Features
• Continuous Gate architecture offers maximum layout efficiency with 30% gate utilization for random logic netlists
• Available in 13 sizes from 960 to 32,000 usable gates
(3,200 to 110,000 available gates)
• Proven 1.5-micron (drawn) Silicon-gate double-level-metal
CMOS technology
• High performance with balanced drive - 0.56ns typical for a
2-input NAND gate with a fanout of 2 (0.21 pF load)
• TTL, CMOS, and Schmit! Trigger I/O compatibility
• Flip-flop toggle frequency 250 MHz
• Programmable output drive from 2 to 16 mA
• Separate I/O and core power bus capability for noise
reduction
• Extensive portable Macro libary
• High reliability - with 2000 V ESD and 400 mA at 1250 C
GED" is a Trademark of Valid Logic Systems
ADVANCElL· is a registered trademark of Harris Corporation, USA, or licensed from Siemens or Toshiba in certain countries.
16
ASIC Microcontrollers
Custom/Semicustom
RTX Micros
The UHRTX core macrocell is compatible with the Harris
ADVANCELL" standard cell library. The UHRTX core is a selfcontained Central Processing Unit that forms the heart of the
Harris RTX* family of microprocessor and microcomputer
devices. Based on the RTX2001A* high-performance
microprocessor architecture, the UHRTX core implements the
full RTX Forth instruction set and contains all associated
buses, control logic, and registers. In addition, two 64-word
stacks, stack controller, interrupt controller, and COP watchdog have been added. The resulting UHRTX core macrocell
can be incorporated into customer designs to develop unique
application specific versions of the RTX family.
offer varying amounts of on-chip ROM, RAM, and peripheral
functions. Peripheral features will include:
Utilizing the Harris FASTRACK* ASIC development system,
designers can define varying amounts of ROM, RAM, and
application specific I/O. Digital and analog functions can be
integrated on-chip and simulated using Harris' MIMIC* logic
simulator and the UHRTX gate level model.
• A/D Converters
~ Multiple channel
~ 8/10-bit resolution
Prototyping and software development is accomplished via the
present RTX Development System (RTXDS*), which includes a
PC-based editor, compiler, disassembler, and debugger.
A2900-Series Bit-Slice Macrocells
RTX Core Features
• RTX2001A architecture
• Compatible with ADVANCELL" library - scaleable to 0.8
micron
• 64K byte address space
• Dual 64-word stacks
o Interrupt Controller
• Serial Interfaces
~ Serial Communications Interface (SCI)
~ Serial Peripheral Interface (SPI)
• Parallel Ports
• Timer/Counters
~ Timer capture inputs
~ Timer compare outputs
~ PWM capability
• Hardware multipliers
A family of A2900-series bit-slice microprocessor cells is
available in the Harris ADVANCELL" standard cell library.
Functionally compatible with standard bipolar 2900-series
products, these macrocells form the building blocks with
which users can develop high-performance (50 ns typical
cycle times) bit-slice microprocessors or microcomputers.
A host of standard digital and analog functions available in the
ADVANCELL" library can also be embedded in the device.
Macrocell netlists and gate level simulation models are available for simulation using Harris' MIMIC logic simulator.
Consistent with the Harris ADVANCELL'" library philosophy,
Siemens and Toshiba provide alternate sources for userdeveloped 2900-series micros.
• On-chip oscillator
• Master and Power-on Reset
The following macrocells are available:
• 10MHzl10 MIPs performance at 1.5 microns
A2901
A2902
A2904
A2910
A2913
A2966
A29334
A29516
A29517
A29520
A29521
• User definable RAM/ROM/IO logic
• Digital and analog functions available
RTX Microcomputers
Harris will be introducing in late 1990 a family of standard RTX
microcontrollers based on the UHRTX macrocell approach.
Built around the RTX core, standard MCU family members will
17
4-Bit Slice ALU
Carry Look-Ahead Generator
Status and Shift Control Unit
12-Bit Microsequencer
Priority Interrupt Expander
Noninverting Tristate Memory Driver
4-Port 64 x 18 Static RAM
16 x 16 Multiplier
16 x 16 Multiplier with Single Clock
Dual 2-level Pipeline Register
4-level Pipeline Register
ASIC Microcontrollers
Custom/Semicustom
(Continued)
UH20C51 Macrocell
UH20C51 Core Features
The Harris UH20C51 Macrocell Is a general-purpose 8-blt
microprocessor cell based on the industry-standard 80C51
architecture. Its Instruction set Is object-code compatible
with the 80C51 and machine cycle equivalent. Included In the
cell are the CPU registers, special function registers, buses,
oscillators, interrupt controller, and 128 bytes of RAM.
• ADVANCELL'" library compatible - scaleable to 0.8 micron
Because the cell is compatible with the Harris ADVANCELL'"
standard cell library, users can add varying amounts of ROM,
RAM, and application specific I/O to create unique 20C51
microcontrollers suiting their particular needs. Standard
peripheral functions available In the Harris library are 80C51compatible timers and UARTs, bidirectional I/O ports, and a
full array of digital and analog functions.
An evaluation IC Is available for prototyplng. This device
incorporates the UH20C51 macrocell CPU, full external
address and data bus, two bidirectional I/O ports, and three
timers.
• 80C51 Instruction Set
• Multiply and divide instruction
• Bit control operations
• Intel 80C51 object-code compatible - machine cycle
equivalent
• Idle and power down modes
• On-chip oscillator - 3.5MHz to 12MHz operation
• Addressable Memory
• 64K data memory
• 64K program memory
• Two 8-bit I/O ports - bit programmable
• 6 vectored interrupts
• Evaluation IC available
• 82XX peripheral functions
• Analog blocks
UH20C51 MACROCELl.
INTERRUPTS
c:~:::::::f.L.I'--..I..-i
TEST
o----!--..
RESET
0---+-"
sm
SPACE
ROM
110
--------------'
UH20C51 SYSTEM BLOCK DIAGRAM
18
ASIC Microcontrollers
Custom/Semicustom
(Continued)
Custom 6805 Micros
The 6805 core micro offered by Harris is compatible with
Harris ADVANCELL ® and HSC3500 standard cell libraries.
Based on the 8-bit industry-standard 68HC05 microcomputer
architecture, the 6805 CPU is a self-contained processing
unit, to which application specific I/O and memory can be
added. Utilizing Harris development tools, users can define
unique versions of the 6805 microcomputer family, which can
be implemented as custom designs to suit their particular
needs.
16 Kbytes and software integrity safety traps have been
added. 192 bytes of RAM, on-chip oscillator, and two bit-programmable I/O ports are also included, resulting in the
minimum processor configuration shown below.
With Harris FASTRACK~ design tools, users can develop,
simulate, and debug 6805 peripheral circuitry. Designers can
choose from a wide range of digital and analog functions
available in Harris standard cell libraries. Industry-standard
I/O functions and compilable blocks such as ROM and RAM
modules can be included in the peripheral design. The resulting nellist provides the basis for a customer specific 68HC05
microcomputer.
• Power saving Stop and Wait modes
Custom 6805 Features
• 8-bit industry-standard architecture
• Fully static operation
• 16-Kbyte address range
• Master Reset (bidirectional) and Power-on Reset
• Computer-Operating-Properly watchdog timer
• //legal opcode trap
• On-chip oscillator - crystal/resonator or RC
A 6805 behavioral model, compatible with the Harris MIMIC
logic simulator, allows designers to debug device hardware
and software through rapid simulation.
• Prototype mode
• Temperature range: -400 C to +125 0 C
• Voitage range: 2.SV to 6V
Prototyping and in-circuit emulation can also be accomplished
using the EZ-Prop® development station provided by American Automation. In addition, programmers can write source
code in the high-level language "c" and compile into
ROMabie object code via the C6S05 C-compiler available
from Bytecraft, Ltd. Both of these development tools are
compatible with IBM Corp. PC-DOS® and Microsoft, Inc. MSDOS®.
• True bit manipulation
• Indexed addressing
• 8 x 8 unsigned multiply instruction
• SCI, SPI, and timer peripheral functions
• 82XX peripheral functions
The custom 6805 CPU contains a fully machine-cyclecompatible 68HCOS instruction set, including Stop/Wait
and Multiply instructions. Address range has been extended to
192 xB
STATIC
RAM
~
• Custom analog
ACCUMULATOR
COP
WATCHDOG
A
8
INDEX
REGISTER
CPU
CONTROL
X
8
I:
JON. QjIP
I'
::ERRUPTS'
r--
IRO
i--RESET
OSCILlATOR
FOR
AND
+2
CONDITION
CODE REG.
5
CC
OSCI
OSC2
CPU
STACK
POINTER
6
PAOPAl .......-..
PA2- PORT DATA
PA3-
A
PAS +-+ REG.
PAG +-+
PA7 ...-...
PA4 +-+
DIR
REG.
6
:-
~ PBI
PB2
PBO
PROGRAM
COUNTER HIGH
PCH
AW
PROGRAM
COUNTER LOW
8
PCL
-
DATA
DIR
REG.
PORT
B
REG.
~ PB3
PB4
~ PBS
PB6
~ PB7
1
'INTERNAL SIGNALS·
NOT BONDED OUT AS DEVICE PINS
Minimum ConfigurBtion Custom 6805 Micro. User Defines Additional Amounts of RAM, ROM and liD
19
ASIC Microcontrollers
Standard Products
680S-Series Microcontrollers
interfaces. This versatile common-core design offers five
different COP6805 CMOS Family micros that allow the user to
choose the device best suited for a particular application.
Alternatively, Harris can provide custom versions of the 6805
(see ASIC Microcontrollers - Custom. 6805 Micros).
All members of the Harris COP6805 CMOS Family of MCUs
are designed around a common core which consists of a CPU,
timer, oscillator, control section (for interrupts and reset),
bidirectional 1/0 lines, RAM, and ROM. This common core is
expanded to provide versions of the COP6805 with additional
memory, 1/0 lines, interrupts, timer capability, and serial
EXTERNAL
ADDRESSJOATA
BUS
"'-
"'-
ADDITIONAL
TIMER
CAPABIUTY
"'-
"'-
//
/
I
"'-
"'-
SERIAl.
PERIPHERAL
INTERFACE
/
I
/
I
/
TIMER
ADDrnoNAL RAM
t
RAM
/
/
/
•
CONTROL
I
110 PORTS
//
SERIAl.
COMMUNICATIONS
INTERFACE
OSC
ICPul
/
"'-
I
"'-
I
ADDITIONAL
I/O
PORTS
"'-
"'-
~
ADDrnoNAL
INTERRUPrs
'l
SELF- Q£O(
ROM WITH
I
I
CDP680S CMOS FAMILY CORE ARCHITECTURE BLOCK DIAGRAM
General 6805 Family Features
Hardware
Software
• 8-bit architecture
•
•
•
•
•
•
•
•
• Fully static operation
• Self-check mode
• Master Reset and Power-on Reset
• Single 3-6V power supply
• Power-saving Stop and Wait modes
20
Software compatible with entire 6805 family
61 instructions and 10 addressing modes
Indexed addressing for tables
True bit manipulation
Memory-mapped 1/0
Efficient use of program space
Versatile Interrupt handling
8 x 8 multiply instruction in C4, C8 and 02 versions.
ASIC Microcontrollers
Standard Products
(Continued)
6805-Series Microcontrollers
TCMP
OSCI
INTERNAL
PROCESSOR
CLOCK
36t
TIMER
SYSTEM
TCAP-3 7 -
PORT
A
110
LINES
PORT
B
110
LINES
PAD
PAl
PA2
PA3
PA4
PA5
PA6
PA7
PBO
PBl
PB2
PB3
PB4
PB5
PB6
PB7
-4
11
~ PORT
A
REG.
-f..
6
----
DATA
DIR
REG.
~
t
CPU
CONTROL
8
X
5
CONDITION
CODE
REGISTER CC
DATA
DlR
REG.
r-
18
19
-
6
S
5
PROGRAM
COUNTER
HIGH PCH
8
PROGRAM
COUNTER
LOW
PCL
~
~
PORT ~
DATA
DlR
REG.
-
ALU
C
REG.
PORTO
SCI
SYSTEM
SPI
SYSTEM
BAUD RATE
GENERATOR
J
I
4160· x8
ROM
240 .8
SELF·CHECK
ROM
1 RES ET
2 IRQ
CPU
STACK
POINTER
PORT
B
REG.
INTERNAL
II OSCILLATOR
llPROCESSOR
AND
CLOCK
+2
1l
INDEX
REGISTER
I-
5
4
--------
OSC2
38
ACCUMULATOR
A
8
9
12
13
14
15
16
39t
178X8
STATIC
RAM
~
~
i?
f<¥...
21
PCO
PCl
PC2
PC3
PC4
PC5
PC8
PC7
PORT
C
110
LINES
~ PD7
~ RDI (POD)
~ TDO (POI)
~ MISO (PD2)
~ MOSI (PD3)
SCK (PD4)
i+#+ Ss
(PD5)
~
INT!RNAL
PROCESSOR
CLOCK
"7744 BYTES OF ROM
FOR CDP68HCD5C8
MICROCOMPUTER BLOCK DIAGRAM (68HC05C4 VERSION SHOWN)
Comparison of CMOS CDP6805 Family Microcontrollers
Type
Max. Instructlon
Clock
TIme
Tlmer/
On-Chip On-ChIp Freq. Mln./Max. Counter
Prescalers
RAM
ROM
(MHz)
Bits
(/ls)
Interrupts
lIO
LInes
Max.
Operatlng
SerIal
Temp.
Interface Range (OC)
Package'
CDP6805F2
CDP6805F2Ct
64
1089
4.0
2.0/10.00
8
Program
V
16
-
OtD70
28D,28E,
280
CDP6805G2
CDP6805G2Ct
112
2106
4.0
2.0/10.00
8
Program
V
32
-
01070
40D,
40E
CDP68C05D20
96
2176
4.2
0.95/5.23
16
+4
V
28
SPI
-40 to
+125
40D,40E,
440
CDP68C05C40
176
4160
4.2
0.95/5.23
16
+4
V
24
SPI/SCI
-4010
+125
40D,40E,
440
CDP68C05C80
176
7744
4.2
0.95/5.23
16
+4
V
24
SPI/SCI
-4010
+125
40D,40E,
440
v
= Vectored addre••
t "e" version has -400C to +850C operating temperature range
o
Multiply instruelion In the CDP68HC05C4, 68HC05C8 and 68HC05D2
See packaging section
21
ASIC Microcontrollers
Standard Products
(Continued)
6805-Series Microcontrollers
CMOS High-Performance Silicon-Gate
8-Bit Microcomputer Piggyback Emulators
The CDP68EM05C4 and CDP68EM05D2 are microcomputer
of the
CDP68HC05C4 and
emulator
equivalents
CDP68HC05D2 CMOS microcomputers, respectively. Memory locations occupied by the on-chip ROM of the
microcomputers are accessed as external locations with their
emulator counterparts. The data bus, address bus, and control
signals of the emulator devices are available externally to
facilitate prototype development. The ceramic piggyback
package of the emulator devices is designed to accept
industry-standard, 28-pin EPROM memories (e.g., 27C64).
This feature permits prototype development of systems for
mask-programmed applications. The same microcomputer
options for on-chip oscillator type, external interrupt sense,
and crystal startup delay (D2 version only) are also available on
the corresponding emulator devices.
CDP68HC05C4 Features
CDP68HC05D2 Features
• All CDP68HC05C4 hardware and software features
• All CDP68HC05D2 hardware and software features
• Un-multiplexed external address, data, and READ control
lines
• Un-multiplexed external address, data, and READ control
lines
• Full 8K byte address space available (7984 bytes available
externally)
• Full 8K byte address space available (8064 bytes available
externally)
• 176 bytes of on-chip RAM, no ROM
• 96 bytes of on-chip RAM, no ROM
• Direct interface to industry-standard EPROMs
• Direct interface to industry-standard EPROMs
• 40-lead piggyback package (1) with 28-hole socket for
2764 EPROM (2)
• 40-lead piggyback package (1) with 28-hole socket for
2764 EPROM (2)
• Also can be used for CDP68HC05C8 emulation
Terminal Assignment
Terminal Assignment
4O-LEAD PIGGYBACK
TOPVJEW
4O-LEAD PIGGYBACK
TOP VIEW
-.--
RESET I
VOO
vOO
OSCI
OSCI
OSC2
OSC2
TCAP
P07
POS
P04
OB4
COP68EM05C4
EMULATOR
pos
P04
pos
pos
P02
POI
PDO
PCO
PCI
PC2
PCS
PC4
PCS
PCB
PC7
P02
POI
PDO
COPB8EMD5D2
EMULATOR
22
PC2
PC3
PC4
PCS
PCB
PC7
CMOS Real-Time Microcontrollers
RTX 2000"'/RTX 2001A
Features
Description
• Fast 100ns machine cycle
The RTX 2000/2001 A is a hig h performance 16-bit microcontroller
with on-chip times, interrupt controller, and multiplier (RTX 2000
only). A unique feature of this processor is the high performance
ASIC Bus, which provides for architecture extension using application specific I/O devices.
• Single cycle instruction execution
• Direct execution of FORTH
~ Eliminates assembly language
programming
Utilizing a stack oriented, multiple bus architecture and one or two
cycle instruction timers, the RTX 2000/2001 A allows the efficient
implementation of such real-time applications as Digital Signal
Processing (DSP), Digital Control Processing, Image Processing,
Robotics, Graphics, Simulation, Animation, and many other applications. Because these applications can be supported in high
level languages such as FORTH and C on the RTX 2000/2001 A,
the development cycle time to system implementation is drastically
reduced.
• Single cycle16-bit multiply (RTX 2000)
• Fast division, square root
• Single cycle subroutine call/return
• Three cycle interrupt latency
• Two On-chip 256 word stacks
• On-chip interrupt controller
• Three On-chip16-bit timer/counters
• ASIC BUS~ for off-chip extension
of arch itectu re
• 1 Megabyte total address space
• Word and byte memory access
• Low power CMOS ...... 5mNMHz typical
• Fully static
• 84-Pin PGA package
• Available in Harris standard cell library
The RTX 2000/2001 A Microprocessor is an exceptionally powerful device with the ability to meet numerous application specific
needs. The advantages of the RTX are further enhanced through
the use of optional peripherals and by the development system
support which Harris provides for the RTX hardware and IBM~
PC-based software.
The RTX 2000/2001 A has been designed and fabricated utilizing
the Harris Advanced Standard Cell and Compiler Library. As part of
the Harris family of compatible cell libraries, the RTX 2000/2001 A
can be incorporated into customer ASIC designs.
RTX 2000 Block Diagram
CONTROL
INPUTS
MAIN
MEMORY
TIMER
INPUTS
INTERRUPT
INPUTS
MEMORY I..----------~
BUS
INTERFACE
ASIC BUS
INTERFACE
RTX";. RTX 2000l2001A- and ASIC Bus'fNt. are Trademarks of Harris Corporation 198BIBMTM. is a Trademark of IBM
23
OFF CHIP
PERIPHERALS
Microcontrollers and Microprocessors
80C86/88 and 80C286 CMOS Static Microprocessors
Type
80C286
Function
High-Performance
Microprocessor with
Memory Management
Features
•
·
and Protection
•
•
Compatible with NMOS 80286
Static CMOS design for low power operation
~
80C86
16-Bit Microprocessor
•
•
TF
ICCSB = 5mA maximum
80C286 real address mode
~
Protected virtual address mode
Wide range of clock rates:
~
DC to 25 MHz (80C286-25)
~
DC to 20MHz (80C286-20)
~
DCt016MHz(80C286-16)
~
DCt012.5MHz(80C286-12)
Compatible with NMOS 8086
Completely static design
~
·
68-Pin
~ ICCOP = 20mNMHz maximum
High performance processor (up to 14 x 8086 throughput)
Two 80C86 upward compatible operating modes
~
·
Package
Number of Pins'
40-Pin
OF
DCt05MHz(80C86)
FF
DCt08MHz(80C86-2)
Low power operation
BN
NG
~
~
1OmNMHz operating current
500)IA standby current
1 MByie of direct memory addressing capability
~
80C88
8-Bit Microprocessor
•
•
·
Compatible with NMOS 8088
Completely static design
~
DCt05MHz(80C88)
FL
DCt08MHz(80C88-2)
low power operation
BN
NG
~
•
•
•
40-Pin
OF
~
1OmNMHz operating current
~
500)IA standby current
Software compatible with 80C86/8086/8088
1 MByie of direct memory addressing capability
• See Packaging Section
24
Microcontrollers and Microprocessors
6805-Series Microprocessors
General 6805 Family Features
Hardware
• 8-bit architecture
• Fully static operation
• Self-check mode in microcomputers
• Master Reset and Power-on Reset
• Single 3-6V power supply
• Power-saving STOP and WAIT modes
Software
• Software compatible with entire 6805 family
• 61 instructions and 10 addressing modes
• Indexed addressing for tables
• True bit manipulation
• Memory-mapped I/O
• Efficient use of program space
• Versatile Interrupt handling
Comparison of CMOS CD6805 Family Microprocessors
OnMemory
chip
Add.
RAM
(Bytes) (Bytes)
Type
Max.
Clock
Freq.
(MHz)
Instruc.
Maximum
Time
Timer/
Operation Package
Latched Temperature No. of
Mln./Max. Counter
Bus
(OC)
(jls)
Bits Prescalers Structure Interrupts I/O Lines
Pins"
CDP6805E2
CDP6805E2C#
8K
112
5.0
2.0/10.00
8
Program
Multiplexed
Address
Data
V
16
Oto+70
40D,E
440
CDP6805E3
CDP6805E3C#
64K
112
5.0
2.0/10.00
8
Program
Multiplexed
Address
Data
V
13
010+70
40D,E
440
v = Vectored
# 'C' Version has -40 to +8S0 C operating temperature range.
address
.,. See Packaging Section
1800-Series Microprocessors and Microcomputers
General Features:
• 16 x 16 matrix of registers for use as multiple program
counters, data pointers or data registers
• Single-phase clock; optional on-chip crystal-controlled
oscillator
• Flexible programmed I/O mode
Four flag inputs directly tested by branch instructions
Programmable single-bit output port
Static circuitry - no minimum clock frequency
8-bit parallel organization with bi-directional data bus
and multiplexed address bus
Memory
Add.
(Bytes)
Onchip
RAM
(Bytes)
Onchip
ROM
(Bytes)
Max.
Clock
Freq.
(MHz)
CDP1802A
CDP1802AC
64K
-
-
3.2
5.0/7.5
-
-
Multiplexed
Address
Lines
CDP1802BC
64K
-
-
5.0
3.2/4.8
-
-
Multiplexed
Address
Lines
CDP1804AC
64K
64
2K
5.0
3.2/16.0
8
+32
Multiplexed
Address
Lines
CDP1805AC
64K
64
-
5.0
3.2/16.6
8
+32
Multiplexed
Address
Lines
CDP1806AC
64K
-
-
5.0
3.2/16.0
8
+32
Multiplexed
Address
Lines
Type
*
•
•
•
•
Instruc.
Time
Timer/
Mln.lMax. Counter Pre(jls)
Bits
scalers
See Packaging Section
25
Bus
Structure Interrupts
v
v
v
v
v
Latch
I/O
Max.
Oper.
Pkg
Temp. No. of
(OC)
Pin"
Offchip
-5510
+125
40D
40E
400
Offchip
-5510
+125
40D
40E
400
Offchip
-5510
+125
40D
40E
Offchip
-5510
+125
40D
40E
400
Offchip
-5510
+125
40D
40E
400
CMOS Peripheral Circuits
80C86/88 and 80C286 Peripherals
Type
82C37A
Function
DMA Controller
Features
• Compatible with NMOS 8237A
• Provides control for direct memory access operation
• Up to 4Mb/s transfer rate with 8MHz clock
• Four independently programmable DMA channels
• Low power operation
• 12.5MHz operation with 0 wait state DMA transfers
• 16-bit DMA transfer capability
82C50A
Asynchronous
Communication
Element
• Complete serial communication interface
~ UART
~
Baud rate generator
• 80C86/88 Compatible
• DC to 1 OMHz operation (DC to 625Kbaud)
• Modem interface control lines
Package
No. of
Pins'
40
CH
FE
BN
NF
40
CJ
FE
NF
• Low CMOS power dissipation
• Compatible with NMOS 8250A
82C52
Serial Controller
Interface
• UART/Baud rate generator in a single 28-pin package
• Operates from DC to 1 Mbaud with an asynchronous 16X clock
28
1M
• 72 programmable baud rates
• Low power operation:
~ 1 mNMHz operating current, typical
FJ
BK
NE
• 4O-pin version available (HD-6406)
82C54
Programmable
• Compatible with NMOS 8254
24
Interval Timer
•
•
•
•
SF
FG
BM
NE
Enhanced version NMOS 8253
Three independent 16-bit counters
Six programmable counter modes
Completely TIL compatible
• 8MHz count frequency
• Low power operation:
~ ICCOP: 1OmA @ 8M Hz count frequency
~ ICCSS: 10pAmaximum
82C55A
Programmable
• Three independent programmable 8-bit I/O ports
40
Peripheral Interface
• High speed, no "wait state" operation with 5MHz/8MHz
80C86/88
CH
FD
BP
NH
• Fully TIL compatible
• 2.5mA drive capability on all I/O port outputs
• Compatible with NMOS 8255A
• 24 programmable I/O pins
• Enhanced control word read capability
• High darlington drive outputs on all ports
• Standby current: 1 OpA, maximum
82C59A
Priority Interrupt
Controller
• Compatible with NMOS 8259A
• Eight maskable interrupt inputs
• Cascade operation allows up to 64 interrupt inputs with no
additional Circuitry
• Supports both 8080/85 and 80CS6/88 formats
• Standby current: 1 OmA, maximum
• FullyTILcompatible
• Programmable interrupt modes
*
See Packaging Section
26
28
1M
FJ
BK
NO
CMOS Peripheral Circuits
CDP1800-Series and CDP6805-Series Peripherals
Family Features
• CMOS Static Operation
• Compatible With Many Industry-Standard Microprocessors
and Microcomputers, CMOS & NMOS
• Wide Variety of I/O Functions
• 36 I/O Configurations
• Programmable I/Os
• Byte-Wide Latches
• Decoders
•
•
•
•
•
•
•
•
UARTs and ACIAs
Multiply-Divide Units (MDUs)
Buffers
Counters
Clocks
Video Interface
Interrupt Controllers
Serial Peripheral Interface (SPI) Circuits
Input Levels: CMOS for All Types
Operating
Operating
Voltage
Temp
Range
Range (TA)t
Volts
oC
Fanout
(TTL
Loads)
CDP1851
Programmable • 20 Programmable VO lines
CDP1851C I/O Interface
• Programmable for operation in four modes:
~ Input
~ Bidirectional
~ Output
~ Bit-Programmable
• Operates in either 1/0 or memory space
4to 10.5
4 to 6.5
-40 to +85
1
40
D
E
CDP1852
Byte-Wide
CDP1852C Input/Output
Port
•
•
•
•
•
•
•
Static silicon-gate CMOS circuitry
Parallel8-bit data register and buffer
Handshaking via service request flip-flop
Low quiescent and operating power
Interfaces direclJy with CDP1800-series liPS
Single voltage supply
Full military temperature range: (-55 0C to +125 0 C)
4t010.5
4 to 6.5
-40 to +85
1
24
D
E
CDP1872
High-Speed
CDP1872C 8-BitCDP1874C Input Port
• Parallel8-bit I/O register with buffered outputs
• High-speed data-in to data-out:
~ 85ns (max) at VDD = 5V
• Flexible applications in liP systems as buffers & latches
• High order address-latch capability in CDP1800 series
liP systems
• Output sink current = 5mA(min) atVDD = 5V
• Three-state output
4t06.5
-40to+85
3
22
D
E
CDP1875C High-Speed
8-Bit
Output Port
• Parallel8-bit input/output register with buffered outputs
• High-speed data-in to data-out:
~ 85ns(max)atVDD=SV
• Flexible applications in liP systems as buffers & latches
• High order address-latch capability in CDP1800 series
liP systems
• Output sink current = SmA (min) at VDD = 5V
4t06.5
-40 to +85
3
22
D
E
CDP6823
• 24 individual programmed 1/0 pins
• MOTEL circuit for bus compatibility with many liPS
• Multiplexed bus compatible with:
~ CDP6805E2 and competitive liPS
• Data direction registers for ports A, Band C
• Reset input to clear interrupts and inil internal reg's
• Four port C I/O pins may be used as control lines for:
~ Four interrupt inputs
~ Output pulse
~ Input byte latch
~ Handshake activity
• 15 registers addressed as memory locations
• Handshake control logic for input &output periph. oper.
• Interrupt output pin
• 3V to 5.SV operating VDD
4.5toS.S
Oto+70
1
40
D
E
Type
Description
and
Function
Features
No. of
Pins'
Package
VOPORTS
t
*
Parallel
Interface
(MOTEL Bus)
TA indICates operating temperature range over which the published electrical data are specified
See Packaging Section
27
44
Q
CMOS Peripheral Circuits
CDP1800-Series and CDP6805-Series Peripherals
(Continued)
Input Levels: CMOS for All Types
Type
Description
and
Function
Operating
Operating
Temp
Voltage
Range
Range (TA)t Fanout
(TTL
oC
Volts
Loads)
Features
No. of
Pins'
Package
MEMORY I/O INTERFACE
CDP1853
CDP1853C
N Bit 1-of-8
Decoder
• Provides direct control of up to 7 input & 7 output devices
• Chip Enable (CE) allows easy expansion for multi-level
I/O systems
4t010.5
4 to 6.5
-40 to +85
1
16
D
E
'CDP1881
CDP1881C
6-BitLatch
and Decoder
Memory
Interface
• Performs memory address latch and decoder functions
muHiplexed or non-multiplexed
• Decodes up to 16K byles of memory
• Interfaces directly with CDP 1800-series IJPs
. at maximum clock frequency
4t010.5
4t06.5
-40 to +85
1
20
E
CDP1882
CDP1882C
6-BitLatch
and Decoder
Memory
• Performs memory address latch and decoder functions
multiplexed or non-multiplexed
• Decodes up to 16K byles of memory
• Interfaces directly with CDP1800-series IJPS
at maximum clock frequency
4t010.5
4t06.5
-40 to +85
1
18
D
E
CDP1883
CDP1883C
7-BitLatch
and Decoder
Memory
Interface
• Performs memory address latch and decoder functions
multiplexed or non-multiplexed
• Interfaces directly with the CDP1800-series IJPs
• Allows decoding for systems up to 32K byles
4to 10.5
4t06.5
-40 to +85
1
20
E
CDP1854A
Programmable • Two operating modes:
CDP1854AC Universal
.. Mode 0: functionally compatible with industry types
Asynchronous
such as the TR 1 602A
Receiver/
.. Mode 1: interfaces directly with CDP1800-series
Transmitter
IJPs without additional components
(UART)
• Full or half duplex operation
• Parity, framing, and overrun error detection
.. DC to 200K blts/s at VDD 5V
• Baud rate:
.. DC to 400K bits/s at VDD 10V
• Fully programmable with externally selectable word length
(5-8 bits), parity inhibit, even/odd parity,
and 1, 1.5 or 2 stop bits
• False start bit detection
4to 10.5
4t06.5
-40 to +85
1
40
CDP6402
CDP6402C
4t010.5
4t06.5
SERIAL I/O
D
E
44
Q
=
=
UART
• Low-power CMOS circuitry: 7.5mW typ at 3.2MHz
(max ireq) at VDD 5V
.. DC to 200K bits/s (max)
• Baud rate:
at VDD 5V,85 0 C
.. DC to 400K bits/s (max)
at VDO 1 OV, 850 C
• Automatic data formatting and status generation
• Fully programmable with externally selectable word
length (5-8 bits), parity inhibit, even/odd parity, and
1,1.5 or2 stop bits
• Operating temperature range:
.. (CDP6402D, CD) -550 C to +1250 C
.. (CDP6402E, CE) -400 C to +850 C
• Replaces industry types IM6402 and HD6402
=
=
=
t
*
TA Indicates operating temperature range over which the published electrical data are speCified
Sea Packaging Section
28
-40 to +85
1
40
D
E
CMOS Peripheral Circuits
CDP1800-Series and CDP6805-Series Peripherals
(Continued)
Input Levels: CMOS for All Types Except CDP65C51,A and CDP6853 (TTL)
Type
Description
and
Function
Operating
Operating
Temp
Voltage
Range
Range (TA)t Fanout
(TTL
Volts
Loads)
°C
Features
No. of
Pins'
Package
SERIAL I/O (Continued)
CDP65C51
Asynchronous
• Compatible with 8-bit microprocessors
CDP65C51A Communications • Full duplex operation with buffered receiver and
Interface
transmitter
Adapter (ACIAl
• Data sel/modem control functions
• Internal baud-rate generator with 15 programmable
baud rates (50 to 19,200)
• Program-selectable Internally or externally controlled
receiver rate
• Operates at baud rates up to 250,000 via proper
crystal or clock selection
• Programmable word lengths, number of stop bits, and
parity-bit generation and detection
• Programmable interrupt control
• Program reset
• Program-selectable serial echo mode
• Two chip selects
• 4MHz operation (CDP65C51-4, CDP65C51A-4)
• 2MHz operation (CDP65C51-2, CDP65C51A-2)
• 1 MHz operation (CDP65C51-1, CDP65C51 A-I)
• Full TIL compatibility
3106
3.t06
Oto+70
1
28
D
E
M
CDP6853
3t06
Oto+70
1
28
D
E
4to 10.5
4t06.5
-4010 +85
1
28
D
E
ACIAwith
MOTEL Bus
• Compatible with 8-bit microprocessors
• Multiplexed address/data bus (MOTEL bus)
• Full duplex operation with buffered receiver and
transmitter
• Deta sal/modem control functions
• Internal baud rate generator with 15 programmable
baud rates (50 to 19,200)
• Operates at baud rates up to 250,000 via proper
crystal or clock selection
• Program-selectable Internally or externally controlled
receiver rate
• Programmable word lengths, number of stop bits and
parity bit generation and detection
• Programmable interrupt control
• Program reset
• Program-selectable serial echo mode
• Two chip selects
• One chip enable
• FuliTILcompatibility
• 4MHz, 2MHz, or 1MHz operation:
~ CDP6853-4, CDP6853-2, CDP6853-1, respectively
MULTIPLY/DIVIDE (MDU)
CDP1855
CDP1855C
8-bit
Programmable
Multiply/Divide
Unit
• Cascadable up to 4 units for 32-bit by 32-bit multiply
or 64 + 32 bit divide
• 8-bit by 8-bit multiply or 16 + 18 bit divide in
5.6~s at5V or 2.8~s at 1 OV
• Direct Interface to CDP1800 series microprocessors
• Easy interface 10 other 8-bit microprocessors
• Significantly increases throughput of microprocessor
used for arithmetic calculations
t TA indicates operating temperature range
* See Packaging Section
over which the published electrical data are specified
29
CMOS Peripheral Circuits
CDP1800-Series and CDP6805-Series Peripherals
(Continued)
Input Levels: CMOS for All Types
Type
Description
and
Function
Operating
Operating
Voltage
Temp
Range
Range (TA)t Fanout
(TTL
oC
Volts
Loads)
Features
No. of
Pins*
Package
KEYBOARD INTERFACE
CDP1871A
Keyboard
CDP1871AC Encoder
Directly interfaces with CDP1800-series microprocessors
Low power dissipation
Three-state outputs
Scans and generates code for 53 key ASCII keyboard plus
32 HEX keys (SPST mechanical contact switches)
• Shift, control and alpha lock inputs
• RC controlled debounce circuitry
• N-key lockout
4to10.5
4t06.5
•
•
•
•
10-bit resolution
8-bit mode for single data byte transfers
SPI (Serial Peripheral Interface) compatible
Operates ratiometrically referencing VDD or an external
source
14flS 10-bitconversion time
8 multiplexed analog input channels
Independent channel select
Three modes of operation
On-chip oscillator
Low-power CMOS circuitry
Intrinsic sample and hold
4.5t06
3t07
3t06
-40 to +85
1
16
D
E
M
•
•
•
•
-40 to +85
40
D
E
44
Q
SPII/O
CDP68HC
68A2
Serial 1 O-Bit
NDConverter
•
•
•
•
•
•
•
t
*
-40 to +85
1
16
E
20
M
CDP68HC
68P1
Single-Port
Inpul/Output
• Fully static operation
• Compatible with Harris/Motorola SPI bus
• 2 external address pins tied to VDD orVss to allow
up to 4 devices to share the same chip
• Versatile bit-set and bit-clear capability
• Accepts either SCK clock polarity - SCK voltage level is
latched when chip enable goes active
• All inputs are Schmitt-Trigger
• 8-bitl/O port - each bit can be individually programmed
as an input or output via an 8-bit data direction register
• Programmable on-board comparator
• Simultaneous transfer of compare information to CPU
during read or write - separate access not required
CDP68HC
68R1
128-Wordby
8-Bit Static
RAM
•
•
•
•
•
•
•
Fully static operation
Typically standby current = 1 flA
Directly compatible with Harris/Motorola SPI bus
Separate data input and three-state data output pins
Input data and clock buffers gated off with chip enable
Automatic sequencing for fast multiple-byte accesses
Low minimum data retention voltage: 2V
3t05.5
-40to +85
1
8
E
CDP68HC
68R2
256-Word by
8-Bit Static
RAM
•
•
•
•
•
•
•
Fully static operation
Typically standby current = 1 flA
Directly compatible with Harris/Motorola SPI bus
Separate data input and three-state data output pins
Input data and clock buffers gated off with chip enable
Automatic sequencing for fast multiple-byte accesses
Low minimum data retention voltage: 2V
3t05.5
-40 to +85
1
8
E
TA mdlcates operallng temperature range over which the published electncal data are speCified
See Packaging Section
30
CMOS Peripheral Circuits
CDP1800-Series and CDP6805-Series Peripherals
(Continued)
Input Levels: CMOS for All Types
Type
Operating
Voltage
Range
Description
and
Function
Features
Volts
Operating
Temp
Range (TA)t Fanout
(TTL
Loads)
°C
No. of
Pins'
Package
SPIIIO (Continued)
CDP66HC
66Tl
3t06
CDP66HC
66Wl
Digital
Pulse-Width
Modulator
• Programmable frequency and duty cycle output
• Serial bus input; compatible with Harris/Motorola SPI
bus, simple shift-register type interface
• Schmitt trigger clock Input
• 4-7V operation, -40 0C 10 +65 0 C temperature range
• 6MHz clock inputfrequency
4t07
-40 to +65
1
6
E
CDP66HC
66S1
Serial Bus
Interface
•
•
•
•
•
•
•
4t07
-4010+65
1
14
E
• Compatible with general-purpose and CDP1600-series
microprocessor systems
• Two 16-bit down-counters and two 6-bit control registers
• 5 modes including a versatile variable duty cycle mode
• Programmable gate level select
• Two-complemented output pins for each counter-timer
• Software-controlled interrupt output
• Addressable in memory space or CDP1600-series
I/O space
4to 10.5
4t06.5
-40 to +65
1
26
0
E
•
•
•
•
•
•
•
•
4to 10.5
4t06.5
-40 to +65
1
24
0
E
Differential bus for minimal EMI
Idealtor twisted-pair wiring
Data-collision detection
Bus arbitration
Idle detection
Programmable clock divider
Power-on reset
-40 to +65
1
Real-Time Clock • SPI (Serial Peripheral Interface)
with RAM and
• Full clock features: sec., min., hours. (12/24, AM/PM),
day of week, date, month, year, (0-99), aulo leap year
Power Sense/
Control
• 32-word x 6-bit RAM
• Seconds, minutes, hours alarm
• Automatic power loss detection
• Minimum standby (timekeeping) voltages: 2.2V
• Selectable crystal or 50/60Hz line input
• Buffered clock output
• Ballery input pin
• Three independent interrupt modes: alarm, periodic or
power-down sense
16
D
E
20
M
TIMER FUNCTIONS
CDP1676
CDP1676C
Dual
Counter-Timer
CDP1679
Real-Time
CDP1679C-l Clock
•
•
•
•
CPU interface for use with general-purpose IJPs
Time of day/calendar
Reads seconds, minutes, hours
Reads day of month and month
Alarm-circuit with seconds, minutes or hours operation
Power down mode
Separate clock output selects 1 of 15 square-wave signals
Interrupt output activated by clock output and/or
alarm circuit
Data integrity sampling for clock rollover eliminated
On-board ~ 4.19MHz, 2.09MHzor 1.046MHz at 10V
oscillator
(CDP1679) crystal operation
~ 4.19MHz, 2.09MHz, 1.046MHzor32kHz a!5V
(CDP1679C-l) crystal operation
~ 4.19MHz, 2.09MHz, 1.046MHz or 32kHz
at 1OV or 5V external clock operation
Addressable in memory space or CDP1600-series
I/O mode
Low standby (timekeeping) voltage with external clock
t TA indICates operating temperature range over whICh the publiShed electncal data are specified
* See Packaging Section
31
CMOS Peripheral Circuits
CDP1800-Series and CDP6805-Series Peripherals
(Continued)
Input Levels: CMOS for All Types
Type
Operating
Voltage
Range
Description
and
Function
Features
Volts
Operating
Temp
Range (TA)t Fanout
(TTL
oC
Loads)
No. of
Pins·
Package
TIMER FUNCTIONS (Continued)
CDP6818
Real-Time
Clock with
RAM
(MOTEL Bus)··
CDP6818A
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
Low-power, high-speed CMOS
Intemal time base and oscillator
Counts seconds, minutes and hours of the day
Counts days of the week, date, month and year
~ 4.194304MHz
Time base Input options:
~ 1.048576MHz
~ 32.768kHz
Time base oscillator for parallel resonant crystals
40 to 200"W typical operating power at low frequency
time base
4.0 to 20mW typical operating power at high frequency
time base
Binary or BCD representation of time, calendar and alarm
12 or 24 hour clock with AM and PM In 12 hour mode
Daylight savings time option
Automatic end of month recognition
Automatic leap year compensation
Microprocessor bus compatible
Selectable between Motorola and competitor bus timing
Multiplexed bus for pin efficiency
Interfaced with software as 64 RAM locations
14 bytes of clock and control registers
50 bytes of general purpose RAM
Status bit indicates data integrity
Bus compatible Interrupt signals (IRa)
Three interrupts are separately software maskable and
~ Time-of-day alarm, Once-per-second to
testable
Once-per-day
~ Periodic rates from 30.5"s to 500ms
~ End-of-clock update cycle
Programmable square-wave output signal
Clock output may be used as microprocessor clock input
at time base frequency + 1 or + 4
3t06
Oto+70
1
24
D
E
24
D
E
28
a
INTERRUPT CONTROLLER
CDP1877
CDP1877C
t
Programmable
Interrupt
Controller
(PIC)
• Compatible with CDP180o-series
• Programmable long branch vector address and
vector interval
• 8 levels of interrupt per chip
• Easily expandable
• Latched interrupt requests
• Hard wired interrupt priorities
• Memory mapped
• Multiple chip select inputs to minimize address space
requirements
TA indicates operating temperature range over which the published electrical data are specified
* See Packaging Section
** MOTEL Bus not included in IA'verslon
32
4t010.5
4t06.5
-40 to +85
1
28
D
E
CMOS Data Communication Circuits
Bit Rate Generator (BRG)
Type
HD-4702
Function
Bit Rate Generator
(BRG)
Features
• Low power dissipation
• Programmable bit rate selection
•
•
•
•
13 commonly used bit rates
Uses standard 2.4575MHz crystal
Conforms to EIA RS-404
On-chip input pull-up circuits
Package
No. of
Pins'
16
4Z
7H
LA
Manchester Encoders/Decoders (MEDs)
Type
HD-640B
Function
Asynchronous Serial
Manchester
Adapter (ASMA)
Features
• 1Mb/s data rate
• Sync identification and lock-in
Package
No. of
Pins
24
7C
• Clock recovery
• Manchester II encode and decode
• Low bit error rate
• Industrial temperature range: -40 0 C to +850 C
HD-6409
Manchester
Encoder/Decoder
(MED)
HD-15530
• 1Mb/s data rate
• Digital PLL clock recovery
• On-chip oscillator
• Independent Manchester II encode and decode
• Wide temperature ranges available: -400 C to +B50 C,
-550 C to +1250 C
20
CK
7M
BE
Manchester
• SupportofMIL-STD-1553
24
Encoder/Decoder
(MED)
• 1.25Mb/s data rate
• Sync identification and lock-in
4K
• Clock recovery
• Separate encode and decode
7C
BA
• Low operating power: 50mW @ 5V
• Full temperature range: -550 C to +1250 C
HD-15531
Manchester
Encoder/Decoder
(MED)
• Support of MIL-STD-1553
• 1.25Mb/s data rate
• 2.5Mb/s option (HD-15531 B)
• Sync identification and lock-In
•
•
•
•
•
Clock recovery
Variable frame length to 32 bits
Separate encode and decode
Low operating power: 50mW @ 5V
Full temperature range: -55 0 C to +1250 C
* See Packaging SectIOn
33
40
CH
FD
BP
CMOS Data Communication Circuits
Universal Asynchronous Receiver/Transmitters {UARTs}
Type
CDP1854A
Function
Programmable UARTs
Features
Package
No. of
Pins·
• Programmable word length, stop bits and parity
40
• Baud rate to 200K bits/s at 5V (400K at 10V)
• Two operating modes (CDP1854A) selected by pin 2
~ Mode 0: (pin 2 grounded) - hardware programmable word length,
0
~
CDP6402
E
stop bits and parity
44
Mode 1: (pin 2 at VDD) - interfaces directly to 1800-series
liPs without additional components; software-selectable
word length, stop bils and parity
0
• CDPG402 industry standard pinout (pin 2, no connection). Direct
replacement for IM6402 and HD6402
40
0
E
• Single, noncritical voltage supply
• Operating temperature range (maximum ratings):
~ Ceramic package (D): -550 C to +1250 C
~
HD-6402R,
UART
HD-6402B
40
• Programmable word length, stop bits and parity
CH
FD
•
•
•
•
HD-6406
Plastic package (E and 0): -400 C to +85 0 C
• Operates from DC to 8MHz (DC to 500Kbaud)
Industry-standard pinout
Single +5V power supply
FullyTTLcompatible
Automatic data formatting and status generation
Programmable
• UART/Baud rate generator In a single 40 pin package
40
Asynchronous
Communications
Interface
• Data rates from DC to 1Mbaud with an asynchronous 16X clock
• 72 programmable baud rates
• Complete modem interface signals
CH
FE
BN
• DMA handshaking operation
NF
• Low power operation:
~ 1mNMHz,\ypical
• 28 pin version available (82C52)
Transmitter/Receiver
Type
ICL232
Features
Function
+5VPowered
Dua1R6-232
Transmitter/Recelver
• Meels all EIA RS-232C Specification
• Requires single +5V power supply
16
PE
• CMOS/TTL input compatibility
• Two on-board charge pump voltage converters
JE
• Available In a variety of operating temperature ranges:
~ 00Cto+700C
~ -250C to +850C
~ -550 C to +1250 C
*
Package
No. of
Pins·
See Packaging Secton
34
CMOS Data Communication Circuits
Asynchronous Communications Interface Adapter (ACIAs)
Type
CDP65C51
Function
ACIAs
Features
• Compatible with 8-bit microprocessors
28
• Full duplex operation with buffered receiver and transmitter
• Internal baud rate generator with 15 programmable baud rates (50 to 19,200)
0
E
M
• Program-selectable internally or externally controlled receiver rate
• Programmable word lengths, number of stop bits and parity bit generation
28
0
• Data seVmodem control functions
CDP6853
Package
No. of
Pins'
and detection
E
• Operates at baud rates up to 250,000 via proper crystal or clock selection
• Single 3V to 6V power supply
• Programmable interrupt control
• Program reset
• Program-selectable serial echo mode
• Two chip selects
• One chip enable (CDP6853 only)
• 4MHz, 2MHz or 1 MHz operation:
.. CDP65C51-4, CDP65C51-2, CDP65C51-1, respectively
• Multiplexed address/data bus
• Operating temperature range (maximum rating):
.. Ceramic package (D): -550 C to +125 0 C
.. Plastic package (E and M): -400 C to +850 C
CDP68C51A
ACIA
• Compatible with 8-bit microprocessors
28
• Full duplex operation with buffered receiver and transmitter
• Data seVmodem control functions
0
E
• Internal baud rate generator with 15 programmable baud rates (50 to 19,200)
M
• Program-selectable internally or externally controlled receiver rate
• Operates at baud rates up to 250,000 via proper crystal or clock selection
• Progammable word lengths, number of stop bits & parity bit generation & detection
• Programmable interrupt control
• Program reset
• Program-selectable serial echo mode
• Two chip selects
• 4MHz, 2MHz or 1 MHz operation:
.. CDP65C51 A-4, CDP65C51 A-2, CDP65C51A-1, respectively
• Single 3V to 6V power supply
• Full TTL compatibility
• Synchronous CTS operation
* See Packaging Section
35
CMOS Bus Support Circuits
80C86/88 Systems Logic
Type
82C84A
Description
Clock Generator/Driver
Features
• Compatible with bipolar 8284A
• Outputfrequencles up to 8MHz
• Provides ready synchronization
• Parallel resonant crystal inputs
• ICCOP: 40mA @ 8M Hz system frequency
• TTL compatible Inputs/outputs
82C85
Static Clock Controller/Generator
• Provides complete static clock control for BOC86 and 80C88 systems
• Supports stop-clock, stop-oscillator and low frequency operation
• 80C86/88 status line interface allows software control
• DC to 8MHz system clock
• Low CMOS power diSSipation
• 24 pin slimline package
82C88
Bus Controller
• Pin compatible with bipolar 8288
• Generates system control signals for maximum mode
80C86/88,8086/88
• Bipolar drive capability
• Low power operation:
~ ICC standby: 10)lA maximum
~ ICC operating: 1 mNMHz maximum
82C89
Bus Arbiter
• Pin compatible with bipolar 8289
• Provides bus control arbitration in mUlti-master processor systems
• Low power operation:
~ ICCSB: 10)lAmaximum
~ ICCOP: 1 mNMHz maximum
• Bipolar drive capability
82C82
Octal Latching Bus Driver
• Bipolar 8282 function compatible
• Propagation delay guaranteed: 35ns maximum
~ Full temperature range
~ 10% power supply tolerances
~
Load capacHance: 300pF
• Gatedlnputs reduce operating power
• ICCSB: 10)lAmaximum
82C83H
Octal Latching Inverting Bus Driver
• Bipolar 8283 function compatible
• Full eight-bit latching buffer with Inverted data output
• Guaranteed propagation delay of 25ns maximum at CL
• Gated Inputs reduce operating power
• ICCSB: 10)lAmaximum
=300pF
• High output sink current: 20mA
82C86H
Octal Transceiver
• Bipolar 8286 function compatible
• Eight-bit bidirectional bus transceiver
• Guaranteed propagation delay of 32n8 maximum @ CL
=300pF
• Gated inputs reduce operating power
• ICCSB: 1 OmA maximum
• High output sink current: 20mA
82C87H
Octal Inverting Transceiver
• Bipolar 8287 function compatible
• Eight-bit bidirectional bus transceiver with inverting data outputs
• Guaranteed propagation delay of 30ns maximum @ CL 300pF
=
• Gated inputs reduce operating power dissipation
• ICCSB: 10)lAmaximum
• High output sink current: 20mA
36
CMOS Bus Support Circuits
High-Speed CMOS Logic*
Type CD5417 4
No. of Pins
Function/Description
BUFFERS/BUS DRIVERS
HC/HCT125
Quad Three-State Butler
14
HC/HCT126
Quad Three-State Butler
14
HC/HCT240
Octal Butler/Line Driver; Three-State; Inverting
20
HC/HCT241
Octal Butler/Line Driver; Three-State
20
HC/HCT244
Octal Butler/Line Driver; Three-State
20
HC/HCT365
Hex Butler/Line Driver; Three-State
16
HC/HCT366
Hex Butler/Llne Driver; Three-State Inverting
16
HC/HCT367
Hex Butler/Line Driver; Three-State
16
HC/HCT368
Hex Buffer/Line Driver; Three-State; Inverting
16
HC/HCT540
Octal Buffer/Line Driver; Three-State; Inverting
20
HC/HCT541
Octal Butler/Line Driver; Three-State
20
FLIP-FLOPS
HC/HCT173
Quad D-Type Flip-Flop with Set and Reset; Positive-Edge Trigger; Three-State
16
HC/HCT374
Octal D-Type Flip-Flop; Positive-Edge Trigger; Three-State
20
HC/HCT534
Octal D-Type Flip-Flop; Positive-Edge Trigger; Three-State; Inverting
20
HC/HCT564
Octal D-Type Flip-Flop; Positive-Edge Trigger; Three-State; Inverting
20
HC/HCT574
Octal D-Type Flip-Rop; Positive-Edge Trigger; Three-State
20
14
BUS TRANSCEIVERS
HC/HCT242
Quad Bus Transceiver; Three-State; Inverting
HC/HCT243
Quad Bus Transceiver; Three-State
14
HC/HCT245
Oelal Bus Transceiver; Three-State
20
20
HC/HCT640
Octal Bus Transceiver; Three-State; Inverting
HC/HCT643
Octal Bus Transceiver; Three-State; True/Inverting
20
HC/HCT646
Octal Bus Transceiver; Three-State
24
HC/HCT648
Octal Bus Transceiver; Three-State; Inverting
24
HC/HCT7038
9-Bit Bus Transceiver with Latch
24
HC/HCT373
Octal Transparent Latch; Three-State
20
HC/HCT533
Octal Transparent Latch; Three-State; Inverting
20
HC/HCT563
Octal Transparent Latch; Three-State; Inverting
20
HC/HCT573
Octal Transparent Latch; Three-State
LATCHES
'*' All types have a
20
bus driver output slage. For more information on this family. refer to the Logic
"High-Speed CMOS Logic IC."
37
Ie section of this product guide,
CMOS Bus Support Circuits
Advanced CMOS Logic*
Type CD54/74
Function/Description
No. of Pins
BUFFERS/BUS DRIVERS
AC/ACT240
Octal Buffer/Line Driver; Three-State; Inverting
20
AC/ACT241
Octal Buffer/Line Driver; Three-State
20
AC/ACT244
Octal Buffer/Line Driver; Three-State
20
AC/ACT540
Octal Buffer/Line Driver; Three-State; Inverting
20
AC/ACT541
Octal Buffer/Line Driver; Three-State
20
AC/ACT374
Octal D-Type Flip-Flop; Positive-Edge Trigger; Three-State
20
AC/ACT534
Octal D-Type Flip-Rap; Positive-Edge Trigger; Three-State; Inverting
20
AC/ACT564
Octal D-Type Flip-Flop; Positive-Edge Trigger; Three-State; Inverting
20
AC/ACT574
Octal D-Type Flip-Flop; Positive-Edge Trigger; Three-State
20
AC/ACT245
Octal-Bus Transceiver; Three-State
20
AC/ACT623
Octal-Bus Transceiver; Three-State
20
AC/ACT646
Octal-Bus Transceiver/Register; Three-State
24
AC/ACT647
Octal-Bus Transceiver/Register with Open Drain
24
AC/ACT648
Octal-Bus Transceiver/Register; Three-State; Inverting
24
AC/ACT649
Octal-Bus Transceiver/Register with Open Drain; Inverting
24
AC/ACT651
Octal-Bus Transceiver/Register; Three-State; Inverting
24
AC/ACT652
Octal-Bus Transceiver/Register; Three-State
24
AC/ACT653
Octal-Bus Transceiver/Register with Open Drain (A Side); Three-State (B Side); Inverting
24
AC/ACT654
Octal-Bus Transceiver/Register with Open Drain (A Side); Three-State (B Side)
24
AC/ACT7623
Octal-Bus Transceiver; Three-State (B Side); Open-Drain (A Side)
20
AC/ACT651
Octal-Bus Transceiver/Register; Three-State; Inverting
24
FLIP-FLOPS
BUS TRANSCEIVERS
LATCHES
.
AC/ACT373
Octal Transparant Latch; Three-State
20
AC/ACT533
Octal Transparent Latch; Three-State; Inverting
20
AC/ACT563
Octal Transparent Latch; Three-State; Inverting
20
AC/ACT573
Octal Transparent Latch; Three-State
20
.
For more InformatIOn on this family. refer to the Advanced CMOS ICs section of the product guide, Advanced CMOS logic ICa .
38
CMOS Bus Support Circuits
BiMOS FCT Bus-Interface Logic*
Type CD54174
Function/Description
No. of Pins
INVERTERS/BUFFERS/BUS DRIVERS
FCT240
FCT241
Octal Buffer/Line Driver; Three-State; Inverting
Octal Buffer/Line Driver; Three-State
20
FCT244
Octal Buffer/Line Driver; Three-State
FCT540
FCT541
FCT827A
Octal Buffer/Line Driver; Three-State; Inverting
Octal Buffer/Line Driver; Three-State
20
20
FCT828A
1O-Bit Buffer/Line Driver; Three-State
1 O-Bit Buffer/Line Driver; Three-State; Inverting
20
20
24
24
FLIP-FLOPS/REGISTERS
FCT273
FCT374
FCT377
FCT534
FCT564
Octal 0-Type Flip-Flop with Reset; Positive-Edge Trigger
Octal D-Type Flip-Flop; Positive-Edge Trigger; Three-State
Octal 0-Type Flip-Flop; Positive-Edge Trigger with Data Enable
Octal 0-Type Flip-Flop; Positive-Edge Trigger; Three-State; Inverting
Octal 0-Type Flip-Flop; Positive-Edge Trigger; Three-State; Inverting
20
20
20
20
FCT574
FCT821A
Octal 0-Type Flip-Flop; Positive-Edge Trigger; Three-State
1O-Bit 0-Type Flip-Flop; Positive-Edge Trigger; Three-State
20
24
FCT822A
FCT823A
FCT824A
FCT29520A
FCT29521A
1O-Bit 0-Typa Flip-Flop; Positive-Edge Trigger; Three-State; Inverting
9-Bit 0-Type Flip-Flop; Positive-Edge Trigger; Three-State
9-Bit 0-Type Flip-Flop; Positive-Edge Trigger; Three-State; Inverting
Multilevel Pipeline Register
Multilevel Pipeline Register
24
FCT245
FCT543
Octal Bus Transceiver; Three-State
Octal Register-Transceiver; Three-State
20
24
FCT544
Octal Register-Transceiver; Three-State; Inverting
24
FCT623
Octal Bus Transceiver; Three-State
Octal Bus Transceiver; Three-Stale; Inverting
20
24
24
24
24
BUS TRANSCEIVERS
FCT643
Octal Bus Transceiver; Three-State; True/lnverting
20
20
20
FCT646
FCT647
Octal Bus Transcelver/Register; Three-State
Octal Bus Transcelver/Register with Open Drain
Octal Bus Transceiver/Register; Three-Stale; Inverting
Octal Bus Transceiver/Register with Open Drain; Inverting
Octal Bus Transceiver/Register; Three-State; Inverting
24
24
24
24
24
FCT652
Octal Bus Transceiver/Register; Three-Stale
24
FCT653
FCT654
FCT861 A
Octal Bus Transcelver/Register; Open-Drain (A Side); Three-State (B Side); Inverting
Octal Bus Transceiver/Register; Open-Drain (A Side); Three-State (B Side)
1O-Bit Bus Transceiver; Three-State
24
24
24
FCT862A
1D-Bit Bus Transceiver; Three-State; Inverting
FCT863A
9-Bit Bus Transceiver; Three-State
24
24
FCT864A
FCT2952A
FCT2953A
9-Bit Bus Transceiver; Three-State; Inverting
Octal Register-Transceiver; Three-State
Octal Register-Transceiver; Three-State; Inverting
Octal Bus Transceiver; Three-Stale (B Side); Open-Drain (A Side)
24
24
24
FCT373
Octal Transparent Latch; Three-State
20
FCT533
FCT563
Octal Transparent Latch; Three-State; Inverting
Octal Transparent Latch; Three-State; Inverting
FCT573
FCT841 A
Octal Transparent Latch; Three-State
1 O-Bit Transparent Latch; Three-State
20
20
20
FCT842A
FCT843A
FCT844A
1O-BltTransparent Latch; Three-State; Inverting
FCT640
FCT648
FCT649
FCT651
FCT7623
20
LATCHES
24
9-Blt Transparent Latch; Three-State
9-Bit Transparent Latch; Three-State; Inverting
* For more Information on this family. refer to the "SiMOS Fc:r Interface Logic IC. (C054n4FCT Saries)" saction of thi. product guide
39
24
24
24
CMOS Logic ICs
CD4000B Series
The Harris CMOS Product fine covers a broad range of SSI,
MSI-l and MSI-2 functions from simple gates to complex
counters, registers, and arithmetic circuits, and Includes both
standard circuits types for commercial and industrial
applications and high-reliability slash (I) series types for
aerospace, military, and critical industrial applications.
The CD4000B-series ICs incorporate the latest improvements
in processing technology and plastic and ceramic packaging
techniques. Product quality is real-time controlled using
elevated-temperature group quality screening in which
measured dc parameters are criticized against tight product
limits.
Specific design features for CMOS devices and the performance advantages of CMOS technology provide the logic
system designer with the capability to achieve outstanding
performance, excellent reliability, and simplified circuitry in a
wide variety of equipment designs.
Harris offers a comprehensive line of high-voltage (nBn-series)
CMOS digital integrated circuits which meet, in all respect, the
industry standard specifications established by JEDEC.
Standardized Maximum Ratings and Recommended Operating Conditions for B-Serles CMOS Integrated Circuits
Maximum Ratings, Absolute-Maximum Values:
DC SUPPLV-VOLTAGE (VDD) ............•..............•.....•..•......•.••.•....•..•...•.....•.......•• -0.5 to 20V
(Voltage referenced to VSS Terminal)
INPUT VOLTAGE RANGE, ALLINPUTS .............••...•......•.............................•...... -0.5 to VDD +0.5V
DC INPUT CURRENT, ANY ONE INPUT ..•.......•..•••..••.....•..••..•..••.•••....•••.•.•••••••.••...•...... ±10mA
POWER DISSIPATION PER PACKAGE (PD):
For TA = -40 to +600 C (PACKAGE TYPE E) ...•...•..•..•.........•.•.•....•.•..••.••.••.••.•.•..•..•.••..•.• 500mW
For TA = +60 to +850 C (PACKAGE TYPE E) ..•.....•...•.•......•.•.•...•••..... Derate Linearly at 12mW/oC to 200mW
ForTA = -55 to +1000 C (PACKAGE TYPE D, F) .•...•...••.....•...•.....••.......••.•...•.•...••....•..•.•.. 500mW
For TA = + 100 to + 1250 C (PACKAGE TYPE D, F) •.....•..•......•.•.......•..... Derate Linearly at 12mW/oC to 200mW
DEVICE DISSIPATION PER OUTPUT TRANSISTOR:
ForTA = FULL PACKAGE-TEMPERATURE RANGE (All Package Types) ....•.......•.•.•..•..•...•....•..•..... 100mW
OPERATING -TEMPERATURE RANGE (TAl:
PACKAGETYPESD,F,H .••..•••.....•.....•...........•......•.•.....................•••.........• -55to+1250 C
PACKAGE TYPE E .....................................•......•.••......•..........•...••..•.....••• -40to+850 C
STORAGE TEMPERATURE RANGE (TSTG) .....•.••........••....•.•.•.••..•..•.•.•.••....•••.••....•.. -65 to +1500 C
LEAD TEMPERATURE (DURING SOLDERING):
At distance 1/16 ±1 /32in. (1.59 ± 0.79mm) from case for lOs maximum ...........•..........••..•....•.••....• +2650 C
Recommended Operating Conditions:
For maximum reliability, normal operating conditions should be selected so that operation is always within the following ranges:
Umits
Min
Characteristics
Supply-Voltage Range (ForTA = Full Package Temperature Range)
3
I
I
Max
Units
18
V
Product Classification Chart
Gates
NOR/NAND
CD4001B
CD4001UB
CD4002B
CD4011B
CD4011UB
CD4572UB
•
*
-
C04012B
CD4023B
CD4025B
CD4068B
CD4078B
CD40107B
See Comparators
See Demultiplexers
See Storage Registers
OR/AND
CD4071B
CD4072B
CD4073B
CD4075B
CD4081B
CD4082B
Buffers &
Inverters
CD4007UB
CD4009UB
CD4010B
CD4041UB
CD4049UB
CD4050B
CD4069UB
CD4502B
CD4503B
CD4572UB
CD40107B
Multi-Function
/AOI
CD4019B
CD4030B.
CD4048B
CD4070B.
CD4077B.
CD4085B
CD4086B
CD4519B
40
Decoders/
Encoders
CD4028B
CD4514B
CD4515B
CD4532B
CD4555B*
CD4556B
CD40147B
Schmitt
Trigger
CD4093B
CD40106B
Multlvibrators
Flip-Flop/Latches
CD4013B
CD4027B
CD4042B
CD4043B
CD4044B
CD4076BCD4095B
CD4096B
CD4099BCD4508B
CD4724BCD40174B
CD40175B
Astable/
Monostable
CD4047B
Monostable
CD4098B
CD4538B
CD14538B
CMOS Logic ICs
CD4000B Series
(Continued)
Product Classification Chart (Continued)
Registers
ShiH
Storage
CD4006B
CD4014B
CD40158
CD40218
CD40318
CD40348
CD40358
CD40948
CD45178
CD40100B
CD401948
CD4034B
CD4076Bt
CD4099B
CD47248
CD401748
CD40175B
Mullllplexers/
Demultlplexers
Counters
FIFO
Buffer
Binary
Ripple
CD40105B
CD4020B
CD4024B
CD40408
CD40608
CD45218
TIMERS
CD40458
CD45368
CD45418
CD45668
tSee
Flip/Flops
Synchrono us
CD4017B
CD4018B
CD40228
CD4029B
CD4059A
CD45108
CD45168
CD45188
CD45208
CD45228
CD45228
CD401028
CD401038
CD401608
CD401618
CD401628
CD401638
CD401928
CD40193B
Arithmetic Circuits
Adders/
Comparators
CD40088
CD4030B
tSee Multifunction
/AOI
CD40638
CD40708t
CD40778t
CD45858
CD45608
Analog/
Digital Data
Selectors
Phase
Locked
Loop
Quad
Bilateral
Switches
CD4016BLI.
CD4019B
CD40518
CD40528
CD40538
CD40668L1.
CD40678
CD40978
CD45128
CD45198
CD45298
CD45558cj>
CD45568cj>
CD402578
CD4046B
CD4016BO
CD4066BO
Ll.SeeQuad
Bilateral
Switch
cj>See
Decoders/
Encoders
Interface
Circuits
CD4009UB
CD4010B
CD4049U8
CD40508
CD4054B
CD45048
CD401078
CD401098
CD40115
CD40116L1.
CD401178
CD7211L1.#
CD7211ALI.#
CD7211MLI.#
CD7211AMLI.#
OSee
Multiplexers
Display Drivers
ALu/Rate
Multipliers
CD40898
CD45278
For LCD*
Drive
With
Counter
CD40268
CD4033B
CD401108
ForLED**
Drive
CD40548
CD7211MLI.#
CD7211AMLI.#
CD40558
CD40568
CD7211L1.#
CD4543B
CD7211 ALI. #
*Liquld Crystal Display
CD45118
**LightEmitting
Diode
I:l Indicates types designed for special applications. Ratings and characteristics data for these types differ in some aspects from the standardized data for B-series
types. ;> Interchangeable with Harris types ICM72111PL, ICM7211 AIPL, ICM7211 MPL, ICM7211AMPL, respectively.
Function Selection Chart
Function
Type No.
No. of
Pins
Function
Gates
8uffers and Inverters
NOR/NAND
Dual complementary pair plus inverter
Hex inverter
Quad Inverler
Hex Inverler/buffer(3-state)
Hex buffer (3-state non-inverting)
Hex buffer/converter (inverting)
2-lnput NOR, 2 Input NAND
Dual4-input NOR
Dual4-input NAND
Triple 3-input NOR
Triple 3-input NAND
Quad 2-lnput NOR
Quad 2-input NAND
8-input NOR/OR
8-input NAND/AND
Dual2-input NAND buffer/driver
CD4572U8
CD4002B
CD40128
CD40258
CD4023B
CD40018
CD4001U8
CD40118
CD4011U8
CD40788
CD40688
CD401078
16
14
14
14
14
14
14
14
14
14
14
8,14
CD40728
CD40828
CD40758
CD40738
CD40718
CD40818
14
14
14
14
14
14
Hex buffer/converter (non-inverling)
Quad true/complement buffer
Dual2-input NAND buffer/driver
No. of
Pins
CD4007U8
CD4069U8
CD4572U8
CD4502B
CD45038
CD4009U8
CD4049U8
CD40108
CD40508
CD4041U8
CD401078
14
14
16
16
16
16
16
16
16
14
8,14
CD40308
CD40708
CD4077B
CD45198
CD40198
CD45198
14
14
14
16
16
16
Multlfunctlon/AOI
Quad exclusive-OR
OR/AND
Quad exclusive-NOR
Dual4-input OR
Dual4-input AND
Triple 3-input OR
Triple 3-input AND
Quad 2-input OR
Qued 2-lnput AND
Type No.
Quad AND/OR Select
4-811 AND/OR Selector
41
CMOS Logic ICs
CD4000B Series
(Continued)
Function Selection Chart (Continued)
Function
Type
No. of
Pins
CD4085B
CD4086B
14
14
CD4048B
16
CD4028B
CD4532B
CD40147B
CD4514B
16
16
16
24
CD4515B
24
CD4555B
16
CD4556B
16
Function
Multlfunctlon/AOI
Dual 2-wide, 2-input AND/OR invert (AOI)
Expandable 4-wide, 2-input
AND/OR invert (AOI)
Multifunctional expandable 8-input
(3-stale output)
Shift Registers-Static
Dual4-stage with serial inpuV
parallel output
18-stage
64-stage
Dual 64-bit
8-stage with synchronous parallel
or serial inpuVserial output
a-stage with asynchronous parallel
input or synchronous serial
inpuVseriai output
4-slage parallel-in/parallel-out with
J-K input and lrue/complementoutput
4-blt universal bidirectional
with asynchronous master reset
a-stage bidirectional parallel or
serial inpuVparailel output
32-bitlefllright
8-stage shift-and-store bus
Schmit! Trigger
Quad 2-input NAND
Hex
CD4093B
CD40106B
14
14
Hex high-to-Iow voltage
(non-inverting)
Hex voltage level shifter
(TTL-CMOS. or CMOS-CMOS)
Dual 2-input NAND buffer/driver
8-bit bidirectional CMOS-to-Tl1.
level converler
Programmable dual4-bilterminator
CD40109B
CD4009UB
CD4049UB
CD4010B
CD4050B
CD4504B
16
16
16
16
16
16
CD40107B
CD40116Ll.#
8,14
22
CD40117BLl.
14
CD4047B
CD4098B
CD4538B
CD14538B
14
16
16
16
CD4013B
CD4027B
CD4095B
CD4096B
14
16
14
14
CD40174B
CD4076B
CD40175B
16
14
16
CD4042B
CD4043B
CD4044B
CD4508B
CD4099B
CD4724B
16
16
16
24
16
16
8-bit addressable latch
4-bit"D"-Iype with 3-state outputs
Binary Ripple
7-stage
12-stage
14-stage
14-stage counter/divider and oscillator
24-stage frequency divider
with oscillator section
"
14
CD4021B
16
CD4035B
16
CD40194B
16
CD4034B
24
CD40100B
CD4094B
16
16
CD4099B
CD4724B
CD4076B
16
16
16
CD40105B
16
CD4024B
CD4040B
CD4020B
CD4060B
CD4521B
14
16
16
16
16
CD4045B
CD4566B
CD4536B
CD4541B
14
16
16
14
CD4017B
16
CD4022B
16
CD4018B
16
CD4059A
CD4522B
CD4029B
24
16
16
CD4510B
CD40192B
CD4516B
CD40193B
CD40102B
CD40103B
16
16
16
16
16
16
16
16
Tlmers
21-stage
Time base generator
Programmable
Synchronous
Decade counter/divider plus 10
decoded decimal outputs
Divide-by-a counler/divider with
a decimal outputs
Presetlable divide-by-"N" counter,
fixed or programmable
Programmable-divide-by-"N" counter
Programmable BCD divide-by-"N"
Presettable up/down counter, binary
or BCD-decade
Presellable 4-bil BCD up/down counter
Latches
Quad Clocked "D"
Quad NOR R/S (3-state outputs)
Quad NAND R/S (3-state outputs)
Dual4-bit
8-bit addressable
CD4006B
CD4031B
CD4517B
CD4014B
Counters
Flip-FlOps
Dual "D" with seVreset capability
Dual "J-K" with seVreset capability
Gated "J-K" (non-Inverting)
Gated "J-K" (inverting and
non-inverting)
Hex"D"
4-bit"D" with 3-state outputs
Quad "0"
16
FIFO Buffers Registers
4-bitx 16word
Multivlbrators
Monostable/astable
Dual Monoslable
Dual precision monostable
CD4015B
Storage Registers
Interface
Quad low-to-high voltage
Hex hlgh-to-Iow voltage (inverting)
No. of
Pins
Registers
Decoders/Encoders
BCD-to-decimal decoder
8-input priority encoder
1O-line 10 4-line BCD priority encoder
4-bitlatch/4-to-16 line decoder
(outputs high)
4-bitlatch/4-1016 line decoder
(outputs low)
Duall-of-4 decoder/demultiplexer
(outputs high)
Duall-of-4 decoder/demultiplexer
(outputs low)
Type
Presellable 4-bit binary up/down counter
Preseliable 2-decade BCD down counler
Presettable 8-bit binary down counter
Indicales types designed for special applications. Ratings and characteristics data for these types differ In some aspects from the standardized dala for Bseries type•. it CMOS/sOS device.
42
CMOS Logic ICs
CD4000B Series
(Continued)
Function Selection Chart (Continued)
Type
No. of
Pins
Dual BCD up counter
CD4518B
16
Dual binary up counter
CD4520B
16
Decade counter/asynchronous clear
CD40160B
16
Binary counter/asynchronous clear
CD40161B
16
Decade counter/synchronous clear
CD40162B
16
Function
Counters
Duall-of-4 decoder/demultiplexer
(outputs low)
CD4556B
16
Quad 2-line-to-line
CD40163B
16
Decade counter/divider with
7-segment display outputs and
display enable
CD4026B
16
Decade counter/divider with
7-segment display outputs and
ripple blanking
CD4033B
(Continued)
CD40257B
16
8-channel
CD4512B
16
Quad 2-channel
CD4519B
16
CD4529B
16
CD4046B
16
4-bit full adder with parallel
Carry out
CD4008B
16
Triple serial adder, positive logic
CD4032B
16
Triple serial adder, negative logic
CD4038B
16
4-bit magnitude comparator
CD4063B
CD4585B
16
16
Analog (Data Selectors)
Dual4-channel
Display Drivers With Counter
Up/Down Counter-LatchDecoder-Driver
No. of
Pins
Digital
(Continued)
Binary counter/synchronous clear
Type
Function
Phase-Locked Loop
Micropower
Arithmetic Circuits
CD40110B
16
Adders/Comparators
16
For Llquid-Crystal-Display Drive
4-segment display driver
BCD-to-7-segment decoder/driver
with "display-frequency" output
CD4054B
CD4055B
16
16
Quad exclusive-OR gate
CD4030B
14
BCD-to-7-segment decoder/driver
with strobed-latch function
CD4056B
CD4543B
16
16
NBCD adder with 4 sum-outputs
plus carry out
CD4560B
16
4-digit decoder/driver with
hexadecimal display
CD7211J:,
40
Quad exclusive-OR gate
CD4070B
14
Quad exclusive-NOR gate
CD4077B
14
4-digit decoder/driver with
decimal display
CD7211AJ:,
40
4-digit decoder/driver with
hexadecimal display
CD7211MJ:,
40
BCD rate multiplier
CD4527B
16
Binary rate multiplier
CD4089B
16
4-digit decoder/driver with
decimal display
CD7211AMJ:,
CD4016B
CD4066B
14
14
CD22401 J:,
40
CD22402
24
4x4 crosspoint switch with
control memory
CD22100J:,
16
4x4x2 crosspoint switch with
control memory
CD22101 J:,
24
4x4x2 crosspoint switch with
control 'Tlemory
CD22102J:,
24
CD22401 J:,
40
ALu/Rate Multipliers
Quad Bilateral Switches
40
For transmission or multiplexing of
analog or digital signals
For Llght-Emitting-Diode Drive
BCD-to-7-segment latch decoder/
driver
CD4511B
Industrial Controller
16
16-channel precision timer/driver
Multiplexers/Demutiplexers
Sync Generator
Digital
Triple 2-channel
TV Camera Sync Generator
CD4053B
16
Differential4-channel
CD4052B
16
Single 8-channel
CD4051 B
16
Differential8-channel
CD4097B
24
Single 16-channel
CD4067B
24
Quad bilateral switch
CD4016B
14
Quad bilateral switch
CD4066B
14
Digital (Data Selectors)
Quad AND/OR select
CD4019B
16
CD4555B
16
Duall-of-4 decoder/demultiplexer
(outputs high)
Telecommunication Circuits
Crosspoint Switches
Timer/Driver
16-channel preciSion timer/driver
t::,. Indicates types designed for speCial appllcallons. Ratings and characteristics for Ihese types differ
series types.
43
In
some aspects from the standardized data for the A- and 8-
High-Speed CMOS Logic ICs
CD54/74HC/HCT Series
The Harris HC/HCT series of high-speed CMOS logic integrated circuits includes an extensive line of products that are pin
compatible with many existing bipolar 54/74 LSTTL and CMOS
4000 series of digital logic types. The new HC/HCT series ICs
provide high-speed CMOS replacements for the most popular
LSTTL devices in existing designs and also offer low-power
all-CMOS designs for the new digital systems. Key family
features of the Harris HC/HCT types include:
• Speeds equivalent to LSTTL types with typical gate delays of
Bns.
• Fanout to 10 74LSTTL loads; 15 loads using Bus Driver 54/
74 types.
• Operating frequencies equivalent to LSTTL types, typically
5OMHz.
• Full Operating Temperatue Ranges:
F Package: -55 to +1250 C
E & M Packages: -40 to +1250C
• The high voltage noise immunity characteristic of CMOS,
typically 45 percent of VCC, a two to three times
Improvement over LSTTL (HC-series types.)
• Wide range of power supply operating voltages, 2 to 6 volts.
• CMOS low static power consumption, typically less than 1
microwatt.
The product line consists of GD54/74HC-series types, which
feature CMOS input voltage level compatability, and CD54/
74HCT-series types, which are input voltage level compatible
with LSTTL devices. The line also includes a limited number of
single-stage, unbuffered inverter types (CD54/74HCU-series)
for added versatility in oscillator and amplifier applications.
Standardized Maximum Ratings and Recommended Operating Conditions for CD54/74HC, CD54/74HCT, and CD54/
74HCU Integrated Circuits
Maximum Rallngs,
Absolute-Maximum Values:
DC Supply-Voltage (VCC)
(Voltages referenced to ground) .......................................................................... -0.5 to + 7V
DC Input Diode Current, 11K (for VI < -0.5Vor VI> VCC + 0.5V) ..................................................... ±20mA
DC Output Diode Current,lOK (for Vo < -o.5Vor Vo > VCC + 0.5V) ................................................ ±20mA
DC Drain Current, Per Output (10) (for -0.5V < Vo < VCC + 0.5V):
Standard Output ..•.....••......•.......•.....•••.•••..•.....••••.•............••...•....••............... ±25mA
Bus Driver Output ..•.•...............•..................•..............................•.•........•....... ±35mA
DC VCC or Ground Current (ICC):
Standard Output .......................................................................................... ±50mA
Bus Driver Output .•.•...•.....•.....................••••.•.....•.....•••.............•..••.••............. ± 70mA
Power Dissipation Per Package (PD):
For TA = -40 to +1 OooC (Package Type E) .................................................................... 500mW
For TA +100 to +1250 C (Package Type E) .. . . . . .. . . . . . .. . .. • .. • .. • . . . . . . . . . . . • • . •. Derate Linearly at BmfOC to 300mW
For TA = -55 to +1 OooC (Package Type F, H) ................................................................. 500mW
For TA +100 to +1250 C (Package Type F, H) ..............•.•....••.•...•...••.... Derate Lineraly at BmWfOC to 300mW
For T A = -40 to + 700 C ( Package Type M) .................................................................... 400mW
For T A = +70 to +1250 C (Package Type M) .......................................... Derate Linearly at 6mWfOC to 70mW
=
=
Operating-Temperature Range (TA):
Package Types F, H ................................................................................ -55 to +125 0 C
Package Type E, M . . . • . . . . . . . . . . . . . • . . . . . . . . . . . . . . . . • . . . . . . . . . . • . . • . . . . . . . • • . . . . . . . . . . . . . . . . . • . . • •. -40 to +1250 C
Storage Temperature (TSTG) . . . . . . . .. . .. . . . . .. . .. . .. . .. • . . . . . . . .. . . . . .. . .. . • . • . . • . . . . . . . .. . .. . . . . . . • . .. -65 to +150o C
Lead Temperature (During Soldering):
At distance 1/16 ± 1/32in. (1.59 ± 0.79mm) from case for 10s maximum ......................................... +2650 C
Unit inserted into PC board min. thickness 1/16in.
(1.59mm) with solder contacting lead tips only .............................................................. " +300o C
Recommended Operating Conditions:
For maximum reliability, normal operating conditions should be selected so that operation is always within the following ranges:
Limits
Characteristics
Supply-Voltage Range (ForTA = Full Package-Temperature Range) VCC:'
CD54/74HC Types (and CD54/74HCU04)
CD54/74HCTTypes
DC Input or Output Voltage, VI, Vo
Operating Temperature, TA:
CD74 Types
CD54Types
Input Rise and Fall Times fr, tf
at2V
at4.5V
at6V
'* Unless otherwise specified, all voltages are referenced to ground.
44
Min
Max
Units
2
4.5
6
5.5
V
V
V
0
VCC
-40
-55
+125
+125
Oc
0
0
0
1000
500
400
ns
ns
ns
High-Speed CMOS Logic ICs
CD54/74HC/HCT Series
(Continued)
Product Classification Chart
Mulllvibralors
Gates
Mulll-
Buffern
NOR/NAND
OR/AND
Llne-
Bus
Function
Drivers
Drivers
AOI
CD54/74HC/HCT
HC/HCT02
HC/HCT03*
HC/HCT27
HC/HCT4002
HC/HCTOO
HC/HCT10
HC/HCT20
HC/HCT30
NOR
HC7266
HC/HCT08
HC/HCT11
HC/HCT21
HC/HCT32
HC/HCT4075
OR
Inverters
HC/HCT04
HCU04
HC/HCT240 0
HC/HCT241o
HC/HCT244°
HC/HCT355°
HC/HCT366°
HC/HCT367°
HC/HCT366°
HC/HCT540 o
HC/HCT541 °
HC/HCT86
HC/HCTI25
HC/HCT126
HC/HCT241 °
HC/HCT244°
HC/HCT365°
HC/HCT366 °
HC/HCT367°
HC/HCT366°
HC/HCT540o
HC/HCT541°
HC/HCT86
HC/HCT266
High-la-Low
Level
I
Flip-Flops
CD54/74/HC/HCT
Exclusive
Excluslve-
Schmitt
Trlggor
Decodersl
Encodersl
Fllp-Flops/Lalches
Lalches
CD54/74HC/HCT
HC/HCT42
HC/HCT137
HC/HCTI38
HC/HCT139
HC/HCT147
HC/HCT154
HC/HCT237
HC/HCT238
HC/HCT4511
HC/HCT4514
HC/HCT4515
HC/HCT4543
HC/HCT14
HC/HCT132
Shifters
HC/HCT73
HC/HCT74
HC/HCT107
HC/HCT109
HC/HCT122
HC/HCTI73°
HC/HCT174
HC/HCT175
HC/HCT273
HC/HCT374°
HC/HCT377
HC/HCT534°
HC/HCT564°
HC/HCT574°
HC/HCT75
HC/HCT259
HC/HCT373°
HC/HCT533°
HC/HCT563°
HC/HCT573°
Monostable
HC/HCTI23
HC/HCT221
HC/HCT423
HC/HCT4538t
HC4049
HC4050
Registers
Counlers
FIFO
Buffer
Shift
Binary
Ripple
Multlport
CD54/74HC/HCT
HC/HCT164
HC/HCT165
HC/HCT166
HC/HCT194
HC/HCT195
HC/HCT299°
HC/HCT597
HC/HCT4015
HC/HCT4094
HC/HCT40104°
HC/HCT40105
HC/HCT7030 °
Dlgllal
Mulllplexers
Sychronaus
Phase
Locked
CD54/74HC/HCT
HC/HCT670
HC/HCT93
HC/HCT390
HC/HCT393
HC/HCT4020
HC/HCT4024
HC/HCT4040
HC/HCT4060
HC/HCT40103
Programmable
HC/HCT4059
HC/HCTI60
HC/HCT161
HC/HCT162
HC/HCTI63
HC/HCTI90
HC/HCT191
HC/HCT192
HC/HCT193
HC/HCT4017
HC/HCT4510
HC/HCT4516
HC/HCT4518
HC/HCT4520
HC/HCT40102
ALU/Rale
Multipliers
HC/HCTI51
HC/HCT153
HC/HCT157
HC/HCTI58
HC/HCT251
HC/HCT253 °
HC/HCT257°
HC/HCT258
HC/HCT354°
HC/HCT356 °
HC/HCT297
HC/HCT4046A
HC/HCT7046A
HC/HCTI81
HC/HCTI82
HC/HCT401611.
HC/HCT406611.
HC/HCT431611.
BUS
Transceivers
Analog
Multlplexera/
Demultiplexers
HC/HCT4051
HC/HCT4052
HC/HCT4053
HC/HCT4067
HC/HCT4051
HC/HCT4352
HC/HCT4353
HC/HCT242°
HC/HCT243°
HC/HCT245°
HC/HCT640O
HC/HCT643°
HC/HCT646°
HC/HCT647°
HC/HCT648°
HC/HCT649°
HC/HCT651°
HC/HCT652°
HC/HCT653°
HC/HCT654°
HC/HCT7038 °
Display Drivers
Parity
Gernerator/Checker
For LCD
Drive
CD54/74HC/HCT
HC/HCT85
HC/HCT283
HC/HCT583
HC/HCT688
Interface
Circuits
CD54/74HC/HCT
Arithmetic Circuits
Addera/
Comparators
Bllaleral
Switches
Loops
For LED
Drive
CD54/74HC/HCT
HC/HCT260
HC/HCT4543
See Decoders!
Encoders
• Open Collector
II. Quadlype
• With Bus Driver output stage
Precision type
45
HC/HCT4511
High-Speed CMOS Logic ICs
CD54/74HC/HCT Series
(Continued)
Function Selection Chart
TypeCD54n4
Classification
No. of Pins
HC/HCTOO
HC/HCT02
HC/HCT03
HC/HCT10
HC/HCT20
HC/HCT27
HCtHCT30
HC/HCT4002
NAND/NOR Gates
Quad 2-lnpul NAND Gate
Quad 2-lnpul NOR Gale
Quad 2-lnpul NAND Gale wilh Open Drain
Triple 3-lnpul NAND Gale
Dual 4-lnpul NAND Gale
Triple 3-lnpul NOR Gale
8-lnpul NAND Gale
Dual 4-lnpul NOR Gale
Function/Description
SSI
SSI
SSI
SSI
SSI
SSI
SSI
SSI
14
14
14
14
14
14
14
14
HC/HCT08
HC/HCT11
HC/HCT21
HC/HCT32
HC/HCT86
HC/HCT4075
HC7266
AND/OR/EXCLUSIVE-OR Gales
Quad 2-lnpul AND Gale
Triple 3-lnpul AND Gale
Dual 4-lnpul AND Gale
Quad 2-lnpul OR Gale
Quad 2-lnpul EXCLUSIVE-OR Gale
Triple 3-lnpul OR Gale
Quad Exclusive NOR Gale
SSI
SSI
SSI
SSI
SSI
SSI
SSI
14
14
14
14
14
14
14
HC/HCT04
HCU04
HC/HCT125*
HC/HCT126*
HC/HCT240*
HC/HCT241*
HC/HCT244*
HC/HCT365*
HC/HCT366*
HC/HCT367*
HC/HCT368*
HC/HCT540*
HC/HCT541*
HC4049
HC4050
Inverters/Buffers/Bus Drivers
Hex Inverter/Buffer
Hex Inverter (Unbuffered)
Quad 3-Stale Buffer
Quad 3-Slale Buffer
Octal Buffer/Line Driver; 3-Slale; Inverting
Octal Buffer/Line Driver; 3-Slale
Octal Buffer/Llne Driver; 3-Slale
Hex Buffer/Line Driver; 3-Slale
Hex Buffer/Line Driver; 3-Slate; Inverting
Hex Buffer/Line Driver; 3-Staie
Hex Buffer/Line Driver; 3-State; Inverting
Octal Buffer/Line Driver; 3-Slate; Inverting
Octal Buffer/Line Driver; 3-Slale
Hex Inverting HIGH-la-LOW Level Shifter
Hex HIGH-Io-LOW Level Shifter
SSI
SSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
SSI
SSI
14
14
14
14
20
20
16
16
16
16
16
20
20
16
16
HC/HCT73
HC/HCT74
HC/HCT107
HC/HCT109
HC/HCT112
HC/HCT173*
HC/HCT174
HC/HCT175
HC/HCT273
HC/HCT374*
HC/HCT377
HC/HCT534*
HC/HCT564*
HC/HCT574*
Flip-Flops
Dual JK Flip-Flop with Reset; Negative-Edge Trigger
Dual 0-Type Flip-Flop with Sel and Reset; Positive-Edge Trigger
Dual JK Flip-Flop with Reset; Negative-Edge Trigger
Dual JK Flip-Flop with Set and Reset; Positive-Edge Trigger
Dual JK Flip-Flop with Set and Resel; Negative-Edge Trigger; 3-State
Quad 0-Type Flip-Flop with Sel and Reset; Positive-Edge Trigger; 3-State
Hex 0-Type Flip-Flop with Resel; Positive-Edge Trigger
Quad 0-Type Flip-Flop with Reset; Positive-Edge Trigger
Octal 0-Type Flip-Flop wilh Reset; Positive-Edge Trigger
Octal 0-Type Flip-Flop; Positive-Edge Trigger; 3-Slale
Octal 0-Type Flip-Flop with Dala Enable; Positive-Edge Trigger
Octal 0-Type Flip-Flop; Positive-Edge Trigger; 3-State; Inverting
Octal 0-Type Flip-Flop; Positive-Edge Trigger; 3-Stale; Inverting
Octal 0-Type Flip-Flop; Positive-Edge; 3-State
FF
FF
FF
FF
FF
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
14
14
14
16
16
16
16
16
20
20
20
20
20
20
HC/HCT164
HC/HCT165
HC/HCT166
HC/HCT194
HC/HCT195
HC/HCT299*
Shlfl/FIFO Buffer/Muiliport Registers
8-Bit Serial-in/Parallel-Out Shift Register
8-Bit Parallel-In/Serial-Oul Shift Register
8-Bil Parallel/Serial-in Serial Out Shift Register
4-Bit Bidirectional Universal Shift Register
4-Bil Parallel Access Shift Register
8-Bit Universal Shift Register; 3-State
MSI
MSI
MSI
MSI
MSI
MSI
14
16
16
16
16
20
.. Types with a bus driver output stage.
46
High-Speed CMOS Logic ICs
CD54/74HC/HCT Series
(Continued)
Function Selection Chart (Continued)
Function/Description
Type CD54/74
Classification
No. of Pins
HC/HCT597
HC/HCT670*
HC/HCT4015
HC/HCT4094
HC/HCT7030*
HC/HCT40104*
HC/HCT40105
Shift/FIFO Buffer/Multlport Registers (Continued)
8-Bit Shift Register With Input Latch
4 x 4 Register File; 3-State
Dual 4-Stage Static Shift Register
8-Stage Shift-and-Store Bus Register; 3-State
9-Bit x 64 Word FIFO Register; 3-State
4-Bit Bidirectional Universal Shift Register; 3-State
4 Bits x 16 Words FIFO Register
MSI
MSI
MSI
MSI
MSI
MSI
MSI
16
16
16
16
28
16
16
HC/HCT85
HC/HCT181
HC/HCT182
HC/HCT280
HC/HCT283
HC/HCT583
HC/HCT688
Arithmetic Circuits
4-Bit Magnitude Comparator
4-Bit Arithmetic Logic Unit
Look-Ahead Carry Generator
9-Bit Odd/Even Parity Generator/Checker
4-Bit Binary Full Adder With Fast Carry
4-Bit BCD Full Adder with Fast Carry
8-Bit Magnitude Comparator
MSI
MSI
MSI
MSI
MSI
MSI
MSI
16
24
16
14
16
16
20
HC/HCT93
HC/HCT160
HC/HCT161
HC/HCT162
HC/HCT163
HC/HCT190
HC/HCT191
HC/HCT192
HC/HCT193
HC/HCT390
HC/HCT393
HC/HCT4017
HC/HCT4020
HC/HCT4024
HC/HCT4040
HC/HCT4059
HC/HCT4060
HC/HCT4510
HC/HCT4516
HC/HCT4518
HC/HCT4520
HC/HCT40102
HC/HCT40103
Counters
4-Binary Ripple Counter
Presellable Synchronous BCD Decade Counter; Asynchronous Reset
Presettable Synchronous 4-Bit Binary Counter; Aysnchronous Reset
Presellable Synchronous BCD Decade Counter; Synchronous Reset
Presettable Synchronous 4-Bit Binary Counter; Synchronous Reset
Presettable Synchronous BCD Decade Up/Down Counter
Presellable Synchronous 4-Bit Binary Up/Down Counter
Presettable Synchronous BCD Decade Up/Down Counter
Presettable Synchronous 4-BH Binary Up/Down Counter
Dual Decade Ripple Counter
Dual4-Bit Binary Ripple Counter
Decade Counter/Divider with 10 Decoded Outputs
14-Stage Blnery Ripple Counter
7-Stage Binary Ripple Counter
12-Stage Binary Ripple Counter
Programmable Divide by uN" Counter
14-Stage Binary Ripple Counter with Oscillator
Presettable BCD Up/Down Counter
Presellable Binary Up/Down Counter
Dual Synchronous BCD Counter
Dual 4-Bit Synchronous Binary Counter
Synchronous 2-Decade BCD Down Counter
6-Bit Synchronous Binary Down Counter
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
14
16
16
16
16
16
16
16
16
16
14
16
16
16
16
24
16
16
16
16
16
16
16
HC/HCT123
HC/HCT221
HC/HCT423
HC/HCT4SS8
Ona-Shot Multlvlbrators
Dual Ratrlggerable Monostable Multivibrator with Reset
Dual Monostable Multivibrator with Reset
Dual Retriggerable Monostable Multivlbrator with Reset
Dual Retriggerable Precision Monostable Multivibrator
MSI
MSI
MSI
MSI
16
16
16
16
HC/HCT151
HC/HCT1SS
HC/HCT157
HC/HCT158
HC/HCT251
HC/HCT2SS*
HC/HCT257*
HC/HCT258
HC/HCT354*
HC/HCR356*
Analog and Digital Multiplexers/Demultiplexers
8-lnput Multiplexer
Dual 4-lnput Multiplexer
Quad 2-lnput Multiplexer
Quad 2-lnput Multiplexer; Inverting
8-lnput Multiplexer; 3-State
Dual4-lnput Multiplexer; 3-State
Quad 2-lnput Multiplexer; 3-State; Non-Inverting Outputs
Quad 2-lnput Multiplexer; 3-State; Inverting Outputs
8-lnput Multiplexer/Register; 3-State
8-lnput Multiplexer/Register; 3-State
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
16
16
16
16
16
16
16
16
20
20
• Type with a bus-driver output stage.
47
High-Speed CMOS Logic ICs
CD54/74HC/HCT Series
(Continued)
Function Selection Chart (Continued)
Type CD54114
Classification
No. of Pins
HC/HCT4051
HC/HCT4052
HC/HCT4053
HC/HCT4067
HC/HCT4351
HC/HCT4352
HC/HCT4353
Analog and Digital Multiplexers/Demultiplexers (Continued)
8-Channel Analog Multiplexer/Demultiplexer
Dual4-Channel Analog Multiplexer/Demultiplexer
Triple 2-Channel Analog Multiplexer/Demultiplexer
16-Channel Analog Multiplexer/Demultiplexer
Dual4-Channel Analog Multiplexer/Demultiplexer with Latch
Triple 2-Channel Analog Multiplexer/Demultiplexer with Latch
16-Channel Analog Multiplexer/Demultiplexer with Latch
Function/Description
MSI
MSI
MSI
MSI
MSI
MSI
MSI
16
16
16
24
20
20
20
HC/HCT42
HC/HCT137
HC/HCT138
HC/HCT139
HC/HCT147
HC/HCT154
HC/HCT237
HC/HCT238
HC/HCT4511
HC/HCT4514
HC/HCT4515
HC/HCT4543
Decoders/Encoders
BCD to Decimal Decoder (1-0/-1 0)
3-to-8-Line Decoder with Latch; Inverting
3-to-8-Line Decoder/Demultiplexer; Inverting
DuaI2-1o-4-Line Decoder/Demultiplexer
10-to-4-Line Priority Encoder
4-to-16-Line Decoder/Demultiplexer
3-to-8-Line Decoder/Demultiplexer with Address Latches
3-to-8-Line Decoder/Demultiplexer Non-Inverting
BCD-1o-7-Segment Letch/Decoder/Driver
4-to-16-Line Decoder/Demultiplexer wHh Input Latches
4-to-16-Line Decoder/Demultiplexer with Input Latches
BCD-1o-7-Segment Latch/Decoder/Driverfor LCDs
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
16
16
16
16
16
24
16
18
16
24
24
16
HC/HCT4016
HC/HCT4066
HC/HCT4316
Analog Switches
Quad Bilateral Switch
Quad Bilateral Switch
Quad Analog Switch
SSI
SSI
MSI
14
14
16
HC/HCT242*
HC/HCT243*
HC/HCT245*
HC/HCT640*
HC/HCT643*
HC/HCT646*
HC/HCT648*
HC/HCT7038*
Bus Transceivers
Quad Bus Transceiver; 3-Stale; Inverting
Quad Bus Transceiver; 3-State
Octal Bus Transceiver; 3-State
Octal Bus Transceiver; 3-State; Inverting
Octal Bus Transceiver; 3-State; True/lnverling
Octal Bus Transceiver; 3-Stale
Octal Bus Transceiver; 3-State; Inverting
9-Bit Bus Transceiver with Latch
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
14
14
20
20
20
24
24
24
HC/HCT14
HC/HCT132
Schmitt Triggers
Hex Inverting Schmitt Trigger
Quad 2-lnput NAND Schmitt Trigger
SSI
SSI
14
14
HC/HCT75
HC/HCT259
HC/HCT373*
HC/HCT533*
HC/HCT563*
HC/HCT573*
Latches
Dual2-lnput Bistable Transparent Latch
8-Bit Adressable Latch
Octal Transparent Latch; 3-State
Octal Transparent Latch; 3-State; Inverting
Octal Transparent Latch; 3-State; Inverting
Octal Transparent Latch; 3-State
FF
MSI
MSI
MSI
MSI
MSI
16
16
20
20
20
20
HC/HCT297
HC/HCT4046A
HC/HCT7046A
Phase-Locked Loops (PLL)
Digital Phase-Locked Loop Filter
Phase-Locked Loop with VCO
Phase-Locked Loop with In-Lock Detection
MSI
MSI
MSI
16
16
16
... Type with a bus-driver output stage.
48
Advanced CMOS Logic ICs
CD54/74AC/ACT Series
The Advanced High-Speed CMOS Logic product line
represents the second generation of high-speed CMOS logic.
Designated the CD54/74AC and CD54/74ACT families, these
devices match Fairchild's bipolar FAST devices in speed,
performance and logic/type output drive, but at CMOS power
levels.
N
Featuring < 3ns gate propagation delays, Advanced CMOS
Logic is the fastest CMOS logic yet available. (By contrast, the
standard propagation delay for CMOS logic is 90ns, and for
high-speed CMOS logic, 9ns.) ACL can operate at more than
150M Hz. Output drive capability is 24mA, compared with 6mA
for HC/HCT. This capability enables AC/ACT types to drive
50n transmission lines, yet still generate the voltages
necessary to operate the receiving logic devices safely.
Maximum Ratings,
Benefits of the Advanced CMOS Logic family compared to
other logic families include:
• Lower Power Dissipation
• Balanced Propagation Delay
• Superior Input Characteristics: Larger Noise Immunity and
Noise Margin Input Switching Voltage Stability with
Temperature Variation
• Lower Input Current
• Improved Output Source Current with Better Balance
• Wider Operating Supply Voltage Range
• Wider Commercial-Product Operating-Temperature
Range
• Lower 3-State Output Leakage (High-Z-Mode)
• Improved Reliability in General, and Particulary in
Surface-Mount (Small-Outline) Packages
• Rail-To-Rail Output Voltage Swing
Absolute-Maximum Values:
DC Supply-Voltage (VCC) .................................•..................•.....•.•.........•........•. -0.5 to 6V
DC Input Diode Current, 11K (for VI < -0.5V or VI> VCC + 0.5V) ....•...•..•....................................... ±20mA
DC OutiJut Diode Current, 10K (for Vo < -0.5V or Vo > VCC + 0.5V) •...•..........•..•..................•.••.•... ±50mA
DC Output Source OrSink Current per Output Pin, 10 (forVO > -0.5 orVO '12
Oto+70
-25 to +85
-55to+125
Multiplying DAC
High performance
Industry standard
AD7545
12
2118
max.
0.05 :2
0.024: 1
4
1
1
0.6
0.4
0.2
I
Yes
51015
Oto+70
-4010+85
-55to+125
Mulitplying DAC
Industry slandard
ICl7134
14
1lis
0.012 :3/2
0.006: 1
12 Bil
13 mono14 tonic
0.024
0.012
0.006
I
Yes
double
3.510
6.0
010+70
-2510 +85
-5510+125
On-chip PROM
Controlled
Correction DAC
ICl7121
16
311S
14 Bit
15 mono16 tonic
0.04
0.02
0.Q1
I
Yes
4.5 to
5.5
Oto+70
-55to+125
On-chip PROM
Controlled
Correction DAC
Power
Supply
(V)
Temp.
Range (OC)
0.4
0.3
:1
:'*
0.012: '12
0.003: '12
max.
0.009:6
0.006:4
0.003:2
(1 LSBtyp.)
Guaranteed 1.8 max.
monotonic
Input
Buffer
'*
'12
Bipolar D/A Converters
Type
Res.
(Bits)
Settling
Time to
'hLSB
Integral Nonlinearity
(± %FSR : LSB)
Dlff. NonGain
linearity
Error
Output
(±LSB) (±%FSR)
I/V
ICL8018A
ICL8019A
ICl8020A
4
200ns
(12 bits)
HI-562A
12
300ns
0.012: '12
'12
HI565A
12
350ns
0.012: '12
HI-DAC80V
12
1.511S
HI-DAC85V
12
1.511S
0.Q1
0.1
1
Input
Buffer
I
No
+5
-15
010+70
-55to+125
4-bit expandable
current-switch
0.024
I
No
+5
-15
Oto+75
-25 to +85
-55to+125
Induslry standard
'*
0.1
I
No
±12
010+75
-55to+125
On-chip +10V
reference
0.012: '12
'*
0.3
max.
V
No
±15
010+75
On-chip reference
and output op-amp
0.012:'12
V.
0.15
V
No
±15
-25to +85
On-chip reference
and output op-amp
On-chip reference
and outpul op-amp
Mil. lemp. range
Maximum absolute error at any
Input Code
max.
HI-DAC87V
/883
12
2.01lS
HI-DAC16B
HI-DAC16C
16
1lis
(14 bits)
Comment
0.Q18:
'*
0.002 :3/2
0.0045 : 3 (typ.)
1
0.45
max.
V
No
±15
-55to+125
1
2 (typ.)
0.1
I
No
±15
Oto+75
64
High temperature
stability
AID Converters
Type
Resolution
(Bits)
Clock Rate
(MHz)
Inlegral
Linearity
(LSB)
Power
Dlsslpallon
Typ.(mW)
Conversion
nme(~s)
Dlff.
Linearity
(LSB)
Package
Number
01 Pins'
CMOS/50S Parallel (Flash) Types
-
30
y.
y.
1SE,D
35
'fa
y.
1SE,D
55
'h
'h
1SE,D
70
15
-
70
'h
y.
'h
y.
1SD
15
-
150
1.5
+1/-0.S
24E,D
13
-
-
-
-
CA3304
4
20
CA3304A
4
25
CA330SC
S
10
CA330S
6
15
CA3306A
6
8
CA331SC
1SE
Has input bandwidth restriction of 2.5MHz.
CA331 0
-
10
'h, 1 LSB max. linearity TIL, 3-state output SmA fm ±5V. Temperature ranges: -40 to +S50 C, -55 to + 1250 C
HI-7151
10
-
10
150
'h
'h
2S
-
5
150
'h
'h
2S
1 (Count)
NMC
1SE
1 (Count)
NMC
16E
TIL, 3-state output
HI-7152
10
45mA fm ±5V. Temperature ranges: 0 to + 700 C, -40 to +S50 C, -55 to + 1250 C
12L Inlegrallng Types
.
CA3162
3 Digits
-
10(ms)
60
Part of 2-chip set. To make complete DPM, add CA31S1 and 3 PNP transistors.
CA3162A
-
3 Digits
10(ms)
60
-40 to +850 C specified version of CA31S2.
NOTES: 1. A+ SV supply voltage for all types.
2. Power dissipation is at given sampling rate, at given supply voltage, and does not include reference current.
3. All converters operate from single supply. Specifications are limit values unless noted as typical.
4. All flash and successive approximation AID converters have three-state CMOS bus driver outputs.
5. Unless noted. all flash and successive approximation AID converters will accept Nyquist rate input bandwidths.
NMC
= No missing codes
·See Packaging Section
Dlgilal
Output
Formal
Inpul
Voltage
Range
Multiplexed BCD
strobes
Oto±0.2V
Oto±2V
3mA(max.)
Run/hold input, UART handshake. ±12-bit
resolution, 1 LSB max. linearity, TIL, 3-state
output, 130ms conversion time. Temp. ranges:
oto + 700 C, -25 to +S50 C, -55 to +1250 C
S/4 bits, separate
enables
Oto±4V
Oto±3.5V
3mA(max.)
ICL7104-14
ICL8052
2-chip set, low input leakage, 30pA max. Typical
noise (30~V). ±16-bit resolution, 1 LSB max.
linearity, TIL, 3-state output, 300ns conversion
time. Temp. range: 0 to + 700C
S/6 bits, separate
enables
±10V
ICL7104-14
ICLS06S
2-chip set, low input noise. 2~V typical
S/S bits, separate
enables
±10V
Type
Special Features
ISUPPLY
Roll
Over
Error
Package
Number
01 Pins'
±5V(typ.)
±1 Count
JI,PI
±1 Count
DL,JL,PL
+1 LSB
DL,JL,PL
VSUPPLY
4'1. Digit
ICL7135
Under & over range outputs, polarity output
12-BII
ICL71 09**
±5V(typ.)
14-BII
+5Vand±15V
(typ.)
DD,PD
14mA from ±5V
+5Vand±15V
(typ.)
+1 LSB
1mA(max.)
DL,JL,PL
JD
16-Blt
ICL7104-16
ICLS052
2-chip set, low input leakage, 30pA max.
S/S bits, separate
enables
±10V
+5Vand ±15V
(typ.)
ICL71 04-16
ICLS06S
2-chip set, low input noise. 2~V typical.
8/S bits, separate
enables
±10V
+5Vand±15V
(typ.)
+1 LSB
DL,JL,PL
1 LSB
DL,JL,PL
1mA(max.)
DD,PD
1mA(max.)
Operating temperature range (TA): 0
to +700C • See Packaging SectIOn ··Operatlng temperature range also -25 to +B5 0 C, -S5 to +12SOC
65
JD
AID Converters
Successive-Approximation Types
VSUPPLY
Type
Special Features
Digital Output
Format
Conversion
Speed II's)
'SUPPLY
Operating
Temperature Range
(TAHOC)
Package
Number
ofPlns'
010 +70, -40 10 +85
-5510+125
LCN,LCD,LD
010 +70, -40 10 +85
-5510+125
LCN,LCD,LO
010 +70, -40 10 +85
-5510+125
LCN,LCO
010+70,-2510+75
-55to+125
CDL,IOL, MOL
010+70,-2510 +85
-5510+125
CDL,IOL, MOL
a-Bit
AOC0802
Differenlial inputs
8-bilbinary
114 (max.)
+5V(lyp.)
2.5mA (max.)
AOC0803
Differential Inputs
8-bit binary
114Imax.)
+5V(Iyp.)
2.5mA Imax.)
ADC0804
Differentiallnpuls, 8-bit
resolution, max. linearity
'h LSB, TIl, 3-slale oulpul
8-bit binary
114(max.)
+5V(typ.)
2.5mA (max.)
For operation with 8080A or 28OI'P control bus: ADC0802 Total unadjusled error: :I:'h LSB.
AOC0803 TOlallull-scale adjusled error: :I:'h LSB.
AOC0804 Total unadjusled error::l:1 LSB.
12-Blt
ICL7112
J/K/L
High-speed, 12-bil resolution,
'h, 1 LSB max.linearily, TTL,
3-slale outpul
8/16bits
High-speed, 14-bil resolution,
2,3 LSB max.linearily, TIl,
3-stale oulpul
8/16bits
40Imax.)
+5V(lyp.)
2mAltyp·)
14-BII
ICL7115
J/K/L
NOTE: Input voltage range
m
40Imax.)
+5Vllyp·)
8mAltyp·)
0 to -5 volts. For ICL7115 also 0 to -5 volts.
*See Packaging Section
66
AID Converters
Microprocessor Compatible
12-81t AID Converters with liP Interface
Conversion
Res.
Bits
Temperature
Range (OC)
Linearity
Error Max,
+25 0 C(LSB)
Speed(~s)
+2S OC
Differential
Nonlinearity Max
No Missing Codes
Gain Drift
ppm/oC,
Max
Full Temp
12 Bits
BBlts
Max Over
Temperature
Type
Features
HI-574AJD-5
HI-574AKD-5
HI-574ALD-5
HI-574ASD-2
HI-574ASD/
883
HI-574ATD-2
HI-574ATD/
883
• Complele wilh reference
and clock
• 150ns bus access time
• 20~s typo conversion
time (full temp. range)
• ±12Vto ±15Voperation
• No missing codes over
temperalure
• Minimal sel-up time for
control signals
• Byte enable/short cycle
(AOinput)
• Improved allernale source
for the AD574A & HS574
• Available in 28-pin
ceramic, DIP and
lead less chip carrier
12
12
12
12
12
010 +75
010+75
Ota +75
-55 to +125
-55to+125
±1
±'h
±'h
±1
±1
11 bits
12 bits
12 bits
11 bits
11 bits
±45
±25
±10
±50
±50
25
25
25
25
25
17
17
17
17
17
12
12
-55to+125
-55to+125
±'h
±'h
12 bits
12 bits
±25
±25
25
25
17
17
HI-674AJD-5
HI-674AKD-5
HI-674ALD-5
HI-674ASD-2
HI-674ASD/
B83
HI-674ATD-2
HI-674ATD/
883
• Complete with reference
and clock
• Fast conversion: 12)1s
typ., 15~s max. for 12 bits
• Seleclable B or 12 line
bus interface 10
microprocessor
• 150ns bus access time
• Same pinout and
functions as the HI-574A
andAD574A
• No missing codes over
temperature
• Available in 28-pin
ceramic, DIP and
leadless chip carrier
12
12
12
12
12
Oto+75
Oto+75
Oto+75
-5510+125
-55to+125
±1
±Y.
±'h
±1
±1
11 bits
12 bits
12 bits
11 bits
11 bits
±45
±25
±10
±50
±50
15
15
15
15
15
10
10
10
10
10
12
12
-55to+125
-55 to +125
±'h
±'h
12 bits
12 bits
±25
±25
15
15
10
10
HI1-774J-5
• Complete with reference
HI1-774AK-5
and clock
HI1-774S-2
• 9~s (max.) conversion
HI1-774T-2
time over commercial
HI1-774S/883
lemperature
HI1-774T/883 • 150ns bus access time
• Superior allernate source
10lheAD574A, HS574
and HI574A
• No missing codes over
temperature
• Fu1l8,120r16-bit
~P inlerface
• Error correction
• Available in 28-pin DIP
12
12
12
12
12
12
010+75
010+75
-55 to +125
-55to+125
-5510+125
-5510+125
±1
11 bits
12 bits
11 bits
12 bits
10 bits
11 bits
±9
±5
±20
±10
±50
±25
9
9
11
11
11
11
6.8
6.B
B.3
8.3
8.5
8.5
±Vz
±1
±'h
±1
±'h
67
AID Converters
AID Converters with Display Drivers
Integrating ND Converters are characterized by high inherent
accuracy, excellent noise rejection, non-critical associated
components and low cost. They are relatively slow, with
conversion rates up to 30 conversions per second. All Harris
integrating converters provide fully precise Auto-Zero,
Type
Auto-Polarity (including ± null indication), single reference
operation, very high Input Impedance, true input Integration
over a constant period (for maximum EMI rejection),
fully ratiometric operation, overrance indication and a
medium-quality built-in reference.
Conversions/
Sec
Special Features
VSUPPLY/
ISUPPLY
Package
Number
of Pins'
+9V(typ.)
JL,M44,PL
3V.-Dlglt Types for direct Drive of LCD 7-Segment Displays
ICL7106
ICL7116
ICL7126
•
•
•
•
•
0.1t015
Autozeroto<10llV
Zero input drift: <111V/oC
Rollover and linearity errors: <1 count
Input voltage range: 0 to 2V
Hold reading input retains display reading in ICL7l16
1.8mA (max.)
0.1 t015
+9V(typ.)
PL,M44
1.8mA (max.)
• ICL7126 plug-in replacementfor ICL71 06 with change in passive components
• ICL7136 low-power version of ICL71 06 but with max. supply current of only
1OOIlA ideally suited for 9-volt baUery operation
0.1 t04
0.1 t04
ICL7136
+9V(typ.)
PL,M44
1001lA (max.)
+9V(typ.)
PL,M44
1001lA (max.)
3V.-Diglt Types for Direct Drive of LED 7-Segment Displays
ICL7137
ICL7107
•
•
•
•
Features same as above
ICL7137 improved version of ICL71 07
ICL7107 similar to ICL7137, but requires 1.8mA max. supply current
ICL7117 has all the features of the ICL71 07 with the addition of a HOLD
Reading Input
0.1 t04
±5V(typ.)
PL
20011A (max.)
0.1 t015
±5V(typ.)
IL,PL
1.8mA (max.)
ICL7117
0.1 t015
±5V(typ.)
PL
1.8mA (max.)
3:v.-Dlglt Auto Ranging DMM's with On-Chip Duplex LCD Display Drive
ICL7139
ICL7149
•
•
•
•
•
Input voltage range to 400 volts
DC current to 4A; resistance to 4MO
Power dissipation <20mW
ICL7139 has 13 ranges (one for AC voltage)
ICL7149 has 18 ranges (two for AC voltage with optional AC circuit)
2.4
+9V(typ.)
PL,M44
2.4mA (max.)
2.4
+9V
PL,M44
2.4mA (max.)
4Va-Dlglt Single-Chip Type for Direct Drive of Multiplexed LCD Displays
ICL7129
• For high-quality baUery-operated equipment
• Accuracy full-scale/beUer than 0.005%, and resolution down to 1OIlV/count
2
+9V(typ.)
PL
1.5mA (max.)
101-Segment LCD Bargraph for Direct Drive of Multiplexed LCD Displays
ICL7182
• Differential input voltage range: 200mV to 1.1V
• Precision on-chip reference: 50 ppm/oC, can extend operating supply voltage
range from 3 to 40V
Operating Temperature Range: 0 to +700 C (ICL7162 also -25 to +65 0C)
*See Packaging Section
68
25
+5V(typ.)
1.8mA (max.)
PL,M44
Display Drivers and Interface ICs
#Of
Characters
Or Digits
Display
Type
Font
Interface
-~
Ii Ii
f! ci ci
. .
. .. ..
m + .!!l+
uC C c C
0
"
C
C
c(
Type
Features and Comments
E E E
C)
.. .. ..
2 +..
iii'"
iii ..
u.. .;;
c
'"+
c
X
:::I
'0
::;; X
I
DIDI
C
UI UIUI
Z
:::I X
::;; :::I
~
II.
0
'0
'0
0
0
.c '0
0
c(
(J
C
"""
'" '" '"
0
I
0
0
c(
0
I
.l!:
-
C
'"
==
0
Drives conventional LCD displays. Includes RC
oscillator, divider chain, latches, interface and
LCD drivers.
"
4
4
ICM7211AM
4
ICM7212
ICM7212A
Drives common anode LED displays. 28 current
controlled outputs. Includes latches, interface and
brightness control.
4
4
ICM7212M
4
ICM7212AM
4
ICM7218A
ICM72188
ICM7218C
3 decode formats drives up to 84 independent
LED's. Includes 8 x 8 memory, multiplexed LED
drivers, decoders, interface and control.
applications include bar graphs.
"" "'"
4
ICM7211M
8 8
8 8
8 8
ICM7218D
8 8
ICM7218E
8 8
ICM7228A
8 8
ICM72288
8 8
ICM7228C
8 8
ICM7228D
8 8
ICM7231A
8 digits, 16 annunciators on COM, hexadecimal
8 16
ICM72318
8 digits, 16 annunciators on COM 3, code 8
8 16
ICM7231C
8 digits, 16 annunciators on COM 1 + 3, code 8
8 16
ICM7232A
10 digits, 20 annunciators on COM 3, hexadecimal
1020
ICM72328
10 digits, 20 annunciators on COM 3, code 8
1020
ICM7232C
10 digits, 20 annunciators on COM 1 + 3, code 8
1020
ICM7233A
4 alphanumeric characters.
4
ICM72338
4 alphanumeric characters. Full-width numbers
4
ICM7243A
8 alphanumeric characters + decimal pI. can be
daisy chained or cascaded.
ICM72438
8
8
69
u
~
0
(J
iii CI
E
'0 ii: U E0 0 0 0
I
E CII In
... 0 C( C( C( 0 (J (J (J C '110
'0
ci ci ci ci u CII '0
'0 '0 '0 '0 '0 '0 ci
0
w w w (J (J
'110 '110 '110 '110 '110 '110 ...I ...I ...I ...I ...I ~ J: (J
..
~J!
~
o '" UIiii
+e.
:::I
C
E iii c c c m
DI _E
CII
::;; E E E
.c.c.c
E E E
UI u II. II. II.
ICM7211A
'0
Qj c(
UI
... .. co .. .. ::;;.. X c ii:'J: ...ia:
.!!l
;;, .. ... ... ...
..
C C .g
m .. ::;; ...." c W
""
.
- '0 .. .. ..
,..
i
!; E- :i
E E E ~ c c c
.;: 'l:
ICM7211
g: ==
~
!!!.
.. u
O
(J
u
U
c(
)(
]!
E iii ~
In 0
UI :::I
c(
..... ;;,
c
iii iii
'" -
'0 II. UI
C
::;; II: iii iii
·· · ·
· ·
· · ·
·
·
·
·
· · ··
··
· · ·· ·· ·· ·
·
·· ·
· ·· ·
·
·
·· ··· ··· · ·
·
·· ·
· ·· ·
·
·· ·
· ·
· ·
· · ·
·
·
·
·· ·
·
· ·
· ··
·
· ··
·
"iii'
..E
oS
..
i=
,..
U
(J
1000
1000
200
200
1000
1000
200
200
550
550
500
500
500
550
550
500
500
3
500
3
500
3
500
3
350
3
350
3
350
3
500
3
500
250
250
Display Drivers and Interface ICs
Decoder Drivers
Type
Supply
Voltage
Range
(V)
Description
CA3161
BCD-to-7-segmenttype
for common-anode LED
displays (in combination
with CA3168 for digital
readout systems)
4.5 to 5.5
CA3168
2-digit,7-segment
type for common-anode
LED displays for 2-digit
display such as numbers
for TV and channel
selection and other 0-99
counting applications
4.5t06
Non-multiplexed 4-digit,
CD7211
CD7211A 7-segment LCD types
CD7211M
CD7211AM Decodes multiplexed
binary to hexadecimal
(CA7211, CA7211 M) and
decimal (CD7211 A,
CD7211AM) outputs
·See Packaging Section
3-6
Electrical Characteristics (TA = 25 0 C)
Output Drive
Current
Capability (rnA)
Standby Power
Dissipation
Typ.(mW)
Max. Supply
Current (I")
(rnA)
Package
Number
of Pins'
Operating TA
Range(OC)
25/seg**
(constant
current)
18
8
16E
-25to+85
25/seg (Vsat =
1V@25mA)
85
25
24E
Ot070
0.05
On-board
oscillator
frequency (kHz)
40E
-20 to 70
Backplane
signal frequency
(kHz)
125
16
*"'Typica!
General-Purpose High-Current Silicon NPN Transistor Arrays
Type
Array
Configuration
Description
Max.IC
(rnA)
Typical
VCESAT
(at50mA)V
Operating
RangeTA
(OC)
Package
Number
of Pins'
-55 to
+125
16E,F
CA3081
CA3082
DirecUy drive 7-segment
7-transistor common emitter
incandescent and LED displays common collector
100
0.4
CA3251
CA3250
8-transistor common emitter
100
0.4
16E,F
common collector
·See Packaging Section
BiMOS Sequencer Driver and Segment Latch-Driver for Driving Vacuum Fluorescent Display Devices
Type
CA3207
CA3208
Operating
Output Voltage
Range (V)
Description
Sequencer
driver
Sequentially tums on 1 of 14 characters
(2 of 28) when used with two CA3208's
Segment
latch-driver
Drives any combination of 14 outputs
selected by DATA input
35 to 55
Will
Source
40mN
Operating
TARange
(OC)
Package
Number
of Pins'
-40 to +85
22E
character
7.5mN
22E
segment
·See Packaging Section
Video Line Driver, High-Speed Operational Amplifier
Electrical Characteristics (TA = 25 0 C)
Type
CA3450
Description
For Driving: • Multiple transmission lines
• Flash AID converters
Operating Temperature Range (TA): 1250C to -850C
Output Drive
Current
Capability
(rnA)
AOL@f=
5MHz(dB)
fF(MHz)
(v/~s)
±75
>40
220(CC =0)
330(CC=0)
(RL = 500)
·See Pllckagmg Section
70
Slew Rate
(AV~ 10)
Package
Number
of Pins'
16E
Display Drivers and Interface ICs
CMOS 32-KHz Quartz Analog Clock Circuit
Supply Voltage Range: 1.1 to 5V
Oscillator
Type
CD22777
Description
For quartz crystal-controlled analog clocks
with stepping motor drives. Nominal1.5V
operation.
Input
Frequency
KHz
Starting Volts
(IOL=±1flA)
V
Stability
PPMN
32.678
1.0 (min.)
2 (tyP.)
Operating
Current
(Pin 7 Open)
flA
Package
Number
of Pins·
5 (VDD = 1.2V)
50(VDD=
3.5V)
8E
See Packaging Section
Interface ICs Timers/Counters Without Display Drivers
Description
Package
Number
of Pins·
Low-power VMOS equivalent of Industry standard 555 timer - only 80fIA supply current. Does not have the large
supply current transients of the bipolar 555 and does not require the large by-passing capacitors needed by the
555. Low leakage threshold and trigger inputs allow use of higher impedance RC timing components for extra-long
time delays.
TA Range: 0 to + 700C, -25 to +85 0 C, -55 to +1250 C
AB
JD
PA
PO
TT
Type
ICM7555
ICM7556
Dua11CM7555, CMOS, low-power equivalent olthe Bipolar 556 Timer.
ICM7240
ICM7250
Programmable CMOS counter/timer. Uses on-board RC oscillator or an extemal clock. The count is programmed
by wire-AND connection of the outputs. Excellent for ON/OFF delay timers, + N counters.
Special features: ICM7240, Binary 0-225; ICM7250; BCD 0-99
TA Range: -25 to +850 C
JE
ICM7242
RC oscillator + 8-bit counter, similar to ICM7240 but with fixed 256 count. Used for extremely long time delays.
Cascadable.
Special Features: Fixed 128/255
TA Range: 0 to + 70 0C, -25 to +850 C
BA
JA
PA
"See Packaging Secllon
CMOS Real-Time Clock
Type
ICM7170
Package
Number
of Pins·
Description
Microprocessor bus-compatible peripherallC uses an 8-bit bidirectional bus for the data I/O circuitry. Device
access time (300ns) eliminates the need for any microprocessor walt states or software overhead. Standby fl
power operation: 2fIA typo at 3 volts with 32kHz crystal.
TA Range: -55 to +1250 C, -40 to +850 C
BG
DG
PG
·See Packaging Section
Time Base Generators
Output
Frequency
(Hz)
Type
ICM7209
250kHz-10MHz
Supply
Voltage
(V)
Typical
Current
(fIA)
Leakage
Current
(1lA)
Crystal
Frequency
(MHz)
Package
Number
of Pins·
4.5-5.5
11,000
±10·
1-10
JA
PA
2-10
JD
PO
EV/K1T
Choice of 2 output frequencies: oscillator and oscillator + 8.
Drives up to 5 TTL loads."
4-5.5
ICM7207 CMOS
260
500
Low power dissipation: :S2mW with 5V supply. Count Windows:
ICM7207 (1 0/1 OOms) with 6.5536#, ICM7207A (0.1 to 1 sec.) with 5.24288#
ICM7207ACMOS Like ICM7207 but the Gating Output RESET and the MULTIPLEX Output provides both pull up and pull down
Operating Temperalure Range: ICM7207, ICM7207A: -2510 +850C.ICM7209: -20 10 +85OC
'See Packaging Secllon
0
Oulpul (all Oulputs
(S'i'OiiE Only)
• Disable Inpul (Efther "1" or "0" Siale)
71
*' MHz cryslal
Clocks/Timers/Counters
Available with and without on-board display drivers. Harris'
broad range of Timer/Counters circuits serves a wide variety
of control functions. For separate Display Driver circuits, see
separate section.
Timer/Counters with Display Drivers
Display
LED
FunctIons
Unit
Count
LCD VF
><
::I
Type
Typical Applications
and Comments
::!: ><
I
c ::1><
0 ::!: ::I
z ti::!:
..- 'C
0 ti
'C .c
0 _ "8
c
c
.
><
::I
::!:
I
c
0
Z
ti
c c c ~
o 0 0 C
E E E "lj
E E E I!!
C(O c(
o
0
0
uu u
4 DIGIT
ICM7217
ICM7217A
ICM7217B
·
··
·
Industrial control: preset predetermining
counters, sequencers, on off delay timers,
batch counters. Presets and loads compare
register from thumbwheel switches.
ICM7217C
4V.DIGIT
ICM7224
1 OpA operating current Can be cascaded
for more digits.
ICM7225
Has brighfness adjustment. 1 OpA current
with display blanked, cascadable.
.
.
.
5'hDIGIT
ICM7249
D
Event timer counter, hour meter. 14 programmabie modes. Selectable input filtering
7 DIGIT
ICM7208
Use with ICM7207A for a 7-digitfrequency
counter.
•
8 DIGIT
ICM7216A
ICM7216B
ICM7216C
Universal frequency counter with display
drivers. 4 internal gate times, auto decimal
point,leading zero blanking, overflow indicalion. Display off, hold, and reset inputs
ICM72160
ICM7226A
ICM7226B
Same as ICM7216 plus period and time
interval averaging. BOC outputs, "p PIA
compatible
· ·•
··
·
UnIversal
Counters
..
u
1/1
....
c
:;
.
. c.. 2
-:::
:; - :; '"
e
.. . . ..2 C" ..
~
~
><
. .. C -. .. m..,.
s..2... .,." -. >< c.c.
.... ..
..
..
.. ... "
DC "
::1::1
at :. ~
~
0
.
:;
a.
::I
0
ell
~ J!: .. 0
::!: 0 C 'C :;
I
c C 0 u 'C
0
0 a. a.
C ::!:
Z
·•
··
~
c
.. 'C
E ::I
1= ::!:
iii
c
.c :i!
0 .I:! c
C j iii
c -c U >->..
ID
II:
C
'"
.5
-'"
c
.!
.a
.!!
l
II:
c
0
c Nc _0 .!! 'C
c Max
w ",0
1G
Count
a.
'C .. E
Speed
0
0
U ..JD. 0
W
· •• · · · · ·• · ·•
·.
·· ·· ·· ·· · ·· ·
.
··· ····
·· •
· ··
·· •
·· ··
• ·
·
·
·· •
····
·· ·• ·· ·· •• ·• ·• ·• ··
·· ·· ··
·· ·· ··
·· · · · · ·· · ·· · ·· ··
· ··· · ·
-
ii2
N
• These counters will measure frequency when used with the ICM7207 (0.01 and 0.1 second tlmebase) or the ICM7207 A (0.1 and 1 second timebase)
72
~
... a.
Vi 0:;
(MHz)
c
0
:;
::I
m
~
2
2
2
2
15
15
·
·
2.5
10
10
10
10
10
10
·
Clocks/Timers/Counters
Timers/Counters Without Display Drivers
Type
Special
Features
Description
Low power CMOS equivalent of industry standard 555 timer - only 80 flA supply current. ICM7555 does
not have the large supply current transients of the bipolar 555 and does not require the large bypassing
capacitors needed by the 555. Low leakage threshold and trigger inputs allow use of higher impedance
RC timing componenls for extra long time delays.
ICM7555
ICM7556
An ICM7556 is a dua11CM7555, a CMOS, low power equivalent of the Bipolar 556 Timer.
ICM7240
ICM7250
Binary 0-225
BCD 0-99
Programmable CMOS counter timer. Uses on-board RC oscillator or an extemal clock. The count is
programmed by wire-AND connection olthe outputs. Excellentfor ON OFF delay timers. + N counters,
and long period delays.
ICM7242
Fixed 128/255
RC oscillator + 8-bit counter, similar to ICM7240 but with fixed 256 count. Used for extremely long time
delays. Cascadable.
Oscillator/Divider Selector Guide
Type
ICM7r09
Output
Frequency
Supply
Voltage (V)
Typical
Current (/lA)
Pulse
Width (ms)
Cryslal
Frequency
250kHz10MHz
4.5-5.5
11,000
Sq. Wave
1-10Mhz
Other Outputs/Comments
Two buffered oulputs - crystal Frequency and
+ 8 output. Drives up to 5 TTL loads.
Multipliers
Type
Function
16x16bit
parallel
multiplier
HMU16
HMU17
Description
Features
High-speed, low-power CMOS,
16 x 16 bit multiplier with full
32-bit product,
68-Pin Grid Array (PGA)
68-Pin Plastic Leaded Chip
Carrier (PLCC)
HMU16 - compatible wilh
AM29516, LMU16, IDT7216,
CY7C516
HMU17 - compatible with
AM29517, LMU17, IDT7217,
CY7C517.
Supports two's complemenl,
unsigned magnitude and
mixed mode multiplication
TTL compatible
Three-stale outputs
Standby
Power
Supply
Current
(ICCSB)-fIA
Operating
Power
Supply
Current
(/CCOP)-mA
500 (max.)
7 (max.)
Clock
Multiply
Time
(tMC)-ns
35 (max.)
45 (max.)
HMU18
16x16bit
multiplier
High-speed, low-power CMOS,
16 x 16 bit multiplier with
full 32-bit product, 85-Pin
Grid Array (PGA)
Compatible wilh LMU18
35 (max.)
HMA510
16x16bit
multiplier
High-speed low-power CMOS,
16 x 16 bit multiplier with
full 32-bit product,
68-Pin Grid Array (PGA),
68-Pin Plastic Leaded Chip
Carrier (PLCC)
Compatiblewilh IDT7210,
CY7C51 0
45 (max.)
HA2556/
2557
Broadband
4-quadrant
multiplier
35MHz signal bandwidth
Direct voltage output (HA-2556)
User friendly
73
Digital Signal-Processing ICs
Type
Function
Description
Package
Number
of Pins
ISP9110
12-bit MicroProgram
Sequencer
12-bit microprogram sequencer/controller used for high speed execution of microprogram
instructions stored in external memory. The ISP911 0 is typically used in conjunction with bit
slice processor systems and DSP building blocks to control the sequence of execution of
instructions stored in microprogram memory, but can also be used in digital systems as a
stand-alone control element.
40DIP
44PLCC
ISP9119
FIFO RAM
Controller
The ISP9119 FIFO RAM Controller (FRC), together with a static RAM array, fonns a First-inFirst-Out (FIFO) buffer. The ISP9119 FRC, implemented in Harris'1.5 micron AVLSI CMOS
technology, is pin-for-pin compatible with 57/674219. This process allows the ISP9119 to
operate at twice the speed, but one tenth the power dissipation of its bipolar counterpart.
40 DIP
44PLCC
ISP9128
Finite Impulse
Response
Filter
Controller
This 16-bit FIR Filter (FFC) provides all the data, history, storage, and programmable filter
cycle control logic required to implement AR filters of up to 128 filter points.
64 DIP
68PLCC
68PGA
ISP9326
32-8it
Floating Point
Processor
The ISP9326 is a high-speed floating point processor unit. It performs 32-bit single precision
floating point addition, subtraction, and multiplication operations in a single CMOS VLSI
integrated circuit using the format specified by the IEEE floating point standard 754.
144PGA
ISP9520
ISP9521
Multilevel
Pipeline
Register
The ISP9520 and ISP9521 are multilevel pipeline registers implemented using Harris' 1.5 micron
AVLSI CMOS technology. The ISP9520/21 operate at bipolar speeds with one tenth the power
dissipation oltheir bipolar counterparts. The ISP9520 and ISP9521 are pin-far-pin compatible
replacements for industry standard multilevel pipeline registers such as the bipolar AM29520
andAM29521.
24
(300-mil)
(skinny)
DIP
Type
Description
Package
Number
of Pins'
CDPS100
CMOS Programmable Digital
FIR Filters (39 or 40 tap
linear phase filter operation
20MHz throughput rate. Provides 39/40 tap linear phase or 20 tap arbitrary phase
filter, 8-bit input data, 11 bit output data in 2's complement form. Expandable with
no speed degradation.
68-Q
CDPS110
CMOS Least Mean Square
(LMS) Adaptive FIR Filter
(high-speed 8th order type)
1OMHz clock rate. Expandable to any order in multiples of 8. Eight-bit input data.
12-bit output data in two's complement format.
68-Q
CDPS200
CMOS Programmable Length
FIFO (2 to 1281 sample by
1O-bit wide shift register)
DC to 40MHz shift rate: Write or recirculate mode, 10 bita wide. Provides
programmable length of 2 to 1281 clock cycles.
44-Q
·See Packaging Section
74
Operational Amplifiers
General Purpose
Unity
Gain
Pkg.
,+ Max. AOL
BW
SR
No.
VIO
of
Max. Max. Max. V+, (Min.) Typ. (Typ.)
mV
nA
Ma
VdB
MHz VII's Pins+
"
Type
15
50pA
2.8
±18
88
5
13
8E
CAOB2·
15
50pA
5.6
±18
BB
5
13
BE
CA081·
6
40pA
2.8
±18
94
5
13
8E
CAOB2A·
6
40pA
5.6
±1B
94
5
13
BE
5
0.05
2.5
±44
94
1
-
8E,S,
T
CA15B
5
150
1.2
±13
94
1
CA15BA
2
50
1.2
±13
94
1
8E,S,
T
CA258
5
150
1.2
±13
94
1
-
CA25BA
3
BO
1.2
±13
94
1
CA358,
LM35Bt
7
250
1.2
±13
8B
1
-
CA35BA
3
100
1.2
±13
BB
1
-
BE,S,
T
CA747
10T,
14E
CA201,
LM201 t
7.5
0.15
3
±44
85
1
-
8E,S,
T
CA301A,
LM301At
7.5
0.025
3
±36
88
1
10
8E,S,
T
CA307,
LM307t
7.5
250
3
±36
88
1
-
8E,S
T
CA741 ,
LM741t
5
500
2.B
±44
94
1
0.5
BE,S
T
CA741C,
LM741Ct
6
500
2.8
±36
86
1
0.5
10T,
14E
(/)
W CA748,
"
Pkg.
SR
No.
(Typ.)
of
VlflS Plns+
CA081·
CA101
..J
Type
Unity
Gain
,+ Max. AOL
BW
VIC
Max. Max.
" Max. V+, (Min.) Typ.
mV
nA
Ma
VdB
MHz
5
500
2.8
±44
94
1
0.5
LM74Bt
z
Ui CA748C,
500
2.8
±35
B6
1
0.5
BE,S,
T
CA3193·
0.5
40
3.5
±18
100
1.2
0.25
CA3193A·
0.2
20
3.5
±18
110
1.2
0.25
8E,S,
T
CA3420·
10
5pA
0.65
±11
80
0.5*
0.5
CA3420A·
5
CA3440·
10
CA3440A·
5
CA3450
15
CA3493·
0.5
5pA
±11
86
0.5*
0.5
80
63kHz
0.03
40pA 0.017 ±12.5
BO
63kHz
0.03
±B.5
60
220
330
350
35
40
3.5
CA3493A·
0.2
CA6741
Low-Noise
CA741
+ See packaging
0.65
50pA 0.017 ±12.5
20
3.5
±1B
±18
100
110
1.2"
1.2*
0.25
0.25
"
::I
Q
BE,S
T
6
LM748Ct
!l
8E,S,
T
BE,S
T
16E
(/)
BE,S,
T
BE,S,
T
BE,S,
T
5
500
2.B
±44
94
1
0.5
CA747C
6
500
2.8
±36
86
1
0.5
CA145B,
LM145Bt
6
500
2.8
±36
B6
1
0.5
BE,S,
CA1558,
LM1558t
5
500
2.8
±44
94
1
0.5
8E,S,
T
CA2904,
LM2904t
7
250
1.2
±13
100*
1
-
8E,S,
T
T
CA5422·
AmplA
10
5pA
0.7
±11
60
160kHz 0.25
14E
AmplS
20
25pA
0.7
±11
50
BOOkHz
1
14E
CAOB4·
5
13
14E
15
50pA 11.2
±1B
88
CAOB4A·
6
40pA 11.2
±18
94
5
13
14E
CA124
5
150
2
±16
94
1
-
14E
CA224
7
250
2
±16
86
1
-
14E
7
250
2
±16
B6
1
-
14E
CA3401
-
300
10
±1B
60
5
0.6
14E
CA3410·
15
40
12
±1B
86
5.4
10
16E
CA3410A·
8
30
10
±18
86
5.4
10
16E
Q
::I CA324,
"
0 LM324t
See Note 1
section
• BiMOS type
• ft.
t Technical data on LM Branded Types are identical to the corresponding CA
Branded Types
NOTE: 1. "Popcorn" (Burst) Noise. Device rejected if total noise voltage (burst +
1/t) referred to input exceeds 20,.N peak during 30-second test period.
75
Operational Amplifiers
General Purpose
Type
(Continued)
Temperature
Range
Open
Supply
Pinout
Gain
1kHz
Offset
Bias
Noise
Loop Minimum Current
See Bandwidth Slew
Gain
Gain
(mAl
This
Product
Rate Voltage Current VOI~
(nA)
(nVi
z) (kVN) Stable
Pkg.
(mVO
Sect.
(MHz)
(VII's)
Comments
HA-2500
-55OCto +1250 C
1,2
12
30
2
100
21
30
Unity
4
High slew, wide
bandwidth
HA-2502
-550 Cto+1250C
1,2
12
30
4
125
21
25
Unity
4
High slew, wide
bandwidth
HA-2505
OOCto+750C
1,2
12
30
4
125
21
25
Unity
4
High slew, wide
bandwidth
Wide band
HA-2600
~550Cto+1250C
1,2
12
7
0.5
1
16
150
Unity
3
HA-2602
-550Cto+1250C
1,2
12
7
3
15
16
150
Unity
3
Wide band
HA-2605
oOCto +750 C
1,2
12
7
3
5
16
150
Unity
3
Wide band
HA~5101
-550Cto+1250C
00Cto+750C
14,15
10
10
0.5
100
3.5
1000
Unity
4
Low noise
HA-5111
~550C to
1,2
100
50
0.5
100
3.5
1000
10
4
High slew, wide
bandwidth,
low noise
HA-5147
-550C to +1250 C
OOCto +750 C
25,26,
27
120
35
-
±15
-
1500
10
-
Precision, wide
bandwidth,
high slew
HA-5147A -550C to +125OC
OOCto+750C
25,26,
27
120
35
-
±10
-
1800
10
-
0.5 MHz
full power
bandwidth
HA-5102
-550C to +125OC
OOC1o +750 C
11,12,
30
B
3
0.5
130
4.3
230
Unity
3
Low noise
:::I HA-5112
C
-550Clo+125OC
OOC1o +7SOC
11,12,
30
60
20
0.5
130
4.3
230
10
3
Low noise, wide
bandwidth
HA-4741
-550C to +1250 C
23,24
3.5
1.6
0.5
60
9
100
Unity
<5
Ouad741,JI
HA-4741
OOCto +750 C
24
3.5
1.6
1
60
9
50K
Unity
<7
Ouad741,JI
HA-5104
-5SOCto+1250C
00Clo+750C
23,24
B
3
0.5
130
4.3
230
Unily
5
Low noise,
compensated
HA-5114
-550C1o +1250C
oOCto +750 C
23,24
60
20
0.5
130
4.3
230
10
5
Low noise,
uncompensaled,
high slew, wide
bandwidlh
til
w
CI
Z
....I
iii
til
....I
c(
til
c
~
0
Type
+1250C
00Cto+750C
. Description
ICL7611
CMOS, Selectable 10
w
CI ICLB007M JFET Input Op-Amp
Z
iii IClB007C JFET Inpul Op-Amp
til
VOS
(mVMax)
IBIAS
(pAMax)
Slew Rate
(VII's)
GBW
(MHz)
Compensation
VSupply
2,5,15
50
1.6
lA
INT
±5
01070
-5510+125
-55to+125
....I
20
20
6
1.0
INT
±15
50
50
6
1.0
INT
±15
Oto+70
2,5,15
50
0.16
OAB
INT
±5
010+70
-55 to +125
ICLB043M JFET Input Op-Amp
20
20
6
1.0
INT
±15
-5510+125
ICLB043C JFET Input Op-Amp
50
50
6
1.0
INT
±15
010+70
ICL7621
CMOS, Fixed 10
til
•
....I
~
C
Temperature
Range (OC)
til
w
....I
11.
ICL7631
CMOS, Selectable 10
5,10,20
50
1.6
1.4
INT
±5
010+70
-55 to +125
ICL7641
CMOS, Fixed 10
5,10,20
50
1.6
1.4
INT
±5
010+70
-55 to +125
it
t-
til
C
c(
:::I
0
76
Operational Amplifiers
Low/Ultra-Low Offset Voltage
Type
Description
VOS
liN Max)
t..VOS/t..T t..VOS/t..t
IIN/OC) (nV/month)
(Max)
(Typ)
ISlAS
(pAMax)
GSW
(MHz)
VSupply
(V Max)
Temperature
Range
(OC)
ICL7650C
CMOS, Chopper-stabilized
±8
±0.02
100
20
2.0
±9
010+70&
ICL76501
CMOS, Chopper-stabilized
±10
±0.02
100
50
2.0
±9
-2510+85&
ICL7650M
CMOS, Chopper-stabilized
±20
±0.03
100
500
2.0
±9
-5510+125
ICL7652C
Low-noise 7650C
±7
±0.01
100
30
0.5
±9
01070&
w
ICL76521
Low-noise 76501
±10
±0.02
100
30
0.5
±9
-2510+85&
"
iii
ICL7652M
Low-noise 7650M
±50
±0.1
100
500
0.5
±9
-5510+125
ICL420
High Vollage Chopper
±5
±0.05
100
30
0.5
±16.5
010+70&
ICL421
High Voltage Chopper
±5
±0.05
100
30
0.5
±16.5
-4010+85&
ICL422
High Voltage, Low Power
±5
±0.05
100
30
0.4
±16.5
-5510+125
±5
±0.05
100
30
0.4
±16.5
ISlAS
(pAMax)
lOS
(pATyp)
VOS
(mVMax)
GSW
(MHz)
Compensallon
VSupply
(V Max)
Ul
..J
Z
ICL423
High Voltage, Low Power
Low Input Bias Current
Type
Description
Temperature
Range
(OC)
ICL7611
CMOS, Selectable 10
50
0.5
2,5,15
1.4
INT
±9
010+70&
ICL7612
CMOS, Exlended CMVR
50
0.5
2,5,15
1.4
INT
±9
-5510+125
ICL8007M
JFETlnpulOp-Amp
20
0.5
20
1.0
INT
±18
-5510+125
w
..J
ICL8007AM
JFET Inpul, Low Bias
4.0
0.2
30
1.0
INT
±18
-5510+125
"
iii
ICL8007C
JFET Inpul Op-Amp
50
0.5
50
1.0
INT
±18
010+70
ICL8007AC
JFET Input, Low Bias
4.0
0.2
30
1.0
INT
±18
010+70
ICH8500A
PMOS Inpul, Low Bias
0.Q1
-
50
0.7
INT
±18
-2510+85
ICH8500
PMOSlnpul
0.1
-
50
0.7
-
±18
-
iCL7621
CMOS, Fixed iO
50
0.5
2,5,15
0.48
INT
±9
010+70&
-5510 +125
Ul
Z
Ul
..J
~
c
ICL8043M
J FET Inpul Op-Amp
20
0.5
20
1.0
iNT
±18
-5510+125
ICL8043C
JFET Inpul Op-Amp
50
0.5
50
1.0
INT
±18
010+70
..J
fil
D.
a:
I-
ICL7631
CMOS, Selectable 10
50
0.5
5,10,20
1.4
INT
±9
010+70&
-5510+125
1/1
c
ICL7641
CMOS, Fixed 10
50
0.5
5,10,20
1.4
INT
±9
010+70&
:l
ICL7642
CMOS, Fixed 10
50
0.5
5,10,20
0.044
INT
±9
0-5510+125
«
0
77
Operational Amplifiers
Lower Power
Type
~
CJ
~
Q
Comments
-5SOC 10 +12SOC
-25OC 10 +850 C
OOC1o +7SOC
15,16
700
±5/±20
7
2000
±12
1.0
HA-5151
-550C 10 +1250 C
OOC1o +750 C
9,10
200
±1.5/±15
+3/+30
4.5
1300
>±10
0.5
Yes
New
HA-5141
-550 CIo+1250C
OOC10 +750 C
9,10
50
±1.5/±15
+3/+30
1
400
0/+4
(+5Vs)
2
Yes
Ultra-low
power
HA-5152
-550Clo+1250C
OOC10 +750 C
11,12,
30
200
±1.5/±15
+3/+30
4.5
1300
>±10
0.5
Yes
New
HA-5142
-55OC1o +1250C
00CIo+750C
11,12,
30
50
±1.5/±15
+3/+30
1
400
0/+4
(+5Vs)
2
Yes
Ultra-low
power
HA-5154
-55OClo+125OC
OOC10 +750 C
23,24
200
±1.5/±15
+3/+30
4.5
1300
>±10
0.5
Yes
New
HA-5144
-550C 10 +1250C
OOC1o +750 C
23,24
50
±1.5/±15
+3/+30
1
400
0/+4
(+5Vs)
2
Yes
Ultra-low
power
1/1
Q
:3a
Supply
Range
(V)
HA-5180
z
iii
Temperature
Range
Pinout
See
Supply
Pkg.
Current
Section ()JAIAmp)
Gain
Slew
Bandwidth Output
Product
Swing
Rate
(kHz)@
(VlI's)@
M
±15V
Indicated Indicated
Offset
Single
Supply
Supply
Power
Voltage Supply
Current
Current Supplies
(mY) Operation
J-FET
Ultra-low
bias
Lower Power
Type
ICL7611
1/1
~ ICL7612
Description
CMOS, Selectable 10
IQulescent
(Per Amplifier)
(IIA Typ)
VSupply
(V Max)
VOS
(mVMax)
IBIAS
(nAMax)
GBW
(MHz)
Com pensatlon
Temperature
Range
(OC)
10
±5
2,5,15
0.05
0.044
INT
01070&
CMOS, Extended CMVR
10
±5
2,5,15
0.05
0.044
INT
-5510+125
ICL8021M
Bipolar, Selectable IQ
30
±15
3
20
0.27
INT
-5510+125
ICL8021C
Bipolar, Selectable 10
30
±15
6
30
0.27
INT
Oto+70
1/1
ICL7631
CMOS, Selectable 10
10
±5
5,10,20
0.05
0.044
INT
Oto+70&
..J
IL
ICL8023M
Triple 8021 M
30
±15
3
20
0.27
INT
-55 to +125
ICL8023C
Triple 8021 C
30
±15
6
30
0.27
INT
010+70
ICL7642
CMOS, Fixed 10
10
±5
5,10,20
0.05
0.044
INT
010+70&
-5510+125
CJ
Z
iii
w
~
1/1
~
":I
a
78
Operational Amplifiers
Wide Bandwidth
Slew
Rate
(V/lls)
Bias
Current
(nA)
Open
Loop
Gain
(kVN)
Minimum
Gain
Stable
1.0
65
100
15
Unity
12
1.0
60
125
15
Unity
12
1.0
60
125
15
Unity
1,2,20
20
2.0
120
100
15
3
-550C to +1250C
1,2
20
1.6
120
125
15
3
HA-2525
OoCto +750 C
1,2
20
1.6
-120
125
15
3
HA-2529
-550C to +1250 C
1,2,20
20
2.6
150
50
18
3
HA-2539
-550C to +1250 C
-250C to +85 0C
OoCto +750 C
3,21
600
9.5
600
5000
30
10
HA-2540
-550C to +1250 C
-250C to +85 0C
OoCto +750 C
4,13
400
6.0
400
SOOO
30
10
HA-2541
-550C to +1250 C
OoCto +750 C
5,6
40
4.5
260
6000
16
Unity
New, Fast Settling
HA-2542
-550C to +1250 C
OoCto +750 C
7,32
70
5.5
375
6000
30
2
New, High Output
Current
HA-2544
-550C to +1250 C
OoCto +750 C
14,15,20
50
4.2
150
6000
6
Unity
HA-2546
-550C to +1250 C
-400C to +650C
OoCto +750 C
2,6
150
1.91
120
5
3162
5
iii HA-2620
-550C to +1250 C
2,20,27
100
0.6
35
1
150
5
HA-2622
-550C to +1250 C
2,27
100
0.6
35
5
150
5
HA-2625
OoCto +750 C
2,27
100
0.6
35
5
150
5
HA-5137
-550C to +1250C
OoCto +750 C
25,26,27
63
0.3
17
8
1800
5
New, Precision
HA-5160
-550C to +1250C
OoCto +750 C
19
100
1.0
120
0.02
150
10
J-FET
HA-5162
-550C to +12SOC
OoCto +750 C
19
100
1.0
70
0.02
100
10
J-FET
HA-5190
-550C to +1250 C
4,13,18
150
6.5
200
SOOO
30
5
Fast Settling
HA-5195
00Cto+750C
4,18
150
6.5
200
5000
30
5
Fast Settling
HA-5221
-550C to +1250 C
-400 C to +85OC
OoCto +750 C
25,26
100
OAO
25
40
2512
1
HFA-0001
-550C to +12SOC
-400C to +65OC
OoCto +750C
33,34
350
53
1000
15000
200
1
New
HFA-0002
-550 Cto+12SOC
-400C to +85OC
00Cto+750C
35,36
1000
11.4
250
230
105
10
New
HFA-0005
-550 Cto+12SOC
-400C to +65OC
OoCto +750 C
33,37
300
22
420
1S000
230
1
New
HA-5102
-550C to +1250C
OoCto +750 C
11,12,30
8
0.05
3
130
230
Unity
HA-5222
-550C to +1250 C
-400C to +850C
00Cto+750C
23,24
100
OA
25
40
2512
1
New
Pinout
SeePkg.
Section
HA-2510
-550C to +1250 C
1,2,20
12
HA-2512
-550C to +1250 C
1,2
HA-2515
OoCto +75 0 C
1,2
HA-2520
-550 Cto+1250C
HA-2522
Type
1/1
~
Cl
z
~
:::l
Q
Gain
Bandwidth Full Power
Product Bandwidth
(MHz)
(MHz)
Temperature
Range
Comments
New
New,Video
New
LowNoisa
HA-2400
-550C to +1250C
29,31
40
0.5
30
50
150
10
Addressable
1/1
HA-2404
-250C to +850C
29
40
0.5
30
50
150
10
Addressable
~
HA-2405
OoCto +750 C
29
40
0.5
30
50
150
10
Addressable
HA-2406
OoCto +750 C
29
30
0.3
20
50
150
10
Addressable
HA-5104
-ssOCto+1250C
00Cto+750C
23,24
8
0.05
3
130
230
Unity
Q
0
79
Low Noise
Operational Amplifiers
Wide Bandwidth
(Continued)
1+ Max.
Ma
Max.
V+,V-
AOL
(Min.) dB
Unity Gain
Bandwidth
Typ.MHz
12"A
2.5
±8
66
16
3
12T
4"A
3.3
±8
66
16
3
12T
24"A
7.3
±16
72
60
7
12T
VIOMax.
mV
II Max.
nA
CA3010
5
CA3010A
2
CA3015
5
Type
SR
(Typ.) VII's
Package No.
ofPlns+
CA3015A
2
6"A
7.3
±16
72
60
7
12T
CA3029
5
12"A
2.5
±8
60
16
3
14E
CA3029A
2
4"A
3.5
±8
60
16
3
14E
CA3030
5
24"A
7.3
±16
72
60
7
14E
CA3030A
2
6"A
7.3
±16
72
60
7
14E
CA3037
5
12"A
2.5
±8
60
16
3
140
2
4"A
3.3
±8
60
16
3
140
CA3038
5
24"A
7.3
±16
72
60
7
140
CA3038A
2
6"A
7.3
±16
72
60
7
140
CA3100 °
5
2000
10.5
±18
56
38*
70
8E,S,T
CA3130 •
15
50pA
15
±8
94
15
30
8E,M,
CA313OAo
5
30pA
15
±8
94
15
30
S.T
15
50pA
6
±18
86
4.5*
9
8E,S,
CA3140Ao
5
30pA
6
±18
86
4.5*
9
T,M
CA3160 °
15
50pA
15
±8
94
4*
10
8E,S,
CA3160Ao
5
30pA
15
±8
94
4*
10
T,M
CA3450
0
15
130
35
±8.5
60
220
330
16E
CA3240 0
15
50pA
12
±18
86
4.5*
9
8E,8T,
!l
CA3240Ao
5
40pA
12
±18
86
4.5*
9
8S,14EI
e
CA3260 °
15
50pA
15.5
±8
94
4*
10
8E,M,
CA3260Ao
5
30pA
15.5
±8
94
4*
10
T,S
en
~ CA3037A
"iii
Z
CA3140
~
°
+
See package section
• BiMOSlype
• fl.
80
Operational Amplifiers
High Slew Rate
Type
Temperature
Range
(V/~s)
25
100
0.40
Slew
Rate
Open
Loop
Gain
(kVN)
Minimum
Gain
Stable
40
25
1
Gain
Bandwidth Full Power
Bias
Product
Bandwidth Current
(MHz)
(MHz)
(nA)
Pinout
See
This
Section
HA-5221
-550 C 10 +1250C
-400 C 10 +850 C
00Clo+750C
25,26
HA-2620
-550 C 10 +1250 C
2,20
35
100
0.6
1
150
5
HA-2622
-550C 10 +1250 C
2,20
35
100
0.6
5
150
5
HA-2625
OoC1o +75 0C
2
35
100
0.6
5
150
5
HA-2548
-400 C 10 +85 0C
OoC1o +750 C
2,8
120
150
1.91
5
3162
5
HA-0005
-
-
600
-
25.8
HA-5111
-550C to + 1250C
00Clo+750C
1,2,20
50
100
0.8
100
1000
Comments
New
New
250 MHz unity gain bandwidth ±50mA
high oulput drive
10
New, Low Noise
HA-2512
-550C 10 +1250 C
1,2,20
60
12
1.0
125
15
Unity
HA-2515
00Clo+750C
1,2
60
12
1.0
125
15
Unity
HA-2510
-550C to + 1250C
1,2
65
12
1.0
100
15
Unity
HA-5162
-550C 10 +1250 C
OOClo+750C
19
70
100
1.0
0.02
100
10
J-FET
HA-5160
-55°C 1o +1250 C
00Cto+750C
19
120
100
1.0
0.02
150
10
J-FET
HA-2520
-550C 10 +1250 C
1,2
120
20
2.0
100
15
3
HA-2522
-550C 10 +1250 C
1,2,20
120
20
1.6
125
15
3
CI
HA-2525
OOCto +750 C
1,2
120
20
1.6
125
15
3
iii
HA-2544
-550C 10 + 1250C
OoC1o +750 C
14,15,20
150
50
4.2
8000
6
Unity
HA-2529
-550C to + 1250C
1,2,20
150
20
2.6
50
18
3
HA-5190
-550C to +1250 C
4,13,18
200
150
6.5
5000
30
5
FaslSettling
HA-5195
OoCto +75OC
4,18
200
150
6.5
5000
30
5
Fast Settling
HFA-0002
-550C to +1250 C
-400C 10 +850C
00Clo+750C
35,36
250
1000
11.4
230
105
10
New
HA-2541
-550C 10 +1250 C
OOCto +75 0C
5,6
280
40
4.5
6000
16
Unity
New, Fast Settling
HA-2542
-550C to +1250 C
00Cto+750C
7,32
375
70
5.5
6000
30
2
New, High Outpul
Current
HA-2540
-550C 10 +1250 C
-250C to +850C
OOCto +75 0C
4,13
400
400
6.0
5000
30
10
HFA-0005
-550 Clo+1250C
-400C 10 +850C
OOCto+750C
33,37
420
300
22
15000
230
1
HA-2539
-550 Clo+1250C
-250C 10 +850C
OOCto +750 C
3,21
600
600
9.5
5000
30
10
HFA-0001
-550C to +1 250C
-400C to +85 0C
00Clo+750C
33,34
1000
350
53
15000
200
1
HA-5112
-550C to +1250 C
00Cto+750C
11,12,30
20
60
0.3
130
230
10
HA-5222
-550C to +1250C
-400 C to +850C
OOCto+750C
23,24
25
100
0.40
40
2512
1
II)
~
Z
~
C
New, Video
New
New
New
Low Noise
New
HA-2400
-550C to +1250 C
29,31
30
40
0.5
50
150
10
C
HA-2404
-400C to +850C
29
30
40
0.5
50
150
10
Addressable
a
HA-2405
OOClo+750C
29
30
40
0.5
50
150
10
Addressable
HA-2406
OOCto +75OC
29
20
30
0.3
50
150
10
Addressable
II)
~
81
Addressable
Operational Amplifiers
Wideband High-Slew Rate Types
(>50 VIliS)
VloMax.
mV
II Max.
nA
1+ Max.
Ma
Max.
V+,V-
AOL
(Min.) dB
Unity Gain
Bandwidth
Typ.MHz
SR
(Typ.) VIps
Package No.
ofPlns+
5
5000
1.2
±18
0
2#
50
8E,S.
CA3080A
2
5000
1.2
±18
0
2#
50
T
CA3100-
5
2000
10.5
±18
56
38#
70
8E.S.T
Type
CA3080
3
5000
4.8
±18
94
9#
125
16E
CA3280A
0.5
5000
4.8
±18
94
9#
125
16E
CA3250
15
350
35
±8.5
60
220
330
16E
CA3280
+
See package section
• BiMOSlype
'It Open-loop bandwidth
Precision
Pinout
See
Type
Temperature
Range
This
Sect.
Open
1kHz
1kHz
Offset
Noise
Supply
Offset Voltage
Bias
Loop
Noise
Voltage
Current
Voltage CMRR PSRR Current
Drift
Current Gain
(nA)
(kV/V) (pA/v'Hz) (nV/VHZ) (dB)
(dB) (mA/amp) Comments
liN)
IINtoC)
HA-5170
-5SOCto +12SOC 14,15.
OOCIO+750C
20
100
2
0.02
800
0.01
10
100
105
1.9
J-FET
HA-5180
-550C to +12SOC
OOC10 +750 C
1000
5
0.0003
1000
0.01
70
110
105
0.7
J-FET
HA-5127A
-550C to +125OC 25,26,
oOCto +7SOC
27
10
0.2
±10
1800
0.4
3.0
126
120
3
HA-5127
-55OCto +125OC 25.26.
OOCIO+7SOC
27
20
0.3
:12
1800
0.4
3.0
123
120
3
~
HA-5137A
-55OC to +125OC 25.26.
00Cto+750C
27
10
0.2
±10
1800
0.4
3.0
126
120
3
Z
HA-5137
-5SOCto+125OC 25.26,
OOCto+750C
27
20
0.3
±12
1800
0.4
3.0
123
120
3
HA-2548
-5SOCto+1250C
-400C 10 +850 C
OOClo+750C
2,8
300
4
20
3162
0.4
8.3
90
95
12
HA-5221
-55OCto +1250 C
-40OC to +850 C
oOCto +7SOC
25.26
300
0.5
40
2512
0137
3A
95
100
8
New
HA-5222
-5SOC to +12SOC
-400C 10 +850 C
OOCto+7SOC
12
300
0.5
40
2512
0.97
3A
95
100
8
New
HA-5134A
-550Cto+1250C
oOCto +7SOC
23.24
50
1.2
±10
3000
1
7
120
120
1
New
HA-5134
-55OC to +125OC
OOCIO+750c
23,24
50
2
±10
3000
1
7
120
116
1.6
"ii5
~c
I/)
~
:J
a
15,16
82
High Speed
150Vps
Slew Rale
200ns
0.01%
Settling
Time
New. Quad
Operational Amplifiers
5-Volt BiMOS Microprocessor Types for
Low-Supply Voltage, Low-Input-Current Applications
Type
VIO Max.
mV
JJMax.
nA
1+ Max.
Ma
Max.
V+,V-
AOL
(Min.) dB
Unity Gain
Bandwidth
Typ.MHz
10
15pA
0.4
±B
B5
4
10pA
0.4
±B
90
0.4
±B
CA5130
CA5130A
Package No.
ofPins+
15
30
BE,M,
15
30
S,T
B5
3"
B
BE,S,
10
15pA
CA5160A
4
10pA
0.4
±B
90
3"
B
T
CA5260
15
15pA
1.B
±B
BO
3"
B
BE,M,
CA5260A
4
15pA
1.B
±B
B3
3"
B
S,T
CA5420
10
2pA
0.5
±11
B5
0.5
0.5
BE,S,T
CA5420A
5
lpA
0.5
±11
B5
0.5
0.5
BE,S,T
CA5160
+
SR
(Typ.) VIps
CA5422
Ampl.A
10
5pA
0.7
±11
60
160kHz
0.25
14E
Ampl.B
20
25pA
0.7
±11
50
BOOkHz
1
14E
See packaging section
• ft.
High-Voltage
Type
HA-2640, HA-2645
Description
Features
High Voltage Op-Amp
Slew rates: 1VII's, Bandwith: 4MHz, Input offset voltage: 4mV, Offset current: 5nA
Output voltage swing: ±35V, Input voltage swing: ±35V, Supply range: ±1 OV to ±40V
Output overload protection
High-Speed
Wideband, Dual, BiMOS-E
Type
CA5202.6.
+
VloMax.
mV
II Max.
pA
J+Max.
Ma
Max.
V+,V-
AOL
(Min.) dB
Unity Gain
Bandwidth
Typ.MHz
SR
(Typ.) VIps
Package No.
ofPins+
3
O.lnA
B
±B
65
50
100
BE,M,BT,S
See packaging section
r,. TA = -40 10 +8S oC
Intornal Compensation
83
Operational Amplifiers
Programmable
Type
LM4250
Fealures
VOS
(mVMax.)
IBIAS
(pAMax.)
110
nAMax.
AOL
dB Min.
VSupply
Range
V
Package
No. of
Plns+
5
10
6
25K
:1:110 :1:18
JA,PA, TA
Standby Po as low as 500nW
Electrical characteristics at oOC ~ TA~ +700C
Operating temperature range (TA): -55 to +1250 C, 0 to +700 C
+ See packaging section
Programmable with Memory
VIO
MaxmV
LR
HR
CA3098
6
10
20
100
I
100
100
600
50
500
4500
8E,S,T
CA3098
6
10
20
pnp
I
npn
100
600
50
500
4500
8E,S,T
Type
+
Swllchlng Times
(Typ.) ns
VIO
(Mln)mV
(Hysl.)
liB
(Max)mA
10
(Mln)mA
Id
If
Ir
Is
Packaging
No. of
Plns+
See packaging section
OTAs (Programmable, Variable) Micropower (Single-Unit Types)
Type
VloMax.
mV
II Max.
nA
1+ Max.
Ma
Max.
V+,V-
AOL
(Min.) dB
UnllyGaln
Bandwldlh
Typ.MHz
SR
(Typ.)V/~s
Package No.
ofPlns+
5
5000
3,6
:1:18
100
1.5
8
16E
CA3078
4.5
32
0.13
:1:7
88
0.8
1.5
8E,T
CA3078A
CA3060tt
3.5
12
0.025
:1:18
92
0.8
1.5
8E, T
CA3080
5
5000
1.2
:1:18
100
2#
50
8E,S,T
CA3080A
2
5000
1.2
:1:18
100
2#
50
8E,S,T
VloMax.
mV
II Max.
pA
1+ Max.
Ma
Max.
V+,V-
AOL
(Min.) dB
Unity Gain
Bandwldlh
Typ.MHz
SR
(Typ.)V/~s
Package No.
ofPlns+
+
See packaging section
• BiMOS type
it Open-loop bandwidth
t t Triple unit
BiMOS-E Types
Type
CA5470.
15
10
6
:1:18
80
14
5
14E,M
CA5470D
18
11nA
7
:1:8
80
12
5
14E,M
+
See packaging section
• TA= 120C
o TA = -55 to +1250C
84
Operational Amplifiers: Pinouts
1
2
4
3
NC
NC
HC
HC
NC
IN-
v+
+vSUPPLY
OUTPUT
v-
v-
13
14
C)
C)
C)
C)
C)
z z z z z
41
-.I
ria NC
[f7 NC
....
NC ~
IN- _
NC
IN+
tJ>J
L_
71
-
:~
+
ll.. r-., r-., r-., r-., r-"
11
'9
>
i
[fs
p=6
IN-
v+
IN+
NC
4 OUT
v-
"t
10! '1111121113'
C)
C)
C)
16
CASE
NC
L~J L~J l~J L~ t1,!1J
NC
15
C)
z z z z
85
Operational Amplifiers: Pinouts
17
18
20
19
COMP
0
..J
z ali 0z
1]
.
He
INHe 01
:!
IN+ !..
.,
Ne 8'
~
0
z
L~J tEl t~J L~ Lt..9.J
[ia He
tJ>Jf7
..
v·
IN+
21
0
z
vNe
-.,
1J
51
-.I
Ne ~]
Ne t]
Ne 81
-"
+
z ;!: z0
w~~
+
I
,.- NC
l1..8
ff7 NC
....
[ia
[-[5
f1-4
fill flC1.
rrl' f121 f131
0
0
0
z z z
25
0
...
::)
0
....
NC
Ne
v+
Ne
19' '10! 1111 1121 113t
0
0
:> z ali z
..J
0
0
;i;
z
IN+4
ijl!J~!s
rr Ne
IN+l ~
Ne 51
_"
v+
He
IN+2
1-
-4
L_
~~
~~:
r91
ri31
8;
A_
z :> 0z
..
;i;
26
vNe
:[4 IN+3
l1(i f;ij f12'
N
a
0
z
.., ..,
B ;i;
28
27
!i ~ !i ~ !i
BAL
NC
-.. L~J LE.J t~J L~ Lt9j .18
,.41
5tJAJ
_"
INNe ~]
INHe ~]
IN-
L.
[fB
4
5 OUT
r-., r-" ,. .... r-., r-.,
Igl110! '11 111
v- (CASE)
Ne
v+
Ne
:1
!..
v-
29
OUT
- 5 a. ..
;i;
0
z
,fi4.
r-" r-., r-, r-., r-,
24
..J
Ne
INNe
IN+
Ne
0
r:
... .5
+
0
23
z ali 0z ~ 0z
0
;!;
v+
[i6 Ne
-
Z
22
,.
[f4 He
'1
!i !i !i !i !i
31
30
32
Ne
Ne
"AL
,,..+
COMP
,1<4-
IN· 1
IN-
NC
IN+l
Ne
IN1·
COMP
INH
v+
OUT
v-
86
v+
Operational Amplifiers: Pinouts
35
34
33
36,
NC
NC
NC
RSENSE
+V
Vour
37
RSENSE
87
Amplifiers
Special Function
Type
Description
ICL760S/(C,I, M)
CMOS Commutating AutoZero (CAl) Instrumentation
Amplifiers
ICL7606/(C,I, M)
Vos
TYP·JlV
±2
ICLa063
O.OS
O.S
IBIAS
Typ.nA
CMRR
Typ.dB
Package
No.ofPins+
1.S
100
IN
0.3
88
Uncompensated version of ICL760S
ICL7600/(I, M)
±S
ICL7601/(I, M)
I:1VoS/l:1T I:1VOS/l:1t
TYP.Jlv/oC TYP·JlV!yr
O.OOS
0.2
IN
Uncompensated version of ICL7600
Power Transistor Driver
VICR
0.3VAbove
Supply Rail
JD
JD
Takes typo 11-volloutput levels from an op amp and boosts them
±30 volts to drive power transistors such as 2N30SS npn, and
2N3789 pnp. ICL outputs will supply 100 mA to the base leads
of the external power transistors. TA Range: 0 to + 700 C,
-SSto+12So C
ICL8048/
ICL8049
Log/Antilog Amplifier
1/2% full scale accuracy, Temperature compensated for
OOC to +700 C scale factor W/decade, Adjustable 120dB
dynamic current range (8048), 60dB dynamic voltage range
(8046 & 6049), Dual JFET-Input Op-Amps
HA-SOO2
Buffered Amplifiers:
Video
Voltage gain: 0.99S, High slew rate: 1300V/JlS,
-3dB bandwidth: 11 OMHz, High output current: 200mA,
Pulsed output current 400mA, Low supply current: 8.3mA,
Monolithic construction
HA-S004
High slew rate: 1200V/Jls, High output current: ±100mA
Unity gain bandwidth: 90MHz, Gain range: 1 to 10VN,
Current-mode feedback, Thermal overload protection,
Output enable/disable
HA-S033
Differential phase error: 0.1 0 , Differential gain error: 0.1 %
High slew rate: 1300V/Jls, -3dB bandwidth: 2S0MHz, High
output current, Monolithic construction
HA-2400, 2404,
HA-240S, 2406
Addressable Op-Amp
Four channels addressable, High slew rate: 30V/Jls, Wide gain
bandwidth product: 40MHz, High gain: 1S0K, TTL compatible
HA-2444
Video Four-Channel
Multiplexed Amplifier
Four digitally selectable input channels, 50MHz unity gain
bandwidth, SMHz full power bandwidth, Guaranteed differential
phase (0.11 0) and differential gain (O.04dB)
JE
PE
+ See packaging section
Operating Supply Vollage Range:
ICL7600 Series: 410 16V. Down 10 = 2V
ICL7605 Series: 4 10 10V. Down 10 = 2V
TA Range:
C Suffix Types: 010 +70OC
I Suffix Types: -25 10 +85 0 C
M Suffix Types: -25 10 ±1250 C
JFET
Type
HA-S242, HA-5243
Description
High-Speed JFET Amp.
Features
1OOMHz gain bandwidth products (HA-5243), 40MHz unity gain bandwidth (HA-S242)
2SpA bias current
88
Amplifiers
Differential Amplifiers
Type
Description
CA3000
DC Amplifier
CA3001
Video & Wideband
Amplilier
CA3002
IF Amplilier
CA3026
Dual Independent
CA3028A
CA3028B
Differential
/Cascode
Amplifiers
CA3040
Amplifier
CA3049
Dual High
Frequency
CA3050
Dual Differential
Amplifiers
Features
• Balanced differential-amplifier configuration
with controlled constant-current source
• RF, ii, and video Irequency capability
• Balanced agc capability
• Operation from dc to 500MHz
• CA3028B is controlled for input offset voltage,
current, and input bias current, and is intended
for "balance" requirements
• Push-pull inputs and outputs
• CA3005 and CA3006 are identical except for
input offset voltage
• CA3028 and CA3053 are identical except for
1 OO-MHz noise specification
• CA3051 and CA3050 are identical except for
package
• CA3054 and CA3026 are identical except for
package and substrate connection
A
(typ.)
dB
BW
(3dB
Point)
(typ.)
kHz
IIF.
NF
(typ.)
dB
AGC
Range
(typ.)
dB
Pkg.
No.
of
Pins*
30
37
650
-
90
lOT
29
19
29.
5
60
12T
20
24
11.
4#
-
lOT
120
320
550t
3.25
75
12T
120
400
-
7.2#
62
8C,S,
T
120
40
8
7.2#
62
55
37
5.5
7.5
-
12T
500
22
1.35A
53
75
12T
20
4.30/600t
-
60
14,D,
E
20
-
120
40
Freq.
Range
OCto
MHz
CA3051
4.30/600t
60
CA3053
Differential
/Cascode
Amplifier
CA3054
Dual Independent
120
32
550t
3.25
75
14E
CA31 02
Dual HighFrequency
500
22
1.35A
1.5
7.5
14E
'See Packaging Section
oM in
BRMS
I.GHz
#NF
°Transislor Array
tlr (mHz)
Recommended for IF Amplifier
Applications
8E,S,
T
OGp Min. al 100MHz Cascade. 16dB. Dif! Ampl. 14dB
VOUT (p-p V): CA3000. 6.4; CA3001. 5; CA3002. 5.5; CA3028B. 1 1.5; CA3040. 05. (RMS). TA Range: -5510 +1 250C excepl forlypes CA3051. CA3054 (-40 10 +85 0C)
Sample-and-Hold Amplifiers
Sample/Hold
Type
Type
Temperature
Range
Package"
-550C to +125 0C
00Cto+750C
-550C to +125 0C
OOCto +750C
-550C to +125 0C
OOCto +750C
14-pin cerdip
14-pin cerdip
14-pin cerdip
14-pin epoxy
20-pin lCC ceramic
20-pin PlCC epoxy
-55 0Cto+1250C
00Cto+750C
-550C to +1250 C
-550C to +125 0C
14-pin cerdip
14-pin cerdip
14-pin cerdip
20-pin lCC ceramic
HAl-2420-2
HAl-2425-5
HA1-2420/883
HA3-2425-5
HA4-2420-8
HA4P2425-5
low droop rate
HAl-5320-2
HAl-5320-5
HAl-5320-8
HM-5320-8
High speed
low charge transfer
Precision
Complete-includes
hold capacitor
HAl-5330-5
HAl-5330-4
HAl-5330-2
HA1-5330/883
HA4-5330/883
14-pin cerdip
Very high speed
OOCto+750C
Precision monolithic -250C to +850 C 14-pin cerdip
Complete-includes -55 0 Cto+1250C 14-pin cerdip
-55 0 Cto+1250C 14-pin cerdip
hold capacitor
-550C to +1250 C 20-pin lCC ceramic
*See Packaging Section
89
Acquisition
Time
(to 0.01%)
Typ.+250C
3.2f1S
Gain
Aperture
Charge
Bandwidth
Transfer
TIme
Product
Typ.+250C Typ.+2SoC Typ.+2S o C
10pC
30ns
2.5MHz
0.1 pC
25ns
2.0MHz
(CH =l,OOOpF)
l,.s
(CH = Internal)
500ns
(CH = Internal)
CH= 100pF
0.05pC
20ns
4.5MHz
Comparators
General Purpose
Electrical Characteristics, T A = 25 0 C
Type
Unity
Gain
1+ Max. AOL
BW
II
VIO
Max. Max. Max. V+, (Min.) Typ.
mV
Ma
nA
VdB
MHz
SR
(Typ.)
V/~s
Pkg.
No.
of
Pins'
Single-Unit Types
CA311
7.S
250
8
±1B
10B
Response
Time 1
BE,S,T
Response
Time 2
BE,S,T
14E1
Dual-Unit Types
20
50pA
3
±1B
BB
CA3290A
10
40pA
3
±1B
BB
100
B
±1B
-
Quad-Unit Types
5
CA139A
2
100
8
±1B
94
CA239
5
250
2
±1B
-
CA239A
2
250
2
±1B
94
CA339
5
250
2
±1B
94
CA339A
2
250
2
±1B
94
14E
14E
f---Response
Time 3
14E
r--14E
r---14E
r---14E
*See Packaging Section.
Response Time:
1 -2oon8
2 - tr ~ 1.2 ..... 11 = 200 ns
3 - tr - 1.3 pSI tf - 300 n8
High-Speed
Type
HFA-Q03
VIO
mV
110
nA
HA4900
2
10
HA4902
CA3290
CA139
Type
VIO
mV
Propagation
Delay
ns
Tracking
Bandwidth
MHz
0.1
<3
300
90
HM90S
Comments
Single or dual supply.
Analog and logic
supplies separated for
easier interface and
noise immunity
Pkg.
ReNo.
sponse
of
Time Pins'
130ns
16
Ie Arrays
Transistor Arrays
Electrical Characteristics at TA = 2S 0 C
Type
CA3018
Description
Two Isolated Transistors plus a Darlington Pair
CA3018A
V(BR)
CEO
(Min.) V
V(BR)
CBO
(Min.) V
hFE
(Min.)
Ie
(Max.)
mA
Package
Numberof
Pins*
15
20
30
50
12T
15
30
60
50
hFE matched ± 10%. VBE matched ±2mVand ±5mV max.
Operation from dc to 120MHz.
CA3045
Three Transistors plus a Differential Pair
CA3046
15
20
40
50
14D,14F
15
20
40
50
14E
ft> 300MHz 2 matched pairs ±5mV
CA3050
Dual Differential Amplifiers plus Diode Bias String
15
15
CA3051
20
IT.l600
50
14D
20
MHz (typ.)
50
14E
110 ; 70 nA max., lIB ; 500 nA max., VIO ; 5mV max.
CA3081
General-Purpose n-p-n High-Current Transistors
16
I
20
I
40
I
100
16E,16F
I
40
I
100
16E,16F
100
16E,16F
Seven Common-Emiller
CA3082
16
I
20
Seven Common-Collector
15
CA3083
20
40
Five independent transistors 01 and 02 matched:
(110 atl mA.) 2.5~A maximum.
CA3086
Three Isolated Transistors plus a Differential Pair
15
20
50
14E,14F
20/trans.
16E,16F
16E
40
IT> 550MHz typo Operation from dc to 120MHz
CA3127
Five Independent Transistors
CA3146
Three Transistors plus a Differential Pair
15
40
20
IT> 1 GHz. Operation from dc to 500MHz.
CA3146A
30
40
30
50
40
50
30
50
IT> SOOMHz typo Operation from dc to 120M Hz.
CA3183
Five High-Current Transistors
CA3183A
30
40
40
75
40
50
40
75
16E
High-voltage versions of CA3083 Transistors
01 and 02 matched at 1 mA.
CA3227
Five Independent Transistors
CA3246
Three Independent Transistors plus a Differential Pair
12
8
40
20/trans.
16E
20
14E
18E,18F
fI; 3GHz typo Operation from dc to 1.5GHz.
8
12
40
ft; 3GHz typo Operation from dc to 1.5GHZ.
CA3250
General-Purpose n-p-n High-Current Transistors
20
I
20
I
40
I
100
I
40
I
100
Eight Common-Collector
CA3251
I
20
20
Eight Common-Emiller
.0\ For single tranSistor
·Pinouts included in this section. also see Packaging Section.
91
Ie Arrays
Transistor Arrays
Type
CA3096
(Continued)
V(BR)
V(BR)
Package
CEO
CBO
IC
hFE
(Min.) V
(Min.) V
(Min •• )
(Max.)
Number of
n-p-n/p-n-p n-p-n/p-n-p n-p-n/p-n-p n-p-n/p-n-p
Pins'
Description
Five Independent Transistors, 3 n-p-n, 2 p-n-p
35/-40
45/-40
150/20
50/-10
CA3096A
35/-40
45/-40
150/20
50/-40
CA3096C
24/-24
30/-24
100/15
Thyristor/Translstor Array 1 n-p-n, 1 n-p-n/p-n-p
transistor pair, 1 zener, 1 PUT, 1 SCR
50/-10
p-n-p
n-p-n
CA3097
IVIO I = 5mV max.
5mVmax.
1110 I 0.6 "A max.
0.25 jlA max.
30/-40
16E
50/-50
n-p-n/p-n-p
pro 8000 typo
100/-10
16E
PUT: Ip = 15nA, VAK=±30VZenerVZ = 8V± 10%
Zz = 150 typ. at 10mA
Amplifier Arrays •
Electrical Characteristics at T A
Type
CA3026
= 250 C
Description
Dual Independent Differential Amplifiers
CA3054
CA3049
NF
(typ.)dB
550-
32
3.25-
Package
Push-Pull Number of
Input/Output
Pins'
X
Maximum input offset voltage ±5mV. Useful from dc to
120MHz. Types are identical except for package and
substrate connection.
X
12T
14E
X
16E
1350
• For single transistor
t AI 200 MHz
•
lllall kHz
23t
4.6t
Includes unique zener diode regulator
system. For data see OP Amp Section.
Three, Independent. Identical OTAArrays
12T
14E
Independently accessible inputs and oulputs. Useful
from dc to 500MHz. CA31 02 like the CA3049 except
that it has a separate substrate connection.
Dual High-Frequency Differential Amplifiers
CA3102
CA3060
fTMHz
AOL
(typ.)dB
..
tEach amplK,er
6. At 100 kHz
Diode Arrays
Electrical Characteristics at TA
Type
= 250 C. Apply for each
Diode
Description
CA3019
Quad Plus 2 Uncommitted
CA3039
6 Individual
V(BR)R
(Min.) V
IR
(Max.) "A
CD
(Typ.)pF
VF1- VF2
(Max.)mV
Package
No. of Pins'
4
10
1.8
5(IF= 1 mAl
lOT
• Ultra-fast low-capacitance matched diodes
5
0.1
0.65
5(IF= 1 mAl
12T
• Ultra-fast low-capacitance matched diodes
CA3141
10 High Reverse Breakdown Voltage DiodesD D
30
0.1
• Low-noise performance
• Low-leakage current
00 Six connected to form 3 common-cathode diode pairs.
*Pinouts included in this section, also see Packaging Section.
Four connected to form 2 common-anode diode pairs.
92
0.3
0.55 (typ.
ea. diode pr.)
16E
IC Arrays
CMOS Array
ElectrIcal CharacterIstics at TA
=
25 0 C
Package
Type
CD54/74
HCU04
Description
Number of Pins"
Features
QMOS Hex Inverter (Unbuffered) Linear Wideband
Amplifier
14E,14M
tpLH, tpHL = 6 ns @ VCC = 5V, 2 - 6Voperation
TA = -40 to +850 C
For application information, refer to RCA
Application Note.ICAN7637 'Linear Application
of the CD74HCU04 QMOS Inverter.'
*Pinouts included in this section, also see Packaging Section.
High-Speed CMOS Arrays
20-Lead (E). (F) and (M) Packages
Switching Characteristics
CL = 50 pF. t r • tf = 6 ns
Type
VCC=4.5V
*
VIH
Mln.V
VIL
Max.V
VOH
Mln.V
VOL
Max. V
II
Max.pA
ICC
Max.pA
CD54/74
HC6SS
3.15
1.35
4.4/3.9S*
0.1/0.26"
±0.1t
8t
34/24:1:
15
10
CD54/74
HCT688
2
0.8
4.4/3.98*
0.1/0.26"
±0.1t
st
34/24:1:
15
10
CMOSITTL loads
t VCC=6V
*
Rand B data 10 outpuVenable to output
Nota: For Information on Power Darlington Transistor/Arrays, see section on Bipolar Power Transistors
93
PLH.tpHL- tTLH. tlliLMax. ns
Max. ns
C1
Max.pF
Ie Arrays
Transistor Arrays
CA3018
CA3018A
TOP VIEW
CA3045, CA3146
CA3046, CA3146A
CA3086, CA3246
TOP VIEW
CA3050, CA3051
TOP VIEW
INDEX
SUBSTRATE
CA3081
TOP VIEW
CA3083
CA3183
CA3183A
TOP VIEW
CA3082
TOP VIEW
CA3127, CA3227
TOP VIEW
CA3097
TOP VIEW
CA3251
CA3250
SUBSTRATE
COMMON
·C·
94
CA3096
CA3096A
CA3096C
TOP VIEW
......
STRATE
Ie Arrays
Amplifier Arrays
CA3026
TOP VIEW
CA3049
TOP VIEW
CA3054
TOP VIEW
INDEX
SUBSTRATE
AND CASE
SUBSTRATE
AND CASE
NC
CMOS Array
CA3060
TOP VIEW
REG.
OUT
REG.
IN
CA31 02
TOP VIEW
CD54/74HCU04
OUT.
NO.1
BIAS
NO.1
NOIII- INII.
IN.NO.1
INV.IN.
NO.1
INV.IN.
NO.2
NOIII- INII.
IN. NO.2
V+
INV.IN.
NO.3
NOIII- INII.
IN NO.3
BIAS
NO.3
OUT.
NO.3
s.&
STRATE
s.&
STRATE
3Y,-=-r---
BIAS
NO.2
OUT.
NO.2
V-
---":::.J
Diode Arrays
CA3019
TOP VIEW
CA3039
TOP VIEW
INDEX
INDEX
SUBSTRATE
AND CASE
95
CA3141
TOP VIEW
4Y
Analog Multiplexers
General Purpose
Part
Number
Muillplexer
Type
Temperature
Range
Package
(0)
Off Output
Leakage (nA)
Max,Fuli
Temp
-550C 10 +1250C
-25OC to +85OC
OOC10 +75OC
OOC10 +750 C
-550C 10 +1250C
-550 CIo+1250C
00CIo+750C
28-pin cerdip
28-pin cerdip
28-pin cerdip
28-pin epoxy dip
28-pin cerdip
28-pin LCC ceramic
28-pin PLCC epoxy
400
300
250
1.211S
-550C 10 +1250 C
-25OC 10 +85OC
00CIo+750C
OOCIo+750C
-550C to +1250 C
-550C to +1250C
OOC10 +750 C
28-pin cerdip
28-pin cerdlp
28-pin cerdip
28-pin epoxy dip
28-pin cerdip
28-pin LCC ceramic
28-pin PLCC epoxy
400
200
250
1.211S
-550C to +1250 C
-250C 10 +850 C
OOCIo+750C
OOCIo+750C
-550C 10 +1250C
-550C 10 +1250 C
OOCIo+750C
16-pin cerdip
16-pin cerdip
16-pin cerdip
16-pin epoxy dip
16-pin cerdip
20-pin LCC ceramic
2o-pin PLCC epoxy
400
200
250
360ns
-550C 10 +1250C
-25OC 10 +85OC
OOCto+75OC
OOCIo+75OC
-550C 10 +1250C
-550C 10 +1250 C
OOCIo+750C
16-pin cerdip
16-pin cerdip
16-pln cerdip
16-pin epoxy dip
16-pin cerdip
20-pin LCC ceramic
20-pin PLCC epoxy
400
100
250
360n9
RON Max
Full Temp
Access
Tlme(ns)
Typ,+250C
Sellllng
Tlme(O.1%)
Typ,+250C
Hll-Q506-2
Hll-0506-4
Hll-0506-5
HI3-0506-5
Hll-Q506/883
HI4-Q506/883
H14P0506-5
Single-ended
16-channel
Hll-Q507-2
Hll-Q507-4
Hll-Q507-5
HI3-Q507-5
HI1-Q507/883
HI4-Q507/883
H14P0507-5
Differential
8-channel
Hll-Q508-2
Hll-0508-4
Hll-Q508-5
HI3-0508-5
HI1-Q508/883
HI4-Q508/883
H14P0508-5
Single-ended
8-channel
Hll-Q509-2
Hll-0509-4
Hll-Q509-5
HI3-Q509-5
HI1-Q509/883
HI4-Q509/883
H14P0509-5
Differential
4-channel
HII-1818A-2
HII-1818A-5
HI3-1818A-5
HI1-1818N883
H14P1818-5
Single-ended
8-channel
Low-Power
-550Cto+1250C
OOCIo+750C
OOCIo+75OC
-550C 10 +1250C
OOCIo+750C
16-pin cerdip
16-pin cerdip
16-pin epoxy dip
16-pln cerdip
20-pin PLCC epoxy
500
250
350
l.ol1S
HI1-1828A-2
HI1-1828A-5
HI3-1828A-5
HI1-1828N883
H14P1828-5
Differential
4-channel
Low-power
-550C 10 +1250C
OOCIo+750C
OOCIo+750C
-550 CIo+1250C
OOC10 +75OC
16-pin cerdip
16-pin cerdip
16-pin epoxy dip
16-pin cerdip
20-pin PLCC epoxy
500
125
350
1.011S
Mux
Family
Special Features
DG5XXA
Series
DG52X
Series
Multiplexar/Demultiplexer with
latches for liP based systems
Analog
Voltage
Range
VSupply
(±1SV)
=
Configuration
4
16
8
Channel Channel Channel Channel
Single Differ- Single DlfferEnded
entlal
Ended
entlal
8
rDS(ON)
(0 Max)
ID(OFF)
(nAMax)
tON
(nsMax)
tOFF
(nsMax)
400
10
1500
1000
-1510+15 DG50SA DG509A
400
10
1500
1000
-1510+15
400
10
1500
1000
-1510+15
400
10
1500
1000
-1510+15
96
DG506A DG507A
DG528
DG529
DG526
DG527
Analog Multiplexers
General Purpose
Mux
Family
(Continued)
Analog
Voltage
Range
VSupply =
(±1SV)
rOS(ON)
(0 Max)
IO(OFF)
(nAMax)
tON
(nsMax)
tOFF
(nsMax)
Industry standard pinouts, fault
protection up to ±2SV input,
low leakage, low input current
1200
1.0
1500
1000
-2Sto+2S
(Input)
1200
1.0
1S00
1000
-2Sto +2S
(Input)
IH6000
Series
Industrial standard pinouts,low
leakage, low ROS(ON) break
before make switching
300
2.0
1S00
1000
-14to+14
600
2.0
1500
1000
-14to+14
IH9108
High Voltage Multiplexer/
Oemultiplexerwilh latches
for f1P based systems
120
2.S
2000
1000
-SO to +SO
IHSooO
Series
Special Features
IHS108
IH61 08
IHS208
IHS116
IHS216
IH6116
IH6216
IH6208
IH9108
*
OGSXXA
Series
DGS2X
Series
Configuration
16
8
8
4
Channel Channel Channel Channel
Single Olffer- Single OlfferEnded
Ended
entlal
entlal
Multiplexer/Demultiplexer with
latches for f1P based systems
400
10
1S00
1000
-1Sto+1S
400
10
1500
1000
-1Sto+SO
400
10
1S00
1000
-1Sto+1S
400
10
1500
1000
-1Sto+1S
DGS08A DGS09A
DGS06A DGS07A
DGS28
DGS29
DG526
DG527
'±60V for IH91 OB
High Speed/Mode Programmable
Part
Number
HI1-QS16-2
HI1-QS16-S
HI3-QS16-S
HI4-S16-8
Multiplexer
Type
Temperature
Range
Package
16-channel/dual8
-SSoC to +12S0 C
OOCto +7SoC
OOCto +7SoC
-SSoC to +12S0C
28-pin cerdip
28-pin cerdip
28-pin epoxy dip
28-pin LCC ceramic
-S50 C to +12S0 C
OoCto +7S0C
OoCto +7SoC
-S50 C to +12So C
OoCto +7SoC
18-pin cerdip
18-pin cerdip
18-pin epoxy dip
18-pin cerdip
20-pin PLCC epoxy
HI1-QS18-2
8-channel/dual 4
HI1-QS18-S
HI3-QS18-S
HI1-QS18/883
HI4POS18-S
RON Max
Full Temp
(0)
Off Output
Leakage (nA)
Max, Full
Temp
Access
Time (ns)
Typ,+2S0 C
Settling
Time (0.1%)
Typ,+2S 0 C
1.0K
100
130
250ns
1.0K
SO
130
2S0ns
RON Max
Full Temp
(0)
Off Output
Leakage (nA)
Max, Full
Temp
Access
Time (ns)
Typ,+2S0 C
Settling
Time (0.1%)
Typ,+2S o C
1.SK
SO
1S0
200ns
250
900ns
Special Purpose
Temperature
Range
Package
4-channel video
HI1-QS24-2
HI1-QS24-S
with low 10MHz
HI3-QS24-S
crosstalk
HI1-0524/883
HI4POS24-S
-SSoC to +1 2So C
OOCto +7SoC
OOCto +7SoC
-SSoC to + 1250 C
oaCto+7SoC
18-pin cerdip
18-pin cerdip
18-pin epoxy dip
18-pin cerdip
20-pin PLCC epoxy
HI1-S39-2
HI1-S39-S
HI3-539-S
H14P539
-SSoC to +1250 C
oacto +7So C
oaCto+7SoC
00Cto+7SoC
16-pin cerdip
16-pin cerdip
16-pin epoxy dip
20-pin PLCC epoxy
Part
Number
Multiplexer
Type
Differential
4-channellow,
level matched
97
UK
2S
2.S
2.S
2.S
Analog Multiplexers
Overvoltage-Protected
Part
Number
Multiplexer
Type
Temperature
Range
(0)
Off Output
Leakage (nA)
Max, Full
Temp
2B-pin cerdip
2B-pin cerdip
2B-pin epoxy dip
RON Max
Full Temp
Package
Access
Settling
Time (n.)
Time (0.1%)
Typ,+250C TYP,+2S0C
HI1-0506A-2
HI1-0506A-5
HI3-0506A-S
Single-ended
16-channel
70Vp-p input
-SSoC to +125 0C
OoCto +7So C
00Cto+750C
1.BK
300
500
1.211S
HI1-0507A-2
HI1-0507A-5
HI3-0507A-5
Differential
B-channel
70Vp-p input
-550C to +1250 C
00Cto+750C
OoCto +750 C
2B-pin cerdip
2B-pin cerdip
2B-pin epoxy dip
1.BK
200
500
1.211S
HI1-050BA-2
HI1-050BA-5
HI3-050BA-5
Single-ended
B-channel
70Vp-p input
-550C to +1250 C.
OoCto +750 C
OoCto +750 C
16-pin cerdlp
16-pin cerdip
16-pin epoxy dip
1.BK
200
500
1.211S
HI1-0509A-2
HI1-0509A-5
HI3-0509A-5
Differential
4-channel
70Vp-p input
-550C to +1250 C
00Cto+750C
00Cto+750C
16-pln cerdip
16-pin cerdip
16-pin epoxy dip
1.BK
100
500
1.211S
HI1-546-2
HI1-546-4
HI1-546-5
HI3-546-5
HI1-546/883
HI4-546/883
H14P546-15
Single-ended
16-channel
70Vp-p input
-550C to +1250 C
-250C to +B50 C
00Cto+750C
00Cto+750C
-550C to +1250 C
-550C to +1250 C
-550 Cto+1250C
2B-pin cerdip
2B-pin cerdip
2B-pin cerdip
2B-pin epoxy dip
2B-pin cerdlp
2B-pin LCC ceramic
2B-pln PLCC epoxy
1.BK
300
500
1.211S
HI1-547-2
HI1-547-4
HI1-547-5
HI3-547-5
HI1-547/883
HI4-547/883
H14P547-5
Differential
B-channel
70Vp-p input
-550C to +1250 C
-25OC to +B50 C
00Cto+750C
00Cto+750C
-550C to +1250 C
-550C to +1250 C
-550C to +1250 C
2B-pln cerdip
2B-pin cerdlp
2B-pln cerdip
2B-pin epoxy dip
2B-pln cerdip
2B-pln LCC ceramic
2B-pin PLCC epoxy
1.8K
200
500
1.211S
HI1-548-2
HI1-548-4
HI1-548-5
HI3-548-5
HI1-548/883
HI4-548/883
H14P54B-5
Single-ended
B-channel
70Vp-p input
-550C to +1250C
-25OC to +850 C
00Cto+750C
OoCto +750 C
-550C to +1250C
-550C to +1250C
-550C to +1250C
16-pln cerdip
16-pln cerdlp
16-pin cerdip
16-epoxy dip
16-pin cerdlp
20-pln LCC ceramic
20-Pin PLCC epoxy
1.8K
200
500
1.211S
HI1-549-2
HI1-548-4
HI1-549-5
HI3-549-5
HI1-549/883
HI4-549/883
HI4P549-5
Differential
4-channel
70Vp-p input
-550C to +1250C
-25OC to +850 C
OOCto+750C
OOCto+750C
-550 Cto+1250C
-550Cto+1250C
-550Cto+1250C
16-pln cerdip
16-pin cerdip
16-pin cerdlp
16-epoxy dip
16-pln cerdlp
2o-pln LCC ceramic
20-pin PLCC epoxy
1.BK
100
500
1.2118
With RON Matching
With RON Matching
With RON Matching
With RON Matching
98
Analog Switches
General Purpose Analog Switches
Harris offers two general-purpose switch lines, each with
various switch configurations. The first consists of bipolar
drivers controlling an associated set of field-effect switching
transistors in a multi-chip structure that provides a wide choice
of parameters at low cost. The second is a monolithic CMOS
structure capable of improved performance and greater
reliability. All have break-before-make switch action. All
switches are available in commercial and military temperature
ranges. Package options include Plastic S.0.1.0. (not all
options are available for all devices types).
General Purpose Analog Switches
Switches Parameters
Max
IDIOFF)
nA
Max
tON
ns
Max
tOFF
ns
Max
Analog Voltage
Range
(VSupply = ±15V)
PMOS
600
4
300
1000
-
N-JFET
10
15
30
50
80
10
10
1
1
1
1000
1000
600
600
600
2500
2500
1600
1600
1600
-
10
1
1
300
150
250
250
130
130
-7.510+15
-7.510+15
-1010+15
RDSION)
Switch
Famllyt
Special
Features
Switch
Type
DG123-125
Inverting/non-inverting logic
Inputs
DG126-145
Dual Channel/Single Channel
n
Multlchlp
-
-
Mature. industry-slandard
swilch, JAN3851 0 Approved
N-JFET
10
30
75
DG200/201
Industry-slandard low cosl
CMOS
70/80
2.0
1000
500
-1510+15
DG201A
DG202
Inverting
Non-Inverting
CMOS
CMOS
175
175
1.0
1.0
600
600
450
450
-1510+15
-1510+15
DG211
DG212
Inverting
Non-Inverting
CMOS
175
5.0
1000
500
-1510+15
DG300A303A
TIL compatible, low power
CMOS
50
1.0
300
250
-1510+15
DG30BA
DG309
Normally-Closed
Normally-Open
CMOS
CMOS
100
100
1
1
200
200
150
150
-1510+15
-1510+15
IH5040-47
IH5052-53
Low quiescenl currenl
LowRDS(ON)
CMOS
75
75
1.0
1.0
1000
500
500
250
-1010+10
-1110+11
IH5140-45
High speed, low power, low
leakage
CMOS
50
0.5
175
200
125"
125
-1110+11
IH5148-51
Low RDS(ON), high speed, low
power
CMOS
25
1.0
250
350
500
200
250
250
-1410+14
DG18D-191
Monolithic
·Switching times are for the IH5140 and IH5145
tSwitch configurations included in this section.
99
Analog Switches
General Purpose
(Continued)
Temperature
Range
Type
oC
Switch Type
HI1-0301-2
HI1-0301-5
HI1-0301-7
HI2-0301-2
HI2-0301-5
HI2-0301-7
HI2-0301-8
HI1-0305-2
HI1-0305-5
HI1-0305-7
HI2-0305-2
HI2-0305-5
HI2-0305-7
HI2-0305-8
VL
T12
SI
S2
HI1-0387-2
HI1-0387-5
HI1-0387-7
HI2-0387-2
HI2-0387-5
HI2-0387-7
HI2-0387-8
v+
T11
16
1
I
4
I
- .... i..
~01
P
02
A lsi ...........
y3
y4
VA
v·
Package
RON Max,
Full Temp
Off Output
Switch ON
Leakage Max, Time Max,
Full Temp
+250 C
Power
Dissipation
TYP,+250 C
-55 0C to + 1250C
00Cto+750C
00Cto+75 0 C
-55 0C to + 1250 C
OOCto +75 0 C
00Cto+75 0 C
-55 0C to + 1250 C
14-pin cerdip
14-pin cerdip
14-pin cerdip
TO-100 can
TO-100can
TO-100can
TO-100 can
750
750
750
750
750
750
750
100nA
100nA
100nA
100nA
100nA
100nA
100nA
300ns
300ns
300ns
300ns
300ns
300ns
300ns
1.5mW
1.5mW
1.5mW
1.5mW
1.5mW
1.5mW
1.5mW
-55 0C to + 1250 C
00Cto+75 0C
00Cto+750 C
-55 0C to + 1250 C
OOCto +75 0 C
00Cto+75 0 C
-55 0C to + 1250 C
14-pin cerdip
14-pin cerdip
14-pin cerdip
TO-1 00 can
TO-100can
TO-100can
TO-100can
750
750
750
750
750
750
750
100nA
100nA
100nA
100nA
100nA
100nA
100nA
250ns
250ns
250ns
250ns
250ns
250ns
250ns
1.5mW
1.5mW
1.5mW
1.5mW
1.5mW
1.5mW
1.5mW
-55 0C to + 1250 C
00Cto+75 0 C
00Cto+75 0C
-550 C to + 1250 C
00Cto+750C
OOCto +750 C
-550C to + 1250 C
14-pin cerdip
14-pin cerdip
14-pin cerdip
TO-100can
TO-100can
TO-100can
TO-100can
750
750
750
750
750
750
750
100nA
100nA
100nA
100nA
100nA
100nA
100nA
300ns
300ns
300ns
300ns
300ns
300ns
300ns
1.5mW
1.5mW
1.5mW
1.5mW
1.5mW
1.5mW
1.5mW
HI1-5042-2
HI1-5042-5
HI1-5042-7
HI1-5042/883
HI3-S042-5
-550 C to + 1250 C 16-pin cerdip
00Cto+75 0 C
16-pin cerdip
OOCto +75 0C
16-pin cerdip
-55 0C to + 1250 C 16-pin cerdip
00Cto+7S0C
16-pin epoxy dip
750
750
750
750
750
500nA
500nA
500nA
500nA
500nA
370ns370n8370ns'
370n8'
370n8-
1.5mW
1.5mW
1.5mW
1.5mW
1.5mW
HI1-5050-2
HI1-5050-5
HI1-5050-7
HI1-S050/883
HI3-S0S0-5
-SSoC to + 1250C
00Cto+7So C
OOC to +7SoC
-SSoC to + 1250 C
00Cto+750 C
750
750
500
750
7S0
500nA
500nA
500nA
SOOnA
SOOnA
370ns370ns'
370ns'
370n8'
370ns'
1.SmW
1.SmW
1.SmW
1.5mW
1.5mW
HI1-0303-2
HI1-0303-S
HI1-0303-7
-SSoC to + 12So C 14-pin cerdip
OOCto +7S 0 C
14-pin cerdip
OOCto+7S o C
14-pin cerdip
7S0
750
7S0
100nA
100nA
100nA
300n5
300ns
300ns
1.5mW
1.5mW
1.5mW
-5S oC to + 1250 C 14-pin cerdip
OOCto+7So C
14-pin cerdip
00Cto+75 0 C
14-pin cerdip
750
7S0
7S0
100nA
100nA
100nA
250ns
2S0ns
250ns
1.5mW
1.SmW
1.5mW
14-pin cerdip
14-pin cerdip
14-pin cerdip
7S0
7S0
750
100nA
100nA
100nA
300n5
300n5
300n5
1.SmW
1.5mW
1.SmW
-55 0C to + 12So C
00Cto+7S0 C
OOCto+75 o
-SSoC to + 1250 C
00Cto+7S oC
-550 C to + 1 2So C
16-pin cerdip
16-pin cerdip
16-pin cerdip
16-pin cerdip
16-pin epoxy dip
0.3S" Sq LCC pack
750
750
7S0
750
750
750
500nA
500nA
SOOnA
SOOnA
500nA
500nA
370n5'
370ns'
370n5'
370ns'
370ns'
370ns'
1.SmW
1.5mW
1.5mW
1.5mW
1.5mW
1.SmW
-SSoC to + 12So C
"00Cto+7So C
OoCto +7S oC
-SSoC to +12SoC
ooe to +75 0 e
16-pin cerdip
16-pin cerdip
16-pin cerdip
16-pin cerdip
16-pin epoxy dip
son
son
son
son
son
SOOnA
SOOnA
500nA
SOOnA
SOOnA
370ns'
370ns'
370ns'
370ns370ns-
1.SmW
1.SmW
1.5mW
1.SmW
1.SmW
HI1-0307-2
HI1-0307-5
HI1-0307-7
HI1-0390-2
HI1-0390-S
HI1-0390-7
HI1-S043-2
HI1-S043-5
HI1-5043-7
HI1-5043/883
HI3-5043-5
HI4-5043/883
HI1-50S1-2
HI1-S0S1-S
HI1-S0S1-7
HI1-S0S1/883
HI3-S0S1-S
vL
T12
SI
v+
J11
16
1
4
S3 15
AI""'::
10
A20---::
S
9
2
5
S4
J.
3
~~
B
-
D-I>J
.J....
p3
p4
VA
V·
6
~
01 -SSoC to + 12So e
03
00Cto+7S0C
OOC to +7SoC
02
04
c
16-pin cerdip
16-pin cerdip
16-pin cerdip
16-pin cerdip
16-pin epoxy dip
-TYPical Value
100
Analog Switches
General Purpose (Continued)
Type
Hll-S044-2
HI1-S044-S
Hll-S044-7
Hll-S044/883
HI3-S044-S
HI1-D381-2
HI1-D381-S
Hll-D381-7
HI2-0381-2
HI2-0381-S
HI2-0381-7
Hll-D200-2
Hll-0200-4
Hll-D200-S
HI1-0200-7
Hll-0200/883
HI2-0200-2
HI2-D2oo-4
HI2-D2oo-S
HI2-D2oo-7
HI2-D2oo/883
HI3-D200-S
Temperature
Range
Switch Type
"M"
52
02
•
•
•
•
YL
51
53
Al
16
4
15 _
10
A2 <>-;;
5
9
2 5
54
T~2
-SSoC to +12So C l6-pin cerdip
OoCto +7SoC
l6-pin cerdip
OoCto +7SoC
l6-pin cerdip
51 -SSoC to +12So C 16-pln cerdip
16-pin epoxy dip
01
OoCto +7So C
370ns'
370ns'
370ns
370ns'
370ns'
1.SmW
1.SmW
1.SmW
1.SmW
1.SmW
14-pin cerdip
14-pin cerdip
14-pin cerdip
TO-l00can
TQ-l00can
14-pin cerdip
7Sn
7Sn
7Sn
7Sn
7sn
7Sn
loonA
lOOnA
loonA
loonA
lOOnA
lOOnA
300ns
300ns
300ns
300ns
300ns
300ns
1.SmW
1.SmW
1.SmW
1.SmW
1.SmW
1.SmW
14-pin cerdip
14-pin cerdip
14-pin cerdip
14-pin cerdip
14-pin cerdlp
TQ-l00can
TQ-l00can
TQ-l00can
TO-l00can
TO-l00can
14-pln epoxy dip
lOOn
loon
loon
loon
loon
loon
loon
loon
loon
loon
lOOn
SOOnA
SoonA
SoonA
SoonA
SOOnA
SoonA
SoonA
SOOnA
SOOnA
SOOnA
SOOnA
240ns'
240ns'
240ns'
24Ons'
240ns'
24Ons'
24Ons'
24Ons'
240ns'
24Ons'
24Ons'
lSmW
lSmW
lSmW
lSmW
lSmW
lSmW
lSmW
lSmW
lSmW
lSmW
lSmW
-SSOCto+12S0 C 14-pin cerdip
OoCto +7S0C
14-pin cerdip
14-pln cerdip
OOCto +7S0 C
7Sn
7Sn
7Sn
loonA
l00nA
l00nA
300ns
300ns
300ns
1.SmW
1.SmW
1.SmW
-SSOCto+12SoC
OOCto+7S0 C
OOCto+7SOC
14-pin cerdip
14-pin cerdip
14-pin cerdip
7Sn
7Sn
7sn
lOOnA
100nA
loonA
2S0ns
2S0ns
2S0ns
1.SmW
1.SmW
1.SmW
-SSOCto+12S0 C 14-pln cerdip
00Cto+7So C
14-pin cerdip
OoCto +7S0 C
14-pln cerdip
7Sn
7Sn
7Sn
loonA
100nA
100nA
300ns
300ns
300ns
1.SmW
1.SmW
1.SmW
°2 -SSoC to +12So C 16-pin cerdip
OOCto +7S0 C
l6-pin cerdlp
OOCto +7S0 C
16-pin cerdip
-SSoC to +12S0 C 16-pin cerdip
00Cto+7S0 C
l6-pin epoxy dip
-SSoC to +12S0 C O.3S" Sq LCC pack
7Sn
7Sn
7Sn
7Sn
7Sn
7Sn
SOOnA
SoonA
SoonA
SoonA
SoonA
SoonA
370ns'
370ns'
370ns
370ns'
370ns'
370ns'
1.SmW
1.SmW
1.SmW
1.SmW
1.SmW
1.SmW
TIl
01
8
-!....
HI1-Q306-2
Hll-D306-S
Hll-D306-7
p4
y.
-SSoc to +12So C
-2Soc to +8SoC
00Cto+7So C
OOCto +7S0 C
02
o
04 -SSoC to +12S C
-SSoc to +12So C
-2S oC to +8SoC
00Cto+7So C
00Cto+7S0 C
-SSoC to +12So C
OoCto +7S0 C
Hll-S04S-2
Hll-S04S-S
Hll-S04S-7
HI1-S04S/883
HI3-S04S-S
HI4-S04S/883
Y+
YL
1·2
8,
82
A
16
111
....
I
I
4
- ....
15 _
....
1
...0,
3
.I
)'3 J'4
y.
YA
-SSoC to +12So C
00Cto+7So C
00Cto+7So C
-SSoC to +12So C
OoCto +7So C
16-pin cerdip
16-pin cerdip
l6-pin cerdip
16-pin cerdip
l6-pin epoxy dip
son
son
son
son
son
SoonA
SoonA
SoonA
SOOnA
SoonA
370ns'
370ns'
370ns
370ns'
370ns'
l.5mW
1.SmW
1.SmW
1.SmW
1.SmW
-SSOCto+12SOC
00Cto+7So C
00Cto+7So C
1
o
°2 -SSoC to +12S C
00Cto+7So C
8_ 03
l6-pin cerdip
l6-pln cerdip
16-pin cerdip
16-pin cerdip
l6-pin epoxy dip
7sn
7Sn
7Sn
7Sn
7Sn
SOOnA
SOOnA
SOOnA
SoonA
SOOnA
370n5'
370n5'
370ns
370ns'
370ns'
1.SmW
1.SmW
1.SmW
1.SmW
1.SmW
l6-pin cerdip
l6-pin cerdip
l6-pin cerdip
l6-pin cerdip
16-pin epoxy dip
son
son
son
son
son
SOOnA
SOOnA
SOOnA
SOOnA
SOOnA
370ns'
370ns'
370ns
370ns'
370ns'
1.SmW
1.SmW
1.SmW
1.SmW
1.SmW
Hll-S049-2
Hll-S049-S
HI1-S049-7
Hll-S049/883
HI3-S049-S
Hll-S046-2
HI1-S046-S
HI1-S046-7
HI1-S046/883
HI3-S046-S
HI1-S046A-2
Hll-S046A-S
HI1-5046A-7
Hll-S046N883
HI3-S046A-S
vL
112
51
82
83
84
v+
111
.... 3_ 01
4
16
J.
9
1
I
5
A~
rot>J
)'3
VA
-SSOCto +12S oC
OOCto +7SoC
OoCto +7S0 C
-SSoC to +12SoC
OoCto +7S0 C
OoCto +7SoC
::iI.... ..::..... 03
~-~ ..'!-..
.f3
YR
SOOnA
SOOnA
SOOnA
SOOnA
SOOnA
Y+
1
3
.....
Switch ON
Off Output
Power
Leakage Max,
Time
Dissipation
Full Temp
Max,+2S 0 C Typ,+2S0 C
7Sn
7Sn
7Sn
7Sn
7Sn
HI1-D302-2
HI1-D302-S
HI1-D303-7
Hll-D384-2
Hll-D384-S
HI1-D384-7
Package
RON Max,
Full Temp
J'4
y.
6
04
-SSoC to +12SoC
OOCto +7SoC
OoCto +7SoC
-SSOCto+12So C
OOCto +7SoC
*Typical Value
101
Analog Switches
General Purpose
Hll-S047A-2
HI1-5047A-S
Hll-S047A-7
Hll-S047A/883
HI3-S047A-S
Hll-0201-2
Hll-0201-4
Hll-0201-S
Hll-0201-7
Hll-0201/883
HI3-0201-S
H14-0201/883
Hll-0201 HS-2
Hll-0201 HS-4
Hll-0201 HS-S
Hll-0201 HS/883
H13-0201 HS-4
H13-0201 HS-S
H14-0201 HS-S
.'~.'
-SSoC to + 12So C
OOC 10 +7S o C
00Clo+7So C
-SSoC 10 + 12So C
OOC10 +7S o C
16-pin cerdip
16-pin cerdip
16-pin cerdip
16-pin cerdip
16-pin epoxy dip
7S0
7S0
7S0
7S0
7S0
SOOnA
SOOnA
SOOnA
SOOnA
SOOnA
370ns'
370ns'
370ns
370ns'
370ns'
1.SmW
1.SmW
1.SmW
1.SmW
1.SmW
-SSoC 10 + 12SoC
OOC 10 +7S o C
00Clo+7So C
-SSoC 10 + 12So C
00Clo+7S o C
16-pin cerdip
16-pin cerdip
16-pin cerdip
16-pin cerdip
16 pin epoxy dip
SOO
SOO
SOO
SOO
SOO
SOOnA
SOOnA
SOOnA
SOOnA
SOOnA
370ns'
370ns'
370ns
370ns'
370ns'
1.SmW
1.SmW
1.SmW
1.SmW
1.SmW
1000
1000
1000
1000
1000
1000
1000
SOOnA
2S0nA
2S0nA
2S0nA
SOOnA
2S0nA
SOOnA
18Sns'
18Sns'
18Sns'
18Sns'
18Sn5'
18Sns'
18Sn5'
lSmW
lSmW
lSmW
lSmW
lSmW
lSmW
lSmW
CUTl
OUT2
IN1
IN2
1N4
OUT4
A4
•
VL
Hll-S041-2
Hll-S041-S
Hll-S041-7
Hll-S041/883
HI3-S041-S
Hll-S048-2
Hll-S048-S
Hll-S048/883
HI3-S048-S
IN3
QUl3
Aa
•
-SSoC 10 + 12SoC 16 pin cerdip
-2So C 10 +8S o C 16-pin cerdip
ooc 10 +7S oC
16 pin cerdip
111
....,. 1 .... D OOC 10 +7S o C 16-pin cerdip
o
-SSoC to + 12S C 16-pin cerdip
I
00Clo+7So C
16-pin epoxy dip
I
-SSoC 10 + 12So C 0.3S" Sq LCC pack
112
I
A.,...!.:!
16-pin cerdip
16-pin cerdip
16 pin cerdip
16 pin cerdip
16-pin epoxy dip
16-pin cerdip
16-pin epoxy dip
7S0
7S0
7S0
7S0
7S0
7S0
7S0
100nA
SOnA
SOnA
100nA
SOnA
SOnA
SOnA
SOns
SOns
SOns
SOns
SOns
SOns
SOns
120mW
120mW
120mW
120mW
120mW
120mW
120mW
D -SSoC 10 + 12SoC
16-pin cerdip
16-pin cerdip
16-pin cerdip
16-pin cerdip
16-pin epoxy dip
7S0
7S0
7S0
7S0
7S0
SOOnA
SOOnA
SOOnA
SOOnA
SOOnA
370ns'
370n5'
370ns
370ns'
370n5'
l.SmW
1.SmW
1.SmW
1.SmW
1.SmW
-SSoC 10 + 12S0C 14-pin cerdip
-2So C 10 +8S o C 14-pin cerdip
OOC 10 +7S o C
14-pin cerdip
00Clo+7So C
14-pin cerdip
-SSoC 10 + 12S0C 14-pin cerdip
OOC 10 +7S o C
14-pin cerdip
OOC10 +7S o C
14-pin cerdip
-SSoC 10 + 12So C TO-l00can
00Clo+7S o C
TO-l00can
00Clo+7S OC
14-pin cerdip
600
600
400
400
7S0
7S0
7S0
7S0
7S0
7S0
200nA
200nA
200nA
200nA
100nA
100nA
100nA
100nA
100nA
100nA
lSOn5
1 SOns
1S0ns
1 SOns
300n5
300n5
300ns
300ns
300n5
300n5
120mW
120mW
120mW
120mW
1.SmW
1.SmW
1.SmW
1.SmW
1.SmW
1.SmW
-SSoC 10 + 12SoC 14-pin cerdip
00Clo+7S o c
14-pin cerdip
00Clo+7S o C
14-pin cerdip
-SSoC 10 + 12So C TO-l00can
00Clo+7S o c
TO-l00can
OoC10 +7S o C
14-pin cerdip
7S0
7S0
7S0
7S0
7S0
7S0
100nA
100nA
100nA
100nA
100nA
100nA
2S0n5
2S0n5
2S0n8
2S0ns
2S0n5
2S0ns
1.SmW
1.SmW
1.SmW
1.SmW
1.SmW
1.SmW
-SSoc 10 + 12So C
OoC 10 +7S o C
OoC 10 +7S o C
-5S oC 10 + 12So C
00Clo+7So C
16-pin cerdip
16-pin cerdip
16-pin cerdip
16-pin cerdip
16-pin epoxy dip
7S0
7S0
7S0
7S0
7S0
SOOnA
SOOnA
SOOnA
SOOnA
SOOnA
370n5'
370n5'
370n5
370n5'
370ns'
1.SmW
1.SmW
1.SmW
1.SmW
1.SmW
-SSoC 10 + 12SoC
OoC10 +7S o C
-SSoC 10 + 12So C
00Clo+7S o C
16-pin cerdip
16-pin cerdip
16-pin cerdip
16-pin epoxy dip
SOO
SOO
SOO
SOO
SOOnA
SOOnA
SOOnA
SOOnA
370n5'
370n5'
370n5'
370n5'
1.SmW
1.SmW
1.SmW
1.SmW
4
s
V-
I
I
I
1_ .... I
A
1 - ....
vL
4
8,
A,
A
...
v+
/.
I
Go
'5
3
-0O,
foi>~
2 '0 1-0-1>,
52
00Clo+7S o C
00Clo+7S oC
-SSoC 10 + 12S0C
00Clo+7S o C
.
1'2 111
Go
5
J-L t!-o °2
)'3 J"
v·
VA
RON Max,
Full Temp
-SSoC 10 + 12S0C
-2So C 10 +8S oC
00Clo+7S o C
-SSoC 10 + 12So C
-2S0 C 10 +8S o C
OoC10 +7So C
OOC10 +7So C
lo-t>J
jt3 V
v
R
Package
V+
16
s
Hll-0222-2
Hll-0222-4
Hll-0222-S
Hll-0222-7
Hll-0300-2
Hll-0300-S
Hll-0300-7
HI2-0300-2
HI2-0300-S
HI2-0300-7
Hll-0304-2
Hll-0304-S
Hll-0304-7
HI2-0304-2
HI2-0304-S
HI2-0304-7
Power
Dissipation
Typ,+250 C
Switch Type
SPST
Hll-S040-2
Hll-S040-S
Hll-S040-7
Hll-S040/883
HI3-S040-S
Off Output
Switch ON
Leakage Max, Time Max,
Full Temp
+250 C
Temperature
Range
Type
Hll-S047-2
Hll-S047-S
Hll-S047-7
Hll-S047/883
HI3-S047-S
(Continued)
'Typical Value
102
Analog Switches
Virtual Ground JFETs (P-Channel)
Switch Configuration
SPST
IH5022
Dual
SPST
Triple
SPST
IH5017
IH5018
Special
Features
Features
IH5009
IH5010
IH5019
IH5020
100
150
Package
Number
of Pins'
DD,JD,PA
OO,JO,PO
• Odd number devices drive direclly from TTL
open-collector logic (15V).
Separate
Output
• Each channel simulates SPOT switch.
Ion/loft = 500ns. 10 (oft) = 0.2nA
IH5016
rDS(on)
(0 Max.)
Common
Output
• 4 channels analog gating eliminate external
drivers.
IH5014
IH5024
Quad
SPST
100
150
OE,JE,PA
PA,PE
IH5011
IH5012
TA Range: OoC to +70OC. -5510 +125OC
·See Packaging Section
RF/Video Switches, CMOS
Switch Configuration
Dual
SPST
Quad
SPST
IH5341
IH5352
Features
rDS(on)
(0 Max.)
75
• Superior I/O isolation enhances video, rf
performance
(nAMax.)
ton
(nsMax.)
toft
(ns.Max.)
Package
Number
of Pins'
1.0
300
150
PO,TW
10 (off)
• Switch attenuation varies <3dB from dc to
100MHz
TA Range: OOc to +70OC. -25 to +850C. -5510 +1250 C
·See Packaging Section
Separate Driver/Switch Combinations
TTL level translator/driver
15p-p(Min)
Low charge injection switch
20p-p(Mln)
Drivers for FET Switches
Monolithic bipolar drivers convert low-level positive logic to
high-level positive and negative voltages necessary to drive
FET switches.
Type
IH6201
103
Output Swing
Number
of
Positive Negative
Channels (V Max)
(V Max)
2 TTL
+14.0
-14.0
tON
ns
Max
tOFF
ns
Max
400
300
Analog Switches
Switch Configurations
1
2
5
4
3
• •
---'"
• ~
• •
1
10'(•
• : 10-(- •
1110""'_ •
°
a~
0
0
I
7
B
0
D~
0
J.I
0
--,--1
1
°
0
I
0'1-
..La
•
I
0-1
°
°
.~
•
------.,
1
0
1
--ro
•
°
1'1:1 1°1_
1....
I
•
10
0
•
•
D
ofa
o-h
9
0
1
a11
I
8
0--0"'".
°
I
----
1
•
•
---r:"
•
I 'a'D
•
11
•
III~-
a""g
~.
of-
°
a...a
0
0
0
0
.,J,_
"f
0
1
1
I
1
• •
o+a
o..l-o
•
•
I
Switch Configuration (Diagram)
SPST
(1)
Dual
SPST
(2)
Quad
SPST
(3)
4PST
(4)
Fiva
SPST
(5)
SPOT
(6)
Dual
SPOT
(7)
DPST
(8)
Dual
DPST
(9)
DPDT
(10)
DG140
DG129
DG126
DG145
DG139
DG142
DG123
DG125
DG141
DG133
DG134
DG146
DG144
DG143
DG180
DG181
DG182
DG186
DG187
DG188
DG200
IH5040
DG183
DG184
DG185
DG303A
DG302A
DG201
DG201A
DG202
DG211
DG212
DG300A
DG189
DG190
DG191
DG301A
DG308A
DG309
IH5041
IH5047
IH5042
IH5043
IH5044
IH5141
IH5142
IH5143
IH5144
IH5148
IH5150
IH5151
IH5045
IH5052/53
IH5140
IH401/A
104
IH5145
IH5149
IH5046
Power Control Circuits
Power-Supply Supervisory Circuits
Type
Function
Description
Package
Number
of Pins'
ICL7663S
Programmable micropower positive
voltage regulator
Low-power, high-efficiency device (10 = 4~A max.) that accepts an input of 1 to 16V
and provides an adjustable output over the same range at up to 40mA load. TA Range:
o to + 700 C, -25 to +850 C. Line and load regulation and ESP protection (>2000V).
BA,JA,
PA, TV
ICL7680
-5V to ±15V voltage
converter/regulator
Boost-type switched-mode converter inverter chip to convert +5 to ±15V regulated
outputs. Features current limiting protection with external shut down.
TA Range: oto +700 C.
JE,PE
ICL7660S
Voltage converter
Performs supply voltge conversion from positive to negative. Input range is +1.5V to
+10V resulting in complementary output voltages of -1.5V to -12V. Can be connected
as a voltge doubler to generate output voltage of -18.6V. TA Range: 0 to + 70 0 C,
-55 to +1250 C.ICL7660S improved version of ICL7660. Has extended supply voltage
range,lower supply current, and ESD protection (>2000V).
BA,PA,
TV
ICL7662S
Voltage converter
Similar to the ICL7660 in its operation, except the output voltages are -4.5V to -20V.
Doubler output 22.6V.
PA,TV
ICL7665S
ICL7665
Programmable micropower under/over
voltage detector
Contains two individually programmable voltage comparators and requires only 3~A
supply current. Intended for battery-operated systems that require low or high
voltage warnings, etc. Open drain outputs for interfacing. TA Range: 0 to + 700 C,
-25 to +850 C.ICL7665S improved ICL7665. For features, see ICL7663S.
BA,JA,
PA,TV
ICL8211
Programmable voltage
level detector
Contains a 1.15V reference, a comparetor, a hysteresis output and a non-Inverting
main-output. Provides a 7mA current-limited output sink when voltage on threshold
terminal is <1 .15V. TA Range: 0 to + 700C, -55 to +1250 C.
BA,JA,
PA, TV
ICL8212
Programmable voltage
level detector
Similar in operation to the ICLB211 except that its main output is inverting as opposed
to non-inverting. Requires a voltage in excess of 1.15V to switch its output on (no
current limit). TA Range: Same as ICL8211.
ICL7667
Dual power
MOSFET driver
TIL-compatible high-speed CMOS driver designed to provide high output current
(1.5A) and voltage (up to +15V) for driving the gates of power MOSFETs in highfrequency switched-mode power converters. TA Range: 0 to + 700 C, -55 to +1250 C.
BA,JA,
PA, TV
HV-250/255
MOSFET drivers
Complementary power. Wide supply range (20V to 450V). High peak output current of
2A. High switching speed 200ns.
-
Totem pole N-channel power MOSFET driver. Wide supply range (20V to 450V). High
peak output current of 2A. High switching speed of 200ns.
-
HV-350/355
ICL7673
Automatic battery
backup switch
Automatically switches between a main power supply (eg., +5V) and a battery
back-up supply, when the main supply is removed. Wide supply range: 2.5V to 15V.
TA Range: 0 to + 700 C, -25 to +850 C.
BA,PA,
TV
ICL7675
ICL7676
Switched-mode
power-supply
controller set
Two-chip set provides required control circuitry for a 50W - 150W isolated-type
flyback-type switching power supply.ICL7675 primary side controller provides main
power-switch drive.ICL7676 secondary side controller monitors the regulated output
TA Range: 0 to +700 C, -25 to +85 0 C, -55 to +1250 C.
JA,PA
ICL7677
CMOS power fall
detector
Used on primary side of power supply with opto isolators transmitting the fault
indication to the equipment on the secondary side. Also used on secondary side to
drive TIL/CMOS logic at fault indicating outputs. TA Range: 0 to + 700 C,
- 25 to +85 0 C, -55 to +125 0 C.
IN,PN
·See Packaging Section
105
Power Control Circuits
Special Purpose Circuits
Type
Description
Features
ICLB069
Low voltage
reference
1.2V temperature compensated voltage reference uses band-gap principal for excellent stability and low
noise at reverse currents down to 50flA. 0 to 700 C and -55 to +1250C temperature ranges (metal only).
Temperature coefficients of 0.005 and 0.01 fOC.
HV-1205
Monolithic power
supply
120VAC to 5VDC conversion. 50mA output drive.
Type
Description
VI
Range
V
Vo
Range
V
10
(Max)
mA
Load
Regulation
%VO(Max)
VI-VO
V
(Min)
Short-Circuit
Current LImit
mA(Typ)
Package
Number
of Pins·
7.5 to 30
1.Bt026
12**
0.1
4
96
BT,
CA3085A
7.5 to 40
1.7t036
100
0.15
4
96
85,
CA3085B
7.5 to 50
1.7t046
100
0.15
3.5
96
BE
CA723
9.5 to 40
2t037
150
0.03
3
65
10T
CA723C
9.5 to 40
2t037
150
0.03
3
65
14E
CA3085
Voltage regulators
·*This value may be extended to 1OOmA; however, regulation is not specitied beyond 12mA.
Operating temperature range (TAl: -55 to +1250 C. Electrical characteristics at TA = 250 C
CA1523
CA1524
CA2524
CA3524
Voltage regulator
control circuit
Regulating
pulse-width
modulators
VCC
(Pln7)V
VCC
Range V
ICCmA
Error Voltage
Ref. (Pin 1) V
Pulse Output
VOL(Pln6)V
Pulse Output
VOH(Pln6)V
Max Load
Duty Cycle %
13
10-15
27
6.5
1.0
12
50
Variable internal pulse regulator.
Operating temperature range (TA): 0 to +700 C. Characteristics shown are typical @ TA =25OC.
V+Range
V
VORange
V
Load
Regulation
%VO(Typ)
Ripple
Rejection
dB (Typ)
Total Standby
Current Is (mA)
(Max)
VCESAT
V
(Typ)
Bt040
Bt040
Bt040
4.Bto5.2
4.Bto 5.2
4.6 to 5.4
0.2
0.2
0.2
66
66
66
10
10
10
O.B
O.B
O.B
Electrical characteristics at V+ = 20V, f = 20kHZ.
TA =-55 to +125OC for CA1524; 0 to + 700c for CA2524, CA3534. 16-lead dual-in-line (E) & (F) packages.
Short-circuit current limit 1OOmA typo Temperature stability: 1% max.
AC Input Voltage
CA3059
CA3079
Zero voltage
switches
@ 50-60 & 400Hz
Max. DC
Supply Volts
Max. Input
Current
(VAC)
(V)
(!'A)
Sensor
Range(Rxl
kO
24
120
20B/230
277
14
1
2 to 100
10
2
2to50
Control Current to
Thyristor Gate
(rnA)
Up to 124 with internal supply; up
to 240 with one external supply
Electrical characteristics at TA =250 C. 14-lead dual-In-line (E) package.
Operating temperature range (TAl: -55 to +1250 C.
*Saa Packaging Section
106
Power Control Circuits
Special Purpose Circuits
Type
(Continued)
Description
Features
Quad-gated power
drivers (interface
low-level logic to
high-current loads)
Independent overcurrent limiting for
each output" (0.7
min. A). Independent
over-temperature
limiting for each
output (155 typo oc)
ICEXMax.
(VCE=
SOV)IIA
VCESUS
Min.
(IC=
100mA)V
VCE(sat)
1+ Max.
IC=700mA
IRMax.
Max.
(IC=
VCC+ S.SV (VR =SOV)
600mA)V
mA
!lA
100#
25#
0.76
100#
35#
0.66
0.8#
tpHL.
tpLH
Max.
lis
Package
Number
of Pins'
Inverting Types
CA3262
CA3272"
CA3242
Overload protection
circuitry
1006
256
CA3252
Input latch with
external feedback
resistor
1006
256
600
5.
600
100
10
16E
100
10
28Q
800
5.
100
20
16E
100
30
16E
Units
Non Inverllng Types
0.7#
800
5.
DAII outputs ON
"w/fault mode flag
t;. VIN =2.4V
#VIN=O.BV
.AII outputs OFF
• VOUT = 4.SV to 24.SV
0
Electrical characteristics at TA = +2s e. Vee = SV; TA range: -40 to +Bsoe
Umlts
Characteristics
CA3169
Solenoid and motor Output leakage current (Pin 2 or Pin 3)
driver (y.H drive)
Input terminals shorted
Quiescent current (Pin 1):
Typ.
Max.
-110
:1:0.5
110
!lA
70
100
mA
I VCC=
14V
I
Input terminals open
Overvoltage shutdown circuit (Pin 1)
Min.
-
17
40
20
18
25
21.4
27
23
0.65
1.11
2.6
A
-
0.3
0.85
V
Upper trip point
Lower trip point
Source output short circuit current (Pin 2-G)
Sink output (ISINK 600mA) output saturation voltage
V
Operating temperature range: -40 to +8Soe. Electrical characteristics at TA = 2soe. Vee = 10.5V to 1BV
Versa V1 TO-220 style package
Secllons
CA3177
Opamp/
comparator
Features
Operational
amplifier
Has shutdown
control and
isolated
Q14 amplifier
transistor
Q1 amplifier
VIO
Max.
mV
liB
Max.
IlA
VICR
Max.
V
1+
Max.
mA
100
15
12
2"
10
3"
hFE
0.6
Note 2
0.4
Note 3
• Min.
Note 1: VeE = 10V.le =0.1 mAand 2mA
Note 2: 17 = 0.2mA.le = 2mA
Note 3: IB = 0.1 SmA. 11 = 30mA
Electrical characteristics alTA = 250 e. TA range: 0 to +700 e
·Sea Packaging Section
107
Package
Number
of Pins'
8EI
45
Note 1
High output
current 50mA
max.
VCE(sat)
Max.
V
Power Control Circuits
Special Purpose Circuits
Type
HC2000H
HC2500
Description
Multipurpose
7-Aopamps
(Continued)
VS·Max.
V
lOUT (Peak)
A
BW60W
kHz
POUTRMS
W
75
7
30
Up to 100
0.6
75
7
30
Up to 100
0.06
Output Protection
Network
Frequency
Compensation
HC2000H
Yes
LC filter on output
HC2500
No
Capacitor on sig. terminals
IMD @ (200mW)
'II>
Operating
Mode
Commutatlng
Diodes
ClassB
Yes
ClassAB
No
Features
• Operation from either single or split power supplies
• Output currents up to 7 A; power output up to 100W
• Bandwidth of 30kHz at 60W
• Adjustable idling current
• Direct coupling to load
• Built-in load-line limiting circuit to protect amplifier from short-circuiting output terminals (HC2000H)
• Metal hermetic package
'Power supply: Single 30to 75V; split ±15to 37.5V. Operating temperature range: -55 to +125 0 C
Characteristics
CA3020
CA3020A
Multipurpose
wideband power
amplifiers
CA3020
CA3020A
Units
Maximum power output (PO) at THO = 10%
550
1000
mW
Sensitivity (CIN)
35
45
mV
Power gain (Gp)
75
75
dB
Input resistance (RIN)
55
55
kO
Signal-Io-noise ratio (liN)
70
66
dB
Total harmonic distortion at 150mW (THO)
3.1
3.3
%
8
8
MHz
Bandwidth (-3db point) (BW)
Operating temperature range (TA): -55 to +1250 C
Typical electrical characteristics at TA = 2SoC
12-lead (T) package
108
Intelligent Power
Modules
Output Ratings
Type
Function
Voltage
Current
FileNo.
SP205
Single AC Switch
130VRMS
2ARMS
35.5
SP210
Dual DC Source
56VDC
2ADC
35.4
SP215
Dual DCSink
56VDC
2ADC
35.4
ControllCs
Type
FileNo.
Function
SP600
500V Half-Bridge Driver-Direct Input Protocol
2428
SP601
500V Half-Bridge Driver-Indirect Input Protocol
2429
Recommended Power Switches for the SP600-Series Control ICs
Ratings
Type
Volts
Amps.
Part
No.
'Current
Sensing
Package
FileNo.
120 VAC Rectified Systems (170VDC)
MOSFET
250
3.8
IRF624
No
TO-220AB-3-lead
2167
MOSFET
275
3.8
IRF626
No
TO-220AB-3-lead
2167
MOSFET
250
8.1
IRF634
No
TO-220AB-3-lead
2168
MOSFET
275
8.1
IRF636
No
TO-220AB-3-lead
2168
MOSFET
250
14.0
IRF644
No
TO-220AB-3-lead
2169
MOSFET
275
14.0
IRF646
No
TO-220AB-3-lead
2169
IGT
500
10.0
GS1510
Yes
TO-22Q-5-lead
2326
IGT
500
10.0
IGT5E10CS
Yes
TO-22Q-5-lead
2326
IGT
500
25.0
GS1525
Yes
TO-218-5-iead
2327
IGT
500
25.0
IGT7E20CS
Yes
TO-218-5-lead
2327
IGT
500
50.0
GS1550
Yes
TO-218-5-lead
2328
iGT
500
50.0
IGT7E50CS
Yes
TO-218-5-iead
2328
220 VAC Rectified Systems (310 VDC)
MOSFET
500
4.5
IRF430R
No
TO-204AA-3-lead
1996
MOSFET
500
8.0
IRF440R
No
TO-204AA-3-lead
2007
MOSFET
500
13.0
IRF450R
No
TO-204AA-3-lead
2008
MOSFET
500
2.5
IRF820R
No
TO-220AB-3-lead
2020
MOSFET
500
4.5
IRF830R
No
TO-220AB-3-lead
2021
MOSFET
500
8.0
IRF840R
No
TO-220AB-3-lead
2034
IGT
500
10.0
GS1510
Yes
TO-22Q-5-lead
2326
IGT
500
10.0
IGT5E10CS
Yes
TO-22Q-5-lead
2326
IGT
500
25.0
GS1525
Yes
TO-218-5-lead
2327
IGT
500
25.0
IGT7E20CS
Yes
TO-218-5-iead
2327
IGT
500
50.0
GS1550
Yes
TO-218-5-lead
2328
IGT
500
50.0
IGT7E50CS
Yes
TO-218-5-lead
2328
109
Telecommunications Products
CMOS Crosspoint Switches with Control Memory
Type
Number
Features
Configuration
RON
Typ.
@12V
ORON
Typ.
@12V
Frequency
Response
Typ.-3dB
RL=1k,10V
Crosstalk
Typ.-40dB
(Fq.),10V
Supply
Voltage
Package"
C022100
• "Built-in" control latches
• Large analog signal capability
±Vool2
• 1OM Hz switch bandwidth
• High linearity - 0.5% distortion
(typ.) atf = 1kHz, VIN = 5Vp-p,
VOO= 10V,and RL = 1kO
• Standard CMOS noise Immunity
• 100%testedformaximum
quiescent current at 20V
4x4x1
750
1BO
40MHz
1.5MHz
3Vto
18V
16-Pin
OIPE,F
C022101
• Strobed control input
• "Built-in"latched inputs
• Large analog Signal capability
±VOO/2
• 1OM Hz switch bandwidth
• High linearity - 0.25% distortion
(typ.) atf = 1kHz, VIN = 5Vp-p,
VOO-VSS = 10V, and RL = 1kO
• Standard CMOS noise immunity
4x4x2
750
ao
40MHz
2.5MHz
3Vto
1aV
24-Pin
OIPE,F
C022102
• Same as C0221 01, but has SeV
Reset flip-flop control input
instead of strobed control input
4x4x2
750
ao
40MHz
2.5MHz
3Vto
1aV
24-Pin
OIPE,F
C054/
74HCm
22106t
• 64 analog switches in an
axax1 array
• On-chip line decoder and control
latches
• Automatic power-up reset by using
a 0.111F capaCitor at the MR pin
• RON resistance 950 max.
@VCC=4.5V
• AnalogsignalcapabilityVcc!2
ax8x1
6400
2500
6MHz'
7MHz'
2Vto
10V
2a-Pin
OIPE
t HCT version is TIL-compatible, while HC version is CMOS-compatible
o VCC=4.5V
• VCC=4.5V,RL=6000
* See packaging section
110
Telecommunications Products
CMOS Modems
Type
CD22212
Features
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
Full-duplex operation at 0-300 and 1200 BPS
FSK (300 BPS) or PSK (1200 BPS) encoding
Compatible with industry-type 8048, 80C51 liPS
Four internal 8 bit control/status registers
Maskable interrupts
Serial port for data transfer
Selectable asynch/synch; scrambler/descramblerfunctions
Coherent demodulation technique provides optimum performance
Call progress, carrier, and long-loop detect monitor •
DTMF tone generator
Test modes available - ALB, DLB, RDL, Mark, Space Alternating bit
patterns
CMOS technology for low power consumption (120 mW)
Low power IDLE mode uses < 10 mW
TTL and CMOS compatible inputs and outputs
Interchangeable with SSI73K212
Command
Interface
Supply
Voltage
Package"
Parallel
12V@
25mA(maxo)
28 Pin DIP-E
28Pin PLCC
CD22212El
• Same features as the CD22212
Serial
12V@25mA
22-Pin DIP-E
CD22223
•
•
•
•
•
•
•
Serial
405Vto 13V@
2mA(typo)
16-Pln DIP-E
Command
Interface
Supply
Voltage
Package"
Parallel
5V@
7mA(maxo)
40-Pin
DIP-E
Single-chip 1200 BPS FSK modem
CCITTVo23 compatible
Bum-in loop-back test
Switched capacitor receive filter
Low power CMOS (2 mA @ 5-V typo)
Single-supply operation
lower error rate (5 x 10-3 @ 8-dB SNR)
*See Packaging section.
CMOS Modem Controller
Type
CD22MOC6805E •
•
•
•
•
•
•
Features
Implements Hayes Standard "AT" Command Set @ 1200 Baud
EEPROM storage of "S" parameters - eliminates DIP switch
Stored number dialing (using external EEPROM)
2400-BPS maximum data rate
Supports
and Bell standards
Custom command-set capable
On-chip diagnostics
ccm
* Sea Packaging section.
111
Telecommunications Products
PCM Line Repeaters
Type
Features
Output
Supply
Voltage
Package"
Bipolar
Automatic line buildout
For T1 1.544 Mbils/s bipolar carrier system
For T148 2.37 Mbits/s ternary carrier system
For CCITT 2.048 Mblts/s bipolar carrier system
Buffered
5.1 V±5%,
30mA(max.)
18-Pin DIP-E
CD22641
• Dual automatic line buildout - 1 op amp
• For Tl 1.544 Mbits/s carrier systems
• For European T1 2.048 Mbits/s carrier systems
Buffered
5.1 V@
5mA(typ.)
18-Pin DIP-E
20-PinSOP
CD22641El
•
•
•
•
Buffered
5.1V@
5mA(typ.)
22-Pin DIP-E
24-PinSOP
CD22301
•
•
•
•
BIMOS-E
Triple automatic line buildout - 2 op amps
ForTl, 1.544 M bits/s Carrier Systems
For European Tl, 2.048 M bits/s Carrier Systems
For T1 C, 3.152 M bits/s Carrier Systems
'*' See Packaging section.
PCM Transcoders
Type
Features
HC-5560
•
•
•
•
•
•
•
•
CD22103A
• Simultaneous encoding and decoding
• HDB3 coding and decoding for data rates from
50 kbits/s to 10 Mbits/s in a manner consistent
with CCITT G703 recommendations
• HDB3/AMI transmission coding/reception
decoding with code error detection is performed
in independent coder and decoder sections
• All transmitter and receiver inputs/outputs are
TTL compatible
• Internal loop test capability
Mode selectable coding
North American and European compatibility
Simultaneous encoding and decoding
Asynchronous operation
Loop-back control
Transmission error detection
Alarm indication signal
Replaces MJ1440, MJ1471, and TCM2201
transcoders
o Bipolar with 6 and 8 zero substitution.
* See Packaging section.
112
Supply
Voltage
Output
Codes
3.2mA@
0.4 V
AMI (Tl and T1 C)
B6ZS D (T2)
B8ZS D (Tl)
HDB3 (PCM30)
5V@
10mA(typ.)
20-Pin DIP-E
Package"
1.6mA@
0.5V
HDB3/AMI per
CCITTG703
Annex
Recommendation
5V±10%@
100 mA (max.)
16-Pin
DlP-E,D
Telecommunications Products
Subscriber Line Interface Circuits (SLlCs)
Type
Loop Current
mADC
Features
Ringing Type
• Monolithic integrated device
• 01 high voltage process
• Compatible with worldwide PBX performance
requirements
• Controlled supply of battery feed current for
shorlloops (30mA)
• Internal ring relay driver
• Low power consumption during standby
• Switch hook, ground key and ring trip detection
functions
• Selective denial of power 10 subscriber loops
30
HC-5502B
• Same features as HC-5502A plus:
• Low Voltage +5 V (VB+) Capability
• Pin for Pin replacementforthe HC-5502A
30
Single-Ended
-48 V, +12 Vor
Ground Refernce
+5V
HC-5504
• Monolithic integrated device
• DI high voltage process
• Compatible with worldwide PBX performance
requirements
• Controlled supply of battery feed currentfor
shorlloops (40 mAl
• Intemal ring relay driver
• Allows interfacing with negative superimposed
ringing systems
• Low power consumption during standby
• Switch hook, ground key and ring trip detection
functions
• Selective denial of power 10 subscriber loops
40
Single-Ended
Battery or
Ground Reference
or Balanced
Ringing
-48V,+12V
24-PinOIP
EorC
28-PLCC
HC-5504B
• Same features as HC-5504 plus:
• Added low voltsge +5 V (VB+) capability
• Pin for Pin replacement for the HC-5504
40
Single-Ended
Balleryor
Ground Reference
or Balanced
Ringing
-48V,+12V
or5V
24-PinOIP
EorC
28-PLCC
HC-55040LC
• Same features as HC-5504B plus:
• Switch hook detect threshold allows
• multi-phone operation
40
Single-Ended
Balleryor
Ground Reference
or Balanced
Ringing
-48V,+12V
or+5V
24-PlnDIP
EorC
28-Pin PLCC
HC-5509B
• Monolithic Integrated device
• 01 high voltage process
• Compatible with worldwide PBX and OC
performance requirements
• Controlled supply of battery feed current for
shorlloops
• Internal ring relay driver and a utility relay driver
• High-temperature alarm output
• Programmable loop currentlimil
• Low power consumption during standby functions
• Switch hook, ground key, and ring trip detection
• Selective power denial to subscriber
• On-chip op amp for 2 wire impedance matching
on-hook transmission
2010 60
Single-Ended
Battery or
Ground Reference
or Balanced
Ringing
-48V,+5V
28-PinOIP
EorC
44-Pin PLCC
113
-48V,+12V
Package"
HC-5502A
"See Packaging section.
Single-Ended
Ground Reference
Typical Supply
Voltages
24-PinOIP
EorC
28-Pin PLCC
24-PinOIP
EorC
28-Pin PLCC
Telecommunications Products
CMOS DTMF Receivers
Type
Ouput 3-State
Output Code Supply Voltage
Features
CD22202
•
•
•
•
•
•
•
•
CD22203
CD22204
Package"
4-bltHexadecimal or
binary coded
2-of-8
5V±10,*,
16-Pin DIP-E
• Same as CD22202, but also has early defect output
4-bltHexedecimal or
binary coded
2-01-8
5V±10,*,
16-Pin DIP-E
•
•
•
•
•
•
•
4-bitHexedecimal Only
5V±10,*,
14-Pin DIP-E
Output
Supply Voltage
Package'
350mVInto
820
2.5Vto10V
16-Pln
DIPD,E
Detects either 12 or 16 standard DTMF signals
Central-offlce quality
No front-end band splitting filters required
Single, low-tolerance, 5V supply
Uses Inexpensive 3.579545MHz crystal for reference
Excellent speech ImmunHy
Synchronous or handshake Interface
Three-state outputs
No front-end band splHling filters required
Single, low-tolerance, 5V supply
Three-state outputs for microprocessor-based systems
Detects all 16 stsndard DTMF digits
Uses inexpensive 3.579545MHz crystal
Excellent speech immunity
Output In 4-blt hexadecimal code
" See Packaging section.
CMOS DTMF Transmitters
Features
Type
CD22859
• Mute drivers on chip
• Device power can either be regulated dc or telephone loop current
• Use of an inexpensive 3.579545MHz TV crystal provides high
accuracy and stability for all frequencies
"See Packaging section
Continuously Variable Slope Delta (CVSD)
Features
All Digital, Useable From 9Kblts/a to Above 64Kblts/s. Requires Power External Parts
Type
Package
No. 01 Pin.'
HC55536
Decode Only. Convert serial NRZ digital signal to sn analog (vclce) slgnal-conversion delta demodulation
14DIC
HC55564
Duplex Mudulator/Demodulator. Convert vclce signals Into serial NRZ digital data and to reconvert that data
Into voice-conversion delta modulation
14DIC
"See Packaging Section.
114
Telecommunication Products
BiMOS-E Crosspoint Switches with Control Input Memory
Conflguration
RON
(Typ.)
@12V
ARON
(Typ.)
@12V
Fq. Response
(Typ.) -3dB,
RL= 1K, 10V
Crosstalk
(Typ.) -40dB
(Fq.),10V
Supply
Voltage
C022M3494 • Optional on-chip strobeindependent address latches
• Manual and automatic power-on
resets
• Crosstalk: -90dB (min) @ 10kHz
• BiMOS-E Technology
• Parallel input addressing
• HC/HCT ground-referenced
inputs available
• 2kV minimum ESO protection
• Latch-up current: 50mA (min)
• Pin and functionally compatible
with the SGS M3494
16x8x1
360
60
45MHzD
3MHzD
4Vto14V
40-Pin
OIP-E
44-Pin
PLCC
C022M093
12x8x1
360
60
45MHzD
3MHzD
4Vt014V
40-Pin
OIP-E
44-Pin
PLCC
Type
Features
• Optional on-chip strobeindependent address latches
• Manual and automatic power-on
resels
• Crosstalk: -90dB (min) @10kHz
• BiMOS-E Technology
• Parallel input addressing
• HC/HCT ground-referenced
inputs available
• 2kV minimum ESO protection
• Latch-up current: 50mA (min)
• Pin and functionally compatible
with the SGS M093
Package t
DVOO = SV.RL= 1kO
t Sao Packaging Section.
CMOS CODECs
Type
C022354A*
Features
o Meets or exceeds all AT&T 03/04 specifications and CCITI
recommendations
• Complete COOEC and filtering systems:
No external components for sample-and-hold and auto-zero
Receive output filler with SIN X/X correction and additional
8kHz suppression
• Variable data clocks - from 64kHz to 2.1 MHz
• Synchronous and asynchronous operation
• TIL or CMOS compatible logic
• ESO protection on all inputs and outputs
• Adjustable gain for transmit input
• Provides ,,-law companding (US)
• Synchronous and asynchronous operation
C022357A*
• Meets or exceeds all AT&T 03/04 specifications and CCITI
recommendations
• Complete COOEC and filtering systems:
No external components for sample-and-hold and auto-zero
Receive output filter with SIN X/X correction and additional
8kHz suppression
• Variable data c;ocks - from 64kHz to 2.1 MHz
• Synchronous and asynchronous operation
• TIL or CMOS compatible logic
• ESO protection on all inputs and outputs
• Adjustable gain for transmit input
• Provides A-law companding (CCITI)
• Synchronous and asynchronous operation
*'A' versions supersede CD22354/357 types.
t See Packaging Section.
115
Clock
Rates
Supply
Voltage
64kHz to
2.1MHz
±5V±5%@
90mW(max)
16-Pin OIP-E
64kHz to
2.1MHz
±5V±5%@
90mW(max)
16-Pin OIP-E
Package
t
Telecommunications Products
CVSD - Continuous Variable Slope Delta
Clock
Rates
Supply
Voltage
Modulator/Demodulator Functions
Alldlgltal
Requires few external parts
Low power drain: 1.5 mW typical from single 3V-7V supply
Time constants determined by clock frequency; no calibration or drift
problems; automatic offset adjustment
Half duplex operation under digital control
Filter reset under digital control
Automatic overload recovery
Automatic "Quiet" pattern generation
AGC control signal available
9kHz to 64kHz
5V@1.5mA
(max)
14-Pin DIP-C
Demodulator Only Functions
All Digital
Requires fewer external parts
Low power drain: 1.5 mW from single 3-7V supply
Time constants determined by clock frequency; no calibration or drift
problems; automatic offset adjustment
• Filter reset by digital control
• Automatic overload recovery
• Automatic "Quiet" pattern generation
9kHz to 64kHz
3Vto7V@
1.5mA(max)
14-Pin DIP-C
Type
HC-55564
Features
•
•
•
•
•
•
•
•
•
•
HC-55536
•
•
•
•
•
*See Packaging section.
116
Package'
Automotive Circuits
Quad-Gated Power Drivers
For Interfacing Low-Level Logic to High-Current Loads
Electrical Characteristics at TA = 250 C, VCC = 5V
ICEX
Max.
(VCE=
50V)
1+ Max.
VCE(sat)
Max.
(lc=700mA,
(IC=
VCC=
600mA)
+5.5V)
V
mA
IR
Max.
(VR=
50V)
tpHL,
tpLH
Max.
~s
TA
(Operating
Temp.
Range)
Oc
Pkg.
No.of
Plnst
~A
-40 to +85
16E
~A
VCEsus
Min.
(IC=
100mA)
V
100#
25#
0.7"
80 0
5-
100
10
CA3272*
Independent overcurrent limiting
for each ouput" (0.7 min. A).
Independent over-temperature
limiting for each output
(155 Oc typ.)
100#
35#
0.6"
60 0
100
10
CA3242
Overload protection circuitry
100"
25"
0.8#
80 0
5-
100
20
-40 to +85
16E
100"
25"
0.7#
80 0
5-
100
30
-40 to +85
16E
Types
Features
Inverting Types
CA3262
28Q
Non-Inverting Types
CA3252
Input latch with external feedback
resistor
o All outputs ON
- All outputs OFF
# VIN = 0.8 V
" VOUT = 4.5 to 24.5 V
"
*
t
VIN=2.4V
WHh fault mode flag
See Packaging Section
Solenoid and Motor Driver (1hH Drive)
Electrical Characteristics at T A = 250 C, V CC = 10.5 to 18V
Limits
Type
CA3169
Characteristics
Output Leakage Current
(Pin 2 or Pin 3)
Quiescent Current (Pin 1);
Input Terminals Shorted
Input Terminals Open
Overvoltage Shutdown
Circuit (Pin 1) Upper Trip Point
Circuit (Pin 1) LowerTrip Point
Source Output Short
Circuit Current (Pin 2-G)
Sink Output (ISINK 600mA)
Output Saturation Voltage
Operating
Temp.
Min.
Typ.
Max.
Range (oC)
Units
Package
-110
±0.5
110
~A
VersaV1
TO-220
Style
70
100
17
40
20
18
25
21.4
27
23
V
0.65
1.11
2.6
A
-
0.3
0.85
V
-40 to +85
VCC=14V
-
117
rnA
Automotive Circuits
Electronic Switching Circuit For Ignition Applications
Electrical Characteristics at TA = 25 0 C, V = 13V
Limits
CA3165E1
Type
CA3165
Characteristics
CA3165E
Min.
Typ.
Max.
Min.
Typ.
Max.
-
18.4
17.5
-
Input Current
atTerm*
Dwell
Spark
-
18.4
17.5
-
-
Output Voltage
at Term 4 V4
Dwell
Spark
12.8
-
-
12.8
0.5
Output Voltage
at Term 7 V7
Dwell
-
1
Output Voltage
at Term 8 V8
Dwell
Portion of
Spark
Oscillator
Voltage at
Term 2 V2
*
Test
Period
-
1.2
-
Dwell
Spark
-
-
-
0.9
4.4
0.6
-
-
-
Operating
Temp.
Range (OC)
Units
Packaget
-40 to +85
mA
8E,14E
V
-
-
0.5
-
V
-
-
-
V
-
4.4
0.6
-
Vp_p
-
-
CA3165E Term 717
CA31e1E1 Term 12112
t See Packaging Section
Speed-Control System
Type
CA3228
Typical Switching Characteristics
Driver Command Input Hold Times: (Based on 0.68 "F capacitor on Pin 4)
Acceleration
(ms)
Coast
(ms)
50
50
Internal Oscillator Frequency, fOSC
Resume
(ms)
On
(ms)
Off
(ms)
330
50
50
=10kHz (Based on 0.001 "F capacitor on Pin 5)
Typical Performance Characteristics
(fOSC = 50 kHz; fs/Speed Ratio, 2.22 Hz/mph)
Speed Sensor Input
Frequency Range
(fs) at Pin 8 (Hz)
Speed
Resolution
(mph)
Operating
Speed (mph)
Maximum
Stored
Speed (mph)
Redundant
Brake
Speed (mph)
62 to 222
0.45
25
100
11
Minimum
t See Packaging Section
118
Operating
Temp.
Range (OC)
Packaget
-40 to +85
24E
Automotive Circuits
High-Side Driver High-Stress Applications
Limits (TA = -40 to +85 0 C)
Type
CA3273
Characteristic
Test Condition
Minimum
Typical
Maximum
Units
-
24
V
0.5
V
Operating Voltage Range, VCC
VCC ref. toVSW
4
Sal Voltage (VCC -Vol: VSATl
10 = -400mA, Vsw = OV
VCC=16V
-
Operating Load, RL
VCC=16Vt024V
40
-
-
n
Overvol!, THO., VCC (THO)
(Increase VCC)
VSW = OV, RL = 1kn
(Vo goes low)
25
-
40
V
Current Llmiting,lo (11M)
VCC= 16V,VSW= lV
-
-
1
A
Control Current, Switch On:
ISW (no load)
ISW (max. loadl)
VCC = 16V, VSW=OV
10=OmA
10=-400mA
-
-15
-22
-
mA
mA
Control Current, Switch On:
ISW (max. load2)
VCC = 24V,I0 = -600mA
VSW=OV
-
-33
-
mA
Max. Control CurrentHigh VCc: ISW (Hi VCC)
Low VCc: ISW (Lo VCC)
RL=40n, VSW= lV
VCC=24V
VCC=7V
-50
-50
-
-
mA
mA
Output Current, Cutoff:
10 (SWOFF1)
10(SWOFF2)
VO=OV,VCC=16V
VSW=16V
VSW=15V
-100
-100
-
+100
+100
JlA
Control Current, Switch Off
No Load: ISW (Hi VCC)
No load ISW (lo VCC)
Vo = open
VCC = 24V, VSW = 23V
VCC = 7V, VSW = 6V
-200
-200
-
+50
+50
JlA
JlA
Typical
Maximum
Units
-
-
25
5
mA
mA
JIA
Power Switch with Current Limiter Sense Flag
limits (TA = -40 to +85 0 C)
Type
Characteristic
CA3274
Power Supply Current: Sl = 2
Control = High (Output On)
Control = low (Output Off)
Control Input: Sl = 3
Thd. voltage, High
Thd. Voltage, low
Hystersis
Leakage, 0.0 to 5.5 V
Symbol
Minimum
ICCH
ICCl
-
-
VthdH
Vthdl
VthdH - Vthdl
III
-
-
3.5
0.9
0.4
-20
-
-
0.65
-
2.0
+20
V
V
V
JlA
Vaat
-
-
0.5
V
Ileak
-
-
100
JlA
-
-
0.8
V
-
10
JlA
-
5
10
-
Driver In, Out (Pin 6, 5): Sl = 3
Output Saturation Voltage,
ICCl = 200mA, VControl = High
Collector Output leakage,
VControl = Low
Flag Output Low: Sl = 2
VSense = High,lflag = 3mA
Flag Output High: Sl = 3
Output leakage, VCC = Vflag = 10V
Vfsat
Ifleak
Prop. Delay: Sl = 1
Control In to Drive Out
Drive off to Flag off
Flag Delay from Control In
lon,loff
tflag
fd
150
-
600
Jls
JlS
Jls
Sense Input Thd. Level: Sl = 1
Vaenthd
310
335
360
mV
Power Supply Rejection Ratio
PSSR
50
-
-
dB
Unless otherwise specified:
VCC=VCCI =VCC2-7tol0Volls;
VSensa = 'Low': VControl = 'Low'j
'Low' = O.oV; 'High' = s.oV
119
Automotive Circuits
Dual H-Driver For Instrumentation
= 250 C, VCC = 16V, except as noted
Electrical Characteristics: TA
Limits
Type
CA3275
Characteristic
Symbol
Minimum
Typical
Maximum
Operating Supply Voltage Range
VCC
B
-
16
V
Supply Current, Note 1
ICC
-
8
20
mA
-
0.8
V
-
pA
Input Levels:
logic Input, Low Voltage
VIL
-
logic Input, High Voltage
VIH
3.5
IlL
-10
IIH
-
logic Input, Low Current, VIH
logic Input, High Current, VIH
=
=OV
=5V
=
Output RLA RLB 1380
Maximum Source Saturated Voltage
VSAT-Hlgh
Maximum Sink Saturated Voltage
VSAT-Low
Diff. VSATVoitage, Both Outputs Saturated
Diff-VSAT
Propagation Delay: TA
Source Current
Tum-Off Delay
10
-
1.2
1.75
0.25
0.5
10
100
mV
-
-
2
JIB
2.2
-
-
0.4
V
=250 C
fsc-off
FallTlme
tsc-I
Tum-On Time
tac-on
Rise Time
fsc-r
Sink Current
Turn-Off Delay
isk-off
FallTlme
tsk-I
Tum-On Delay
tsk-on
RlseTlme
Note 1: No losd, PWMA
Units
tsk-r
-
-
1
-
-
1.6
-
0.4
-
0.6
-
0.2
=PWMB = 5V, DIRA =DIRB =OV.
Voltage Regulator With Reverse Battery Protection
=
=
Functional Operating Ranges at TA 250 C, VIN = 5.7 to 16 Volts VCCl & VCC2 Alter Capacitors = 3.311F,
CRST 0.4711F, unless otherwise specified.
Limits
Type
CA3276
Characteristic
Stsndby Regulator:
Output Voltsge
Output Current
Dropout Voltsge:
VIN 4.75V,ICC1 50mA
Output Clamp
Power Supply Rejection Ratio
Enable Input High
Enable Input low
=
=
Symbol
Minimum
Maximum
Units
VCC1
ICC1
4.75
-
5.25
50
V
mA
-
0.6
6
3.5
-0.5
VCC-0.5
0.9
V
V
dB
V
V
4.75
5.25
100
VCC-0.5
0.9
6
V
mA
V
V
V
0.5
V
VIN-VCC1
VCP1
PSSR
VIH
VIL
Enable Regulator:
Output VoHage
Output Current
Enable Input High
Enable Input low
Output Clamp
VCC2
ICC2
VIH
VIL
VCP2
ISK Saturation VoItsge: ISK
=100mA
VSAT
low VoItsge Reset INARY VII~)
Reset Output low: RST 3000 to 5V, VIN 4V
Reset Delay Tlme:
(Crat = 0.47"F; VIN -10V stsp tum-on meas. VCC1)
=
=
120
-48
-
3.5
-0.5
-
-
-
VIN
VRST
4
-
4.5
0.8
V
V
TRST
100
200
ms
Consumer ICs
BiMOS Single-Chip Detector/Alarm System
Electrical Characteristics @ T A
Type
CA3164A
Description
With Integral Drivers for Mechanical or
Piezoelectric Horn Alarms
TA
(Operating
Temp.
Operating
Range)
Voltage
Range (V)
°c
Oto+50
Input
Leakage
Current
Standby
by Battery
Current
Max.(~A)
Typ.(~A)
1
8·
7- 11
=2S o C
Available
Reference
Source
Package
Current
Number of
Mln.(~A)
Pins*
5
14E
• Adjustable to 5~A
* See Packaging Section
BiMOS Sequencer Driver and Segment Latch-Driver
for Driving Vacuum Fluorescent Display Devices
Type
CA3207
Description
Sequencer
Driver
CA3208
*
Operating
output
Voltage
Range (V)
Segment
Latch-Driver
Sequentially turns on 1 of 14
Characters (2 of 28) when used
with two CA3208's
TA
(Operating
Temperature
Range)OC
Will
Source
Package
Number of
Pins'
40mNCharacter
35t055
22E
-40 to +85
Drives any combination of 14
outputs selected by DATA input
7.5mA/Segment
22E
See Packaging Section
Voltage-Regulator Cortrol Circuit Variable-Interval Pulse Regulator
Typical Electrical Characteristics at TA = 25 0 C
Type
Features
CA1523 • Operation up to 200 kHz
(TA)
(Ope rat.
Temp.
Range)
°C
VCC
(Pin 7)
V
VCC
Range
V
ICC
mA
Oto+70
13
10-15
27
• ESD Protection: Pins are protected against ESD
• Remote On/Off: Activates regulator
• Slow start with Reset: Soft start ensured at
power-up and restart
• Over-current sensing: Protects power device
from over-dissipation over-current trip
(Pin), 1.25 V)
• Supply voltage monitor: Locks out the drive unit
until VSuppl y has reached 9 V
• Bandgap reference voltage (intemal):
Provides temperature-compensated 1.2V
and 6.BV references
• Lower peak currents than PWM regulator:
Less prone to magnetic saturation
*
See Packaging Section
121
Error
Pulse
Voltage Output
Ref.
VOL
(Pin 1) (PinG)
V
V
6.5
1
Pulse
Output
VOH
(PinG)
V
Max.
Load
Duty
Cycle
%
Pkg.
No. of
Pins'
12
50
14E
Consumer ICs
General
Type
ICM7206
Package
No. of Pins··
Description
Function
CMOS Touch-Tone· Encoder
2-of-8 sine wave DTMF generator for telephone dialing systems. Requires
a 3.58MHz crystal &will work with 3 x 4 or 4 x 4 keypads. Has hlghcurrent bipolar output driver providing low harmonic distortion.
TA Range: -40 0 C to +850 C
PE
ICl8038
Precision Waveform Generator/
Voltage-Controlled Oscillator
Bipolar type. Capable of producing high accuracy sine, square &triangular
waveforms. Fraquency range 0.001 Hz to 300kHz.
TA Range: OOC to + 700 C, -55OC to +125OC
JD
PD
AD590
2-Wire Current-Output
Temperature Transducer
Output current varies linea~y at 1pNOK for supply voltages between
-55OC and + 15QOC In which conventional electrical temperature sensors .
are now employed. TA Range: -55OC to +1500c
IH
JH
ICl8069
low-Voltage Retarence
A 1.2V temperature-compensated bandgap voltage reference. It achieves
excellent stability and low noise at currents as low as 5OpA.
TA Range: OoC to +700 C, -55OC to +125OC
sa
ZR
ICL8048
log/Antilog Amplifiers'
Full temperature compenseted, will handle 6 decades of current input or
3 decades of voltage input Generates 1V of output for each·decade.
change oflnput. TA Range: OOC to + 700C
JE
ICl8049
log/Antilog Amplifiers
Antilogarithmic version of ICL8048, generates 1 decade of output voltage
for each one voltage change at the input
JE
ICl8063
Power Transistor Driver/
Amplifier
Converts :!:12V outputs from op amps and other devices to :!:30V levels.
• Can deliver> 50W to external loads
• Produces 25mA quiescent current in power output stages
• Built-in :!:13V regulators
• TARange: OOCto+70OC,-550 Cto+1250C
JE
PE
*Trademark of Ben Labs, Inc.
**See Packaging Section
• OoC to +700C for TO-92
Electrical Characterlstlcs@TA=+250 C
Type
CA3164A
Description
Function
BIMOS SingleChip Detector/
Alarm Syetem
With Integral Drivers tor
Mechanical or Piezaelectric Hom Alarms
Operating
Voltage
Range (V)
Input
Leakage
Current
Max (pA)
Standby
Battery
Current
Typ(IlA)
Available
Reference
Source Curr.
Mln(pA)
Package
No. of Pins·
7-11
1
80
5
14,E
Operal,ng Temperatura Range (TA): OOc to +soOC
o Adjustable to SpA
Type
Function
HA-2546
Analog Multiplier
ICl8013
Four Quadrant
Analog Multiplier
··
··•
•
·•
··
Features
Temperature Range
Package"
OOCto+700c
-550Cto+1250C
10 Pin
TCHOO
Metal Can
30MHz signal bandwidth
17MHz control bandwidth
VoItsge output stage
Low multiplication error 1.6%
Monolithic construction
Bipolar, four-quadrant
Output proportional to algebraic product of two Input signals
Internal op-amp provides level shifting
Can generate division and square root functions
Potentiometers time gain accuracy, offset voitage
and feedthrough pertormance
·See Packaging Section
122
Graphics
Type
IM2110
Function
256 x 12 Color LookupTable and DAC
Features
• Three 4-bit DACs
• Microprocessor Interface
• Color Lookup-Table on Ram
May be written asynchronously
by 8 or 16-bit microprocessor
• Three overlay registers overlay
cursors, grids, text, etc.
• Simultaneously displays 256 of 4096
colors at 25MHz rate for a 640
x 480 non-Interlaced display
• Generates R5-343-A compatible
red, green, and blue analog signals
• Drives doubly-Terminated 750
coax directly
123
Operating
Temperature Range
Package
OOCto +700 C
40 Pin Plastic Dip
Video/Monitor Circuits
Type
Description
Pkg.
No. of
Pins·
Type
Signal Transmission Modulator
CA1890
TV Video/Audio, RF Modulator
Video Processors
14E
RF/IF
CA7607
CA7611
Video IF Amplifier System Suitable for FET
Applications
Video IF Amplifier System for N-P-N Tuner
Stages
Description
Pkg.
No. of
Pins·
16E
16E
CA3156
Video/Chroma Processor
16E
CA3194
Single-Chip PAL Luminance/Chroma
Processor
24E
CA3253
Video Processor for Video Camera Applications
24E
CA3256
CMOS/BiMOS Analog Video Switch
and AmplHier
18E
LM1822N Video IF Amplifier/PLL Detector System
24E
Sync Processor
LM1823N Like LM1822N but Intended for Cable TV
24E
CA555
Precision Timer and Oscillator
CA3202
TV HorlzontalNertical Countdown Digital
Sync System
14E
CA321 0
TV HorizontalNertical Countdown Digital
Sync System for 525-Line Operation
24E
CA3218
TV HorizontalNertical Countdown Digital
Sync System
16E
CA3236
TV HorizontalNertical Countdown Digital
Sync System Complete Decoder for NTSC or
PAl 525-Line Operation
28E
MOSFETs Dual-Gate-Protected
8E,S,T
3N187
For Military and Industrial Application up
to 300M Hz
4T
3N200
For Military and Industrial Applications up
t0500MHz
4T
3N204
RF AmplifierforVHFTV Applications
4T
3N205
Mixer for VHF TV Applications
4T
3N206
IF Amplifier for VHF TV Applications
4T
40673
For RF Amplifier Applications up to 400MHz
4T
CA3241
Like CA3236, but for 625-Line Operation
28E
40819
For RF Amplifier Applications up to 250MHz
4T
CA3223
Like CA321 0, but for 625-Line Operation
24E
40821
For Mixer Applications in VHFTVTuners up
to 250M Hz
4T
CRT Drive CRT Bias
40822
For RF Applications in FM Tuners up to
150MHz
4T
For Mixer Applications in FM Tuners up to
150MHz
4T
General-Purpose Type for Applications from
DCto500MHz
4T
40823
40841
CA3224
TV Chroma Processor (PAl CA3128)
CA3217
Single-Chip TV Chroma/Luminance Processor
16E,a
28E
Video Switches Analog Multiplexers/Demultiplexers
CA3256
CMOS/BiMOS Analog Video Switch and
Amplifier
18E
Precision Timer and Oscillator
CA1391
TV Horizontal Processor (Positive
Horizontal Sawtooth Input)
8E
CA1394
Like CA 1391, but with Negative
Horizontal Sawtooth Input
8E
CA3253
Video Processor
24E
CA3256
CMOS/BiMOS Analog Video Switch
and AmplHier
18E
CA3154
TV Sync/AGC/Horizontal Sync Processor
16E
TV HorizontalNertical Countdown Digital
Sync System
16E
CA3261
AFC Horizontal Oscillator Signal Processor
with Sync Separator and AGC
18E
CA3210
TV HorizontalNertical Countdown Digital
Sync System
24E
CA3223
Like CA321 0, but Intended for 625-Line
Operation
24E
CA3236
TV HorizontalNertical Countdown Digital
Sync System Complete Decoder for NTSC
or PAL (525-Line Operation
28E
CA3241
Like CA3236, but for 625-Line Operation
28E
Sync Generators
CA3255
RS-170 Sync Generator (EIA RS-170
Standard with 2:1 Interlace) for 525-Line
60-Hz Systems
24E
Like CA3254, but Designed for Use in
625-Line, 50-Hz Systems
24E
8E,S,T
CA3218
Mixers
CA3254
22E
CA555
Vldeo/Chroma/Luma ProceSSing
CA3126
Automatic Picture Tube Bias Circuit
HorlzontalNertlcal Scan
Note: Package designator (T) Indicates TO-5 package with straight leads.
*See Packaging Section
124
Radio/Communication Circuits
Type
Description
Package
Number of Pins·
RF Modulators
CA1890
TV Video/Audio, RF Modulator
l4E
IR Remote Control Amplifier
9SIP
IR Preamplifier
CA3237
Tuning Control
CA3l63
1.25GHz + 64/+ 256 Dual-Mode VHF/UHF Prescaler
l4E
CA3179
1.25GHz + 64/+ 256 Dual-Mode Prescaler
l4E
CA3l99
1.25G Hz + 4 Prescaler
BE
CA3232
200MHz + 20 Prescaler
8E
CA3263
Bandswitch Operational Amplifier
l4E
CA3247
Analog Interface Unit (AIU)
40E
Display
CA3207
BiMOS Sequencer Driver
22E
CA3208
BiMOS Segment Latch-Driver
22E
Power Supply Regulators
CA1523
Voltage Regulator Control Circuit for Variable Switching Regulator
l4E
AM/FM Radio, AM Radio
AM Receiver Subsystem and General-Purpose Amplifier Array
l6E
CA30ll
Wide-Band Amplifier
lOT
CA3012
Wide-Band Amplifier
lOT
CA3088
IF Without Detector
IF With Detector
l4E,Q
CA2lllN2l36A
FM/IF Amplifier-Limiter and Quadrature Detector
CA3013/3014
Wide-Band AmplHier Discriminator
lOT
CA3089
FM/lFSystem
l6E
CA3l89
Like CA3089 but Particularly Suitable for High-fidelity FM and Electronically Tuned Radios
l6E
CA3209
FM/IF Systems for Search and Scan
l6E
Stareo Sound Volume/Tone Control
l8E
Audio
CA3259
Stereo Demodulators
CA3l95
RC Phase-lock-Loop Stereo Decoder
l6E
CA3257
PLL FM Multiplex Stereo Demodulator
l6E
CA3258
Noise Blanker
-
Note: Package deSignator (T) Indicate. TO-5 package with straight leads.
• Sea Packaging Section
125
TV/CATV Circuits
Descrlpllon
Type
Pkg.
No. of
Pins'
Tuners MOSFETs
Type
Description
Audio Output
3N200
For Military & Indust. Applic. up to 500MHz
4T
3N204
RF AmplifierforVHFTV Applications
4T
CA1191
Like CA 1190, but with Integral Bentdown
Wing Tab Heat Sink
3N205
Mixer for VHF TV Applications
4T
Audlo/Vldeo Selector/Amplifier
40673
For RF Amplifier Applications up to 400 MHz
4T
CA3256
Tuner Control
CA3247
Analog Interface Unit (AIU)
BiMOS Operational Amplifier
CA3163
1.25GHz + 64/+ 250 Dual Mode VHF/UHF
Prescaler
14E
40E
1.25GHz + 4 Prescaler
8E
IR Remote-Control Amplifier
9SIP
TV Chroma System (Complete Subcarrier
Regeneration System)
16E
CA3121
TV Chroma Amplifier/Demodulator
CA3126
TV Chroma Processor
CA3151
Single-Chip TV Chroma Processor/Demod.
24E
CA3194
Single-Chip PAL Luma/Chroma Processor
24E
CA3217
Single-Chip TV Chroma/Luminance Processor
28E
16E
16E,Q
Deflection Circuits Horizontal and Vertical
AFT and Video IF (PIX)
LM1822N Video IF AmplifiertpLL Detector System
24E
LM1823N Like LM1822N, but intended for Cable TV Sys.
24E
CA7607
Video IF Amplifier System for FET Applications
16E
CA7611
Video IF Amp System for NPN Tuner Stages
16E
CA3202
TV Horiz/Vert Countdown Digital Sync System
14E
CA3218
TV Horizontal/Vertical Countdown Digital
Sync System for 525-Line Operation
16E
CA3223
Like CA321 0, but for 625-Line Operation
24E
CA3236
TV Horizontal/Vertical Countdown Digital
Sync System for 525-Line Operation
28E
Like CA3236, but for 625-Line Operation
28E
CA1391
TV Horizontal Processor with Positive
Horizontal Sawtooth Input
8E
CA1394
Like CA 1391, but with Negative Horizontal
Sawtooth Input
8E
CA3154
TV Sync/AGC/Horizontal Signal Processor
16E
CA3261
AFC/Horizontal Oscillator Signal Processor
with Sync Separator and AGC
18E
Sound IF Detector/Audio
CA1190
Sound IF and Audio Output Subsystem
160
CA3241
CA1191
Like CA1190, but with Integral Bentdown
Wing Tab Heat Sink
16E
Horizontal
CA3065
IF Amplifier-Limiter, FM Detector,
Electronic AHenuator. Audio Driver
CA1191
Like CA1190 but with Integral Bent-Down
Wing Tab Heat Sink
CA2111A FM/IF Amplifier-Limiter and Quadrature
Detector
18E
CA3070
8E,S,T
IR Preampllfler
CA3237
CMOS/BiMOS Analog Video Switch and Amp
16E
Chroma/Luma Processors
CA3140
CA3199
Pkg.
No. of
Pins·
14E,Q
16E
14E,Q
CA3012
Wide-Band Amplifier
10T
CA3013
Wide-Band Amplifier Discriminator
10T
CA3014
Wide-Band Amplifier Discriminator
10T
CA3089
FM/lFSystem
16E
CA3189
Like CA3089 but Particularly Suitable for
High-Fidelity FM and Electonically Tuned
Radios
16E
CA3209
FM/IF System for Search and Scan
16E
Display Drivers
CA3207
BiMOS Sequencer Driver
22E
CA3208
BiMOS Segment Latch-Driver
22E
Note: Package designator (T) indicated TO-5 package with straight leads.
'Sas Packaging Section
126
Power MOSFETs
RF and BUZ-Series Power MOSFETs -
K
BVOSS
(V)
loS
(A)
rOS(ON)
OHMS
50
2
4
13
14
15
25
25
30
45
0.75
0.60
0.12
0.10
0.14
0.07
0.047
0.04
0.04
2
4
15
25
45
0.75
0.60
0.14
0.07
0.04
1
2
12
18
35
1.20
1.05
0.20
0.10
0.055
1
2
9
12
18
19
35
4.50
1.05
0.25
0.20
0.10
0.10
0.055
1
2
4
10
15
30
1.90
1.75
0.40
0.30
0.15
0.075
1
2
4
10
15
30
1.90
1.75
0.40
0.30
0.15
0.075
1
2
8
12
25
3.65
3.50
0.50
0.25
0.15
1
2
5.8
8
9.5
12.5
12
25
3.65
3.50
0.6
0.50
0.4
0.2
0.25
0.15
RFM12N20
RFK25N20
4
7
12
1.50
0.75
0.38
RFM4N35
RFM7N35
RFM12N35
60
80
100
120
150
180
200
350
-
~
Maximum
Ratings
TO-204
N-Channel
TO-205
,
~
TO-218
TO-220
RFL2N05
RFP4N05
BUZ71 A
BUZ71
RFP15N05
RFM15N05
RFM25N05
RFP25N05
BUZll
RFK45N05
RFH45N05
RFL2N06
RFP4N06
RFP15N06
RFP25N06
RFM15N06
RFM25N06
RFK45N06
RFH45N06
RFL1N08
RFP2N08
RFP12N08
RFP18N08
RFM12N08
RFM18N08
RFK35N08
RFH35N08
RFL1Nl0
RFP2Nl0
BUZ72A
RFP12Nl0/BUZ20
RFP18Nl0
BUZ21
RFM12Nl0
RFM18Nl0
RFK35Nl0
RFH35Nl0
RFL1N12
RFP2N12
RFL4N12
RFM10N12
RFM15N12
RFK30N12
RFP10N12
RFP15N12
RFH30N12
RFL1N15
RFP2N15
RFL4N15
RFP10N15
RFP15N15
RFM10N15
RFM15N15
RFK30N15
RFH30N15
RFL1N18
RFP2N18
RFP8N18
RFP12N18
RFM8N18
RFM12N18
RFK25N18
RFH25N18
RFL1N20
RFP2N20
BUZ73A
RFP8N20
BUZ32
BUZ31
RFP12N20
RFM8N20
RFH25N20
RFP4N35
RFP7N35
RFH12N35
127
Power MOSFETs
RF and BUZ-Series Power MOSFETs -
~
Maximum
Rallngs
~
-
~
TO-204
TO-20S
TO-218
evOSS
(V)
lOS
(A)
'OS(ON)
OHMS
400
4
7
12
4.5
5.5
3.0
2.6
11.5
1.50
0.75
0.3S
1.50
1.00
1.S
2.5
0.4
RFM4N40
RFM7N40
RFM12N40
450
3
6
10
2.50
1.25
0.60
RFM3N45
RFM6N45
RFM10N45
500
3
4.0
4.5
6
S.3
9.6
10
10
2.50
2.0
1.50
1.25
O.SO
0.60
0.60
0.50
RFM3N50
Maximum
Ratings
~
TO-220
RFP4N40
RFP7N40
RFH12N40
BUZ60e
BUZ60
eUZ76
BUZ76A
BUZ351
RFP3N45
RFP6N45
RFH10N45
RFP3N50
BUZ42
BUZ41 A
RFP6N50
RFM6N50
BUZ45A
BUZ45
RFM10N50
BUZ45B
RF-Series Power MOSFETs -
~
N-Channel (Continued)
RFH10N50
P-Channel
~
ffl
TO-204
TO-205
,
~
BVOSS
(V)
lOS
(A)
'OS(ON)
OHMS
SO
1
2
6
S
12
25
3.15
3.00
0.60
0.40
0.30
0.15
1
1.5
2
6
6
S
12
12
25
25
3.15
3.65
3.00
0.60
0.60
0.40
0.30
0.30
0.20
0.15
RFM6Pl0
2N6S96*
RFMSP10
RFM12Pl0
2N6S97*
2N6S9S*
RFK25Pl0
120
5
10
1.00
0.50
RFM5P12
RFM10P12
RFP5P12
RFP10P12
150
5
10
1.00
0.50
RFM5P15
RFM10P15
RFP5P15
RFP10P15
100
TO-218
TO-220
RFL1POS
RFP2POS
RFP6POS
RFPSPOS
RFP12POS
RFM6POS
RFMSPOS
RFM12POS
RFK25POS
RFH25POS
RFL1Pl0
2N6S95*
RFP2Pl0
RFP6Pl0
RFPSP10
RFP12Pl0
RFH25Pl0
*QPL approved types
128
Power MOSFETs
IRF-Series Power MOSFETs -
~
Maximum
Ratings
BVOSS
(V)
loS
(A)
rOS(ON)
OHMS
BO
0.40
0.50
0.80
1
1.1
1.3
3
3.50
3.50
4
5
B
7
7
8
8
12
14
24
27
33
40
3.20
2.40
0.80
0.60
0.40
0.30
0.80
O.BO
0.80
O.BO
0.40
0.30
0.25
0.40
0.18
0.30
0.25
0.18
0.11
0.085
0.08
0.055
0.4
0.5
0.80
1
1.10
1.30
3
3.50
3.50
4
5
B
7
7
8
8
12
14
24
27
33
40
3.20
2.40
0.80
O.BO
0.40
0.30
0.80
O.BO
0.80
O.BO
0.4
0.30
0.25
0.40
0.18
0.30
0.25
0.18
0.11
0.085
0.08
0.055
0.25
0.32
0.45
O.BO
0.70
0.80
1.80
2
2.20
2.50
3
3.50
7.50
5.00
2.40
1.50
1.20
0.80
2.40
2.40
1.50
1.50
1.20
0.80
100
150
N-Channel
~
ffl
TO-204
TO-205
, ,
TO-220
TO-247
~
4-PlnOIP
IRFD1Z3
IRFD1Zl
IRFDl13
IRFDlll
IRFD123
IRFD121
IRFFl13
IRFFlll
IRF513
IRF511
IRFF123
IRF121
IRFF133
IRF123
IRF523
IRFF131
IRFF121
IRF521
IRF533
IRF531
IRF543
IRF541
IRF133
IRF131
IRF143
IRF141
IRF153
IRF151
IRFP153
IRFP151
IRFD1Z2
IRFD1Z0
IRFD112
IRFD110
IRFD122
IRFD120
IRFF112
IRFF110
IRF512
IRF510
IRFF122
IRFF120
IRFF132
IRF122
IRF522
IRFF130
IRF120
IRF132
IRF130
IRF142
IRF140
IRF152
IRF150
IRF520
IRF532
IRF530
IRF542
IRF540
IRFP152
IRFP150
IRFD2Z3
IRFD2Z1
IRFD213
IRFD211
IRFD223
IRFD221
IRFF213
IRFB13
IRFF211
IRFB11
IRFF223
IRFF221
129
Power MOSFETs
IRF-Series Power MOSFETs -
~
Maximum
Ratings
BVDSS
(V)
IDS
(A)
'DS(ON)
OHMS
150
4
4.50
5
5.5
8
1.20
0.60
0.80
0.40
0.60
0.40
0.22
0.18
0.12
0.085
9
16
18
25
30
200
350
0.25
0.32
0.45
0.60
0.70
0.80
1.80
2
2.20
2.50
3
3.50
4
4.50
5
5.50
8
9
16
18
25
30
0.60
0.40
0.22
0.18
0.12
0.085
0.30
0.40
0.40
0.50
1.15
1.30
1.35
1.50
2
2.50
2.50
3
3.50
4.50
5.50
8
10
13
15
5.00
3.60
2.50
1.80
5.00
5.00
3.60
3.60
2.50
1.80
2.50
1.80
1.00
1.50
1.00
0.80
0.55
0.40
0.30
7.50
5.00
2.40
1.50
1.20
0.80
2.40
2.40
1.50
1.50
1.20
0.80
1.20
0.60
0.80
~
TO-204
N-Channel
-
TO-205
IRF223
(Continued)
~
TO-220
,
TO-247
ffA
4-PlnDIP
IRF623
IRFF233
IRF621
IRF221
IRFF231
IRF233
IRF231
IRF243
IRF241
IRF253
IRF251
IRF633
IRF631
IRF643
IRF641
IRFP253
IRFP251
IRFD2Z2
IRFD2Z0
IRFD212
IRFD210
IRFD222
IRFD220
IRFF212
IRF612
IRFF210
IRF610
IRFF222
IRFF220
IRF222
IRF622
IRFF232
IRF220
OAO
IRF620
IRFF230
IRF232
IRF230
IRF242
IRF240
IRF252
IRF250
IRF632
IRF630
IRF642
IRF640
IRFP252
IRFP250
IRFD313
IRFD311
IRFD323
IRFD321
IRFF313
IRF713
IRFF311
IRF711
IRFF323
IRFF321
IRF323
IRF321
IRFF333
IRFF331
IRF333
IRF331
IRF343
IRF341
IRF353
IRF351
IRF723
IRF721
IRF733
IRF731
IRF743
IRF741
IRFP353
IRFP351
130
Power MOSFETs
IRF-Series Power MOSFETs -
~
Maximum
Ratings
BVOSS
(VI
lOS
(AI
rOS(ON)
OHMS
400
0.30
0.40
0.40
0.50
1.15
1.30
1.35
1.50
2
2.50
2.50
3
3
3.50
4
4.50
5
5.5
8
10
13
15
5.00
3.60
2.50
1.80
5.00
5.00
3.60
3.60
2.50
2.50
1.80
1.50
1.80
1.00
1.50
1.50
1.00
1.00
0.80
0.55
0.40
0.30
1.40
1.60
2
2.25
2.50
2.75
4
4.50
7
8
12
13
4.00
3.00
4.00
2.00
3.00
1.50
2.00
1.50
1.10
0.85
0.50
0.40
1.40
1.60
2
2.25
2.50
2.75
4
4.5
7
8
12
13
4.00
3.00
4.00
2.00
3.00
1.50
2.0
1.50
1.1
0.85
0.50
0.40
450
500
N-Channel
~ ffl
TO-204
TO-205
, ,
(Continued)
TO-220
TO-247
~
4-PlnOIP
IRFD312
IRFD310
IRFD322
IRFD320
IRFF312
IRF712
IRFF310
IRF71 0
IRFF322
IRF322
IRF722
IRFF320
IRFF332
IRF320
IRF720
IRFF330
IRF332
IRF732
IRF730
IRF330
IRF342
IRF340
IRF352
IRF350
IRF742
IRF740
IRFP352
IRFP350
IRFF423
IRFF421
IRF423
IRF823
IRFF433
IRF421
IRF821
IRFF431
IRF433
IRF431
IRF443
IRF441
IRF453
IRF451
IRF833
IRF831
IRF843
IRF841
IRFP453
IRFP451
IRFF422
IRFF420
IRF422
IRF822
IRFF432
IRF420
IRF820
IRFF430
IRF432
IRF430
IRF442
IRF440
IRF452
IRF450
IRF832
IRF830
IRF842
IRF840
IRFP452
IRFP450
131
Power MOSFETs
JEDEC Types -
N-Channel
~
Maximum
Ratings
BVOSS
(V)
lOS
(A)
rOS(ON)
OHMS
TO-204
Maximum
Ratings
TO-205
12
30
0.25
0.80
100
3.SO
6
8
14
38
0.60
0.30
0.18
0.18
0.055
2N6756*
2N6764*
150
8
25
0.60
0.12
2N6757
2N6765
200
2.25
3.50
5.50
9
30
1.50
0.80
rOS(ON)
OHMS
350
4.50
12
1.SO
0.40
400
1.25
2
3
5.50
14
3.60
1.80
1.00
1.00
0.30
2N6760*
2N6768*
4
11
2.00
0.50
2N6761
2N6769
1.50
3.50
4.50
12
3.00
1.50
1.50
0.40
.,
450
2N6784*
2N6790*
2N6798*
500
2N6758*
2N6766*
*QPL-Approved Types
TO-204
TO-205
2N8759
2N6787
2N6786*
2N6792*
2N6800*
2N6794*
2N6802*
2N6762*
2N6770*
*QPL-Approved Types
, •,
MegaFET Product Series Package
Mqxlmum
Ratings
BVOSS
(V)
lOS
(A)
rOS(ON)
OHMS
EAS
(mll
SO
10
14
16
25
50
75
100
0.10
0.10
0.047
0.047
0.022
0.010
0.010
100
100
200
200
400
800
800
16
22
40
0.080
0.080
0.040
100
loS
(A)
2N6782*
2N6788*
2N6796*
OAO
~ ffl
BVOSS
(V)
2N6755
2N6763
60
0.40
0.085
~
~ ffl
N-Channel
TO-252
To-251
TO-220
RF010N05SM
RF014N05SM
RF016N05SM
RF010N05
RF014N05
RF016N05
TO-247
TO-218
To-218 5 Lead
RFP14N05.
RFP25N05
RFP50N05
RFGSON05
RFH75N05
RFA100N05
RF016N10
RF016N10SM
RFP22N10
RFP40N10
RFG40N10
*More complete ruggedness capability now specified UIS current vs. time In avalanche graph on data sheet.
Advanced Discrete -
N-Channel
Package
Maximum
Ratings
BVOSS
(V)
80
lOS
(A)
rOS(ON)
OHMS
ESO
(kV)
2
0.75
132
TO-220
RLP1N08L
Power MOSFETs
Rugged-Series Power MOSFETs -
~S
Maximum
Ratings
BVDSS
(V)
60
100
150
IDS
(A)
rDS(ON)
OHMS
EAS
(mj)
0.80
1
1.1
1.3
3
3.50
4
5
6
7
7
8
8
12
14
24
27
33
40
0.80
0.60
0.40
0.30
0.80
0.80
0.60
0.40
0.30
0.25
0.40
0.18
0.30
0.25
0.18
0.11
0.085
0.080
0.055
19
19
36
36
19
19
19
36
36
69
36
69
36
69
69
100
100
150
150
0.80
1
1.1
1.3
3
3.5
3.5
4
5
6
7
7
8
8
12
14
24
27
33
40
0.80
0.60
0.40
0.30
0.80
0.60
0.80
0.60
0.40
0.30
0.25
0.40
0.18
0.30
0.25
0.18
0.11
0.085
0.08
0.055
19
19
36
36
19
19
19
19
36
36
69
36
69
36
69
69
100
100
150
150
0.45
0.60
0.70
0.80
1.80
2
2.2
2.5
3
3.5
4
4.5
5
5.5
8
9
16
2.40
1.50
1.20
0.80
2.40
2.40
1.50
1.50
1.20
0.80
1.20
0.60
0.80
0.40
0.60
0.40
0.22
30
30
85
85
30
30
30
30
85
85
85
150
85
150
150
150
300
TO-204
N-Channel
ffl
TO-205
, ,
TO-220
TO-247
~
4-PlnDIP
IRFD113R
IRFD111R
IRFD123R
IRFD121R
IRFF113R
IRFF111R
IRF513R
IRF511R
IRFF123R
IRFF121R
IRFF133R
IRF523R
IRFF131R
IRF521R
IRF533R
IRF531R
IRF543R
IRF541R
IRF133R
IRF131R
IRF143R
IRF141R
IRF153R
IRF151R
IRFP143R
IRFP141R
IRFP153R
IRFP151R
IRFD112R
IRFD110R
IRFD122R
IRFD120R
IRFF112R
IRFF110R
IRF512R
IRF51OR
IRFF122R
IRFF120R
IRFF132R
IRF522R
IRFF130R
IRF520R
IRF532R
IRF530R
IRF542R
IRF540R
IRF132R
IRF130R
IRF142R
IRF140R
IRF152R
IRF150R
IRFP142R
IRFP140R
IRF152R
IRFP150R
IRFD213R
IRFD211R
IRFD223R
IRFD221R
IRFF213R
IRF613R
IRFF211R
IRF611R
IRFF223R
IRFF221R
IRF623R
IRFF233R
IRF621R
IRFF231R
IRF233R
IRF231R
IRF243R
IRF633R
IRF631R
IRF643R
133
IRFP243R
Power MOSFETs
Rugged-Series Power MOSFETs -
~
Maximum
Ratings
BVDSS
IDS
(A)
fDS(ON)
OHMS
EAS
(mj)
150
18
25
30
0.18
0.12
0.085
300
500
500
200
0.45
0.60
0.70
0.80
1.80
2
2.20
2.50
3
3.5
4
4.5
5
5.5
8
16
18
25
30
2.40
1.50
1.20
0.80
2.40
2.40
1.50
1.50
1.20
0.80
1.20
0.60
0.80
0.40
0.60
0.40
0.22
0.18
0.12
0.085
30
30
85
85
30
30
30
30
85
85
85
150
85
150
150
150
300
300
500
500
3.30
3.80
6.50
8.10
13
14
21
23
1.50
1.10
0.68
0.45
0.34
0.28
0.17
0.14
120
120
180
180
550
550
1000
1000
3.30
3.80
6.50
8.10
13
14
21
23
1.50
1.10
0.68
0.45
0.34
0.28
0.17
0.14
120
120
180
180
550
550
1000
1000
0.30
0.40
0.40
0.50
1.15
1.30
1.35
1.50
2
2.50
2.50
3
3
3.50
4.50
5.00
3.60
2.50
1.80
5.00
5.00
3.60
3.60
2.50
1.80
2.50
1.80
1.80
1.00
1.50
45
45
100
100
45
45
45
45
100
100
100
100
300
300
300
(V)
9
250
275
350
N-Channel (Continued)
~ ffl
TO-204
TO-205
, ,
TO-220
IRF641R
IRF241R
IRF253R
IRF251R
TO-247
~
4-PlnDIP
IRFP241R
IRFP253R
IRFP251R
IRFD212R
IRFD210R
IRFD222R
IRFD220R
IRFF212R
IRF612R
IRFF210R
IRF61OR
IRFF222R
IRFF220R
IRF622R
IRFF232R
IRF620R
IRFF230R
IRF232R
IRF230R
IRF242R
IRF240R
IRF252R
IRF250R
IRF632R
IRF630R
IRF642R
IRF640R
IRF625
IRF624
IRF635
IRF634
IRF645
IRF644
IRF235
IRF234
IRF245
IRF244
IRF255
IRF254
IRF627
IRF626
IRF637
IRF636
IRF647
IRF646
IRF237
IRF236
IRF247
IRF248
IRF257
IRF256
IRFP242R
IRF240R
IRFP252R
IRFP250R
IRFP235
IRFP234
IRFP245
IRFP244
IRFP255
IRFP254
IRFP237
IRFP236
IRFP247
IRFP246
IRFP257
IRFP256
IRFD313R
IRFD311R
IRFD323R
IRFD321R
IRFF313R
IRF713R
IRFF311R
IRF711R
IRFF323R
IRFF321R
IRF723R
IRF721R
IRFF333R
IRFF331R
IRF333R
IRF733R
134
Power MOSFETs
Rugged-Series Power MOSFETs -
~
Maximum
Ratings
BVOSS
(V)
350
400
450
500
600
lOS
(A)
rOS(ON)
OHMS
EAS
(mJl
5.50
8
10
13
15
1.00
0.80
0.55
0.40
0.30
300
400
400
700
700
0.30
0.40
0.40
0.50
1.15
1.30
1.35
1.50
2
2.50
2.50
3
3
3.50
4.50
5.50
8
10
13
15
22
25
5.00
3.60
2.50
1.80
5.00
5.00
3.60
3.60
2.50
2.50
1.80
1.50
1.80
1.00
1.50
1.00
0.80
0.55
0.40
0.30
0.25
0.20
45
45
100
100
45
45
45
45
100
100
100
300
100
300
300
300
400
400
700
700
980
980
1.40
1.60
2
2.25
2.50
2.75
4
4.50
7
8
12
13
4.00
3.00
4.00
2.00
3.00
1.50
2.00
1.50
1.10
0.85
0.50
0.40
210
210
210
300
210
300
300
300
450
450
860
860
1.40
1.60
2
2.25
2.50
2.75
4
4.50
7
8
12
13
17
20
4.00
3.00
4.00
2.00
3.00
1.50
2.00
1.50
1.10
0.85
0.50
0.40
0.35
0.27
210
210
210
300
210
300
300
300
450
450
860
860
960
960
5.40
5.90
6.20
6.80
1.60
1.60
1.20
1.20
570
410
570
410
N-Channel (Continued)
~ ffl
TO-204
TO-205
IRF331R
IRF343R
IRF341R
IRF353R
IRF351R
, ,
TO-220
IRF731R
IRF743R
IRF741R
TO-247
~
4-PlnOIP
IRFP343R
IRFP341R
IRFP353R
IRFP351R
IRF0312R
IRF031OR
IRF0322R
IRF0320R
IRFF312R
IRF712R
IRFF31OR
IRF710R
IRFF322R
IRF722R
IRFF320R
IRFF332R
IRF720R
IRFF330R
IRF332R
IRF330R
IRF342R
IRF340R
IRF352R
IRF350R
IRF362
IRF360
IRF732R
IRF730R
IRF742R
IRF740R
IRFP342R
IRFP340R
IRFP352R
IRFP350R
IRFP362
IRFP360
IRFF423R
IRFF421R
IRF823R
IRFF433R
IRF821R
IRFF431R
IRF433R
IRF431R
IRF443R
IRF441R
IRF453R
IRF451R
IRF833R
IRF831R
IRF843R
IRF841R
IRFP443R
IRFP441R
IRFP453R
IRFP451R
IRFF422R
IRFF420R
IRF822R
IRFF432R
IRF820R
IRFF430R
IRF432R
IRF430R
IRF442R
IRF440R
IRF452R
IRF450R
IRF462
IRF460
IRF832R
IRF830R
IRF842R
IRF840R
IRFAC42R
IRFBC42R
IRFAC40R
IRFBC40R
IRFP442R
IRFP440R
IRFP452R
IRFP450R
IRFP462
IRFP460
IRFPC42R
IRFPC40R
135
Power MOSFETs
Rugged-Series Power MOSFETs -
~
Maximum
Ratings
BVOSS
(V)
loS
(A)
rOS(ON)
OHMS
EAS
(mil
60
0.6
0.8
2.5
3
3.5
4
5
5.5
6
6.5
10
12
19
15
25
1.6
0.8
1.6
1.2
0.8
0.6
0.8
0.4
0.6
0.3
0.4
0.3
0.2
0.3
0.15
170
370
170
170
370
370
370
500
370
500
500
500
960
960
1300
0.7
1
2.5
3
3.5
4
5
5.5
6
6.5
6.5
10
12
12
19
15
25
1.2
0.6
1.6
1.2
0.8
0.6
0.8
0.4
0.6
0.3
0.3
0.4
0.3
0.3
0.2
0.3
0.15
170
370
170
170
370
370
370
500
370
500
N.R.
500
500
N.R.
960
960
1300
0.5
2.0
2.5
3.0
3.5
3.5
4.0
5.5
6.5
9
11
2.4
2.4
1.5
2.4
1.2
1.5
0.8
1.2
0.8
0.7
0.5
290
290
290
290
500
290
500
500
500
790
790
0.6
2.0
2.5
3.0
3.5
3.5
4.0
4.0
5.5
6.5
9
11
1.5
2.4
1.5
2.4
1.2
1.5
0.8
0.8
1.2
0.8
0.7
0.5
290
290
290
290
500
290
500
N.R.
500
500
790
790
100
150
200
N.R. = Not Rated
P-Channel
~
-
TO-204
TO-205
, ,
TO-220
TO-247
~
4-Pln DIP
IRF09113
IRFD9123
IRF9513
IRF9511
IRFF9123
IRFF9121
IRF9523
IRFF9133
IRF9521
IRFF9131
IRF9133
IRF9131
IRF9141
IRF9143
IRF9151
IRF9533
IRF9531
IRF9541
IRF9543
IRFP9141
IRFP9143
IRFP9151
IRF09110
IRFD9120
IRF9512
IRF9510
IRFF9122
IRFF9120
IRF9522
IRFF9132
IRF9520
IRFF9130
2N6849*
IRF9132
IRF9130
2N6804*
IRF9140
IRF9142
IRF9150
IRF9532
IRF9530
IRF9540
IRF9542
IRFP9140
IRFP9142
IRFP9150
IRFD9223
IRFF9223
IRFF9221
IRF9623
IRFF9233
IRF9621
IRFF9231
IRF9233
IRF9231
IRF9243
IRF9241
IRF9633
IRF9631
IRF9643
IRF9641
IRFP9243
IRFP9241
IRFD9220
IRFF9222
IRFF9220
IRF9622
IRFF9232
IRF9620
IRFF9230
2N6851*
IRF9232
IRF9230
IRF9242
IRF9240
IRF9632
IRF9630
IRF9642
IRF9640
*QPL - Approved Types
136
IRFP9242
IRFP9240
Power MOSFETs
Logic Level - L2FETs -
~
Maximum
Ratings
BVDSS
(V)
IDS
(A)
rDS(ON)
OHMS
EAS
(mll
50
2
4
15
14
16
25
50
0.75
0.60
0.14
0.100
0.047
0.047
0.22"
N.R.
N.R.
N.R.
100
200
200
2
4
12
12
15
17
25
0.75
0.60
0.135"
0.180"
0.14
0.100
0.07
N.R.
N.R.
1
2
12
1.20
1.05
0.20
N.R.
N.R.
N.R.
1
1.50
2
12
12
1.20
1.40
1.05
0.20
0.20
N.R.
N.R.
N.R.
N.R.
N.R.
1
2
10
1.90
1.75
0.30
N.R.
N.R.
N.R.
1
2
10
1.90
1.75
0.30
N.R.
N.R.
N.R.
1
2
8
3.65
3.50
0.50
N.R.
N.R.
N.R.
1
1.5
2
8
8
3.65
3.65
3.50
0.65
0.50
N.R.
N.R.
N.R.
N.R.
N.R.
60
80
100
120
150
180
200
N.R. = Not Rated
N.R.
N.R.
N.R.
N-Channel Types
@
TO-204
-
TO-205
, ,
TO-220
TO-251
• I
TO-252
TO-247
RFL2N05L
RFP4N05L
RFP15N05L
RFP14N05L
RFM15N05L
RFD14N05L
RFD16N05L
RFD14N05LSM
RFD16N05LSM
RFP25N05L
RFP50N05L
RFG50N05L
RFL2N06L
RFP4N06L
RFP12N06RLE
RFP3055RLE
RFP15N06L
RFP17N06L
RFP25N06L
RFM15N06L
RFD13N06RLE
RFD3055RLE
RFD12N06RLESM
RFD3055RLESM
RFL1N08L
RFP2N08L
RFP12N08L
RFM12N08L
RFL1N10L
2N6901'
RFP2N10L
2N6902*
RFM12N10L
RFP12N10L
RFL1N12L
RFP2N12L
RFP10N12L
RFM10N12L
RFL1N15L
RFP2N15L
RFP10N15L
RFM10N15L
RFL1N18L
RFP2N18L
RFP8N18L
RFM8N18L
RFL1N20L
2N6903*
RFP2N20L
2N6904*
RFM8N20L
*QPL Approved Types
RFP8N20L
"More Complete Ruggedness Capability Now Specified
137
Insulated-Gate Bipolar Transistors {IGBTs}
N-Channel Enhancement-Mode Conductivity Modulated Power Field-Effect
Transistors-IGBTs Optimized for Switching Applications
~
Maximum Ratings
BVCES
(V)
ICE
(A)
tf
(/IS)
VCE
(V)
TO-204AA
400
S
S
10
10
20
20
S
S
10
10
20
20
1.0
O.S
1.0
O.S
1.0
O.S
1.0
0.5
1.0
0.5
1.0
0.5
2.0
2.0
2.S
2.5
2.5
2.S
2.0
2.0
2.S
2.5
2.5
2.5
2N697S
2N6977
IGTM10N40
IGTM10N40A
IGTM20N40
IGTM20N40A
2N6976
2N6978
IGTM10N50
IGTM10NSOA
IGTM20NSO
IGTM20NSOA
SOO
,
~
~
TO-218AC
TO-220AB
IGTH10N40
IGTH10N40A
IGTH20N40
IGTH20N40A
IGTP10N40
IGTP10N40A
IGTP20N40
IGTP20N40A
IGTH10NSO
IGTH10NSOA
IGTH20N50
IGTH20NSOA
IGTP10NSO
IGTP10NSOA
IGTP20NSO
IGTP20NSOA
, , , •
IGBTs Optimized for Motor Drive Applications
~
Maximum Ratings
BCES
(V)
ICE
(A)
VCE
(V)
SOA
ICE
400
3
S
18
18
32
32
2.S
2.S
2.S
2.S
2.2
2.S
7.SA
11A
30A
30A
SOA
SOA
3
S
18
18
32
32
2.S
2.S
2.S
2.S
2.2
2.S
7.SA
11A
30A
30A
SOA
SOA
SOO
~
TO-204AA
TO-220AB
IGT6D10
IGT6D11
IGT6D20
IGT6D21
TO-251
TO-252
IGT3N40
IGTSN40
IGT3N4OSM
IGTSN40SM
IGT3NSO
IGTSNSO
IGT3NSOSM
IGTSNSOSM
IGT4D10
IGT4D11
IGT8D20
IGT8D21
IGT4E10
IGT4E10
IGT6E10
IGT6E11
IGT6E20
IGT6E21
IGT8E20
IGT8E21
,
,
~
IGBTs with Integral Reverse Diode
Maximum Ratings
VCES
(V)
ICE
(A)
VCE
(V)
tf
(/Is)
TO-218AC
TO-220AB
400
10
10
20
20
10
10
20
20
2.S
2.S
2.S
2.S
2.S
2.S
2.S
2.S
1.0
O.S
1.0
O.S
1.0
O.S
1.0
O.S
IGTH10N40D
IGTH10N40AD
IGTH20N40D
IGTH20N40AD
IGTH10NSOD
IGTH10NSOAD
IGTH20NSOD
IGTH20NSOAD
IGTP10N40D
IGTP10N40AD
SOO
TO-247
IGTP10NSOD
IGTP10NSOAD
IGBTs with Integral Current Sensing
b;: ,
Maximum Ratings
VCES
(V)
ICE
(A)
VCE
(V)
tf
(/IS)
SOO
10
10
2S
2S
2.3
2.3
2.6
2.6
1.2
1.2
1.2
1.2
TO-220
S-Iead
,
To-218
5-lead
GS1Sl0
IGTSE10CS
GS1525
IGT7E20CS
Through a fourth terminal, these devices provide a nonintruslve means
of monitoring the collector-to-emitter current
138
Transient Voltage Suppressors
Surgectors
Surgector Types
Surgector Characteristics
Type No.
Function
SGT10S10
SGT27S10
SGT03U13
SGT06U13
SGT23U13
SGT23B13
VarClamp
VarClamp
Uni-Direct
Uni-Direct
Vz
min.
V
100
270
30
60
230
230
Uni-Direct
Bi-Direct
Bi-Direct
Bi-Direct
SGT27B13
SGT27B27
270
270
VBO
max.
(100V
ITSM
(1 x
..
A
IH
mAt
Pkg.
Style
300
300
300
300
300
300
300
600
>100
> 100
>130
> 130
> 130
>130
>130
>270
A
A
B
B
B
B
B
B
2~s)
l~s)V
<50
<85
<275
<275
<340
<350
• High impedance until breakdown (i.e., low
leakage)
• Repeatable breakdown/threshold voltage
• High surge-current handling capability
• Withstand and respond to rapidly recurring surges
• Fast recovery to high-impedance state (turn-off)
• DUal-polarity protection
• No degradation of essential characteristics with use
Surgector Packages
Modified TO-202
Package Style
'* Dependent on trigger circuit
Package A
Package B
Metal-Oxide Varistors (MOVs)
CH
RA
~
fiJ
ZA
CP
fiJ
~
Family Characteristics
DA
PA
~
OS
?Y
~q
MA
?,?
LA
{J
b
SA,SS
CA
ltD
E9
CH
RA
CP
LA,ZA
MA
PA
DAtDB,HE
BA,BB
CA
Operating
Ambient Temp.
(w/out derating)
-5510
+1250 C
-55 to
+1250 C
-55 to
+1250 C
-55 to
+850 C
-5510
+850 C
-55 to
+850C
-55 to
+850 C
-55 to
+850 C
-5510
+850 C
Storage
Temperature
-5510
+1500 C
-55 to
+1500C
-55 to
+1500 C
-55 to
+1250 C
-5510
+1250 C
-55 to
+125OC
-55 to
+12SoC
-5510
+1250 C
-5510
+1250 C
NA
2500
NA
2500
1000
NA
5000
5000
NA
Series
tHiPot
Encapsulation
Volis dc for 1 Min.
Voltage Temp.
Coefficient (Vc at
Specified Test
Current)
Insulation
Resistance (MO)
<0.01%/OC 1000
NA
>1000
t Dielectric wfthstand per MIL STD 202. Method 301. 2500 VDe min.
139
>1000
NA
>1000
>1000
NA
Transient Voltage Suppressors
MOV Selection Chart
Voltage
CHSeries
Vm
(ac)
Vm
Vn
CH8
(de)
(de)
5x8mm
4
5.5
8.2
CPSerles
CP18
18G.uge
CP:l.O
:1.0 Gauge
MASerle&*
CP:I.:1.
22G.uge
LA. ZA Sarles
3mm
5mm
7mm
10mm
V8ZA05
V8ZAl
V8ZA2
14mm
20mm
V18ZMO
6
8
12
V12ZA05
V12ZA1
V12ZA2
10
14
18
V18CH8
V18MA1B.S
V18ZA05
V18ZA1
V18ZA2
V18ZA3
14
18
22
V22CH8
V22MA1B.S
V22ZA05
V22ZA1
V22ZA2
V22ZA3
14
18
24
17
22
27
V27CH8
V27MA1B.S
V27ZA05
V27RA1
V27ZA2
V27ZA4
20
26
33
V33CH8
V33MA1B.S
V33ZA05
V33ZA1
V33ZA2
V33AZ5
21
27
33
23
31
36
25
31
39
V39CH8
V24ZA50
V33ZA70
V36ZA80
V39CP20
V39CP22
V39MA2B.S
V39ZA05
V39ZA1
V39ZA3
V39ZA6
V47CP20
V47CP22
V47ZA7
30
38
47
V47CH8
V47MA2B.S
V47ZA05
V47ZA1
V47ZA3
35
45
56
V56CH8
V56MA2B.S
V56ZA05
V56ZA2
V56ZA3
V56ZAB
40
56
68
V68CH8
V6BMA3B.S
V6BZA05
V6BZA2
V6BZA3
V6BZA10
50
66
B2
VB2CHB
VB2MA3B.S
V82ZA05
VB2ZA2
VB2ZM
VB2ZA12
60
81
100
V100cHB
V100MMB.S V100ZA05
V100ZA3
V100ZA4
V100ZA15
75
102
120
V120CHB
V120MA2B.S V120ZA05
V120ZA1
V120ZA4
V120ZA6
92
127
150
V150ZA1
V150ZA4
V150ZAB
V1BOZA1
V1BOZA5
V1BOZA10
V130LA1.2
V130LA5
V130LA10A
V140LA2
V140LAS
V140LA10A
V150LA1.2
V150LA5
V150LA10A
95
127
150
110
152
1BO
115
153
1BO
130
175
200
130
175
200
V200CHB
140
180
220
V220CHB
V240CHB
150
150
240
150
200
240
175
225
270
V27ZA60
V47CP16
V150MA2B
V150ZA05
V180MA3B
V180ZA05
V15OCH8
V180CHB
V20OCP16
V200CP20
V200CP22
V220ZA05
V240CP16
V24OCP20
V1 30lA20A. B
V240CP22
V270ZA05
V175LA2
V1 50LA20A. B
V175LA10A
210
275
330
230
300
360
V36OCH8
V230LA10
V230lA20A
250
330
390
V390CH8
V390ZA05
V250LA2.4 VA250LA10
V250LA20A
V250LA40A. B
275
369
430
V430CH8
V430ZA05
V275LA2.4
V275LA20A
V275LA40A. B
300
405
470
V470ZA05
V300LA2.4
320
420
510
420
560
680
V6BOZA05
V750ZA05
V330ZA05
V230LA4
V275LA10
V420LA10
V320LA20A
V320lA40B
V420LA20A
V42OLA20B
480
640
750
V480LA40A
V480LABOB
510
675
820
V510LA40A
V510LA80B
575
730
910
V575LA40A
V575LA80B
660
850
1050
V660LA50A
V660LA100B
750
970
880
1150
1200
1500
1000
1200
1600
1100
1400
1800
1400
1750
2200
1700
2150
2700
2000
2500
3300
2400
3000
3900
2800
3500
4700
V10ooLA80A V1OO0lA160B
.. voltage selections are available for the MA series .
* AdditionaJ
Features:
CH Series
Surface-Mount Varistors
• Better Peiformance
• Higher Reliability
• Lower Equipment Cost
• Saves on Board
HeighVBulk/Weight
CP Series
Connector-Pin Varistors
• Provides Transient Protection
in Connectors
• Available In 22-, 20-, and
16-Gauge Sizes
140
MASerles
• Axial Package
• Wide Voltage Range
• Automatic Insertion
LA Series
• Radial Package
• Line-Voltage Operation
• UL Recognized
ZA Series
• Radial Package
• Low-Voltage Operation
Transient Voltage Suppressors
MOV Selection Chart
Voltage
(Continued)
RASerles
Vm
(ae)
Vm
(de)
Vn
(de)
RA8
4
5.5
8.2
V8RA8
RA18
6
8
12
V12RA8
10
14
18
V18RA8
V18RA16
14
18
22
V22RA8
V22RA16
14
18
24
17
22
27
V27RA8
V27RA16
V33RA8
V33RA16
V39RA8
V39RA16
20
26
33
21
27
33
23
31
36
31
39
30
38
47
V47RA8
V47RA16
35
45
56
V56RA8
V56RA16
V68RA16
40
56
68
V68RA8
66
82
V82RA8
V82RA16
60
75
81
100
Vl00RA8
V100RA16
102
120
V120RAS
V120RA16
92
127
150
V150RA8
V150RA16
V180RA8
V180RA16
127
150
152
180
BA/BB
Series
CASer1es
20mm
DA
40mm
DB
40mm
60mm
32mm
40mm
V200RA22
V130PA20A, C
V131DA40
V131DB40
V131BA60
V131CA32
V131CA40
V150PA20A, C
V151DA40
V151DB40
V151BA60
V151CA32
V151CA40
RAZZ
BOMM
V36RA22
50
95
DAiDB Series
V24RA22
25
110
PASerles
115
153
180
130
175
200
130
175
200
V200RAS
V200RA16
140
180
220
V220RA8
V220RA16
150
150
240
150
200
240
V240RAS
V240RA16
V240RA22
175
225
270
V270RA8
V270RA16
V270RA22
210
275
330
230
300
360
V360RA8
V360RA16
250
330
390
V390RA8
V390RA16
V390RA22
V250PA40A, C
V251DA40
V251DB40
V251BA60
V251CA32
V251CA40
V251CA60
275
369
430
V430RA8
V430RA16
V430RA22
V275PA40A, C
V271DA40
V271DB40
V271BA60
V271CA32
V271CA40
V271CA60
300
405
470
320
420
510
V320PA40A, C
V321DA40
V321DB40
V321BA60
V321CA32
V321CA40
V321CA60
420
560
680
V420PA40A, C
V421DA40
V421DB40
V421BA60
V421CA32
V421CA40
V421CA60
480
640
750
V480PA60A, C
V481DA40
V481DB40
V481BA60
V481CA32
V481CA40
V481CA60
510
675
820
V510PA80A, C
V511DA40
V511DB40
V511BA60
V511CA32
V511CA40
V511CA60
575
730
910
V575PA80A, C
V571DA40
V571DB40
V571BA60
V571CA32
V571CA40
V571CA60
660
850 1050
V660PA 1OOA, C
V661DA40
V661DB40
V661BA60
V661CA32
V661CA40
V661CA60
750
970
V751DA40
V751DB40
V751BA60
V751CA32
V751CA40
V751CA60
880
1200
1150 1500
V881BA60
V881CA60
1100 1400 1800
V112BB60
V112CA60
1400 1750 2200
V142BB60
V142CASO
1700 2150 2700
2000 2500 3300
V172BB60
V202BB60
V172CA60
V202CA60
2400 3000 3900
V242BB60
V242CA60
2800 3500 4700
V282BB60
V282CA60
1000 1200 1600
Features:
RA Series
• Low Height Profile
• High-Temperature Capability
• Precise Seating Plane
• In-Line Leads
PA Series
• Rigid Mountdown
• NEMA Creep-and-Strike
Distance
• Quick-Connect Terminal
• UL Recognized
DAIDB & BA Series
• High-Energy Capability
• Rigid Terminals
• Isolated
• Low Inductance
• Improved Creep and
Strike
• UL Recognized
141
CA Series
• Industrial Discs
High Reliability Series
• 100% Prescreened
• 100% Process Conditioning
• Meets Military Specifications
Bipolar Power Transistors
General Purpose
VCE(sat)-V
hFE
Type No.
VCEO(sus) VCEV(sus)
V
V
2N1482 FAMILY (n-p-n)
2N1479
2N1481
2N1700
40347V1
40347
2N1480
2N1482
40348V1
40348
40
40
40
40
40
55
55
65
65
120
140
150
150
'" VCER(SUS)
120
140
Ie
A
fT(Typ)
MHz
JEDEC
Package
1.4
1.4
1
1
1
1.4
1.4
0.75
0.75
0.2
0.2,
0.1
0.45
0.45
0.2
0.2
0.3
0.3
0.02
0.02
0.Q1
0.045
0.045
0.02
0.02
0.03
0.03
1.5
TO-205AN
TO-5
4
4
2
2
1.2
1
0.5
0.5
0.5
0.5
1
1
0.05
0.05
0.1
0.1
0.8
TO-213AN
TO-66
4
4
1
1
2
3
0.2
0.3
0.4
TO-204AN
TO-3
VCE
V
5
5
5
4.4
8.75
5
5
4.4
8.75
20-60
35-100
20-80
25-100
25-100
20-60
35-100
30-125
30-125
0.2
0.2
0.1
0.45
0.45
0.2
0.2
0.3
0.3
4
4
4
4
4
4
4
4
4
20-100
25-100
20-60
20-60
0.5
0.5
1
1
15-60
20-70
2
3
SOW max
1400
1600
1700
1700
2N3442 FAMILY (n-p-n)
2N4347
2N3442
IC
A
IC
A
8.75Wmax
60
60
60
60
60
100
100
90
90
2N3441 FAMILY (n-p-n)
2N6263
2N3441
40913
2N6264
PT
W
20
25
5.8
50
150Wmax
1400
1600
100
117
0 VCEX(sus)
142
Bipolar Power Transistors
General Purpose
(Continued)
VCE(sat)-V
hFE
Type No.
VCEO(SUS) VCEV(SUS)
V
V
2N5303 FAMILY (n-p-n)
2N5301
2N6326
2N5302"
2N5BB5
2N6327
2N5303
2N5BB6
-
-
2N5496 PLASTIC FAMILY (n-p-n)
2N6129
2N5490
2N5491
2N5494
2N5495
2N6130
RCA3055
2N5492
2N5493
2N5496
2N5497
2N6131
BD5018
40
40"
60
60
60
60
60"
70*
75
75
90
90
80"
90"
40
40
40
40
60
60
55
55
70
70
BO·
BO
2N5783 FAMILY (p-n-p)
2N5783
2N5782
2N5781
-40
-50
-65
-45*
-65*
-BO*
40
50
65
-40
-40
-60
-60
-80
-80
-100
-120
-40
-60
-80
"JAN types available
fT(Typ)
MHz
JEDEC
Package
0.75
3
0.75
1
3
1.5
1
10
30
10
15
30
15
15
1
7.5
1
1.5
7.5
1.5
1.5
B
TO-204M!
TO-3
4
4
4
4
4
4
4
4
4
4
4
4
4
1.4
1
1
1
1
1.4
1.1
1
1
1
1
1.B
1
7
2
2
3
3
7
4
2.5
2.5
3.5
3.5
7
3.5
3
0.2
0.2
0.3
0.3
3
0.4
0.25
0.25
0.35
0.35
3
0.35
1
-2
-2
-2
-1
-0.75
-0.5
-1.6
-1.2
-1
-0.16
-0.12
-0.1
20
T0205M!
TO-5
1.6
1.2
1
0.16
0.12
0.1
1.5
TO-205M!
TO-5
10
TO-213M!
TO-66
4
TO-213M!
TO-66
15-60
6-30
15-60
20-100
6-30
15-60
20-100
15
30
15
10
30
10
10
3
4
3
4
4
2
4
7 min.
20-100
20-100
20-100
20-100
7min.
20-70
20-100
20-100
20-100
20-100
7mln.
20 min.
7
2
2
3
3
7
4
2.5
2.5
3.5
3.5
7
3.5
20-100
20-100
20-100
-1.6
-1.2
-1
50Wmax
50
50
50
50
50
50
75
50
50
50
50
50
75
10
10
10
10
10
10
75Wmax
-BOO
-1100
-1300
40
25
40
25
25
40
40
40
-40"
-60"
-80"
75
75
75
-50*
-70*
-
TO-220
TO-220AB
TO-220M
TO-220AB
TO-220M
TO-220
TO-220
TO-220AB
TO-220M
TO-22DAB
TO-220M
TO-220
TO-220
Complementary to 2N5783 Family
20-100
20-100
20-100
1.6
1.2
1
2
2
2
1
0.75
0.5
Complementary to 2N6372 Family
20-100
20-100
20-100
20-100
20-100
20-100
15-150
15-150
-3
-0.5
-2.5
-0.5
-0.5
-2
-1.5
-1.5
-4
-1
-4
-1
-1
-4
-4
-4
-1
-0.6
-1
-0.6
-0.6
-1
-1.2
-1.2
-3
-1
-.25
-1
-1
-2
-1.5
-1.5
-0.3
-0.1
-0.25
-0.1
-0.1
-0.2
-0.15
-0.15
25-100
25-100
25-100
-1.5
-1.5
-1.5
-4
-4
-4
-0.7
-0.7
-0.7
-1.5
-1.5
-1.5
-0.15
-0.15
-0.5
-
2N6312 FAMILY (p-n-p)
2N6312
2N6313
2N6314
200
200
200
200
200
200
200
10Wmax
45*
65*
80*
2N5954 FAMILY (p-n-p)
2N5956
2N489B
2N5955
2N4899
2N4900
2N5954
2N6467
2N646B
IB
A
VCE
V
10Wmax
2N5786 FAMILY (n-p-n)
2N57BB
2N5785
2N5784
IC
A
IC
A
200Wmax
-
40
60
60
60
BO
BO
80
PT
W
* VCER(SUS)
0 VCEX(suS)
143
Bipolar Power Transistors
General Purpose
(Continued)
VCE(sat)-V
hFE
Type No.
VCEO(SUS) VCEV(SUS)
V
V
2N61 07 FAMILY (p-n-p)
2N6110
2N6111
TIP30
TIP32
2N6124
B0240
B0242
B0277
B0534
B0796
2N61 08
2N61 09
B0240A
B0242A
TIP30A
TIP32A
2N6125
B0536
B0798
2N6106
2N6107
B0240B
B0242B
2N6126
B0538
B0800
TIP30B
TIP32B
2N6475
TIP30C
TIP32C
B0802
B0240C
B0242C
2N6476
-30
-30
-40
-40
-45
-45
-45
-45
-45
-45
-50
-50
-60
-60
-60
-60
-60
-60
-60
-70
-70
-80
-80
-80
-80
-80
-80
-80
-100
-100
-100
-100
-100
-100
-120
70Wmax
-400
-400
-
-
-550
-550
-
-600
-600
-70;
-70;
-800
-800
-90;
-90;
-
-1100
-
-
-115;
-115;
-1300
2N6247 FAMILY (p-n-p)
2N6594
2N6469
2N6246
BOX18
MJ2955
2N3791
2N6247
2N3792
2N6248
; VCER(sus)
-40
-40
-60
-60
-60
-60
-80
-80
-100
PT
W
40
40
30
40
40
30
40
70
50
65
40
40
30
40
30
40
40
50
65
40
40
30
40
40
50
65
30
40
40
30
40
65
30
40
40
IC
A
VCE
V
IC
A
IB
A
-3
-3
-1
-3
-1.5
-1
-3
-1.75
-2
-3
-2.5
-2.5
-1
-3
-1
-3
-1.5
-2
-3
-2
-2
-1
-3
-1.5
-2
-3
-1
-3
-1.5
-1
-3
-3
-1
-3
-1.5
-0.3
-0.3
-0.125
-0.375
-0.15
-0.2
-0.6
-0.1
-0.2
-0.3
-0.25
-0.25
-0.2
-0.6
-0.125
-0.375
-0.15
-0.2
-0.3
-0.2
-0.2
-0.2
-0.6
-0.15
-0.2
-0.3
-0.125
-0.375
-0.15
-0.125
-0.375
-0.3
-0.2
-0.6
-0.15
-12
-5
-7
-4
-4
-5
-6
-5
-5
-2.4
-0.5
-0.7
-0.4
-0.4
-0.5
-0.6
-0.5
-0.5
fT(Typ)
MHz
JEOEC
Package
10
TO-220AA
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AA
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AA
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
Complementary to 2N6292 Family
30-150
30-150
15-150
10-50
25-100
40 min.
25 min.
30-150
25 min.
25 min.
30-150
30-150
40 min.
25 min.
40 min.
25min.
25-100
25 min.
25 min.
30-150
30-150
40 min.
25 min.
20-80
25 min.
15min.
15-150
10-50
15-150
15-150
10-50
15min.
40 min.
25 min.
15-150
-3
-3
-1
-3
-1.5
-0.2
-1
-1.75
-2
-3
-2.5
-2.5
-0.2
-1
-0.2
-1
-1.5
-2
-3
-2
-2
-0.2
-1
-1.5
-2
-3
-1
-3
-1.5
-1
-3
-3
-0.2
-1
-1.5
-4
-4
-4
-4
-2
-4
-4
-2
-2
-2
-4
-4
-4
-4
-4
-4
-2
-2
-2
-4
-4
-4
-4
-2
-2
-2
-4
-4
-4
-4
-4
-2
-4
-4
-4
-1
-1
-0.7
-1.2
-0.6
-0.7
-1.2
-0.5
-0.8
-1
-1
-1
-0.7
-1.2
-0.7
-1.2
-0.6
-0.8
-1
-1
-1
-0.7
-1.2
-0.6
-0.8
-1
-0.7
-1.2
-1.2
-0.7
-1.2
-1
-0.7
-1.2
-1.2
160Wmax Complementary to 2N6472 Family
-45;
-50;
-70;
-70;
-70;
-90;
-110;
100
125
125
115
150
150
125
150
125
5-100
20-125
20-100
20-70
20-70
30-150
20-100
50-150
20-100
-12
-5
-7
-4
-4
-1
-6
-1
-5
0 VCEX(sus)
144
-4
-4
-4
-4
-4
-2
-4
-2
-4
-4
-1.3
-1.3
-1.1
-1.1
-1
-1.3
-1
-1.3
16
TO-204AA/
TO-3
Bipolar Power Transistors
General Purpose
(Continued)
VCE(sat)-V
hFE
Type No.
VCEO(sus) VCEV(sus)
V
V
2N6292 FAMILY (n-p-n)
2N6288
2N6289
TIP29
TIP31
B0239
B0241
2N6121
B0533
B0795
2N6290
2N6291
B0239A
B0241A
TIP29A
TIP31A
2Nf122
B0535
B0797
2N6292
2N6293
B0239B
B0241B
2N6123
B0537
B0799
TIP29B
TIP31B
2N6473
T1P29C
T1P31C
2N6474
B0239C
B0241C
B0801
30
30
40
40
40
45
45
45
45
50
50
60
60
60
60
60
60
60
70
70
80
80
80
80
80
80
80
100
100
100
120
100
100
100
65Wmax
400
400
-
55+
55+
-
60
60
70+
70+
-
-
80
80
90+
90+
1100
-
1300
115+
115+
-
2N6478 FAMILY (n-p-n)
2N6477
2N6478
+VCER(sus)
120
140
PT
W
40
40
30
40
30
40
40
50
65
40
40
30
40
30
40
40
50
65
40
40
30
40
40
50
65
30
40
40
30
40
40
30
40
65
IC
A
VCE
V
Ic
A
IB
A
fT(Typ)
MHz
JEDEC
Package
Complementary to 2N6107 Family
30-150
30-150
15-150
10-50
40 min.
25 min.
25-100
25 min.
25 min.
30-150
30-150
40 min.
25 min.
15-150
10-50
25-100
25 min.
25 min.
30-150
30-150
40 min.
25 min.
20-80
25 min.
15min.
15-150
10-50
15-150
15-150
10-50
15-150
40 min.
25 min.
15min.
3
3
1
3
0.2
1
1.5
2
3
2.5
2.5
0.2
1
1
3
1.5
2
3
2
2
0.2
1
1.5
2
3
1
3
1.5
1
3
1.5
0.2
1
3
4
4
4
4
4
4
2
2
2
4
4
4
4
4
4
2
2
2
4
4
4
4
2
2
2
4
4
4
4
4
4
4
4
2
1
1
0.7
1.2
0.7
1.2
0.6
0.8
1
1
1
0.7
1.2
0.7
1.2
0.6
0.8
1
1
1
0.7
1.2
0.6
0.8
1
0.7
1.2
1.2
0.7
1.2
1.2
0.7
1.2
1
3
3
1
3
1
3
1.5
2
3
2.5
2.5
1
3
1
3
1.5
2
3
2
2
1
3
1.5
2
3
1
3
1.5
1
3
1.5
1
3
3
0.3
0.3
0.125
0.375
0.2
0.6
0.15
0.2
0.3
0.25
0.25
0.2
0.6
0.125
0.375
0.15
0.2
0.3
0.2
0.2
0.2
0.6
0.15
0.2
0.3
0.125
0.375
0.15
0.125
0.375
0.15
0.2
0.6
0.3
25-150
25-150
1
1
4
4
1
1
1
1
0.1
0.1
8
4
TO-220AB
TO-220M
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220M
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TD-220AB
TO-220AB
TO-220AB
TD-220AB
TO-220M
TO-220AB
TO-220AB
TD-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
TO-220AB
50Wmax
140
160
50
50
0 VCEX(sus)
145
0.8
TO-220AB
TO-220AB
Bipolar Power Transistors
General Purpose
(Continued)
VCE(sat)-V
hFE
Type No.
VCEO(sUS) VCEV(sUS)
V
V
2N6488 FAMILY (n-p-n)
2N6486
T1P41
B0243
2N6487
TIP41A
B0243A
2N6488
T1P41B
B0243B
T1P41C
B0243C
40
40
45
60
60
60
80
SO
SO
100
100
75Wmax
500
-
55*
700
-
70*
900
-
90*
115*
2N6491 FAMILY (p-n-p)
2N6132
2N6489
T1P42
B0244
B0500
2N6133
2N6490
B0244A
T1P42A
2N6134
2N6491
B0500B
B0244B
B0244C
T1P42B
T1P42C
* VCER(SUS)
-40
-40
-40
-45
-50
-60
-60
-60
-60
-60
-80
-80
-80
-100
-80
-100
0 VCEX(SUS)
PT
W
75
65
65
75
65
65
75
65
65
65
65
75Wmax
-40-500
-
-55*
-55*
-60-700
-70*
-
-SO-900
-85*
-90*
-115*
-
-
50
75
65
65
75
50
75
65
65
50
75
75
65
65
65
65
IC
A
VCE
V
IC
A
18
A
fT(TyP)
MHz
JEDEC
Package
5
6
6
5
6
6
5
6
6
6
6
0.5
0.6
1
0.5
0.6
1
0.5
0.6
1
0.6
1
8
TO-220AB
-7
-5
-6
-6
-5
-7
-5
-6
-6
-7
-5
-3.5
-6
-6
-6
-6
-3
-0.5
-0.6
-1
-0.5
-3
-0.5
-1
-0.6
-3
-0.5
-0.35
-1
-1
-0.6
-0.6
8
TO-220AB
Complementary to 2N6491 Family
20-150
15-150
30 min.
20-150
15-150
30 min.
20-150
15-150
30 min.
15-150
30 min.
5
3
0.3
5
3
0.3
5
3
0.3
3
0.3
4
4
4
4
4
4
4
4
4
4
4
1.3
2
1.5
1.3
2
1.5
1.3
2
1.5
2
1.5
Complementary to 2N6488 Family
7 min.
20-150
15-150
30 min.
15-90
7 min.
20-150
30 min.
15-150
7 min.
20-150
15-90
30 min.
30 min.
15-150
15-150
-7
-5
-3
-0.3
-5
-7
-5
-0.3
-3
-7
-5
-3.5
-0.3
-0.3
-3
-3
- VCBO
146
-4
-4
-4
-4
-4
-4
-4
-4
-4
-4
-4
-4
-4
-4
-4
-4
-1.4
-1.3
-2
-1.5
-1
-1.4
-1.3
-1.5
-2
-1.8
-1.3
-1
-1.5
-1.5
-2
-2
Bipolar Power Transistors
General Purpose
(Continued)
VCE(sat)-V
hFE
Type No.
VCEO(sus) VCES(sUS)
V
V
-
0400 FAMILY (n-p-n)
04001
04002
04004
04005
04007
04008
30
30
45
45
60
60
45
45
60
60
75
75
040E FAMILY (n-p-n)
040E1
040E5
30
60
45
70
0410 FAMILY (p-n-p)
04101
04102
04104
04105
04107
04108
-30
-30
-45
-45
-60
-60
-45
-45
-60
-60
-75
-75
-30
-60
-80
30
30
30
45
45
45
60
60
60
80
80
80
10min.
20 min.
10min.
10min.
10min.
10min.
1
1
1
1
1
1
2
2
2
2
2
2
Complementary to 041 E Family
8
8
10min.
10min.
-
Complementary to 0400 Family
10mln.
20 min.
10min.
10 min.
10 min.
10min.
1
1
-1
-1
-1
-1
-1
-1
2
2
-2
-2
-2
-2
-2
-2
-45
-70
-90
8
8
8
10min.
10min.
10min.
40
40
40
55
55
55
70
70
70
90
90
90
12.5
12.5
12.5
12.5
12.5
12.5
12.5
12.5
12.5
12.5
12.5
12.5
-
IS
A
0.5
0.5
0.5
0.5
1
1
0.5
0.5
0.5
0.5
0.5
0.5
0.05
0.05
0.05
0.05
0.05
0.05
1
1
1
1
0.1
0.1
-0.5
-0.5
-0.5
-0.5
-1
-1
-0.5
-0.5
-0.5
-0.5
-0.5
-0.5
-0.05
-0.05
-0.05
-0.05
-0.05
-0.05
-1
-1
-1
-1
-1
-1
-0.1
-0.1
-0.1
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
1
1
1
1
1
1
1
1
1
1
1
1
0.1
0.05
0.05
0.1
0.05
0.05
0.1
0.05
0.05
0.1
0.05
0.05
fT(Typ)
MHz
JEOEC
Package
200
TO-202
230
TO-202
150
TO-202
175
TO-202
50
TO-202
Complementary to 0410 Family
6.25
6.25
6.25
6.25
6.25
6.25
IC
A
VCE
V
Complementary to 040E Family
042C FAMILY (n-p-n)
042C1
042C2
042C3
042C4
042C5
042C6
042C7
042C8
042C9
042C10
042C11
042C12
6.25
6.25
6.25
6.25
6.25
6.25
IC
A
-
041 E FAMILY (p-n-p)
041E1
041E5
041E7
PT
W
-1
-1
-1
-2
-2
-2
Complementary to 043C Family
10 min.
20 min.
20 min.
10 min.
20 min.
20 min.
10 min.
20 min.
20 min.
10 min.
20 min.
20 min.
1
2
2
1
2
2
1
2
2
1
2
2
147
1
1
1
1
1
1
1
1
1
1
1
1
Bipolar Power Transistors
General Purpose
(Continued)
VCE(sat)-V
hFE
Type No.
VCEO(SUS) VCES(SUS)
V
V
-
043C FAMILY (p-n-p)
043C1
043C2
043C3
043C4
043C5
043C6
043C7
043C8
043C9
043C10
043C11
043C12
-30
-30
-30
-45
-45
-45
-60
-60
-60
-80
-80
-80
-40
-40
-40
-55
-55
-55
-70
-70
-70
-90
-90
-90
12.5
12.5
12.5
12.5
12.5
12.5
12.5
12.5
12.5
12.5
12.5
12.5
40
40
40
55
55
55
70
70
70
90
90
90
30
30
-
044C FAMILY (n-p-n)
044C1
044C2
044C3
044C4
044C5
044C6
044C7
044C8
044C9
044C10
044C11
044C12
30
30
30
45
45
45
60
60
60
80
80
80
045C FAMILY (p-n-p)
045C1
045C2
045C3
045C4
045C5
045C6
045C7
045C8
045C9
045C10
045C11
045C12
-30
-30
-30
-45
-45
-45
-60
-60
-60
-80
-80
-80
-40
-40
-40
-55
-55
-55
-70
-70
-70
-90
-90
-90
044H FAMILY (n-p-n)
044H1
044H2
044H4
044H5
044H7
044H8
044H10
044H11
30
30
45
45
60
60
80
80
PT
W
30
30
45
45
60
60
80
80
30
30
30
30
30
30
30
30
30
30
IC
A
IC
A
IB
A
-0.5
-0.5
-0.5
-0.5
-0.5
-0.5
-0.5
-0.5
-0.5
-0.5
-0.5
-0.5
-1
-1
-1
-1
-1
-1
-1
-1
-1
-1
-1
-1
-0.1
-0.05
-0.05
-0.1
-0.05
-0.05
-0.1
-0.05
-0.05
-0.1
-0.05
-0.05
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
1
1
1
1
1
1
1
1
1
1
1
1
0.1
0.05
0.05
0.1
0.05
0.05
0.1
0.05
0.05
0.1
0.05
0.05
-0.5
-0.5
-0.5
-0.5
-0.5
-0.5
-0.5
-0.5
-0.5
-0.5
-0.5
-0.5
-1
-1
-1
-1
-1
-1
-1
-1
-1
-1
-1
-1
-0.1
-0.05
-0.05
-0.1
-0.05
-0.05
-0.1
-0.05
-0.05
-0.1
-0.05
-0.05
1
1
1
1
1
1
1
1
8
8
8
8
8
8
8
8
0.8
0.4
0.8
0.4
0.8
0.4
0.8
0.4
VCE
V
tr(Typ)
MHz
JEOEC
Package
40
TO-202
50
TO-220AB
40
TO-220AB
50
TO-220AB
Complementary to 042C Family
10 min.
20 min.
20 min.
10 min.
20 min.
20 min.
10 min.
20 min.
20 min.
10 min.
20 min.
20 min.
-1
-2
-2
-1
-2
-2
-1
-2
-2
-1
-2
-2
-1
-1
-1
-1
-1
-1
-1
-1
-1
-1
-1
-1
Complementary to 045C Family
10 min.
20 min.
20 min.
10 min.
20 min.
20 min.
10 min.
20 min.
20 min.
10 min.
20 min.
20 min.
1
2
2
1
2
2
1
2
2
1
2
2
1
1
1
1
1
1
1
1
1
1
1
1
-
Complementary to 044C Family
30
30
30
30
30
30
30
30
30
30
30
30
10 min.
20 min.
20 min.
10 min.
20 min.
20 min.
10 min.
20 min.
20 min.
10 min.
20 min.
20 min.
-1
-1
-2
-1
-1
-2
-1
-1
-2
-1
-1
-2
-1
-1
-1
-1
-1
-1
-1
-1
-1
-1
-1
-1
-
Complementary to 045H Family
50
50
50
50
50
50
50
50
20 min.
40 min.
20 min.
40 min.
20 min.
40 min.
20 min.
40 min.
4
4
4
4
4
4
4
4
148
1
1
1
1
1
1
1
1
Bipolar Power Transistors
General Purpose
(Continued)
VCE(sat)-V
hFE
Type No.
VCEO(sUS) VCEV(SUS)
V
V
-30
-30
-45
-45
-60
-60
-80
-80
-30
-30
-45
-45
-60
-60
-80
-80
RCA8638 FAMILY (n-p-n)
B0751
B0751B
RCA8638E
2N5629
B0550
B0751A
RCA86380
2N5630
B0751C
RCA3773
MJ15001
MJ15003
2N5631
RCA8638C
90
100
100
100
100
120
120
120
130
140
140
140
140
140
-90
-100
-100
-120
-120
-130
-140
-140
-140
-140
-
130*
130
130*
-
140
150*
-
150*
-
-
* VCER(SUS)
200
200
250
250
IC
A
Ie
A
8
8
8
8
8
8
8
8
0.8
0.4
0.8
0.4
0.8
0.4
0.8
0.4
7.5
7.5
7.5
10
4
5
5
10
5
8
4
5
10
5
0.75
0.75
0.75
1
0.5
0.5
0.5
1
0.5
0.8
0.4
0.5
1
0.5
fT(Typ)
MHz
JEOEC
Package
40
TO-220AB
4
TO-204AN
TO-3
4
TO-204AN
TO-3
7
TO-204AN
TO-3
Complementary to 044H Family
20 min.
40 min.
20 min.
40 min.
20 min.
40 min.
20 min.
40 min.
4
4
4
4
4
4
4
4
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
200
250
200
200
150
200
200
200
250
150
200
250
200
200
15-60
15-60
25-100
25-100
15-75
25-100
25-150
20-80
25-100
15-60
25-150
25-150
15-60
25-150
7.5
7.5
10
8
4
5
5
8
5
8
4
5
8
5
2
2
2
2
4
2
2
2
2
4
2
2
2
2
1.5
1.5
0.8
1
2
1
1
1
1
1.4
1
1
1
1
250Wmax Complementary to RCA8638 Family
-100
-110*
-110
-130
-130*
-140
-1600
-
-150*
-150*
RCA 9166 FAMILY (n-p-n)
RCA9166B
MJ15022
RCA9166A
MJ15024
50
50
50
50
50
50
50
50
VCE
V
250Wmax Complementary to RCA9116 Family
100*
110
110*
RCA9116 FAMILY (p-n-p)
B0750
RCA9116E
B0750B
B0750A
RCA91160
B0750C
2N6609
MJ15002
MJ15004
RCA9116C
W
-
045H FAMILY (p-n-p)
045H1
045H2
045H4
045H5
045H7
045H8
045H10
045H11
PT
IC
A
200
200
250
200
200
250
150
200
250
200
15-60
25-100
15-60
25-100
25-150
25-100
15-60
25-150
25-150
25-150
-7.5
-7.5
-7.5
-5
-5
-5
-8
-4
-5
-5
-2
-2
-2
-2
-2
-2
-4
-2
-2
-2
-1.5
-0.8
-1.5
-1
-1
-1
-1.4
-1
-1
-1
-7.5
-7.5
-7.5
-5
-5
-5
-8
-4
-5
-5
-0.75
-0.75
-0.75
-0.5
-0.5
-0.5
-0.8
-0.4
-0.5
-0.5
30min.
15-60
30min.
15-60
3
8
3
8
4
4
4
4
1
1.4
1
1.4
3
8
3
8
0.3
0.8
0.3
0.8
250Wmax
225*
225*
275*
275*
250
250
250
250
0 VCEX(SUS)
149
Bipolar Power Transistors
High-Speed Switching
VCE(sat)-V
hFEatVCE=10V
Type No.
P-r
VCEO(sus) VCER(sus)
V
V
W
7W
max
2N21 02 FAMILY (n-p-n)
-
2N697
2N1613
2N3053
2N2270
40407
2N3053A
2N699
2N2102
2N1893
40408
2N2405
, - measured
50
50
50
60
40
45
50
60
-
70
-
ao
65
80
@
2
3
5
5
1
5
2
5
3
1
5
60
100
90
-
90
140
VCE = 10V. IC = 1rnA
Current-mA
0.01
0.1
10
50
150
-
-
20 min.
-
'40-200
-
-
35 min.
2N3879 FAMILY (n-p-n)
2N3878
2N5202
2N3879*
2N65oo
50
50
75
90
10 min. 20 min. 35 min.
20 min. 35 min.
·40-200
35 min.
-
-
@
2N4036 FAMILY (p-n-p)
40319
2N4037
40362
2N4036
2N4314
40406
-60*
-70*
-85*
-85*
-
-65
-65
-50
-
2N5038 FAMILY (n-p-n)
BDY92
BOY55
2N5039*
BOY91
2N5038*
BOY90
2N6496
2N6354
BOY56
BUX40A
60
60
75
80
90
100
110
120
120
125
2N5320 FAMILY (n-p-n)
2N5321
2N5320
"'JAN types available
W
35
35
35
35
40-200
10-100
20-80
15-60
40
117
140
40
140
40
140
140
117
120
75**
100··
t
ton units are ns
-
1.5
1.5
1.4
0.9
150
150
150
150
15
15
15
15
-
50-250
0.3
5
0.5
5
150
150
150
150
-
-
15
15
15
15
0.5
150
15
-
-
40-120 25min.
40-120
-
60-200
-
-
-
-
-
30t
30t
-
-
-
~o-205AD
TO-39
-
-
VCE
V
IC
A
Ie
A
tr
I's
tf
I'S
4
4
4
3
0.4
0.4
0.4
0.3
-
-
0.4
0.4
0.4
0.4
0.4
0.5
-
-
JEOEC
Package
0.5
4
4
3
2
1.2
5
2
2
1.2
1.2
1.5
TO-213AA/
TO-66
Complementary to 2N21 02 Family; fT = 60MHz min.
5
7
5
7
7
1
35-200
50-250
35-200
40-140
50-250
30-200
-50m
-150m
-50m
-150m
-150m
-0.1m
-4
-10
-4
-10
-10
-10
-1.4
-1.4
-1.4
-0.65
-1.4
5
4
5
5
5
5
2
2
4
4
0.5
1.1
1
0.5
1
0.5
1
1
1.1
1.2
-
-150m
-150m
-150m
-150m
-150m
-
-15m
-15m
-15m
-15m
-15m
-
0.07
-
-
0.1
TO-205AOI
TO-39
-
-
fT to 80M Hz min.
30-120
20-70
20-100
30-120
,20-100
30-120
12-100
10-100
20-70
15-80
10Wmax
50
75
-
JEOEC
Package
fT to 60MHz min.
140Wmax
80
100
120
100
150
120
130*
130
150
150*
tf
1'.
VCE(sat)-V
IC
A
7Wmax
-
-40
-40
tr
I's
VCE - 4V,IC = 10mA
P-r
35Wmax
65*
75*
90*
110*
Ie
mA
40-120
40-120 20 min.
50-250
50-200
hFE
Type No.
IC
mA
Complementary to 2N4036 Family; fT = 120MHz min.
• - measured
VCEO(SUS) VCEX(SUS)
V
V
500
10
10
:# toft units are ns
5
4
10
5
12
5
8
10
4
10
5
4
10
5
12
5
8
10
4
10
0.5
0.4
1
0.5
1.2
0.5
0.8
1
0.4
1
-
TO-204AA/
TO-3
0.5
0.3
0.5
1.2
0.2
2
0.5
0.2
0.5
0.2
0.5
0.2
2
0.4
aot
aot
800#
800
TO-205AOI
TO-39
0.5
0.5
-
0.5
-
Complementary to 2N5322 Family; fT = 50MHz min.
40-250
30-130
m
500m
500m
= mA value
4
4
*
150
VCER(sus)
0.8
0.5
500m
500m
** VCEV(sus)
50m
50m
Bipolar Power Transistors
High-Speed Switching
(Continued)
VCE(sal)-V
hFE
Type No.
VCEO(SUS) VCEX(SUS)
V
V
2N5322 FAMILY (p-n-p)
2N5323
2N5322
-50
-75
35Wmax
-75**
-100"
2N5671 FAMILY (n-p-n)
2N5671'
BUX39
2N5672'
eUX10A
BUX20A
90
90
120
125
125
90
120
10
10
175Wmax
120
120
150
160
140:1:
2N6033 FAMILY (n-p-n)
2N6032'
2N6033'
PT
W
140
120
140
150
140
140Wmax
120
150
140
140
IC
A
IC
A
VCE
V
Ie
A
I.
lis
If
lis
JEDEC
Package
Complemenlary 10 2N5320 Family; fT 10 60MHz min.
40-250
30-130
-500m
-500m
1000# TO-205ADI
1000#
TO-39
-4
-4
-1.2
-0.7
-500m
-500m
-50m
-50m
100t
100t
2
4
2
2
2
0.75
0.8
1.2
2.5
1.2
2
2
0.5-
0.75
15
20
15
20
20
0.7-
0.5
0.3
0.5
0.2
0.5
50
40
2.6
2
1.3
1
50
40
5
4
1
1
0.5
0.5
25
25
25
10
25
8
20
12
2.5
2.5
2
4
2
2
2
2
1.8
1.8
1.5
1.4
1.5
2.5
2.5
2.5
1
2.5
0.8
2
1.2
0.3
0.3
0.35
10.35
10.35
0.6
25
25
25
10
25
8
20
12
1.2-
0.8
0.8
0.8
0.8
0.7
0.7
5
5
5
5
4
4
0.5
0.5
0.5
0.5
0.4
0.4
0.25
0.25
0.25
0.25
0.25
0.25
8
8
8
8
0.4
0.4
0.4
0.4
0.25
0.25
0.25
0.25
0.18
0.18
0.18
0.18
0.6
0.6
0.6
0.6
6
6
6
6
0.3
0.3
0.3
0.3
0.25
0.25
0.25
0.25
0.075
0.075
0.075
0.075
- ION
- IOFF
IT 10 50MHz min.
20-100
15-45
20-100
20-60
20-60
15
12
15
10
20
1.6
1.5
1.5-
0.51.5-
TO-204AA1
TO-3
IT 10 50MHz min.
10-50
10-50
TO-204AEI
TO-3
2N6688 SwllchMax FAMILY (n-p-n) 200Wmax
RCA6340
RCA6341
2N6686@
BDY58R
2N6687@
BUX11A
2N6688@
BUX21
140
150
160
160
180
190
200
200
260
250"
280
250"
300
250"
2N6704 SwllchMax FAMILY (n-p-n)
2N6702
eUW64A
2N6703
BUW64B
2N6704
BUW64C
140
140
200
250
50Wmax
20-60
15min.
20-60
15min.
20-60
0.6
1.5
160
160
130
130
180
50
65
80
100
83
83
83
83
-
Complemenlary 10 D45VM Family
·50
70
80
100
50
50
20 min.
20 min.
20 min.
20 min.
180
30
-
45
60
60
30
45
60
80
, JAN Iype. available m = mA value
50
50
it IOFF. unfts are ns
0.25
0.25
0.25
2-
TO-204AA1
0.25
TO-3
0.4
0.25
0.4
IT 10 50MHz min.
50
50
50
50
50
50
D44VM FAMILY (n-p-n)
D44VM1
D44VM4
D44VM7
D44VM10
175
200
200
12min.
12min.
15min.
90
90
110
110
D44VH FAMILY (n-p-n)
D44VH1
D44VH4
D44VH7
D44VH10
200
200
200
20
20
20
20
20
20
5
5
5
5
4
4
2
2
2
2
2
2
0.5
0.5
0.5
0.5
TO-220AB
Plastic
Package
0.5
0.5
Complemenlary 10 D45VH Family
20 min.
20 min.
20 min.
20 min.
t
4
4
4
4
6
6
6
6
1
1
1
1
1
1
1
1
VCER(sus) •• VCEvisus)
151
0.4
0.4
0.4
0.4
t
ION. unfts are ns
TO-220AB
Plastic
Package
TO-220AB
@ SwftchMax Iypos
Bipolar Power Transistors
High-Speed Switching
(Continued)
VCE(sat)-V
hFE
Type No.
VCEO(SUS) VCEX(sus)
V
V
-
045VH FAMILY (p-n-p)
D45VH1
D45VH4
D45VH7
D45VH10
-30
-45
-60
-80
-30
-45
-60
-80
-50
-50
• tON values
-1
-1
-1
-1
-1
-1
-1
-1
-
Complementary to 044VM Family
50
50
50
50
20min.
20 min.
20 min.
20min.
-
Complementary to 073 Family
60*
60*
20
20
30min.
30min.
-60*
-60'
RJH6688 SwitchMax FAMILY (n-p-n)
RJH6686
RJH6687
RJH6688
-4
-4
-4
-4
-50
-70
-80
-100
073 FAMILY (p-n-p)
160
180
200
210
230
250
JEOEC
Package
IC
A
Ie
A
tr
Jls
tf
Jls
-8
-8
-8
-8
-0.8
-0.8
-0.8
-0.8
0.25
0.25
0.25
0.25
0.1
0.1
0.1
0.1
-6
-6
-6
-6
-0.3
-0.3
-0.3
-0.3
0.25
0.25
0.25
0.25
0.075
0.075
0.075
0.075
3
3
0.15
0.15
0.10.1-
0.1
0.1
TO-251/2
TO-251/2
Complementary to 044VH Family
20min.
20min.
20 min.
20 min.
50
50
D73F5T1
D73F5T2
VCE
V
83
83
83
83
072 FAMILY (n-p-n)
D72F5T1
D72F5T2
IC
A
-50
-70
-80
-100
045VM FAMILY (p-n-p)
D45VM1
D45VM4
D45VM7
D45VM10
PT
W
-1
-1
-1
-1
-6
-6
-6
-6
1
1
3
3
-0.6
-0.6
-0.6
-0.6
0.4
0.4
-
Complementary to 072 Family
20
20
30min.
30min.
-3
-3
-1
-1
-0.4
-0.4
-3
-3
-0.15
-0.15
0.10.1-
0.1
0.1
15min.
15min.
15min.
25
25
20
2
2
2
1.5
1.5
1.5
25
25
20
2.5
2.5
2
0.6
0.6
0.6
0.8
0.8
0.8
TO-220AB
TO-220AB
200
200
200
TO-218AC
·VCBO
High-Voltage
VCE(sat)-V
hFE
Type No.
VCEO(SUS) VCEV(SUS)
V
V
2N3439 FAMILY (n-p-n)
40346
40346V1
40412
40321
40327
2N3440*
2N4064D
2N3439*
2N4063-
250
250
350
350
10Wmax
175t
175t
250t
300t
300;
300t
400t
-
2N3585 FAMILY (n-p-n)
BUX67
2N3583
2N3584*
40318
40322
BUX67A
BUX67B
2N3585'
2N4240
BUX67C
'JAN types available
175
250
-
-
-
300
300
-
PT
W
10
10
10
5
5
10
10
10
10
40Wmax
200
250t
300;
300t
300;
300
350
400;
400t
400
:j: VeER(sus)
35
35
35
35
35
35
35
35
35
35
IC
A
IC
A
Ie
A
0.001
0.001
0.001
-
0.01
0.Q1
0.01
-
0.5
0.5
0.5
0.5
-
-
0.05
0.05
0.05
0.05
0.004
0.004
0.004
0.004
2.5
5
0.75
1
1
1
0.15
0.125
0.125
-
-
-
2.5
2.5
0.75
1
2.5
1
1
1
0.75
1
0.15
0.15
0.125
0.075
0.15
VCE
V
fT(Typ)
MHz
JEDEC
Package
25
TO-205AD/
TO-39
25
TP-213AN
TO-66
Complementary to 2N5415 Family
25min.
25min.
40 min.
25-200
40-250
40-160
40-160
40-160
40-160
0.Q1
0.Q1
0.03
0.02
0.02
0.02
0.02
0.02
0.02
10
10
20
10
10
10
10
10
10
0.5
0.5
0.5
Complementary to 2N6213 Family
10-150
40 min.
40 min.
50min.
75min.
10-150
10-150
40min.
30-150
10-150
• 2N4063 - 2N3439 with flange
1
0.1
0.1
0.5
0.5
1
1
0.1
1
1
5
10
10
10
10
5
5
10
10
5
0 2N4064 - 2N3440with flange
152
-
Bipolar Power Transistors
High-Voltage
(Continued)
VCE(sat)-V
hFE
Type No.
VCEO(SUS) VCEV(SUS)
V
V
2N5240 FAMILY (n-p-n)
BUX16
B0550B
2N5239
2N5838
BUX16A
2N5839
2N5240
BUX16B
2N5840
BUX16C
RCA1B04
RCA1B05
2N5415 FAMILY (p-n-p)
BFT28
BFT19
BFT28A
BFT28B
2N5415
BFT19A
BFT28C
2N5416
BFT19B
-100
-150
-150
-200
-200
-250
-250
-300
-350
250
275
350
-150;
-200;
-200;
-250;
-
-300;
-300;
-350;
-400;
2750
3000
3750
-150
-175
-225
-250
-250
-300
-300
-300
-300
-350
-350
-400
200
275
350
"JAN types available
-275
-300
-350
-350
-
-400
-400
-450
0.4
2
2
3
0.4
2
2
0.4
2
0.4
2
2
10
4
10
2
10
3
10
10
3
10
5
5
IC
A
Is
A
2
2
2
3
2
2
2
2
2
2
2
2
0.25
0.25
0.25
0.375
0.25
0.2
0.25
0.25
0.2
0.25
0.255
0.255
tr(Typ)
MHz
JEDEC
Package
15-130
10-50
20-80
8-40
15-130
10-50
20-80
15-130
10-50
15-130
15-75
15-75
2.5
2
2.5
1
2.5
1.5
2.5
2.5
1.5
2.5
2
2
5
TO-204AN
TO-3
Complementary to 2N3439 Family
20 min.
20 min.
20 min.
20min.
30-150
20 min.
20 min.
30-120
20 min.
-10
-50
-10
-10
-50
-50
-10
-50
-50
-10
-10
-10
-10
-10
-10
-10
-10
-10
-0.6
-2.5
-0.6
-5
-2.5
-2.5
-5
-2
-2.5
-10
-30
-10
-10
-50
-30
-10
-50
-30
-1
-3
-1
-1
-5
-3
-1
-5
-3
35
TO-205ADI
TO-39
45
45
45
12-70
12-70
12-50
1.2
1.2
1.2
1
1
1
0.5
0.5
0.5
1.2
1.2
1.2
0.2
0.2
0.2
7
TO-213AN
TO-66
35
20
35
35
20
35
35
20
20
35
35
35
30
TO-213AN
TO-66
6
TO-204AN
TO-3
Complementary to 2N3585 Family
10-150
40-200
10-100
10-150
25-100
10-100
10-150
25-100
30-150
10-100
10-150
10-100
-1
-0.5
-1
-1
-1
-1
-1
-1
-0.75
-1
-1
-1
-5
-10
-2.8
-5
-10
-3.2
-5
-10
-10
-4
-5
-5
-2.5
-5
-1.4
-2.5
-0.75
-1.6
-2.5
-0.75
-1
-2
-2.5
-2.5
-1
-1
-1
-1
-1
-1
-1
-1
-0.75
-1
-1
-1
-0.15
-0.125
-0.125
-0.15
-0.125
-0.125
-0.15
-0.125
-0.075
-0.125
-0.15
-0.125
10-50
8-50
6-50
10
10
10
3
3
3
1.5
1.5
1.5
10
10
10
1
1.25
1.67
175Wmax
225
300
375
*
5
5
5
5
10
5
5
10
5
35Wmax
-200
2N6251 FAMILY (n-p-n)
2N6249
2N6250
2N6251
VCE
V
45Wmax
2N6213 FAMILY (p-n-p)
BUX66
2N6420
2N6211*
BUX66A
2N6421
2N6212*
BUX66B
2N6422
2N6423
2N6213*
BUX66C
2N6214
100
150
100
100
100
100
100
100
100
100
150
150
10Wmax
2N6079 FAMILY (n-p-n)
2N6078
2N6077
2N6079
IC
A
150Wmax
250
275;
250;
275
325
300
350;
375
375
425
225
275
200
250
225
250
250
275
300
300
350
350
200
250
PT
W
VCER(sus)
175
175
175
0 VCEX(SUS)
153
Bipolar Power Transistors
High-Voltage
(Continued)
VCE(sat)-V
hFE
Type No.
VCEO(sus) VCEV(sus)
V
V
2N6673 SwltchMax FAMILY (n-p-n)
BUX42
2N6542
2N6544
2N6671-*
BUX43
2N66722N6673-*
BUX14
BUX44
250
300
300
300
325
350
400
400
400
3000
650
650
450
4000
550
650
4500
4500
2N6678 Switch Max FAMILY (n-p-n)
BUX17
BUX17A
BUX12
BUX17B
2N6546
2N6674-*
2N6676-*
TIP562
BUX13
BUX17C
2N6677TlP563
2N6547
2N6675-*
2N6678-*
150
250
250
300
300
300
300
300
325
350
350
400
400
400
400
2500
3500
3000
4000
650
450
450
4000
4500
550
4500
650
650
2N6740 Switch Max FAMILY (n-p-n)
2N6738BUW412N6739BUW41A2N6740BUW41B-
300
300
350
350
400
400
450
450
550
550
650
650
2N6754 Switch Max FAMILY (n-p-n)
BUY69C
BUY69B
2N6751BUX32BUY69A
2N6545
BUX31
BUX47
2N6752BUX32ABUX31A
2N6753BUX45
2N6754BUX32BBUX15
BUX31B
200
325
400
400
400
400
400
400
4SO
450
450
SOO
SOO
SOO
SOO
SOO
SOO
'JAN types available
5000
8000
800
800
10000
850
800
8500
850
900
900
900
5000
1000
1000
5000
1000
PT
W
IC
A
VCE
V
15-45
12-60
12-60
10min.
15-60
10min.
10min.
15-60
15-45
4
1.5
2.5
5
3
5
5
3
2
4
2
3
3
4
3
3
4
4
7min.
7min.
10min.
7min.
12-60
8-20
8min.
20 min.
8min.
7 min.
8 min.
20 min.
6-30
8-20
8 min.
10
10
10
8
5
10
15
1
8
8
15
1
10
10
15
3
3
4
3
2
2
3
4
4
3
3
4
2
2
3
10-40
10-40
10-40
10-40
10-40
10-40
5
5
5
5
5
3
15
15
8-40
8-40
15
12-60
8-40
8-40
8-40
8-40
8-40
14-45
8-40
8-40
8 min.
8-40
IC
A
IS
A
fT(Typ)
MHz
JEDEC
Package
1.2
1
1.5
1
1.6
1
1
1.5
1
4
3
5
5
5
5
5
6
2
0.4
0.6
1
1
1
1
1
1.2
0.2
20
TO-204AN
TO-3
2
2
1.5
3
1.5
1
1
2
1.5
3
1
2
1.5
1
1
10
10
10
8
10
10
15
15
8
8
15
15
10
10
15
2
2
1.25
1.5
2
2
3
5
1.6
1.5
3
5
2
2
3
20
TO-204AN
TO-3
30
TO-220AB
20
TO-204AN
TO-3
150Wmax
120
100
125
150
120
150
150
150
120
175Wmax
150
150
150
150
175
175
175
100
150
150
175
100
100
175
175
100Wmax
100
100
100
100
100
100
1
5
1
-
-
-
-
3
1
5
1
-
-
-
-
3
1
5
1
-
-
-
-
2.5
2.5
5
6
2.5
2.5
4
10
10
3
3
10
3
3
5
6
4
5
1
5
6
4
4
3
3
3
3
4
3
3
4
3
3.3
3.3
1
1
3.3
1.5
2
1.5
1
1
2
1
2
1
1
1
2
8
8
5
6
8
5
8
6
5
6
8
5
2
5
6
4
8
2.5
2.5
1
1.2
2.5
1
2
1.2
1
1.2
2
1
0.4
1
1.2
0.8
2
-
150Wmax
100
100
150
150
100
125
1SO
107
150
150
150
1SO
120
150
150
150
150
-
-
0 VCEX(sus) - SwitchMax transistor
154
-
Bipolar Power Transistors
High-Voltage
(Continued)
VCE(sal)-V
hFE
Type No.
VCEO(sus) VCEV(sUS)
V
V
2N6773 SwllchMax FAMILY (n-p-n)
2N67712N67722N6773TIP47
T1P48
TIP49
TIP50
BUW40
BUW40A
BUW40B
300
350
400
250
300
350
400
300
350
400
PT
W
IC
A
VCE
V
Ic
A
18
A
450
550
650
10-50
10-50
10-50
10min.
10min.
10min.
10min.
10-50
10-50
10-50
1
1
1
1
1
1
1
1
1
1
3
3
3
10
10
10
10
3
3
3
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
0.2
300*
300*
350*
9
9
9
20 min.
30 min.
20 min.
0.04
0.04
0.04
10
10
10
1
1
1
0.02
0.02
0.02
0.002
0.002
0.002
200*
250*
31.25
31.25
30 min.
30 min.
2
2
10
10
1
1
2
2
0.2
0.2
300*
300*
400*
400*
31.2
31.2
31.2
31.2
30min.
75 min.
30min.
75 min.
0.5
0.5
0.5
0.5
10
10
10
10
1
1
1
1
0.5
0.5
0.5
0.5
0.05
0.05
0.05
0.05
175
175
175
175
175
8-20
8 min.
8 min.
8-20
8 min.
10
15
15
10
15
2
3
3
2
3
1
1
1
1
1
10
15
15
10
15
2
3
3
2
3
-
-
JEDEC
Package
25
TO-22DAB
50 min.
TO-202
50
TO-220AB
45
TO-220AB
40Wmax
40
40
40
40
40
40
40
40
40
40
450
550
650
tr(Typ)
MHz
D40V FAMILY (n-p-n)
D40V1
D40V2
D40V3
250
250
300
044Q FAMILY (n-p-n)
04401
04403
125
175
D44T FAMILY (n-p-n)
044T1
044T2
044T3
044T4
250
250
300
300
RJH6614 SwllchMax FAMILY (n-p-n)
RJH6674RJH6616RJH66nRJH6675RJH6678-
300
300
350
400
400
450
450
550
650
650
TO-218AC
• SwHchMax transistor 'VCES
High-Speed, High-Voltage Switching
D44TD3
044TD4
044TD5
TO-220AB
155
Bipolar Power Transistors
High-Speed, High-Voltage Switching
(Continued)
VCE(sat)-V
hFE
Type No.
VCEO(SUS) VCEV(sus)
V
V
PT
W
D64VS FAMILY (n-p-n)
D64VS3
D64VS4
D64VS5
IC
A
VCE
V
IC
A
Ie
A
t-r(Typ)
MHz
JEDEC
Package
15
TO-204AN
TO-3
JEDEC Equivalent Is 2N6676, 77, 78
300
350
400
450
500
550
195
195
195
8 min.
8 min.
8 min.
15
15
15
2
2
2
1
1
1
15
15
15
2.5
2.5
2.5
600
650
700
750
75
80
75
80
8 min.
8 min.
8 min.
8 min.
2
3
2
3
5
5
5
5
0.6
1
0.6
1
2
3
2
3
0.5
0.6
0.5
0.6
650
750
850
850
125
125
175
135
8 min.
8 min.
5 min.
5 min.
10
10
15
15
3
3
5
5
1
1
3
3
10
10
10
10
2
2
1.3
1.3
650
750
850
850
850
850
175
175
175
135
250
250
8 min.
8 min.
5 min.
5 min.
5 min.
5 min.
10
10
15
15
20
20
3
3
5
5
5
5
1
1
3
3
3
3
10
10
10
10
15
15
2
2
1.3
1.3
2
2
MJE13000 FAMILY (n-p-n)
MJE13004
MJE13070
MJE13005
MJE13071
300
400
400
450
4 min.
-
TO-220AB
4min.
-
RJH6678 FAMILY (n-p-n)
MJH13090
MJH13091
MJH16010
MJH16012
400
450
450
450
TO-218AC
2N6678 FAMILY (n-p-n)
MJ13090
MJ13091
MJ16010
MJ16012
MJ16014
MJ16016
400
450
450
450
450
450
TO-204AN
TO-3
Darlington Power Transistors
hFE@
IC
A
VCEO
V
12
20
12
20
12
20
60
60
80
80
100
100
Type No.
2N6284 FAMILY (n-p-n)
2N6057
2N6282
2N6058
2N6283
2N6059
2N6284
-12
-20
-12
-20
-12
-20
VCE
V
PT
JEDEC
Package
3
4
3
4
3
4
150
160
150
160
150
160
TQ-204AN
TO-3
-3
-4
-3
-4
-3
-4
150
160
150
160
150
160
TO-204AN
TO-3
W
Complementary to 2N6287 Family
2N6287 FAMILY (p-n-p)
2N6050
2N6285
2N6051
2N6286
2N6052
2N6287
IC
A
750 min.
750 min.
750 min.
750 min.
750 min.
750 min.
6
10
6
10
6
10
Complementary to 2N6284 Family
-60
-60
-80
-80
-100
-100
-6
-10
-6
-10
-6
-10
750 min.
750 min.
750 min.
750 min.
750 min.
750 min.
156
Bipolar Power Transistors
Darlington Power Transistors
(Continued)
hFE
IC
A
Type No.
2N6385 FAMILY (n-p-n)
40
45
60
60
60
60
60
80
80
80
80
90
100
120
2N6388 FAMILY (n-p-n)
2N6386
BOX53
B0643
B0895,A
BOX33
BOX53A
B0645
BD897,A
2N6043
2N6387
BOX33A
TlP100
TIP120
2N6044
2N6388
BOX33B
TP101
TP121
BOX53B
B0847
B0899,A
2N6045
BOX33C
TlP102
TlP122
BOX53C
B0901
BOX330
VCE
V
.,.
W
JEOEC
Package
Complementary to 2N6650 Family
10
10
8
10
15
10
5
8
10
10
5
15
10
15
2N6383
BOX83
2N6055
2N6384
2N6576
BDX83A
RCA1000
2N6056
2N6385
BOX83B
RCA1001
2N6577
BOX83C
2N6578
IC
A
VCEO
V
5
5
4
5
4
5
3
4
5
5
3
4
5
4
1000 min.
1000 min.
750 min.
1000mln.
2000 min.
1000 min.
1000 min.
750 min.
1000 min.
1000 min.
1000 min.
2000 min.
1000 min.
2000 min.
3
3
3
3
3
3
3
3
3
3
3
3
3
3
100
125
100
100
120
125
90
100
100
125
90
120
125
120
TO-204AA/
TO-3
Complementary to 2N6666 Family
8
8
8
8
10
8
8
8
8
10
10
8
8
8
10
10
8
8
8
8
8
8
10
8
8
8
8
10
40
45
45
45
45
60
60
60
60
60
60
60
60
80
80
80
80
80
80
80
80
100
100
100
100
100
100
120
1000 min.
750 min.
750 min.
750 min.
750 min.
750 min.
750 min.
750 min.
1000 min.
1000 min.
750 min.
1000 min.
1000 min.
1000 min.
1000 min.
750 min.
1000min.
1000 min.
750 min.
750 min.
750 min.
1000 min.
750 min.
1000 min.
1000 min.
750 min.
750 min.
750 min.
3
3
3
3
4
3
3
3
4
5
4
3
3
4
5
3
3
3
3
3
3
4
3
3
3
3
3
3
3
3
3
3
3
3
3
3
4
3
3
4
3
4
3
3
4
3
3
3
3
4
3
4
3
3
3
3
65
60
62.5
70
70
60
62.5
70
75
65
70
80
65
75
65
70
80
65
60
62.5
70
75
70
80
65
60
70
70
80
100
100
120
1000-10,000
500-10,000
1000-10,000
1 000-1 0,000
5
3
5
3
3
3
3
3
65
65
65
65
,
TO-220AB
2N6530 FAMILY (n-p-n)
2N6530
2N6531
2N6532
2N6533
8
8
8
8
157
TO-220AB
Bipolar Power Transistors
Darlington Power Transistors
(Continued)
hFE
IC
A
Type No.
2N6650 FAMILY (p-n-p)
2N6648
2N6649
2N6650
VCE
V
Pr
W
JEOEC
Package
-3
-3
-3
70
70
70
TO-204AA/
TO-3
Complementary to 2N6358 Family
-10
-10
-10
-40
-60
-80
2N6668 FAMILY (p-n-p)
2N6666
BOX34
BOX34A
2N6667
TlP125
BOX34B
2N6668
TIP126
BOX34C
TlP127
BOX340
IC
A
VCEO
V
1 000-20,000
1000-20,000
1 000-20,000
-5
-5
-5
Complemenlary to 2N6388 Family
-8
-10
-10
-10
-8
-10
-10
-8
-10
-8
-10
-40
-45
-60
-60
-60
-80
-80
-80
-100
-100
-120
1000 min.
750 min.
750 min.
1000'min.
1000 min.
750 min.
1000 min.
1000 min.
750 min.
1000 min.
750 min.
-3
-4
-4
-5
-3
-3
-5
-3
-3
-3
-3
-3
-3
-3
-3
-3
-3
-3
-3
-3
-3
-3
65
70
70
65
65
70
65
65
70
65
70
TO-220AB
0.5
0.5
0.5
30
40
50
10000 min.
10000 min.
10000 min.
0.2
0.2
0.2
5
5
5
6.25
6.25
6.25
TO-202
2
2
2
2
30
30
50
50
5
5
5
5
10
10
10
10
-5
-5
-5
-5
10
10
10
10
2
2
2
30
30
30
TO-220AB
5
5
5
50
50
50
TO-220AB
-2
-2
-2
30
30
30
TO-220AB
040C FAMILY (n-p-n)
040C1
040C4
040C7
040K FAMILY (n-p-n)
040K1
040K3
040K2
040K4
Complementary to 041 K Family
041K FAMILY (p-n-p)
041K1
041K3
041K2
041K4
-2
-2
-2
-2
-30
-30
-50
-50
6
6
6
40
60
80
10
10
10
40
60
80
-6
-6
-6
-40
-60
-80
1000 min.
1000 min.
1000 min.
1000 min.
-1.5
-1
-1.5
-1
TO-202
2000 min.
2000 min.
2000 min.
1
1
1
Complementary to 045E Family
0450 FAMILY (p-n-p)
04501,2
04503,4
04505,6
TO-202
Complementary to 0450 Family
044E FAMILY (n-p-n)
044E1
044E2
044E3
1.5
1
1.5
1
Complementary to 040K Family
0440 FAMILY (n-p-n)
04401,2
04403,4
04405,6
1000 min.
1000 min.
1000 min.
1000 min.
1000 min.
1000 min.
1000 min.
5
5
5
Complementary to 0440 Family
-1
-1
-1
2000 min.
2000 min.
2000 min.
158
Bipolar Power Transistors
Darlington Power Transistors
(Continued)
hFE
Type No.
D4SEl
D45E2
D4SE3
I'T
-S
-S
-S
-S
-S
-S
SO
SO
SO
SO
SO
SO
S
S
S
180
180
180
TO-204AE/
TO-3
S
S
S
180
180
180
TO-204AE/
TO-3
O.lS
2
10
TO-2S1/2
3
3
2
2
lS
lS
TO-2S1/2
-2
-2
lS
lS
TO-2S1/2
VCEO
V
-10
-10
-10
-40
-60
-80
1000min.
1000min.
1000 min.
SO
SO
SO
400
4S0
SOO
SOmin.
SO min.
SOmin.
SO
SO
SO
400
4S0
SOO
SO min.
SOmin.
SOmin.
SO
SO
SO
1.S
30
4000 min.
D45E FAMILY (p-n-p)
JEDEC
Package
VCE
V
IC
A
IC
A
W
Complemenlary to D44E Family
TO-220AB
D64DV FAMILY (n-p-n)
D64DVS
D64DV6
D64DV7
D64EV FAMILY (n-p-n)
D64EVS
D64EV6
D64EV7
Devices have Integral Base Speed-up Diode
D72 FAMILY (n-p-n)
D72Yl.SD1,2
The Following are Complementary to the 073 Family Types:
D72K3Dl,2
D72FY4Dl,2
3
4
40
80
-3
-4
-40
-80
073 FAMILY (p-n-p)
D73K3Dl,2
D73FY4Dl,2
1000 min.
1000 min.
Complementary to 072 Famil),
-3
-3
1000 min.
1000 min.
159
Bipolar Power Transistors
Darlington Power Transistors
(Continued)
hFE
Type No.
IC
A
VCE
V
P,W
JEDEC
Package
Devices have Integral Base Speed-up Diode
GE10015 FAMILY (n-p-n)
GE10015
GE10016
GE10020
GE10021
GE10022
GE10023
IC
A
VCEO
V
400
500
200
250
350
400
25 min.
25 min.
75min.
75 min.
50 min.
50 min.
20
20
15
15
10
10
5
5
5
5
250
250
250
250
250
250
350
350
400
400
400
450
450
100min.
100min.
100min.
100min.
20 min.
100 min.
100 min.
6
4
6
4
15
6
4
3
3
3
3
5
3
3
150
150
150
150
35
150
150
4
4
4
4
4
300
350
400
250
300
750 min.
750 min.
750 min.
500 min.
500 min.
2
2
2
2
2
3
3
3
3
3
65
65
65
50
50
TO-220AB
Plastic
Package
50
50
50
50
60
80
100
120
3
3
3
3
300
300
300
300
TO-204AE/
TO-3
-50
-50
-50
-50
-60
-80
-100
-120
-3
-3
-3
-3
300
300
300
300
TO-204AE/
TO-3
4
4
4
50
50
50
TO-220AB
Plastic
Package
-4
-4
-4
50
50
TO-220AB
Plastic
Package
50
50
60
60
40
40
5
5
TO-204AE/
TO-3
RCA8766 FAMILY (n-p-n)
RCA8766
RCA8766A
RCA6766B
RCA8766C
BUX37
RCA8766D
RCA8766E
10
10
10
10
15
10
10
TO-204AN
TO-3
RCA9202, RCA9203 (n-p-n)
RCA9202A
RCA9202B
RCA9202C
RCA9203A
RCA9203B
RCA9228 (n-p-n)
RCA9228A
RCA9228B
RCA9228C
RCA9228D
Complementary to RCA9229 Family
RCA9229 (p-n-p)
RCA9229A
RCA9229B
RCA9229C
RCA9229D
-25
-25
-25
-25
2000 min.
2000 min.
2000 min.
2000 min.
Complementary to TIP115 Fa:nlly
2
2
2
60
80'
100
-2
-2
-2
-80
-80
-100
TlP115 (p-n-p)
TlP115
TlP116
TlP117
25
25
25
25
Complementary to RCA9228 Family
TIP110 (n-p-n)
TlP110
TIP111
TIP112
2000 min.
2000 min.
2000 min.
2000 min.
1000 min.
1000 min.
1000 min.
1
1
1
Complementary to TIP11 0 Family
-1
-1
-1
1000 min.
1000 min.
1000 min.
160
50
Discrete Transistors
Small-Signal Bipolar Transistors
(In Order of Ascending Ie)
NPN Signal Transistor Selector Guide
NPN Signal Transistor Selector Guide
IC
(Max.)
rnA
V(BR)CEO
(Min.)
V
Beta Range
hFE
Package
2N3390
2N2923
2N2924
2N2925
2N2926
100
100
100
100
100
18
25
25
25
25
400-800
115Typ.
155Typ.
215Typ.
35-70
2N2926-5
2N3391
2N3391A
2N3392
2N3393
100
100
100
100
100
25
25
25
25
25
2N3394
2N5172
MPS5172
PN5172
2N3858
100
100
100
100
100
2N3659
2N3660
2N5232
2N5232A
2N5249
2N5249A
2N3856A
2N3859A
MPS-LOl
2N4124
Type
IC
(Max.)
rnA
V(BR)CEO
(Min.)
V
Beta Range
hFE
Package
TO-98
TO-98
TO-96
TO-96
TO-98
GES2221
GES2222
MPS2222
PN2222
GES2221A
400
400
400
400
400
30
30
30
30
40
40-120
100-300
100-300
100-300
40-120
TO-92
TO-92
TO-92
TO-92
TO-92
235-470
250-500
250-500
150-300
90-160
TO-96
TO-96
TO-98
TO-96
TO-96
GES2222A
MPS2222A
PN2222A
2N3414
2N3415
400
400
400
500
500
40
40
40
25
25
100-300
100-300
100-300
75-225
180-540
TO-92
TO-92
TO-92
TO-96
TO-96
25
25
25
25
30
55-110
100-500
100-500
100-500
60-120
TO-96
TO-96
TO-92
TO-92
TO-98
GES3414
GES3415
• MPS-A12
500
500
500
25
25
20
75-225
160-540
20KMin.
TO-92
TO-92
TO-92
• MPS-A13
• MPS-A14
500
500
30
30
5KMin.
10KMin.
TO-92
TO-92
100
100
100
100
100
30
30
50
50
50
100-200
150-300
250-500
250-500
400-600
TO-96
TO-98
TO-98
TO-96
TO-96
2N4424
2N3416
2N3417
GES3416
GES3417
500
500
500
500
500
40
50
50
50
160-540
75-225
180-540
75-225
160-540
TO-92
TO-92
TO-92
TO-92
TO-92
100
100
100
150
200
50
60
60
120
25
400-800
60-120
100-200
50-300
120-360
TO-96
TO-96
TO-96
TO-92
TO-92
MPS-A05
MPS-A06
MPS-A43
MPS-A42
MPS6532
500
500
500
500
600
60
80
200
300
30
50 Min.
50 Min.
50-200
40 Min.
30 Min.
TO-92
TO-92
TO-92
TO-92
TO-92
2N4123
2N3903
2N3904
• 2N5305
• 2N5306
200
200
200
300
300
30
40
40
25
25
50-150
50-150
100-300
2K-20K
7K-70K
TO-92
TO-92
TO-92
TO-96
TO-96
2N4400
2N4401
MPS6531
GES5551
GES5810
600
600
600
600
750
40
40
40
160
25
50-150
100-300
40-120
60-250
60-200
TO-92
TO-92
TO-92
TO-92
TO-92
•
•
•
•
•
GES5305
GES5306
GES5306A
2N5306A
2N5307
300
300
300
300
300
25
25
25
40
40
2K-20K
7K-70K
7K-70K
7K-70K
2K-20K
TO-92
TO-92
TO-92
TO-98
TO-96
GES5812
GES5614
GES5616
GES5616
GES2216
750
750
750
750
800
25
40
40
40
30
150-500
60-160
100-200
150-300
35 Min.
TO-92
TO-92
TO-92
TO-92
TO-92
·2N5306
·2N5306A
• GES5307
• GES5308
• GES5308A
300
300
300
300
300
40
40
40
40
40
7K-70K
7K-70K
2K-20K
7K-70K
7K-70K
TO-98
TO-98
TO-92
TO-92
TO-92
GES2218A
GES2219
GES2219A
800
800
800
30
30
40
75 Min.
35 Min.
75 Min.
TO-92
TO-92
TO-92
Type
• Darlington types
161
50
Discrete Transistors
PNP Signal Transistor Selector Guide
Type
2N6076
2N4126
2N4125
2N3905
2N3906
• MPS-A63
• MPS-A64
• MPS-A6S
2N5365
2NS366
MPS3638
MPS3638A
GES2906
GES2907
MPS2906
MPS2907
GES2906A
MPS2906A
GES2907A
IC
(Max.)
mA
V(BR)CEO
(Min.)
V
-100
-200
-200
-200
-200
-300
-300
-300
-300
-300
-25
-25
-30
-40
-40
-350
-350
-3S0
-3S0
-350
-350
-3S0
-350
-350
-30
-30
-30
-40
-40
-25
-25
-40
-40
-40
-40
-60
-60
-60
PNP Signal TranSistor Selector Guide
Beta Range
hFE
100-SOO
120-360
50-150
SO-150
100-300
5KTyp.
1OK Typ.
20KMin.
40-120
100-300
TO-96
TO-92
TO-92
TO-92
TO-92
30 Min.
100Min.
40-120
100-300
40-120
TO-92
TO-92
TO-92
TO-92
TO-92
TO-92
TO-92
TO-92
T0-92
100-300
40-120
40-120
100-300
Type
Package
MPS2907A
• MPS-A55
MPS-AS6
MPS-A93
MPS-A92
2N4402
2N4403
GES2904
GES2905
MPS6534
GES2904A
GES2905A
MPS-L51
GES5401
GESS611
GES5613
TO-92
TO-92
TO-92
TO-96
TO-96
GES5615
GES5817
GES5919
IC
(Max.)
mA
V(BR)CEO
(Min.)
V
-3S0
-500
-SOO
-500
-SOO
-600
-60
-60
-60
-200
-300
-40
-40
-40
-40
-40
-600
-600
-600
-600
-600
-600
-600
-600
-750
-750
-750
-750
-750
Beta Range
hFE
Package
100-300
SO Min.
50 Min.
30-150
30 Min.
50-150
TO-92
TO-92
TO-92
TO-92
TO-92
TO-92
-60
-60
-100
-150
-25
-25
100-300
40-120
100-300
40-120
40-120
100-300
40-250
60-240
60-200
1S0-5OO
TO-92
TO-92
TO-92
TO-92
TO-92
TO-92
TO-92
TO-92
TO-92
TO-92
-40
-40
-40
60-160
100-200
150-300
TO-92
TO-92
TO-92
• Darlington Types
Unijunction Transistors and Switches
Silicon Unijunction Transistors (UJTs) are intended for
general-purpose industrial applications where circuit
economy is of primary importance. UJT applications include
use as:
SCR triggers, relaxation oscillators, timers, sawtooth
generators, frequency dividers and stable voltage-sensing
circuits.
Silicon Unilateral Switches (SUSs) are silicon planar,
monolithic ICs having thyristor electrical characteristics closely
approximating those of "ideal" four-layer diodes. These
devices are designed to switch at 8 volts with a O.02%fOC
temperature coefficient A gate lead is provided to eliminate
rate effect, obtain triggering at lower voltages and to obtain
transient-free waveforms.
Silicon Bilateral Switches (SBSs) are silicon planar, monolithic
ICs having the electrical characteristics of a bilateral thyristor.
Type
2N4670
2N4671
GES2646
GES2647
GET4670
GET4871
2N2646
2N2647
2N4967
2N4990
2N4988
2N4989
2N6027
2N6028
GES6027
GES6028
2N4991
2N4992
Designed to switch at 8 volts with a O.02%fOC temperature
coefficient, they have characteristics which are excellently
matched in both directions. A gate lead is provided to eliminate
rate-effect and is used to obtain triggering at lower voltages.
The SBS is ideally suited for half-wave and full-wave
triggering in low-voltage SCR and Triac phase-control
circuits.
Programmable Unijunction Transistors (PUTs) are threeterminal, planar passivated p-n-p devices. These devices
allow the designer to select R1 and R2 to program unijunction
characteristics such as 11, RSS, Ip, and IV to meet their
particular needs. PUTs feature low leakage and peak point
current together with low forward voltage. Typical applications
included SCR triggering, pulse and timing circuits, oscillators,
sensing circuits and sweep circuits.
Structure
IF
(Max.)
rnA
VR
(Min.)
V
WT
WT
WT
WT
WT
WT
UJT
WT
SUS
SUS
SUS
SUS
PUT
PUT
PUT
PUT
50
SO
SO
50
50
50
500
500
175
175
175
175
150
150
150
150
30
30
30
30
30
30
30
SBS
SBS
175
175
30
30
30
30
30
40
40
40
40
-
162
VSorn
Package
0.S6-0.75
0.7-0.65
0.56-0.7S
0.68-0.82
0.56-0.75
0.7-0.85
0.56-0.75
0.66-0.62
6.0-10.0
7.0-9.0
7.5-9.0
7.5-6.2
TO-92
TO-92
TO-92
TO-92
TO-16
TO-16
TO-16
T0-16
T0-96
TO-98
T0-96
TO-96
0.2-1.6
0.2-0.6
0.2-1.6
0.2-0.6
TO-96
TO-98
TO-92
TO-92
6.0-10.0
7.5-9.0
TO-98
TO-98
Discrete Transistors
Switching Transistors
Junction FETs - N-Channel
Vp
V
BVGSS IOIOFF)
V
pA
Min
Max
lOSS
rnA
C rss
pF
Max
Clss
pF
Max
Package""
Max
Min
Max
IGSS
pA
Max
Min
Max
tap
ns
Max
• 2N4091
·2N4092
·2N4093
TO-18
TO-18
TO-18
30
50
80
-5.0
-2.0
-1.0
-10.0
-7.0
-5.0
-200
-200
-200
-40
-40
-40
200
200
200
30
15
8
-
65
95
140
5
5
5
16
16
16
2N4391
2N4392
2N4393
TO-18
TO-18
TO-18
30
60
100
-4.0
-2.0
-0.5
-10.0
-5.0
-3.0
-100
-100
-100
-40
-40
-40
100
100
100
50
25
5
1SO
75
30
55
75
100
3.5
3.5
3.5
14
14
14
• 2N4856
·2N4857
·2N4858
• 2N4859
·2N4860
• 2N4861
TO-18
TO-18
TO-18
TO-18
TO-18
TO-18
25
40
60
25
40
60
-4.0
-2.0
-0.8
-4.0
-2.0
-0.8
-10.0
-6.0
-4.0
-10.0
-6.0
-4.0
-250
-250
-250
-250
-250
-250
-40
-40
-40
-30
-30
-30
250
250
250
250
250
250
50
20
8
50
20
8
34
60
120
34
60
120
8
8
8
8
8
8
18
18
18
18
18
18
2N5432
2N5433
2N5434
TO-52
TO-52
TO-52
5
7
10
-4.0
-3.0
-1.0
-10.0
-9.0
-4.0
-200
-200
-200
-25
-25
-25
200
200
200
150
100
30
41
41
41
15
15
15
30
30
30
2N5638
2N5639
2N5640
2N5653
2N5654
TO-92
TO-92
TO-92
TO-92
TO-92
30
-
60
100
SO
100
-
-12.0
-8.0
-6.0
-12.0
-8.0
-1nA
-1nA
-1nA
-1nA
-1nA
-30
-30
-30
-30
-30
lnA
lnA
lnA
lnA
lnA
50
25
5
40
15
24
44
63
24
44
4
4
4
3.5
3.5
10
10
10
10
10
J108
Jl09
Jl10
Jll1
J112
J113
TO-92
TO-92
TO-92
TO-92
TO-92
TO-92
8
12
18
30
50
100
-3.0
-2.0
-0.5
-3.0
-1.0
-0.5
-10.0
-6.0
-4.0
-10.0
-5.0
-3.0
-3nA
-3nA
-3nA
-1nA
-1nA
-1nA
-25
-25
-25
-35
-35
-35
3nA
3nA
3nA
1nA
lnA
1nA
80
40
10
20
5
2
41
41
41
48
48
48
-
-
PN4091
PN4092
PN4093
TO-92
TO-92
TO-92
30
50
80
-5.0
-2.0
-1.0
-10.0
-7.0
-5.0
-200
-200
-200
-40
-40
-40
200
200
200
30
15
8
65
95
140
5
5
5
16
16
16
PN5432
PN5433
PN5434
TO-92
TO-92
TO-92
5
7
10
-4.0
-3.0
-1.0
-10.0
-9.0
-4.0
-200
-200
-200
-25
-25
-25
200
200
200
150
100
30
41
41
41
15
15
15
30
30
30
U1897
U1898
U1899
TO-92
TO-92
TO-92
30
SO
-5.0
-2.0
-1.0
-10.0
-7.0
-5.0
-400
-400
-400
-40
-40
-40
200
200
200
30
15
8
-
65
95
140
5
5
5
16
16
16
Min
Max
tap
ns
Max
Crss
pF
Max
Clss
pF
Max
rOSIONI
n
Type
80
100
80
100
80
-
-
-
-
-
-
-
-
Junction FETs - P-Channel
Vp
V
BVGSS IOIOFF)
V
pA
Min
Max
lOSS
rnA
Package""
Max
Min
Max
IGSS
pA
Max
2N3382
2N5018
2N5019
TO-72
TO-18
TO-18
300
75
lSO
1.0
-
15nA
2nA
2nA
30
30
30
-2nA
-10nA
-10nA
-3
-10
-5
-30
-
5.0
12.0
7.0
-
-
100
215
10
10
161yp
45
45
·2N5114
·2N5115
·2N5116
TO-18
TO-18
TO-18
75
100
1SO
5.0
3.0
1.0
10.0
6.0
4.0
500
500
500
30
30
30
-500
-500
-500
-30
-15
-5
-90
-60
-25
37
66
102
7
7
7
25
25
25
J174
J175
J176
J177
TO-92
TO-92
TO-92
TO-92
85
125
2SO
300
5.0
3.0
1.0
0.8
10.0
6.0
4.0
2.25
lnA
1nA
1nA
1nA
30
30
30
30
-1nA
-1nA
-1nA
-1nA
-20
-7
-2
-1.5
-135
-70
-35
-20
22
45
70
90
-
-
-
PN5114
PN5115
PN5116
TO-92
TO-92
TO-92
75
100
lSO
5.0
3.0
1.0
10.0
6.0
4.0
500
500
500
30
30
30
-500
-500
-500
-30
-15
-5
-90
-60
-25
37
68
102
7
7
7
25
25
25
rOSION)
n
Type
• Also available as JAN/JANTX
• Most TO-92's are available lead formed to a TO-18 or TO-5 pinout. Also available in lapa and raal (EIA 5TO R5-468).
163
-
-
Discrete Transistors
Amplifier Transistors
Junction FETs - N-Channel
BVGSS
V
Min
Clss
pF
Max
C rss
pF
Max
en
nViy'Hz
Max
4
4
4
4
150@20Hz
150@20Hz
150 @20Hz
150@ 20Hz
3
3
3
3
3
3
1.2
1.2
1.2
1.2
1.5
1.5
1.5
1.5
1.5
1.5
Min
Max
Min
Max
2.5
1.0
0.4
0.1
7.5
3.0
1.2
0.5
-5.0
-3.5
-2.0
-1.2
-100
-100
-100
-100
0.03
0.03
0.08
0.08
0.2
0.2
0.09
0.09
0.24
0.24
0.6
0.6
-2.0
-1.0
-0.6
-0.3
-0.6
-0.6
-1.0
-1.0
-2.0
-2.0
-1.8
-1.8
-3.0
-3.0
-6.0
-6.0
-10
-1
-10
-1
-10
-1
-50
-50
-50
-50
-40
-40
-40
-40
-40
-40
3000
2000
3.0
2.0
18.0
20.0
-0.1
-0.1
-8.0
-0.8
-250
-250
-30
-30
6
6
2
2
-
600
800
1300
2000
0.2
0.5
1.2
3.0
0.6
1.5
3.6
9.0
-0.3
-0.6
-1.0
-2.0
-1.0
-1.8
-3.0
-6.0
-100
-100
-100
-100
-50
-50
-50
-50
7
7
7
7
3
3
3
3
65@ 1kHz
65@ 1kHz
65 @ 1kHz
65@ 1kHz
Package""
2N3684
2N3685
2N3686
2N3687
2N4117
2N4117A
2N4118
2N4118A
2N4119
2N4119A
TO-72
TO-72
TO-72
TO-72
TO-72
TO-72
TO-72
TO-72
TO-72
TO-72
2000
1500
1000
500
70
70
80
80
100
100
2N4223
2N4224
TO-72
TO-72
2N4338
2N4339
2N4340
2N4341
TO-18
TO-18
TO-18
TO-18
Type
Vp
V
loSS
mA
IGSS
pA
Max
9fs
"mho
Min
-
2N4416
TO-72
4500
5.0
15.0
-
-6.0
-100
-30
4
2
2N5397
2N5398
TO-72
TO-72
6000
5500
10.0
5.0
30.0
40.0
-1.0
-1.0
-6.0
-6.0
-100
-100
-25
-25
5.0
5.5
1.2
1.3
3.5dB @ 450MHz
2N5457
2N5458
2N5459
2N5484
2N5485
2N5486
TO-92
TO-92
TO-92
TO-92
TO-92
TO-92
1000
1500
2000
3000
3500
4000
1.0
2.0
4.0
1.0
4.0
8.0
5.0
9.0
16.0
5.0
10.0
20.0
-0.5
-1.0
-2.0
-0.3
-0.5
-2.0
-6.0
-7.0
-8.0
-3.0
-4.0
-6.0
-lnA
-lnA
-lnA
-lnA
-lnA
-lnA
-25
-25
-25
-25
-25
-25
7
7
7
5
5
5
3
3
3
1
1
1
3dB@ 1kHz
3dB @lkHz
3dB@ 1kHz
120@ 1kHz
120@lkHz
120@lkHz
J210
J211
J212
TO-92
TO-92
TO-92
4000
6000
7000
2.0
7.0
15.0
15.0
20.0
40.0
-1.0
-2.5
-4.0
-3.0
-4.5
-6.0
-100
-100
-100
-25
-25
-25
4typ.
4typ.
4typ.
1 typo
1 typo
1 typo
10typ.@ 1kHz
10typ.@ 1kHz
10typ.@ 1kHz
PN4302
PN4303
PN4304
PN4338
PN433B
PN4340
PN4341
PN4416
TO-92
TO-92
TO-92
TO-B2
TO-B2
TO-B2
TO-B2
TO-B2
1000
2000
1000
600
800
1300
2000
4500
0.5
4.5
0.5
0.2
0.5
1.2
3.0
5.0
5.0
10.0
15.0
0.6
1.5
3.6
9.0
15.0
-
-4.0
-6.0
-10.0
-1.0
-1.8
-3.0
-6.0
-6.0
-lnA
-lnA
-lnA
-100
-100
-100
-100
-100
-30
-30
-30
-50
-50
-50
-50
-30
6
6
6
7
7
7
7
4
2
2
2
3
3
3
3
2
2dB @ 1kHz
2dB @ 1kHz
3dB @ 1kHz
ldB@lkHz
ldB @ 1kHz
ldB @ 1kHz
ldB @ 1kHz
Max
IGSS
pA
Max
BVGSS
V
Min
Clss
pF
Max
C rss
pF
Max
en
nV/y'Hz
Max
-0.3
-0.6
-1.0
-2.0
-
-
-
Junction FETs - P-Channel
Vp
V
lOSS
mA
Package""
gfs
"mho
Min
Min
Max
2N260B
TO-18
2500
-2.0
-10.0
1.0
4.0
30nA
30
30
-
180@ 1kHz
2N5460
2N5461
2N5462
2N5463
2N5464
2N5465
TO-B2
TO-B2
TQ-B2
TO-B2
TO-92
TO-B2
1000
1500
2000
1000
1500
2000
-1.0
-2.0
-4.0
-1.0
-2.0
-4.0
-5.0
-B.O
-16.0
-5.0
-9.0
-16.0
0.75
1.0
1.8
0.75
1.0
1.8
6.0
7.5
9.0
6.0
7.5
B.O
5nA
5nA
5nA
5nA
5nA
5nA
40
40
40
60
60
60
7
7
7
7
7
7
2
2
2
2
2
2
115@100Hz
115@100Hz
115@100Hz
115@100Hz
115 100Hz
115@100Hz
J270
J271
TO-B2
TO-B2
6000
8000
-2.0
-6.0
-15.0
-50.0
0.5
1.5
2.0
4.5
0.200
0.200
30
30
32typ.
31 typo
4typ.
4typ.
6typ.@lkHz
6typ.@lkHz
Type
Min
• Most TO-92·s are available lead formed to a TO-18 or TO-5 pinout. Also available in tape and reel (EIA STD RS-468).
164
Discrete Transistors
Switching/Amplifier Transistors
MOSFETs - N-Channel
VGS(TH)
v
Type
Package
BVoss
Min
Max
Min
lOSS
pA
Max
v
gf5
IGSS
pA
Max
~rnho
n
Min
Max
IO(ON)
rnA
Min
'OS(ON)
IO(ON)
rnA
Max
2N4351
TO-72
1.0
5.0
25
10nA
10
1000
300
3
-
3N170
3N171
TO-72
TO-72
1.0
1.5
2.0
3.0
25
25
10nA
10nA
10
10
1000
1000
200
200
10
10
IT1750
TO-72
0.5
3.0
25
10nA
10
3000
50
10
Ml16
Ml17
TO-72
TO-72
1.0
1.0
5.0
5.0
30
30
10nA
10nA
100
1
-
100
100
-
-
-
MOSFETs - P-Channel
Generally used where max. isolation between signal source and logic drive is required. Switch "ON" resistance varies with signal
amplitude.
VGS(TH)
BVOSS
gf5
Package
Min
Max
Min
lOSS
pA
Max
Min
Max
10(ON)
rnA
Min
2N4352
TO-72
-1.0
-5.0
-25
-10nA
10
1000
600
-3
-
3N163
3N164
TO-72
TO-72
-2.0
-2.0
-5.0
-5.0
-40
-30
-200
400
-10
10
2000
1000
250
300
-5
-3
-30
-30
3N172
3N173
TO-72
TO-72
-2.0
-2.0
-5.0
-5.0
-40
-30
-400
-10nA
-200
-500
-
250
350
-5
-5
-30
-30
iT1700
IT1701
TO-72
TO-72
-2.0
-2.0
-5.0
-5.0
-40
-40
200
200
100
2000
2000
400
400
-2
-2
-
v
Type
v
IGSS
pA
Max
~rnho
n
'OS(ON)
Diodes, Low Leakage
Used to protect the inputs of MOSFETs such as 3N163, while maintaining input leakage
Type
10100
10101
10(ON)
rnA
Max
< 0.1 pA.
VF@10rnA
Package
IR@1V
(pA)Typ
IR @ 10V, l25 0 C
(nA) Max
BVR @ l~A
(V) Min
(V) Min
(V) Max
TO-78
TO-71
0.1
0.1
10
10
30
30
0.8
0.8
1.1
1.1
Differential Amplifier Transistors - Monolithic Duals
Junction FETs - N-Channel
gf9
mmho*
loSS
rnA
Type
Package
Max
IG
pA
Max
Min
Max
Min
Max
Min
Max
en
nV/v'Hz
Max
2N3954
2N3954A
2N3955
2N3955A
2N3956
2N3957
2N3958
TO-71
TO-71
TO-71
TO-71
TO-71
TO-71
TO-71
5
5
10
5
15
20
25
10
5
25
15
50
75
100
-50
-50
-50
-50
-50
-50
-50
-50
-50
-50
-50
-50
-50
-50
-1.0
-1.0
-1.0
-1.0
-1.0
-1.0
-1.0
-4.5
-4.5
-4.5
-4.5
-4.5
-4.5
-4.5
1
1
1
1
1
1
1
3
3
3
3
3
3
3
0.5
0.5
0.5
0.5
0.5
0.5
0.5
5.0
5.0
5.0
5.0
5.0
5.0
5.0
160@100Hz
160 @ 100Hz
160 @ 100Hz
160@ 100Hz
160@100Hz
160@100Hz
160@100Hz
2N5196
2N5197
2N5198
2N5199
TO-71
TO-71
TO-71
TO-71
5
5
10
15
5
10
20
40
-15
-15
-15
-15
-50
-50
-50
-50
-0.7
-0.7
-0.7
-0.7
-4.0
-4.0
-4.0
-4.0
0.7
0.7
0.7
0.7
7.0
7.0
7.0
7.0
20@lkHz
20@ 1kHz
20@ 1kHz
20@lkHz
• @
AVGS
Vp
V
VGSl-2
rnV
Max
~V/oC
BVGSS
V
Min
less
165
0.7 @ 200~A
0.7 @200~A
0.7 @200~A
0.7@200~
Discrete Transistors
Differential Amplifier Transistors - Monolithic Duals
(Continued)
Junction FETs - N-Channel (Continued)
Vp
V
gfs
mmho*
lOSS
mA
aVGS
"VloC
Max
IG
pA
Max
BVGSS
V
Min
Min
Max
Min
Max
Min
Max
en
nVl.jHi"
Max,
0.07
0.07
0.07
0.07
0.07
0.07
0.07
0.07
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.250
0.03
0.03
0.03
0.03
0.03
0.03
0.03
0.03
0.50
0.50
0.50
0.50
0.50
0.50
0.50
0.50
100@lkHz
100@1kHz
100@lkHz
100@ 1kHz
100@lkHz
100@lkHz
100@lkHz
100@lkHz
7.0
7.0
40.0
40.0
20@ 10kHz
20@ 10kHz
0.5
0.5
0.5
7.5
7.5
7.5
10@10Hz
10@10Hz
10@10Hz
Type
Package
VGS1-2
mV
Max
2N5902
2N5903
2N5904
2N5905
2N5906
2N5907
2N5908
2N5909
TO-78
TO-78
TO-78
TO-78
TO-99
TO-99
TO-99
TO-99
5
5
10
15
5
5
10
15
5
10
20
40
5
10
20
40
-3
-3
-3
-3
-1
-1
-1
-1
-40
-40
-40
-40
-40
-40
-40
-40
-0.6
-0.6
-0.6
-0.6
-0.6
-0.6
-0.6
-0.6
-4.5
-4.5
-4.5
-4.5
-4.5
-4.5
-4.5
-4.5
2N5911
2N5912
TO-99
TO-99
10
15
20
40
-100
-100
-25
-25
-1.0
-1.0
-5.0
-5.0
2N6483
2N6484
2N6485
TO-71
TO-71
TO-71
5
10
15
5
10
25
-100
-100
-100
-50
-50
-50
-0.7
-0.7
-0.7
-4.0
-4.0
-4.0
IT500
IT501
IT502
IT503
IT504
IT505
TO-71
TO-71
TO-71
TO-71
TO-71
TO-71
5
5
10
15
25
50
5
10
20
40
100
200
-5
-5
-5
-5
-5
-5
-50
-50
-50
-50
-50
-50
-0.7
-0.7
-0.7
-0.7
-0.7
-0.7
-4.0
-4.0
-4.0
-4.0
-4.0
-4.0
0.7/1.6 @ 200,.A
0.7/1.6 @ 200,.A
0.7/1.6@ 200"A
0.7/1.6 @ 200"A
0.7/1.6@ 200"A
0.7/1.6 @ 200"A
0.7
0.7
0.7
0.7
0.7
0.7
7.0
7.0
7.0
7.0
7.0
7.0
35@10Hz
35@10Hz
35@10Hz
35@10Hz
35@10Hz
35@10Hz
ITC5911
ITC5912
TO-99
TO-99
10
15
20
40
-100
-100
-25
-25
-1.0
-1.0
-5.0
-5.0
5/10@5mA
5/10@5mA
7.0
7.0
40.0
40.0
20@ 10kHz
20@ 10kHz
• @
5/10@5mA
5/10@5mA
1
1
1
4
4
4
lOSS
MOSFETs - Monolithic Dual P-ChanneL(Enhancement)
VGSITH)
V
Package
Min
Max
Min
Max
Max
3N165
3N166
TO-99
TO-99
-2
-2
-5
-5
-40
-40
-200
-200
-10
-10
1500
1500
-5.0
-5.0
-30
-30
300
300
100
3N190
3N191
TO-99
TO-99
-2
-2
-5
-5
-40
-40
-200
-200
-10
-10
1500
1500
-5.0
-5.0
-30
-30
300
300
100
Type
lOSS
pA
Max
IGSS
pA
Max
gfs
"mho
Min
IOION)
mA
BVOOS
V
Min/Max
rOS(ON)
n
,
VGSl-2
mV
Max
-
• @ lOSS
Bipolar Monolithic Dual Transistors - NPN
aVBE
"VloC
Max
hFE
(Note 1)
Min
IBl-2
(Note1)
nA
Max
BVCEO
V
Min
ICBO
nA
Max
NF
dB
Max
ft
MHz@lIC
Min
Cabo
pF
Max
Type
Package
VBEl-2
mV
Max
2N2920
2N4044
2N4045
2N4100
2N4878
2N4879
2N4880
TO-78
TO-78
TO-78
TO-78
TO-71
TO-71
TO-71
3
3
5
5
3
5
5
10
3
10
5
3
5
10
150
200
80
150
200
150
80
5
25
10
5
10
25
60
60
45
55
60
55
45
2
0.1
0.1
0.1
0.1
0.1
0.1
3typ
2
3
3
2typ
3typ
3typ
60@0.5mA
200@lmA
150@lmA
150@lmA
200@lmA
150@lmA
150@lmA
6
0.8
0.8
0.8
0.8
0.8
0.8
IT120
TO-78
TO-71
2
5
200
5
45
1.0
2typ
220@lmA
2
IT120A
TO-78
TO-71
1
3
200
2.5
45
1.0
2typ
220@lmA
2
-
NOTE: 1. Ie = 1011A
166
Discrete Transistors
Differential Amplifier Transistors - Monolithic Duals
(Conllnued)
Bipolar Monolithic Dual Transistors - NPN (Continued)
Type
Package
Max
hFE
(Note 1)
Min
IB1-2
(Note 1)
nA
Max
BVCEO
V
Min
ICBO
nA
Max
NF
dB
Max
ft
MHz@IC
Min
Cobo
pF
Max
aVBE
VBE1-2
mV
Max
~V/oC
IT121
TO-78
TO-71
3
10
80
25
45
1.0
2typ
180@lmA
2
IT122
TO-78
TO-71
5
20
80
25
45
1.0
2typ
180@lmA
2
IT126
TO-78
TO-71
1
3
150
2.5
60
0.1
1 typ
250@10mA
3
IT127
TO-78
TO-71
2
5
150
5
60
0.1
1 typ
250@10mA
3
IT128
TO-78
TO-71
3
10
100
10
55
0.1
1 typ
250@10mA
3
IT129
TO-78
TO-71
10
20
70
20
45
0.1
1 typ
250@10mA
3
aVBE
IB1-2
(Note 1)
nA
Max
BVCEO
V
Min
ICBO
nA
Max
NF
dB
Max
ft
MHz@IC
Min
Cobo
pF
Max
10
10
10
10
0.1
0.1
0.1
3typ
3typ
3typ
3typ
4typ
4typ
4typ
100@lmA
100@lmA
100@lmA
100@lmA.
100@0.5mA
100@0.5mA
100@0.5mA
4
4
4
4
0.8
0.8
0.8
NOTE: 1. Ie = 10llA
Bipolar Dual Transistors - PNP
Type
Package
VBE1-2
mV
Max
Max
hFE
(Note 1)
Min
2N381 0
2N3810A
2N3811
2N3811A
2N5117
2N5118
2N5119
TO-78
TO-78
TO-78
T0-78
TO-78
TO-78
TO-78
3
1.5
3
1.5
3
5
5
10
5
10
5
3
5
10
100
100
225
225
100
100
50
10
15
40
-60
-60
-60
-60
-45
-45
-45
1T130
TO-78
TO-71
2
5
200
5
-45
1.0
2typ
110@lmA
2
IT130A
TO-78
TO-71
1
3
200
2.5
-45
1'.0
2typ
110@lmA
2
1T131
TO-78
TO-71
3
10
80
25
-45
1.0
2typ
90@lmA
2
1T132
TO-78
TO-71
5
20
80
25
-45
1.0
2typ
90@lmA
2
IT136
TO-78
TO-71
1
3
150
2.5
-60
0.1
2typ
250@10mA
4
1T137
TO-78
TO-71
TO-78
TO-71
2
5
150
5
-60
0.1
2typ
250@10mA
4
3
10
100
10
-55
0.1
2typ
250@10mA
4
5
20
70
20
-45
0.1
2typ
250@10mA
4
IT138
1T139
TO-78
TO-71
~V/oC
-
-
-
NOTE: 1. Ie - 10llA. VeE = 5V
167
Rectifiers
Axial-Lead Rectifiers
(In order of Increasing trr)
Ultra-Fast-Recovery Rectifier Selector Guide
Reverse
Voltage
Avg.Fwd.
Current
@
Foward
Pk.Surge
Current
Peak
Fwd. Volt.
TA=+25 0 C
Rev. Rac.
Time
IFSM
A
IFM
A
VFM
V
trr
ns
Package
VRRM
Vpk
10
A
Oc
GE1001
50
1
75
30
1
0.975
25
DO-204AP
GE1002
100
1
75
30
1
0.975
25
DO-204AP
GE1003
150
1
75
30
1
0.975
25
DO-204AP
GE1101
50
2.5
75
50
2
0.975
25
DO-204AP
GE1102
100
2.5
75
50
2
0.975
25
DO-204AP
GE1103
150
2.5
75
50
2
0.975
25
DO-204AP
GE1301
50
6
75
150
6
0.925
30
GE-4
GE1302
100
6
75
150
6
0.925
30
GE-4
GE1303
150
6
75
150
6
0.925
30
GE-4
A214F
50
2
55
50
5
0.95
35
DO-204AP
A214A
100
2
55
50
5
0.95
35
DO-204AP
A214G
150
2
55
50
5
0.95
35
DO-204AP
A214B
200
2
55
50
5
0.95
35
DO-204AP
A315F
50
3
55
150
5
0.95
35
GE-4
A315A
100
3
55
150
5
0.95
35
GE-4
A315G
150
3
55
150
5
0.95
35
GE-4
A315B
200
3
55
150
5
0.95
35
GE-4
GE1004
200
1
75
30
1
0.975
40
DO-204AP
GE1104
200
2
50
20
1
1.25
50
DO-204AP
GE1304
200
5
50
70
3
1.25
50
GE-4
Foward
Pk. Surge
Current
Peak
Fwd. Volt.
TA=+25 0 C
Rev. Rec.
Time
Type
TA
Fast-Recovery Rectifier Selector Guide
Reverse
Voltage
Avg.Fwd.
Current
@
VRRM
Vpk
10
A
TA
°C
IFSM
A
IFM
A
VFM
V
trr
ns
Package
A114F
50
1
75
40
1
1.1
200
DO-204AP
A114A
100
1
75
40
1
1.1
200
DO-204AP
A114B
200
1
75
40
1
1.1
200
DO-204AP
A114C
300
1
75
40
1
1.1
200
DO-204AP
A114D
400
1
75
40
1
1.1
200
DO-204AP
A114E
500
1
75
40
1
1.1
200
DO-204AP
A114M
600
1
75
40
1
1.1
200
DO-204AP
A115F
50
3
55
110
5
1.1
200
GE-3
A115A
100
3
55
110
5
1.1
200
GE-3
A115B
200
3
55
110
5
1.1
200
GE-3
A115C
300
3
55
110
5
1.1
200
GE-3
A115D
400
3
55
110
5
1.1
200
GE-3
A115E
500
3
55
110
5
1.1
200
GE-3
A115M
600
3
55
110
5
1.1
200
GE-3
Type
168
Rectifiers
Axial-Lead Rectifiers
(Continued)
General-Purpose Rectifier Selector Guide
Avg.Fwd.
CUrrent
Reverse
Voltage
@
Forward
Pk.Surge
Current
Peak
Fwd.Volt.
TA=+25 0 C
Rev. Ree.
Time
TA
°C
IFSM
A
IFM
A
VFM
V
trr
fJs
Package
55
25
1
1.2
5
DO-204AP
VRRM
Vpk
10
A
200
1
1N4246
400
1
55
25
1
1.2
5
DO-204AP
1N4247
600
1
55
25
1
1.2
5
DO-204AP
1N4248
800
1
55
25
1
1.2
5
DO-204AP
1N4249
1000
1
55
25
1
1.2
5
DO-204AP
1N5624
200
3
70
125
5
1.1
5
GE-4
1N5625
400
3
70
125
5
1.1
5
GE-4
1N5626
600
3
70
125
5
1.1
5
GE-4
1N5627
800
3
70
125
5
1.1
5
GE-4
A15F
50
3
70
125
5
1.1
5
GE-4
A15A
100
3
70
125
5
1.1
5
GE-4
1N5059
200
1
100
50
1
1.2
6
DO-204AP
1N5060
400
1
100
50
1
1.2
6
DO-204AP
1N5061
600
1
100
50
1
1.2
6
DO-204AP
1N5062
800
1
100
50
1
1.2
6
DO-204AP
A14F
50
1
100
50
2.5
1.25
6
DO-204AP
A14A
100
1
100
50
2.5
1.25
6
DO-204AP
A14C
300
1
100
50
2.5
1.25
6
DO-204AP
A14E
500
1
100
50
2.5
1.25
6
DO-204AP
A14P
1000
1
100
50
2.5
1.25
6
DO-204AP
GER4001
50
1
75
30
1
1.1
6
DO-204AP
GER4002
100
1
75
30
1
1.1
6
DO-204AP
GER4003
200
1
75
30
1
1.1
6
DO-204AP
GER4004
400
1
75
30
1
1.1
6
DO-204AP
GER4005
600
1
75
30
1
1.1
6
DO-204AP
GER4006
800
1
75
30
1
1.1
6
DO-204AP
1000
1
75
30
1
1.1
6
DO-204AP
Type
1N4245
I
GER4007
Full-Wave Bridge Rectifiers
Product Selector Guide
Reverse
Voltage
Avg.Fwd.
Current
@
Forward
Pk.Surge
Current
Peak
Fwd.Volt.
TA=+25 0 C
TA
°c
IFSM
A
IFM
A
VFM
V
Package
VRRM
Vpk
10
A
DB1F
50
1
40
50
1
1.1
BR-4
DB1A
100
1
40
50
1
1.1
BR-4
DB1B
200
1
40
50
1
1.1
BR-4
DB1D
400
1
40
50
1
1.1
BR-4
DB1M
600
1
40
50
1
1.1
BR-4
DB1N
800
1
40
50
1
1.1
BR-4
DB1P
1000
1
40
50
1
1.1
BR-4
Type
169
Rectifiers
Ultra-Fast-Recovery Rectifiers
Ultra-Fast-Recovery Rectifier Selector Guide
Reverse
Voltage
Avg. Forward
Current
Surge
Current
Rev. Ree
Time
Junction
Capacitance
@
Oc
TC
IFSM
A
trr
ns
CJ
pF
Package
IF
A
Type
VRRM
Vpk
BYW51-100
100
2 x6*
125
100
35
40
TO-220AB
BYW51-150
150
2 x6*
125
100
35
40
TO-220AB
BYW51-200
200
2 x6*
125
100
35
40
TO-220AB
MUR-610
100
6
125
100
35
40
TO-220AC
MUR-615
150
6
125
100
35
40
TO-220AC
MUR-620
200
6
125
100
35
40
TO-220AC
MUR-640
400
6
150
100
50
TO-220AC
MUR-650
500
6
150
100
50
-
MUR-660
600
6
150
100
50
-
MUR-670
700
6
150
100
75
MUR-660
600
6
150
100
75
-
MUR-690
900
6
150
100
75
MUR-8100
1000
8
125
100
75
-
MUR-1610CT
100
2x6*
125
100
60
40
TO-220AB
MUR-1615CT
150
2 x6*
125
100
60
40
TO-220AB
MUR-1620CT
200
2x6*
125
100
60
40
TO-220AB
RUR-810
100
8
125
100
35
40
TO-220AC
RUR-815
150
8
125
100
35
40
TO-220AC
RUR-820
200
8
125
100
35
40
TO-220AC
RUR-840
400
8
150
100
50
RUR-850
500
8
150
100
50
RUR-860
600
8
150
100
50
-
RUR-D810
100
2x8*
125
100
35
40
TO-220AB
RUR-D815
150
2x8*
125
100
35
40
TO-220AB
RUR-D820
200
2x8*
125
100
35
40
TO-220AB
RUR-D1610
100
2x 16t
125
275
35
80
TO-204M
RUR-D1615
150
2x 16t
125
275
35
80
TO-204M
RUR-D1620
200
2x 16t
125
275
35
80
TO-204M
RUR-1610CT
100
2 x8*
150
100
60
40
TO-220AB
RUR-1615CT
150
2x8*
150
100
60
40
TO-220AB
RUR-1620CT
200
2x6*
150
100
60
40
TO-220AB
*8 A average per junction
t 16 A average per junction
170
TO-220AC
TO-220AC
TO-220AC
TQ-220AC
TO-220AC
TO-220AC
TO-220AC
TO-220AC
TO-220AC
Optoelectronic Products
Optoisolators/Optocouplers
Features
Optoelectronic components sense the presence and
intensity of light, the position of objects which break or
reflect a light beam, and transmit electronic signals without
electrical connections. These characteristics provide high
speed and high reliability at low cost for a variety of useful
functions, from automatic light-level control in copy machines, or sensing the right instant to fire an automobile's
spark plug, to allowing delicate computer circuitry to control
high-power machine tools.
• Isolation Capability to 5000-volt
• Broadest line of PROELECTRON and JEDEC registered
isolators
• High-output PROELECTRON and JEDEC registered
IRED emitters and detectors
• Highest sensitivity thyristor and digital isolators
• 6-Pin DIP isolators available in surface-mount form
• Custom interrupter modules can be provided using the
discrete IRED emitters and photodectors of your choice.
The same devices that have established our industrystandard modules as the leaders in quality, versatility,
and cost-effectiveness, can be put into a module of your
design, completely assembled, tested and ready for
insertion in your equipment.
• •
Optoisolators are U.L. component recognized
under File #E51868 and VDE-Approved to
~ Specification 0883/6.80 Certificate #35025
Type #
Description
Surge Isolation Currenl Transfer
Voltage RMS Min
RalioMin
BVCEO (Volts)
Min
Typical (I1S)
Ir
If
VCE(SAT)
Max
Package"
CNX35
Pholo
4000V
40-160%
30
2
2
.4
296
CNX36
Transistor
4000V
80%
30
2
2
.4
296
CNY171
Output
3000V
40-80%
70
2
2
.3
296
CNY1711
3000V
63-125%
70
2
2
.3
296
CNY17111
3000V
100-200%
70
2
2
.3
296
CNY171V
3000V
160-320%
70
2
2
.3
296
CNY32
4000V
20%
30
3
3
.4
297
296
CNY47
2000V
20-60%
30
2
2
.4
CNY47A
2000V
40%
30
2
2
.4
296
CNY51
4000V
100%
70
2
2
.4
296
CQY80
4000V
60%
30
2
2
.4
296
GEPS2001
1 nov
30%
30
5
5
.3
296
GFH6001
2800V
63-125%
70
5
5
.3
296
GFH60011
2800V
100-200%
70
5
5
.3
296
GFH600111
2800V
160-320%
70
5
5
.3
296
GFH6011
2800V
40-80%
70
5
5
.4
296
GFH60111
2800V
63-125%
70
5
5
.4
296
GFH601111
2800V
100-200%
70
5
5
.4
296
GFH6011V
2800V
160-320%
70
5
5
.4
296
H11A1
2500V
50%
30
2
2
.4
296
H11A2
2500V
20%
30
2
2
.4
296
H11A3
2500V
20%
30
2
2
.4
296
H11A4
2500V
10%
30
2
2
.4
296
H11A5
2500V
30%
30
2
2
.4
296
H11A520
4000V
20%
30
2
2
.4
296
H11A550
4000V
50%
30
2
2
.4
296
H11A5100
4000V
100%
30
2
2
.4
296
See Packaging Section
" FUnctional Diagram Included In This Section
fr
171
Optoelectronic Products
Optoisolators/Optocouplers
(Continued)
Descripllon
Surge Isolation
Voltage RMS Min
H11AG1
Photo
4000V
300%
H11AG2
Transistor
4000V
200%
H11AG3
Output
Type #
Currenl Transfer
Rallo Min
BVCEO (Volls)
Min
Typical
(~s)
Ir
If
VCE(SAT)
Max
30
5
5
0.4
296
30
5
5
0.4
296
Package'
2500V
100%
30
5
5
0.4
296
H11AV1
4000V
100%
70
5
5
0.4
296
H11AV1A
4000V
100%
70
5
5
0.4
295
H11AV2
4000V
50%
70
5
5
0.4
296
H11AV2A
4000V
50%
70
5
5
0.4
295
H11AV3
4000V
20%
70
5
5
0.4
296
H11AV3A
4000V
20%
70
5
5
0.4
295
H24A1
4242V
100%
30
3
3
0.4
297
H24A2
4242V
20%
30
3
3
0.4
297
4N25
2500V
20%
30
3
3
0.5
296
4N25A
1775V
20%
30
3
3
0.5
296
4N26
1500V
20%
30
3
3
0.5
296
4N27
1500V
10%
30
3
3
0.5
296
4N28
500V
10%
30
3
3
0.5
296
4N35
2500V
100%
30
5
5
0.3
296
4N36
1750V
100%
30
5
5
0.3
296
4N37
1050V
100%
30
5
5
0.3
296
H74A1
2500V
MCT2
2500V
20%
30
5
5
0.4
296
MCT2E
2500V
20%
30
5
5
0.4
296
MCT26
2500V
6%
30
5
5
0.4
296
MCT210
2500V
150%
30
5
5
0.4
296
SL5500
2500V
40-300%
30
20
50
0.4
296
SL5501
2500V
25-400%
30
20
50
0.4
296
SL5504
2500V
25-400%
80
50
150
0.4
296
SL5511
2500V
25%
30
20
50
0.4
296
15
296
H11D1
High-Voltage
4000V
20%
300
5
5
0.4
296
H11D2
Photo
2500V
20%
300
5
5
0.4
296
H11D3
Transistor
2500V
20%
200
5
5
0.4
296
H11D4
Output
2500V
10%
200
5
5
0.4
296
4N38
2500V
10%
80
5
5
1.0
296
4N38A
2500V
10%
80
5
5
1.0
296
CNY33
2500V
20%
300
5
5
0.4
296
* See Packaging Section
:#= Functional Diagram Included In This Section
172
Optoelectronic Products
Optoisolators/Optocouplers
Type #
DescrIption
(Continued)
Surge Isolalion Currenl Transfer
Voltage RMS Min
Ratio MIn
BVCEO (Volls)
MIn
TypIcal
(~s)
Ir
If
VCE(SAT)
Max
Package'
HllBl
Pholo
4000V
500%
25
125
100
1.0
296
HllB2
Darlington
4000V
200%
25
125
100
1.0
296
HllB3
Qulput
4000V
100%
25
125
100
1.0
296
HllB255
2500V
100%
55
125
100
1.0
296
H24Bl
4242V
1000%
30
125
100
1.4
297
H24B2
4242V
400%
30
125
100
1.4
297
4N29
2500V
100%
30
5
40
1.0
296
4N29A
2500V
100%
30
5
40
1.0
296
4N30
2500V
100%
30
5
40
1.0
296
4N31
2500V
50%
30
5
40
1.2
296
4N32
2500V
500%
30
5
100
1.0
296
4N32A
2500V
500%
30
5
100
1.0
296
4N33
2500V
500%
30
5
100
1.0
296
CNY31
4000V
400%
30
125
100
1.4
297
CNY48
1500V
600%
30
125
100
1.0
296
MCA230
2500V
100%
30
5
100
1.0
296
MCA231
2500V
200%
30
5
100
1.0
296
MCA255
2500V
100%
55
5
100
1.0
296
HllGl
HighVollage
4000V
1000%
100
5
100
1.0
296
HllG2
Photo Darlinglon
4000V
1000%
80
5
100
1.0
296
HllG3
Qulput
4000V
200%
55
5
100
1.0
296
HllG45
4000V
250%
55
50
500
1.0
296
HllG46
4000V
500%
55
50
500
1.0
296
DC Outpul
Vollage
@IF=3.5mA
ACOulpul
Voltage
@II = 1 (pk-pk)
DescrIptIon
Surge Isolation
Voltage RMS Min
Hl1Vl
Video/Wideband
HllV2
Linear 180lalor
Type #
HllV3
Operating
Voltage
MIn
Max
-6db
BandwIdth
Type
7.0
0.5
1.25
0-10MHz
5V
15V
296
7.0
0.75
-
0-10MHz
5V
15V
296
7.0
0.33
-
0-10MHz
5V
15V
296
MIn
Max
4000V
2.0
4000V
2.0
4000V
2.0
* See Packaging Section
:# Functional Diagram Included In This Section
173
MIn
Max
Package'
Optoelectronic Products
Optoisolators/Optocouplers
(Continued)
Description
Surge
Isolation Voltage
VIO(RMS)
IF Trigger
Max
HllCl
PhotoSCR
4000V
HllC2
Output
Blocking
Voltage (Min)
Typical
TON (ps)
VF(Max)
Package"
20mA
200
1
1.5
296
2500V
20mA
200
1
1.5
296
HllC3
2500V
30mA
200
1
1.5
296
HllC4
4000V\
20mA
400
1
1.5
296
HllC5
2500V
20mA
400
1
1.5
296
HllC6
2500V
30mA
400
1
1.5
296
HllMl
4000V
7mA
800
1
1.5
296
HllM2
4000V
15mA
800
1
1.5
296
HllM3
4000V
7mA
600
1
1.5
296
HllM4
4000V
15mA
600
1
1.5
296
4N39
1060V
14mA
200
1
1.5
296
4N40
1060V
14mA
400
1
1.5
H74Cl
2500V
Type #
200
296
296
H74C2
2500V
CNY30
1770V
20mA
200
1
1.5
296
CNY34
1770V
20mA
400
I
1.5
296
MCS2
2500V
14mA
200
1
1.5
296
MCS2400
2500V
14mA
400
1
1.5
296
MCS21
3000V
20mA
200
I
1.5
296
MCS2401
3000V
20mA
400
1
1.5
296
Type #
Surge
Isolation Voltage
Description
VIO(RMS)
296
400
IF Trigger
Max
Blocking
Voltage
Min
On-Stage
Voltage 146
=100mAMax
Typical dv/dt
VIps Static
Package"
HllJl
Triac
4000V
lOrnA
250V
3.0V
2.0
296
HllJ2
Driver
4000V
15mA
250V
3.0V
2.0
296
Hl1J3
Output
2500V
lOrnA
250V
3.0V
2.0
296
HllJ4
2500V
15mA
250V
3.0V
2.0
296
Hl1J5
2500V
25mA
250V
3.0V
2.0
296
GE3009
4000V
30mA
250V
3.0V
6.0
296
GE3010
4000V
15mA
250V
3.0V
6.0
296
GE3011
4000V
lOrnA
250V
3.0V
6.0
296
GE3020
4000V
30mA
400V
3.0V
6.0
296
GE3021
4000V
15mA
400V
3.0V
6.0
296
GE3022
4000V
lOrnA
400V
3.0V
6.0
296
MOC3009
7500Vpk
30mA
250V
3.0V
6.0
296
MOC3010
7500Vpk
15mA
250V
3.0V
6.0
296
MOC3011
7500Vpk
lOrnA
250V
3.0V
6.0
296
MOC3020
7500Vpk
30mA
400V
3.0V
6.0
296
MOC3021
7500Vpk
15mA
400V
3.0V
6.0
296
MOC3022
7500Vpk
lOrnA
400V
3.0V
6.0
296
• See Packaging Section
" Functional Diagram Included In This Section
174
Optoelectronic Products
Optoisolators/Optocouplers
Type #
H11A10
(Continued)
Surge Isolation Current Transfer
~oltage VIO (RMS)
Ratio Min
Description
Programmable
BVCEO (Volts)
Min
Typical (Ils)
tr
tf
VCE(SAT)
Max
Package'
2500
10%
30
2
2
0.4
296
296
Threshold
Isolator
H11AA1
AClnput
1770V
20%
30
2
2
0.4
H11AA2
Isolator
1770V
10%
30
2
2
0.4
296
H11AA3
1770V
50%
30
2
2
0.4
296
H11AA4
1770V
100%
30
2
2
0.4
296
CNY35
1060V
10%
30
2
2
0.4
296
Description
Surge Isolation
Voltage
VIO(RMS)
H11F1
Bialateral
2500
200
300M
30
15
15
296
H11F2
Output Analog
2500
330
300M
30
15
15
296
H11F3
FET
2500
470
300M
15
15
15
296
Type #
Type #
H11K1
Description
On-State
Resistance
MaxO
Off-State
Resistance
MlnO
Break
Down
Voltage
Turn-on
Time
(Ils)
Turn-off
Time
(Ils)
Package'
Surge Isolation Current Transfer
Ratio Min
~oltage VIO (RMS)
Darlington
H11K2
BVCEO (Volts)
Min
Typical (fiS)
tr
tf
VCE(SAT)
Max
Package
2500V
1000%
250
20
40
2.5
296
2500V
500%
200
20
40
2.5
296
Type #
Description
Surge
Isolation
Voltage
VIO(RMS)
Min
Max'
Output
Voltage
VOL(IO 17mA)
Max
Min
Max
Package'
H11L1
Schmitt
2500V
1.6mA
0.3
0.9
O.4V
1.0MHz
3V
16V
296
H11L2
Trigger
2500V
10mA
0.3
0.9
O.4V
1.0MHz
3V
16V
296
H11L3
Output
2500V
5mA
0.3
0.9
O.4V
1.0MHz
3V
16V
296
H11N1
4000V
3.2mA
0.65
0.95
0.5V
5.0MHz
4V
15V
296
H11N2
4000V
5mA
0.65
0.95
0.5V
5.0MHz
4V
15V
296
H11N3
2500V
10mA
0.65
0.95
0.5V
5.0MHz
4V
15V
296
Turn On
Current
IFONMax
Hystersls
IOFF/lON Ratio
* See Packaging Section
#Functional Diagram Included In This Section
175
=
Maximum
Data Rate
NRZ
Operating
Voltage
Optoelectronic Products
IR Emitters and Detectors
F5G series Gallium-Aluminum-Arsenide IREDs represent
leading-edge emitter technology. Their high power output
at 880 nanometers proides a significant increase in system
efficiency, compared to GaAs IREDs.
The L 14 series silicon detectors are available with
transistor and Darlington-connected output. These highsensitivity detectors combine with high-output emitters to
increase efficiency in optoelectronic circuits.
Infrared Emitters
Type
1N6264
1N6265
1N6266
COX14
COX15
COX16
COX17
F5D1
F5D2
F5D3
F5E1
F5E2
F5E3
F5F1
F5G1
LED55C
LED55B
LED56
LED55CF
LED55BF
LED56F
Min
PO@
IF= 100mA
Max
VF@
IF= 100mA
Peak Emission
Wavelenglh
Typ. n MeIers
Rise
Time
Typ.l's
Fall
Time
Typ.l's
Max
Po
mW
MaxlF
ConI.
mA
PackagE!·
6.0mW
6.0mW
25mW/sr
5.4mW
5.4mW
1.5mW
1.5mW
12mW
9mW
10.5mW
12mW
9mW
10.5mW
0.28mW/sr
0.6mW/sr
5.4mW
3.5mW
1.5mW
5.4mW
3.5mW
1.5mW
1.7V
1.7V
1.7V
1.7V
1.7V
1.7V
1.7V
1.7V
1.7V
1.7V
1.7V
1.7V
1.7V
1.7V
1.85V
1.7V
1.7V
1.7V
1.7V
1.7V
1.7V
940
940
940
940
940
940
940
880
880
880
880
880
880
940
880
940
940
940
940
940
940
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.5
1.5
1.5
1.5
1.5
1.5
1.0
1.5
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.5
1.5
1.5
1.5
1.5
1.5
1.0
1.5
1.0
1.0
1.0
1.0
1.0
1.0
1300
1300
1300
1300
1300
1300
1300
1300
1300
1300
1300
1300
1300
100
100
1300
1300
1300
1300
1300
1300
100
100
100
100
100
100
100
100
100
100
100
100
100
60
50
100
100
100
100
100
100
54A
54
54A
54A
54
54A
54
54A
54A
54A
54
54
54
56
56
54A
54A
54A
54
54
54
Detectors
Sensitivity
(ma/mw/cm 2 1
Type #
BVCEO
BVCBO
10 (nAI
Switching Typ
Typ
VCE
Description
Min
Max
(VI
(VI
Max
Ir (I'sl
If (I'sl
(SAn
Package
BPW36
BPW37
L14C1
L14C2
L14G1
l14G2
L14G3
L14N1
L14N2
L14P1
L14P2
l1401
Photo
Transistors
.6
-
45
45
50
50
45
45
45
30
30
30
30
30
45
45
100
100
100
100
100
100
100
100
100
100
100
100
5
5
5
5
5
5
5
10
12
10
12
8ton
5
5
5
5
5
5
5
14
16
14
16
5010 ff
0.4
0.4
0.2
0.2
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
55
55
57
57
55
55
55
57
57
55
55
56A
BPW38
L14F1
L14F2
l14R1
Photo
Darlingtons
100
100
100
100
75
75
75
45ton
50
50
50
25010lf
0.8
0.8
0.8
0.9
55
55
55
56A
.3
.1
.05
.6
.3
1.2
.6
1.2
4.0
8.0
.2
15.0
15.0
5.0
5.0
-
-
25
25
25
30
50
50
45
45
45
40
40
40
40
25
25
25
-
• See Packaging Section
'" Functional Diagram Included In This Section
176
Optoelectronic Products
IR Emitters and Detectors
(Continued)
Matched Emitter-Detector Pairs
Type #
Description
Output Current
Typical
ICEO
(na)
BVCEO
(V)
irON (~s)
TI(~s)
VCE(SAT)
Max
Package
H23A1
H23A2
Photo
Transistor Output
IF=30mA
IF=30mA
1.5mA
1.0mA
100
100
30
30
8
8
50
50
0.4
0.4
321
321
H23B1
Photo
Darlington
Output
IF= 10mA
7.5mA
100
30
45
250
1.0
321
Type #
H23L1
Description
Turn On Current
IF (On) Max
Schmit! Trigger
Output
20mA
Hysteresis
IF (Off)/IF(On)
Operating
Voltage
Min
Max
Output Voltage
Vol Max
Min
Max
Package"
0.5
0.9
O.4V
4V
15V
321
Photon-Coupled Interrupter Modules
Type#+
Description
Output Current
ICEO
(nA)
BVCEO
(V)
Typical
TON
(~s)
tt!~s)
VCE(SAT)
Max
Package"
H21A1
H21A2
H21A3
H21A4
H21A5
H21A6
H22A1
H22A2
H22A3
H22A4
H22A5
H22A6
CNY28
CNY36
Photo
Transistor
Output
IF = 20mA
IF=20mA
IF=20mA
IF = 20mA
IF=20mA
IF = 20mA
IF = 20mA
IF = 20mA
IF = 20mA
IF = 20mA
IF = 20mA
IF = 20mA
IF=20mA
IF=20mA
1.0mA
2.0mA
4.0mA
1.0mA
2.0mA
4.0mA
1.0mA
2.0mA
4.0mA
1.0mA
2.0mA
4.0
2OOl'A
2OOl'A
100
100
100
100
100
100
100
100
100
100
100
100
100
100
30
30
30
55
55
55
30
30
30
55
55
55
30
30
8
8
8
8
8
8
8
8
8
8
8
8
5
5
50
50
50
50
50
50
50
50
50
50
50
50
5
5
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
319
319
319
319
319
319
320
320
320
320
320
320
319
320
H21B1
H21B2
H21B3
H21B4
H21B5
H21B6
H22B1
H22B2
H22B3
H22B4
H22B5
H22B6
CNY29
Photo
Darlington
Output
IF= 10mA
IF=10mA
IF=10mA
IF=10mA
IF=10mA
IF=10mA
IF=10mA
IF=10mA
IF=10mA
IF=10mA
IF=10mA
IF=10mA
IF = 20mA
7.5mA
14mA
25mA
7.5mA
14mA
25mA
7.5mA
14mA
25mA
7.5mA
14mA
25mA
2.5mA
100
100
100
100
100
100
100
100
100
100
100
100
100
30
30
30
55
55
55
30
30
30
55
55
55
25
45
45
45
45
45
45
45
45
45
45
45
45
150
250
250
250
250
250
250
250
250
250
250
250
250
150
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.2
319
319
319
319
319
319
320
320
320
320
320
320
319
Type
#+
H21L1
H21L2
H22L1
H22L2
Description
Schmit!
Trigger
Output
Turn On
IF(ON)
Max
30mA
15mA
30mA
15mA
Hysteresis
IF (OFF)/IF(ON) Ratio
Min
Max
Output Voltage
Vol.
Max
0.5
0.5
0.5
0.5
0.9
0.9
0.9
0.9
O.4V
0.4V
O.4V
O.4V
+ H21 and H22 interrupters: replace older less efficient designs significantly
Operating Voltage
Voltage
Min
Max
Package"
4V
4V
4V
4V
15V
15V
15V
15V
323
323
322
322
-Feature a strengthened housing with slot aperture to improve resolution.
-Block up to 55 valls.
improve performance.
-Incorporate integral lenses.
* See Package Section
-Minimize high detector-supply-voltage problems.
:it Functional Diagram Included In This Saelion
177
Optoelectronic Products
Functional Diagrams
----J]
Optoisolators/O ptocou piers
I
I
I
I
I
TIi ---I
I
I
I
I
I
I
I
<>--r="_ _ _ _ _ I
Photo-Transistor Output
JJ~----l
I"
I
I
I
I
I
<>+, _ _ _ _ _ _ J
---J]
High-Voltage
Photo Darlington Output
I
1
I
I
I
I
I
I
I
I
I
I
~
""
I
I
I
High-Voltage Photo
Transistor Output
:[ ~----i :11----1 :1 f~--- 1-0
1
I
I
I
I
I
I
0-;--_____
Video/Wideband
Linear Isolator
]
I ____ _
<>-+=
---J]
I
I
I
~
""
<>-+=_____
AC Input Isolator
Bilateral Output
Analog FET
-----'
I
~ i
I
I
r
f
I
I
I
. _ _ _ _ _ _ -..1
Schmitt Trigger Output
I
I
I
I
Photo SCR Output
1
1
I
I
<>-+=----Programmable
Threshold Isolator
Photo Darlington Output
Schmitt Trigger Output
178
1
1
I
I
I
<>-+=-----
Triac Driver Output
I
I
I
I
I
I
1
I
]]-----'-0
II
I
I
I
I
1_
1I
O-l _____ _
Darlington
I
I
I
I
I
I
I
1
Optoelectronic Products
Functional Diagrams
(Continued)
Infrared Emitters
o
Dectors
Photo Transistors
Photo Darlingtons
Matched Emitter-Detector Pairs
lI - II
I
J
-I
I_
Photo Transistor Output
Photo Darlington Output
Photon-Coupled Interrupter Modules
I
I
I -I
-I
J
I
1
Photo Transistor Output
Photo Darlington Output
179
Schmitt Trigger Output
JAN Qualified-Parts Listing and MIL-M-38510 Detail
Specifications (Slash Sheets)
Available JAN Devices
Available JAN Devices
1 x 10' 1 X 10·
Detail Specification
Type
MIL -M-3851 0/05001
02
03
51
52
53
CD4011A
CD4012A
CD4023A
CD4011B
CD4012B
CD4023B
MIL-M-3851 0/051 01
02
03
51
52
53
CD4013A
CD4027A
CD4043A
CD4013B
CD4027B
CD4043B
MIL-M-38510/05201
02
03
04
51
52
53
54
CD4000A
CD4001A
CD4002A
CD4025A
CD4000B
CD4001B
CD4002B
CD4025B
MIL-M-3851 0/05301
02
03
04
51
52
53
54
CD4007A
CD4019A
CD4030A
CD4048A
CD4007UB
CD4019B
CD4030B
CD4048B
MIL-M-3851 0/05401
51
CD4008A
CD4008B
MIL-M-38510/05501
02
03
04
05
51
52
53
54
55
CD4009A
CD4010A
CD4049A
CD4050A
CD4041A
CD4009UB
CD4010B
CD4049UB
CD4050B
CD4041UB
MIL-M-38510/05601
02
03
04
05
51
52
53
54
55
CD4017A
CD4018A
CD4020A
CD4022A
CD4024A
CD4017B
CD4018B
CD4020B
CD4022B
CD4024B
S
X
X
X
B
X
X
X
X
X
X
Rad SI
X
X
X
Rad SI
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
Detail Specification
Type
MIL-M-3851 0/05701
02
03
04
05
06
51
52
53
54
55
56
CD4006A
CD4014A
CD4015A
CD4021A
CD4031A
CD4034A
CD4006B
CD4014B
CD4015B
CD4021B
CD4031B
CD4034B
MIL-M-3851 0/05801
02
51
52
CD4016A
CD4066A
CD4016B
CD4066B
MIL - M-3851 0/05901
51
CD4028A
CD4028B
MIL-M-38510/17001
02
03
CD4081B
CD4082B
CD4073B
MIL-M-38510/17101
02
03
CD4071B
CD4072B
CD4075B
MIL-M-38510/17201
02
03
04
CD4085B
CD4086B
CD4070B
CD4077B
M I L-M-3851 0/17301
02
03
04
05
CD4514B
CD4515B
CD4532B
CD4555B
CD4556B
MIL-M-38510/17401
02
03
04
CD4069UB
CD40107B
CD4502B
CD40109B
MIL-M-3851 0/17501
02
03
04
05
CD4076B
CD4095B
CD4096B
CD4098B
CD40174B
MIL-M-38510/17601
02
CD4099B
CD4508B
MIL-M-38510/17701
02
CD4093B
CD40106B
MIL-M-38510/17801
02
03
CD4067B
CD4097B
CD40257B
For current availability, call your Harris Semiconductor authorized distributor or nearest sales office.
Available in DIL (cerdip) packages and flat packs.
180
S
B
1 x 10'
Rad SI
1 X 10·
RadSI
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
High-Reliability CD4000 Slash-Series Types
CLASS B
MII-Sld-883
NonComplianl
TYPE
DESCRIPTION
CD4000A
CD4000B
CD4000UB
CD4001A
CD4001B
Dual3 Input NOR Gate plus Inverter
Dual 3 Input NOR Gate plus Inverter
Dual3 Input NOR Gate plus Inverter
Quad 21nput NOR Gate
Quad 21nput NOR Gate
X
X
X
X
X
CD4001UB
CD4002A
CD4002B
CD4002UB
CD4006A
Quad 2 Input NOR Gate
Dual 4 Input NOR Gate
Dual 4 Input NOR Gate
Dual 4 Input NOR Gate
18 Stage static soift register
X
X
X
X
X
CD4006B
CD4007A
CD4007UB
CD4008B
CD4009UB
18 Stage static shift register
Dual Complementary pair plus Inverter
Dual Complementary pair plus Inverter
4 Bit full adder with parallel carry out.
Hex buffer/converter (inverting)
X
X
X
X
X
CD4010B
CD4011A
CD4011B
CD4011UB
CD4012A
Hex buffer/converter (Non Inverting)
Quad 2 - input NAND Gate
Quad 2 - input NAND Gate
Quad 2 - input NAND Gate
Dual 4 - input NAND Gate
X
X
X
X
X
181
Complianl
CLASSS
MII-M-38510
Slandard
Rad
Hard
X
X
X
X
X
X
X
X
X
Slandard
Rad
Hard
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
MiI-Sld-883
MiI-M-38510
Slandard
Rad
Hard
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
High-Reliability CD4000 Slash-Series Types
CLASSB
TYPE
DESCRIPTION
CD4012B
CD4012UB
CD4013A
Dual 4 - input NAND Gate
Dual 4 - input NAND Gate
Dual "D" flip-flops with set/reset
capability
Dual "D" flip-flops with set/reset
capability
8-Stage static shift register
CD4013B
CD4014A
CD4014B
CD4015A
CD4015B
CD4016A
CD4016B
,
8-Stage static shift register
Dual 4 stage static shift register
Dual 4 stage static shift register
Quad bilateral switch
Quad bilateral switch
CD4017B
CD4018A
CD4018B
CD4019A
CD4019B
Decode Counter/divider
Presettable divide by "N" counter
Presettable divide by "N" counter
Quad AND/OR select Gate
Quad AND/OR select Gate
CD4020A
CD4020B
CD4021A
CD4021B
CD4022A
14-Stage binary ripple counter
14-Stage binary ripple counter
8-Stage static shift register
8-Stage static shift register
Divide-by-8 counter/divider
CD4022B
CD4023A
CD4023B
CD4023UB
CD4024A
Divide-by-8 counter/divider
Triple 3-input NAND Gate
Triple 3-input NAND Gate
Triple 3-input NAND Gate
7-Stage binary ripple counter
CD4024B
CD4025A
CD4025B
CD4025UB
CD4026A
7-Stage binary ripple counter
Triple 3-input NOR Gate
Triple 3-iNput NOR Gate
Triple 3-input NOR Gate
Decade Counter/Divider
CD4026B
CD4027A
CD4028A
CD4028B
Decade counter/divider
Dual "J-K" flip-flop with set/reset
capability
Dual "J-K" flip-flop with set/reset
capability
BCD-to-decimal decoder
BCD-to-decimal decoder
CD4029A
CD4029B
CD4030A
CD4030B
CD4031A
Presettable up/down counter
Presettable up/down counter
Quad Exclusive-OR Gate
Quad Exclusive-OR Gate
64-stage static shift register
CD4031B
CD4033B
CD4034B
CD4035B
CD4040A
64-Stage static shift register
Decade counter/divider
8-Stage static shift register
4-Slage parallel in/parallel out shift
register
12-Stage binary ripple counter
CD4040B
CD4041A
CD4041UB
CD4042A
CD4042B
12-Stage binary ripple counter
Quad True/complement buffer
Quad True/complement buffer
Quad Clocked "D" latch
Quad Clocked "D" latch
CD4043A
CD4043B
CD4044A
CD4044B
CD4046A
Quad NOR R/S latch (3-state outputs)
Quad NOR R/S lalch (3-state outputs)
Quad NAND R/S latch (3-state outputs)
Quad NAND R/S latch (3-state outputs)
Micropower phase-locked loop
CD4027B
NonCompllanl
CLASS S
MiI-M-38510
MiI-Sld-883
Complianl
MiI-Sld-883
MII-M-38510
Slandard
Rad
Hard
Slandard
Rad
Hard
Slandard
Rad
Hard
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
182
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
High-Reliability CD4000 Slash-Series Types
CLASS B
MiI-Sld-883
Mil-M-38S10
NonComplianl
TYPE
DESCRIPTION
Complianl
CD4046B
CD4047B
CD4048A
CD4048B
CD4049A
Micropower phase locked loop
Monostable/A-stable multivibrator
Multifunctional expandable 8-input Gate
Multifunctional expandable 8-input Gate
Hex buffer/converter (inverting)
X
X
X
X
X
X
X
CD4049UB
CD4050A
CD4050B
CD4051B
Hex buffer/converter (inverting)
Hex buffer/converter (non-inverting)
Hex buffer/converter (non-inverting)
8-Channel analog multiplexer/
demultiplexer
4-Channel analog multiplexer/
demultiplexer
X
X
X
X
X
X
X
X
Triple 2-channel analog multiplexer
demultiplexer
4-Segment display driver
BCD-to-7-segment decoder/driver with
"display frequency" output
BCD-to-7-segment decoder driver with
strobed-latch function
Programmable divide-by- "N" counter
X
14-Stage binary ripple counter/divider
and oscillator
14-Stage binary ripple counter/divider
and oscillator
4-Bit magnitude comparator
Quad bilateral switch
16-channel analog multiplexers!
demultiplexers
X
CD4068B
CD4069UB
CD4070B
CD4071B
CD4072B
Slandard
Rad
Hard
X
CLASS S
MiI-Sld-883
Slandard
Rad
Hard
X
X
X
X
X
X
MiI-M-38S10
Slandard
Rad
Hard
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
8-lnput NAND/AND gate
Hex inverter
Quad exclusive-OR gate
Quad 2-input OR gate
Dual 4-input OR gate
X
X
X
X
X
X
X
X
X
X
X
Triple 3-input AND gate
Triple 3-input OR gate
4-Bit "D" flip-flop (3-state outputs)
Quad exclusive-NOR gate
8-Bit NOR/OR gate
X
X
X
X
CD4081B
CD4082B
CD4085B
Quad 2-input AND gate
Dual 4-input AND gate
Dual 2-wide, 2-input AND/OR/
INVERT (AOI) gate
Expandable 4-wide, 2-input
AND/OR INVERT (AOI) gate
Binary rate multiplier
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
CD4073B
CD4075B
CD4076B
CD4077B
CD4078B
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
Quad 2-input NAND Schmitt Trigger
8-Stage shift-and-store bus register
Gated "J-K" flip-flop (non-inverting)
Gated "J-K" flip-flop (inverting and
non-inverting)
·8-Channel analog multiplexer/
demultiplexer
X
X
X
X
Dual monostable multivibrator
8-Bit addressable latch
Strobed hex inverter/buffer
Hex buffer (non-inverting)
Dual 4-bit latch
X
X
CD4052B
CD4053B
CD4054B
CD4055B
CD4056B
CD4059A
CD4060A
CD4060B
CD4063B
CD4066B
CD4067B
CD4086B
CD4089B
CD4093B
CD4094B
CD4095B
CD4096B
CD4097B
CD4098B
CD4099B
CD45028
CD45038
CD45088
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
183
X
X
X
X
X
X
X
X
X
X
X
High-Reliability C 04000 Slash-Series Types
CLASSB
MII-Sld-883
CD4516B
CD4517B
CD4518B
CD4520B
CD4527B
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
Presettable 4-bit binary up/down
counter
Dual 64-bit shift register
Dual BCD up counter
Dual binary up counter
BCD rate multiplier
a-Input priority encoder
Programmable timer
Dual precision monostable multivibrator
CMOS programmable timer
CMOS BCD-to-seven-segment latch/
decoder/driver for liquid-crystal
displays
CD4555B
Duall-of-4 decoder/demultiplexer
(outputs high)
Duall-of-4 decoder/demultiplexer
(outputs low)
4-Bit magnitude comparator
a-Bit addressable latch
32-bit left/right shift register
CD4585B
CD4724B
CD40100B
X
X
X
X
X
X
X
X
CD4532B
CD4536B
CD14538B
CD4541B
CD4543B
CD4556B
X
X
X
X
Presettable 4-bil BCD up/down counter
BCD-to-7-segment latch decoder/driver
a-Channel data selector (3-state output)
4-Bit latch/4-to-16-line decoder
(outputs low)
4-Bit latch/4-to-16-line decoder
(outputs low)
CD4510B
CD4511B
CD4512B
CD4514B
CD4515B
Rad
Hard
Compllanl
DESCRIPTION
Slandard
MII-Sld-883
Slandard
NonComplianl
TYPE
CLASSS
MiI-M-38510
Rad
Hard
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
9-Bit parity generator/checker
Presettable 2-decade BCD down
counter
Presettable a-bit binary down counter
4-Bit bidirectional universal shift register
4-Bit x 16 word FiFo buffer register
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
CD40106B
CD40107B
CD40108B
CD40109B
CD40110B
Hex Schmitt Trigger
Dual 2-input NAND buffer/driver
4 x 4 Multiport register
Quad low-to-high voltage interface
Decade up-down counter/decoder/
latch/display driver
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
CD40116B
X
X
X
CD40161B
CD40162B
CMOS high-speed 8-bit bidirectional
CMOS/TTL interface level converter
10-Line to 4-line BCD" priority encoder
Decade counter with asynchronous
clear
Binary counter with asynchronous clear
Decade counter with synchronous clear
CD40163B
CD40174B
CD40175B
CD40181B
CD40182B
CD40192B
CD40193B
CD40101B
CD40102B
CD40103B
CD40104B
CD40105B
CD40147B
CD40160B
CD40194B
CD4020aB
CD40257B
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
Binary counter with synchronous clear
Hex "D" flip-flop
CMOS Quad 'D'-type flip-flop
4-Bit arithmetic logic unit
Look-ahead-carry block
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
Presettable 4-Bit BCD up/down counter
Presettalbe 4-Bit binary up/down
counter
4-Bit bidirectional universal shift register
4 x 4 Multiport register
Quad 2-line-to-l-line data selector
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
184
MII-M-38510
Slandard
Rad
Hard
High-Reliability CD54HC-Series Types
Mil-SId
BB3C
(Nole 1)
CLASSB
SMD/
DESC
(Nole2)
CMOS Logic
DESCRIPTION
CD54HC237
CD54HC238
CD54HC240
CD54HC241
CD54HC242
3-tcr8 Line Decoder
3-t0-8 Line Decoder/Demultiplexer
Octal Buffer/Line Driver, 3-State, Inverting
Octal Buffer/Line Driver, 3-State
Quad Bus Transceiver, 3-State, Inverting
X
X
X
X
X
CD54HC243
CD54HC244
CD54HC245
CD54HC251
CD54HC253
Quad Bus Transceiver, 3-State
Octal Buffer/Line Driver, 3-State
Octal Bus Transceiver, 3-State
B-Input Multiplexer, 3-State
Dual 4-lnput Multiplexer, 3-State
X
X
X
X
X
X
X
X
X
CD54HC257
CD54HC258
CD54HC259
CD54HC273
CD54HC280
Quad 2-lnput Multiplexer, 3-State
Quad 2-Line-t0-4 Line Data Selector
8-Bit Addressable Latch
Octal D-Type Flip-Flop wlRESET
9-Bit Odd/Even Parity Generator/Checker
X
X
X
X
X
X
CD54HC283
CD54HC297
CD54HC299
CD54HC354
CD54HC356
4-Bit Full Adder wlFast Carry
Digital Phase-Locked-Loop Filter
B-Bit Universal Shift Register, 3-State
B-Input Multiplexer/Register, 3-State
B-Input Multiplexer/Register, 3-State
X
X
X
X
X
X
CD54HC365
CD54HC366
CD54HC367
CD54HC366
CD54HC373
Hex Buffer/Line Driver, 3-State
Hex Buffer/Line Driver, 3-State, Inverting
Hex Buffer/Line Driver, 3-State
Hex Buffer/Line Driver, 3-State, Inverting
Octal Transparent Latch, 3-State
X
X
X
X
X
X
X
X
X
X
CD54HC374
CD54HC377
CD54HC390
CD54HC393
CD54HC423
Octal D-Type Flip-Flop, 3-State
Octal D-Type Flip-Flop with Data Enable
Dual Decade Ripple Counter
Dual 4-Bit Binary Ripple Counter
Dual Retriggerable Monostable Multivibrator with Reset
X
X
X
X
X
X
X
X
X
CD54HC533
CD54HC534
CD54HC540
CD54HC541
CD54HC563
Octal Transparent Latch, 3-State, Inverting
Octal D-Type Flip-Flop, 3-State, Inverting
Octal Buffer/Line Driver, 3-State, Inverting
Octal Buffer/Line Driver, 3-State
Octal Transparent Latch, 3-State, Inverting
X
X
X
X
X
X
X
CD54HC564
CD54HC573
CD54HC574
CD54HC583
CD54HC597
Octal D-Type Flip-Flop, 3-State, Inverting
Octal Transparent Latch, 3-State
Octal D-Type Flip-Flop, 3-State
4-Bit Full Adder wlFast Carry
B-8it Shift Register with I/P Latch
X
X
X
X
X
X
X
CD54HC640
CD54HC643
CD54HC646
CD54HC648
CD54HC670
Octal Bus Transceiver, 3-State Inverting
Octal Bus Transceiver, 3-State, True/Inverting
Octal Bus Transceiver/Register, 3-State
Octal Bus Transceiver/Register, 3-State, Inverting
4 x 4 Register File, 3-State
X
X
X
X
X
X
CD54HC688
CD54HC4002
CD54HC4015
CD54HC4016
CD54HC4017
8-8it Magnitude Comparator
Dual 4-lnput NOR Gate
Dual 4-Bit Serial-In/Parallel-Out Shift Register
Quad Bilateral Switch
Johnson Decade Counter wll0 Decoded Outputs
X
X
X
X
X
X
X
CD54HC4020
CD54HC4024
CD54HC4040
CD54HC4046
CD54HC4049
14-Stage Binary Ripple Counter
7-Stage Binary Ripple Counter
12-8il Binary Ripple Counter
Phase-Locked Loop with VCO
Hex Inverting HIGH-to-LOW Level Shifter
X
X
X
X
X
X
X
X
CD54HC4050
CD54HC4051
CD54HC4052
CD54HC4053
CD54HC4059
Hex HIGH-to-LOW Level Shifter
B-Channel Analog Multiplexer/Demultiplexer
Dual 4-Channel Analog Multiplexer/Demultiplexer
Triple 2-Channel Analog Multiplexer/Demultiplexer
Programmable Divided-by-"N' Counter
X
X
X
X
X
X
CLASSS
MII-Sld-BB3
Non-Compllanl
Rad Hard
X
X
X
X
X
X
X
X
X
X
X
X
X
NOTE 1 - 3A is 883 Revision C full compliant, Para 1.2.1
NOTE 2 - 3A devices are dual marked with the SMD/DESC drawing or standard military drawing.
NOTE 3 - 8 is JAN38510 Class B Device
185
MII-M
3B510
(Nole3)
X
X
X
X
X
High-Reliability CD54HC-Series Types
CLASSB
CLASSS
Mil-Std
883C
(Note 1)
SMD/
DESC
(Note 2)
MII-M
38510
(Note 3)
Mil-Std-B83
Non-Compliant
Rad Hard
CMOS logic
DESCRIPTION
CD54HCOO
CD54HC02
CD54HC03
CD54HC04
CD54HC08
Quad 2-lnput NAND Gate
Quad 2-lnput NOR Gate
Quad 2-lnput NAND Gate with Open Collector
Hex Inverter
Quad 2-1nput AND Gate
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
CD54HC10
CD54HC11
CD54HC14
CD54HC20
CD54HC21
Triple 3-lnput NAND Gate
Triple 3-lnput AND Gate
Hex Inverting Schmitt Trigger
Dual 4-lnput NAND Gate
Dual 4-lnput AND Gate
X
X
X
X
X
X
X
X
X
X
X
X
CD54HC27
CD54HC30
CD54HC32
CD54HC42
CD54HC73
Triple 3-lnput NOR Gate
B-Input NAND Gate
Quad 2-lnput OR Gate
BCD-to-Decimal Decoder (1-t0-10)
Dual J-K Flip-Flop wlRESET
X
X
X
X
X
X
X
X
X
X
CD54HC74
CD54HC75
CD54HC85
CD54HC86
CD54HC93
Dual D Flip-Flop w/SET and RESET
Quad Bistable Transparent Latch
4-Bit Magnitude Comparator
Quad 2-lnput EXCLUSIVE-OR Gate
4-Bit Binary Ripple Counter
X
X
X
X
X
X
X
X
X
CD54HC107
CD54HC109
CD54HC112
CD54HC123
CD54HC125
Dual J-K Flip-Flop w/RESET
Dual J-K Flip-Flop wlSET and RESET
Dual J-K Flip-Flop wlSET and RESET
Dual Retriggerable Monostable Multivibrator wlRESET
Quad 3-State Buffer
X
X
X
X
X
X
X
X
X
X
CD54HC126
CD54HC132
CD54HC137
CD54HC138
CD54HC139
Quad 3-State Buffer
Quad 2-input NAND Schmitt Trigger
3-to-B-Une Decoder wlLatch, Inverting
3-to-B-Une Decoder/Demultiplexer, Inverting
Dual 2-of-4-Une Decoder/Demultiplexer
X
X
X
X
X
X
X
CD54HC147
CD54HC151
CD54HC153
CD54HC154
CD54HC157
10-t0-4-Line Priority Encoder
B-Input Multiplexer
Dual 4-lnput Multiplexer
4-to-16-Line Decoder/Demultiplexer
Quad 2-lnput Multiplexer
X
X
X
X
X
X
X
X
X
X
CD54HC158
CD54HC160
Quad 2-lnput Multiplexer, Inverting
Synchronous BCD Decade Counter,
Asynchronous Reset
Synchronous 4-Bit Binary Counter,
Asynchronous Reset
Synchronous BCD Decade Counter,
Synchronous Reset
Synchronous 4-Bit Binary Counter,
Synchronous Reset
X
X
X
X
X
X
X
X
X
X
CD54HC164
CD54HC165
CD54HC166
CD54HC173
CD54HC174
B-Bit Serial-In Parallel-Out Shift Register
B-Bit Parallel-In Serial-Out Shift Register
B-Bit Parallel-In Serial-Out Shift Register
Quad D-Type Flip-Flop, 3-State
Hex D-Type Flip-Flop wlRESET
X
X
X
X
X
X
X
CD54HC175
CD54HC181
CD54HC182
CD54HC190
X
X
X
CD54HC191
Quad D-Type Flip-Flop wlRESET
ALU
carry Generator
Presettable Synchronous BCD Decade
Up/Down Counter
Synchronous 4-Bit Binary Up/Down Counter
X
X
X
CD54HC192
CD54HC193
CD54HC194
CD54HC195
CD54HC221
Synchronous BCD Decade Up/Down Counter
Synchronous 4-Bit Binary Up/Down Counter
4-Bit Bidirectional Universal Shift Register
4-Bit Parallel Access Shift Register
Dual Monostable Multivibrator wlRESET
X
X
X
X
X
X
X
X
X
X
CD54HC161
CD54HC162
CD54HC163
NOTE 1 NOTE 2 NOTE 3 -
X
X
X
X
X
X
X
X
X
3A is 883 Revision C full compliant, Para 1.2.1
3A devices are dual marked with the SMD/DESC drawing or standard military drawing.
B is JAN38510 Class B Device
186
X
High-Reliability CD54HC-Series Types
CLASS B
Mil-Sid
B83C
(Nole 1)
SMD/
DESC
(Nole2)
X
CMOS Logie
DESCRIPTION
CD54HC4060
CD54HC4066
CD54HC4067
CD54HC4075
CD54HC4094
14-Stage Binary Ripple Counter w/Oscillator
Quad Bilateral Switch
16-Channel Analog Multiplexer/Demultiplexer
Triple 3-lnput OR Gate
8-Stage Shift-and-Store Bus Register
X
X
X
X
X
CD54HC4316
CD54HC4351
CD54HC4352
CD54HC4353
CD54HC4510
Quad Analog Switch
Analog Multiplexer w/Latch
Analog Multiplexer w/Latch
Analog Multiplexer w/Latch
Up/Down Counter, BCD
X
X
X
X
X
CD54HC4511
CD54HC4514
CD54HC4515
CD54HC4516
CD54HC4518
BCD-to-7-Segment Latch/Decoder/Driver
4-to-16-Line Decoder/Demultiplexer wllnput Latches
4-to-16-Line Decoder wilh Input Latches
Up/Down Counter, Binary
Dual Synchronous BCD Counter
X
X
X
X
X
CD54HC4520
CD54HC4538
CD54HC4543
CD54HC7046
CD54HC7266
Dual 4-Bit Synchronous Binary Counter
Dual Precision Monostable Multivibrator
BCD-to-7-Segment Latch/Decoder/Driver for LCDs
Phase-Locked Loop with In-Lock Detection
Quad Exclusive NOR
X
X
X
X
X
CD54HC40102
CD54HC40103
CD54HC40104
CD54HC40105
CD54HCU04
8-Bit Synchronous BCD Down Counter
8-Bit Binary Down Counter
4-Bit Bidirectional Universal Shift Register, 3-State
4 Bits x 16 Words FIFO Register
Hex Inverter (Unbuffered)
X
X
X
X
X
CLASSS
MiI-M
3B510
(Nole3)
MiI-Sld-BB3
Non-Complianl
Rad Hard
MiI-M
SMD/
3B510
DESC
(Nole2) (Nole3)
CLASSS
MiI-Sld-B83
Non-Compliant
Rad Hard
X
X
X
X
X
High-Reliability CD54HCT-Series Types
CLASS B
Mil-Sid
BB3C
(Nole 1)
CMOS Logic
DESCRIPTION
CD54HCTOO
CD54HCT02
CD54HCT03
CD54HCT04
CD54HCTOB
Quad 2-lnpul NAND Gale
Quad 2-lnput NOR Gate
Quad 2-lnput NAND Gate wilh Open Collector
Hex Inverter
Quad 2-lnput AND Gate
X
X
X
X
X
X
X
CD54HCT10
CD54HCT11
CD54HCT14
CD54HCT20
CD54HCT21
Triple 3-lnput NAND Gate
Triple 3-lnput AND Gate
Hex Inverting Schmitt Trigger
Dual4-lnput NAND Gate
Dual 4-lnput AND Gate
X
X
X
X
X
CD54HCT27
CD54HCT30
CD54HCT32
CD54HCT42
CD54HCT73
Triple 3-lnput NOR Gate
8-lnput NAND Gate
Quad 2-lnput OR Gate
BCD-to-Decimal Decoder (1-to-10)
Dual J-K Flip-Flop w/RESET
X
X
X
X
X
X
X
CD54HCT74
CD54HCT75
CD54HCT85
CD54HCT86
CD54HCT93
Dual D Flip-Flop w/SET and RESET
Quad Bistable Transparent Latch
4-Bit Magnitude Comparator
Quad 2-lnput EXCLUSIVE-OR Gate
4-Bit Binary Ripple Counter
X
X
X
X
X
X
X
X
X
CD54HCT107
CD54HCT109
CD54HCT112
CD54HCT123
CD54HCT125
Dual J-K Flip-Flop w/RESET
Dual J-K Flip-Flop w/SET and RESET
Dual J-K Flip-Flop w/SET and RESET
Dual Retriggerable Mon05table Multivibrator w/RESET
Quad 3-State Buffer
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
NOTE 1 - 3A is 883 Revision C full compliant, Para 1.2.1
NOTE 2 - 3A devices are dual marked with the SMD/DESC drawing or standard military drawing.
NOTE 3 - B is JAN38510 Class B Device
187
X
X
X
X
X
X
High-Reliability CD54HCT-Series Types
CLASS B
Mil-Std
883C
(Note 1)
CMOS Logic
DESCRIPTION
CD54HCT126
CD54HCT132
CD54HCT137
CD54HCT138
CD54HCT139
Quad 3-State Buffer
Quad 2-input NAND Schmitt Trigger
3-to-8-Line Decoder w/Latch, Inverting
3-to-8-Line Decoder/Demultiplexer, Inverting
Dual 2-of-4-Line Decoder/Demultiplexer
X
X
X
X
X
CD54HCT147
CD54HCT151
CD54HCT153
CD54HCT154
CD54HCT157
10-to-4-Line Priority Encoder
8-lnput Multiplexer
Dual 4-lnput Multiplexer
4-to-16-Line Decoder/Demultiplexer
Quad 2-lnput Multiplexer
X
X
X
X
X
CD54HCT158
CD54HCT160
Quad 2-lnput Multiplexer, Inverting
Synchronous BCD Decade Counter,
Asynchronous Reset
Synchronous 4-Bit Binary Counter,
Asynchronous Reset
Synchronous BCD Decade Counter,
Synchronous Reset
Synchronous 4-Bit Binary Counter,
Synchronous Reset
X
CD54HCT161
CD54HCT162
CD54HCT163
SMD/
DESC
(Note 2)
MiI-M
38510
(Note 3)
X
X
X
X
X
X
X
X
X
X
X
CLASSS
Mil-Std-883
Non-Compliant
Rad Hard
X
X
X
X
X
CD54HCT164
CD54HCT165
CD54HCT166
CD54HCT173
CD54HCT174
8-Bit Serial-In Parallel-Out Shift Register
8-Bit Parallel-In Serial-Out Shift Register
8-Bit Parallel-In Serial-Out Shift Register
Quad D-Type Flip-Flop, 3-State
Hex D-Type Flip-Flop w/RESET
X
X
X
X
X
CD54HCT175
CD54HCT181
CD54HCT182
CD54HCT190
X
X
X
CD54HCT191
Quad D-Type Flip-Flop w/RESET
ALU
Carry Generator
Presettable Synchronous BCD Decade
Up/Down Counter
Synchronous 4-Bit Binary Up/Down Counter
CD54HCT192
CD54HCT193
CD54HCT194
CD54HCT195
CD54HCT221
Synchronous BCD Decade Up/Down Counter
Synchronous 4-Bit Binary Up/Down Counter
4-Bit Bidirectional Universal Shift Register
4-Bit Parallel Access Shift Register
Dual Monostable Multivibrator w/RESET
X
X
X
X
X
CD54HCT237
CD54HCT238
CD54HCT240
CD54HCT241
CD54HCT242
3-to-8 Line Decoder
3-to-8 Line DecoderlDemultiplexer
Octal Buffer/Line Driver, 3-State, Inverting
Octal Buffer/Line Driver, 3-State
Quad Bus Transceiver, 3-State, Inverting
X
X
X
X
X
CD54HCT243
CD54HCT244
CD54HCT245
CD54HCT251
CD54HCT253
Quad Bus Transceiver, 3-State
Octal Buffer/Line Driver, 3-State
Octal Bus Transceiver, 3-State
8-lnput Multiplexer, 3-State
Dual 4-lnput Multiplexer, 3-State
X
X
X
X
X
CD54HCT257
CD54HCT258
CD54HCT259
CD54HCT273
CD54HCT280
Quad 2-lnput Multiplexer, 3-State
Quad 2-Line-to-4 Line Data Selector
8-Bit Addressable Latch
Octal D-Type Flip-Flop w/RESET
9-Bit Odd/Even Parity Generator/Checker
X
X
X
X
X
CD54HCT283
CD54HCT297
CD54HCT299
CD54HCT354
CD54HCT356
4-Bit Full Adder w/Fast Carry
Digital Phase-Locked-Loop Filter
8-Bit Universal Shift Register, 3-State
8-lnput Multiplexer/Register, 3-State
8-lnput Multiplexer/Register, 3-State
X
X
X
X
X
CD54HCT365
CD54HCT366
CD54HCT367
CD54HCT368
CD54HCT373
Hex Buffer/Line Driver, 3-State
Hex Buffer/Line Driver, 3-State, Inverting
Hex Buffer/Line Driver, 3-State
Hex Buffer/Line Driver, 3-State, Inverting
Octal Transparent Latch, 3-State
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
NOTE 1 - 3A is 883 Revision C full compliant, Para 1.2.1
NOTE 2 - 3A devices are dual marked with the SMD/DESC drawing or standard military drawing.
NOTE 3 - B is JAN38510 Class B Device
188
X
X
X
X
High-Reliability CD54HCT-Series Types
CLASS B
CMOS Logic
DESCRIPTION
CD54HCT374
CD54HCT377
CD54HCT390
CD54HCT393
CD54HCT423
Octal D-Type Flip-Flop, 3-State
Octal D-Type Flip-Flop with Data Enable
Dual Decade Ripple Counter
Dual 4-Bit Binary Ripple Counter
Dual Retriggerable Monostable Multivibrator with Reset
CD54HCT533
CD54HCT534
CD54HCT540
CD54HCT541
CD54HCT563
Octal Transparent Latch, 3-State, Inverting
Octal D-Type Flip-Flop, 3-State, Inverting
Octal Buffer/Line Driver, 3-State, Inverting
Octal Buffer/Line Driver, 3-State
Octal Transparent Latch, 3-State, Inverting
CD54HCT564
CD54HCT573
CD54HCT574
CD54HCT583
CD54HCT597
Octal D-Type Flip-Flop, 3-State, Inverting
Octal Transparent Latch, 3-State
Octal D-Type Flip-Flop, 3-State
4-Bit Full Adder w/Fast Carry
8-Bit Shift Register with I/P Latch
CD54HCT640
CD54HCT643
CD54HCT646
CD54HCT648
CD54HCT670
Octal Bus Transceiver, 3-State Inverting
Octal Bus Transceiver, 3-State, True/Inverting
Octal Bus Transceiver/Register, 3-State
Octal Bus Transceiver/Register, 3-State, Inverting
4 x 4 Register File, 3-State
CD54HCT688
CD54HCT4002
CD54HCT4015
CD54HCT4016
CD54HCT4017
8-Bit Magnitude Comparator
Dual 4-lnput NOR Gate
Dual 4-Bil Serial-ln/Paraliel-Out Shift Register
Quad Bilateral Switch
Johnson Decade Counter w/10 Decoded Outputs
CD54HCT4020
CD54HCT4024
CD54HCT4040
CD54HCT4046A
14-Slage Binary Ripple Counter
7-Stage Binary Ripple Counter
12-Bit Binary Ripple Counter
Phase-Locked Loop with VCO
Hex Inverting HIGH-to-LOW Level Shifter
-
CD54HCT4051
CD54HCT4052
CD54HCT4053
CD54HCT4059
Hex HIGH-to-LOW Level Shifter
8-Channel Analog Multiplexer/Demultiplexer
Dual 4-Channel Analog Multiplexer/Demultiplexer
Triple 2-Channel Analog Multiplexer/Demultiplexer
Programmable Divided-by-"N" Counter
CD54HCT4060
CD54HCT4066
CD54HCT4067
CD54HCT4075
CD54HCT4094
14-Stage Binary Ripple Counter w/Oscillator
Quad Bilateral Switch
16-Channel Analog Multiplexer/Demultiplexer
Triple 3-lnput OR Gate
8-Stage Shift-and-Store Bus Register
CD54HCT4316
CD54HCT4351
CD54HCT4352
CD54HCT4353
CD54HCT4510
Quad Analog Switch
Analog Multiplexer w/Latch
Analog Multiplexer w/Latch
Analog Multiplexer w/Latch
Up/Down Counter, BCD
CD54HCT4511
CD54HCT4514
CD54HCT4515
CD54HCT4516
CD54HCT4518
BCD-to-7-Segmenl Latch/Decoder/Driver
4-to-16-Line Decoder/Demultiplexer w/lnput Latches
4-to-16-Line Decoder with Input Latches
Up/Down Counter, Binary
Dual Synchronous BCD Counter
CD54HCT4520
CD54HCT4538
CD54HCT4543
CD54HCT7046A
CD54HCT7266
Dual 4-Bit Synchronous Binary Counter
Dual Precision Monostable Multivibrator
BCD-to-7-Segment Latch/Decoder/Driver for LCDs
Phase-Locked Loop with In-Lock Detection
Quad Exclusive NOR
CD54HCT40102
CD54HCT40103
CD54HCT40104
CD54HCT40105
8-Bit Synchronous BCD Down Counter
B-Bit Binary Down Counter
4-Bit Bidirectional Universal Shift Register, 3-State
4 Bits x 16 Words FIFO Register
Mil-SId
883C
(Nole 1)
SMD/
DESC
(Nole2)
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
CLASSS
MiI-M
38510
(Nole3)
MiI-Sld-883
Non-Complianl
Rad Hard
X
X
X
X
X
X
X
X
-
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
NOTE 1 - 3A is 883 Revision C full compliant, Para 1.2.1
NOTE 2 - 3A devices are dual marked with the SMD/DESC drawing or standard military drawing.
NOTE 3 - B is JAN38510 Class B Device
189
X
High-Reliability High-Speed CMOS Logic/SMDIDESC
Military Drawings Cross-Reference
DEVICE TYPE
CD54HCOOF3A
CD54HCTOOF3A
CD54HC02F3A
CD54HC03F3A
CD54HC04F3A
CD54HCU04F3A
CD54HC08F3A
CD54HCT05F3A
CD54HC10F3A
CD54HC11 F3A
CD54HC14F3A
CD54HCT14F3A
CD54HC20F3A
CD54HC21 F3A
CD54HC27F3A
CD54HC30F3A
CD54HC32F3A
CD54HCT32F3A
CD54HC42F3A
CD54HC73F3A
CD54HC74F3A
CD54HCT74F3A
CD54HC75F3A
CD54HC85F3A
CD54HCT85F3A
CD54HC86F3A
CD54HC107F3A
CD54HC109F3A
CD54HC112F3A
CD54HC123F3A
CD54HC125F3A
CD54HC126F3A
CD54HC138F3A
CD54HCT138F3A
CD54HC139F3A
CD54HC147F3A
CD54HC151F3A
CD54HC153F3A
CD54HC154F3A
CD54HCT154F3A
CD54HC157F3A
CD54HC158F3A
CD54HC160F3A
CD54HC161 F3A
CD54HCT161 F3A
CD54HC162F3A
CD54HC163F3A
CD54HC164F3A
CD54HC165F3A
CD54HCT165F3A
CD54HC173F3A
CD54HC174F3A
CD54HC175F3A
CD54HC191 F3A
CD54HCT191 F3A
SMD/DESC DWG NUMBER
DEVICE TYPE
8403701CX
5962-8683101 CX
8404101CX
5962-8764701 CA
8409801CX
8601001CX
8404701CX
5962-868301 CX
8403801CX
8404801CX
8409101CX
5962-8689001 EX
8403901CX
5962-8857601 CX
8404201CX
8404001CX
8404501CX
5962-8685201 CX
5962-868210EX
5962-8515301 CX
8405601CX
5962-8685301 CX
8407001 EX
8601301EX
5962-8867201 EX
8404601CX
5962-8515401 CX
8415001 EX
8408801 EX
5962-8684701 EX
5962-8772101 CA
5962-8684801 CX
8406201 EX
8550401 EX
8409201 EX
8406401 EX
8412801EX
8409301 EX
5962-8682201 JX
5962-8670101JX
5962-8606101 EX
5962-8682301 EX
5962-8682401 EX
8407501 EX
5962-8685401 EX
8409401 EX
8607601 EX
8416201CX
8409501 EX
5962-8685501 EX
5962-8682501 EX
8407301 EX
8408901 EX
5962-8689101 EX
5962-8867101 EA
CD54HC192F3A
CD54HC193F3A
CD54HC194F3A
CD54HC195F3A
CD54HC221 F3A
CD54HC237F3A
CD54HC238F3A
CD54HC240F3A
CD54HCT240F3A
CD54HC243F3A
CD54HC244F3A
CD54HCT244F3A
CD54HC245F3A
CD54HCT245F3A
CD54HC251 F3A
CD54HC257F3A
CD54HC259F3A
CD54HC273F3A
CD54HCT273F3A
CD54HC280F3A
CD54HC299F3A
CD54HC365F3A
CD54HC366F3A
CD54HC367F3A
CD54HC36BF3A
CD54HC373F3A
CD54HCT373F3A
CD54HC374F3A
CD54HCT374F3A
CD54HC377F3A
CD54HC390F3A
CD54HC393F3A
CD54HC533F3A
CD54HC534F3A
CD54HC563F3A
CD54HC564F3A
CD54HC573F3A
CD54HCT573F3A
CD54HC640F3A
CD54HC646F3A
CD54HC688F3A
CD54HCT688F3A
CD54HC4002F3A
CD54HC4017F3A
CD54HC4020F3A
CD54HC4024F3A
CD54HC4040F3A
CD54HC4049F3A
CD54HC4050F3A
CD54HCT4059F3A
CD54HC4060F3A
CD54HC4075F3A
CD54HC4538F3A
CD54HC7266F3A
REFERENCE: MIlitary Bulletin MIL-BUL-103
"LIST OF STANDARDIZED MILITARY DRAWINGS"
19D
SMD/DESC DWG NUMBER
5962-8772401 EX
5962-8780801 EX
5962-8682601 EX
5962-8682701 EX
5962-8780501 EX
5962-8860601 EA
5962-8688401 EX
8407401RX
8550501RX
8409001CX
8409601RX
8513001RX
B408501RX
8550601RX
8512501 EX
8512401EX
8551901EX
8409901RX
5962-8772501 RX
8607701CX
5962-8780601 RX
8500101 EX
5962-8682801 EX
8500201 EX
5962-8681201 EX
8407201RX
5962-8686701 RX
8407101 RX
8550701RX
5962-8780701 RA
8600901 EX
8410001CX
5962-8681301 RX
5962-8681401 RX
5962-8606201 RX
5962-8681501 RX
8512801RX
5962-8685601 RX
5962-8780901 RX
5962-8688501JX
5962-8681801RX
5962-8686701 RX
8404401CX
8601101EX
8500301 EX
8601201CX
8500401 EX
5962-8681901 EX
5962-8682001 EX
5962-8862401JX
5962-8768001 EX
5962-8772201 CX
5962-8688601 EX
8404301CX
SMD/DESC Drawings/High-Reliability High-Speed CMOS Cross-Reference
SMD/DESC DWG NUMBER
5962-8515301CX
5962-8515401CX
5962-8606101 EX
5962-8606201 RX
5962-8670101JX
5962-8681201 EX
5962-8681301 RX
5962-8681401 RX
5962-8681501RX
5962-8681801 RX
5962-8681901 EX
5962-8682001 EX
5962-8682101 EX
5962-8682201JX
5962-8682301 EX
5962-8682401 EX
5962-8682501 EX
5962-8682601 EX
5962-8682701 EX
5962-8682801 EX
5962-8683101 CX
5962-8684701 EX
5962-8684B01 CX
5962-8685201 CX
5962-8685301 CX
5962-8685401 EX
5962-8685501 EX
5962-8685601 RX
5962-8685701 RX
5962-8686701 RX
5962-8688301 CX
5962-8688401 EX
5962-8688501 JX
5962-8688601 EX
5962-8689001 CX
5962-8689101 EX
5962-8764701 CX
5962-8768001 EX
5962-8772101 CX
5962-8772201 CX
5962-8772401 EX
5962-8772501 RX
5962-8780501 EX
5962-8780601 RX
5962-8780701 RX
5962-8780801 EX
5962-8780901 RX
5962-8857601 CX
5962-8860601 EA
5962-8862401 JX
5962-8867101 EX
5962-8867201 EX
8403701CX
8403801CX
8403901CX
DEVICE TYPE
SMD/DESCDWG NUMBER
CD54HC73F3A
CD54HC107F3A
CD54HC157F3A
CD54HC563F3A
CD54HCT154F3A
CD54HC368F3A
CD54HC533F3A
CD54HC5334F3A
CD54HC564F3A
CD54HC688F3A
CD54HC4049F3A
CD54HC4050F3A
CD54HC42F3A
CD54HC154F3A
CD54HC158F3A
CD54HC160F3A
CD54HC173F3A
CD54HC194F3A
CD54HC195F3A
CD54HC366F3A
CD54HCTOOF3A
CD54HC123F3A
CD54HC126F3A
CD54HCT32F3A
CD54HCT74F3A
CD54HCT161F3A
CD54HCT165F3A
CD54HCT573F3A
CD54HCT688F3A
CD54HCT373F3A
CD54HCT08F3A
CD54HC238F3A
CD54HC646F3A
CD54HC4538F3A
CD54HCT14F3A
CD54HC191F3A
CD54HC03F3A
CD54HC4060F3A
CD54HC125F3A
CD54HC4075F3A
CD54HC193F3A
CD54HCT273F3A
CD54HC221F3A
CD54HC299F3A
CD54HC377F3A
CD54HC192F3A
CD54HC640F3A
CD54HCT21F3A
CD54HC237F3A
CD54HCT4059F3A
CD54HCT191 F3A
CD54HCT85F3A
CD54HCOOF3A
CD54HC10F3A
CD54HC20F3A
8404001CX
8404101CX
8404201CX
8404301CX
8404401CX
8404501CX
8404601CX
8404701CX
B404801CX
8405601CX
8406201 EX
8406401 EX
8407001 EX
8407101RX
8407201RX
8407301 EX
8407401RX
8407501 EX
8408501RX
8408B01EX
8408901 EX
8409001CX
B409101CX
8409201 EX
8409301 EX
8409401 EX
8409501 EX
8409601RX
8409801CX
8409901RX
8410001CX
8412801EX
8415001EX
8416201CX
8500101 EX
8500201 EX
8500301 EX
8500401 EX
8512401EX
8512501EX
8512801RX
8513001RX
8550401 EX
8550501RX
8550601RX
8550701RX
B551901EX
8600901 EX
8601001CX
8601101EX
8601201CX
8601301EX
8607601 EX
8607701CX
REFERENCE: MIlitary Bulletin MIL-BUL-103
"LIST OF STANDARDIZED MILITARY DRAWINGS"
191
DEVICE TYPE
CD54HC30F3A
CD54HC02F3A
CD54HC27F3A
CD54HC7266F3A
CD54HC4002F3A
CD54HC32F3A
CD54HC86F3A
CD54HC08F3A
CD54HC11 F3A
CD54HC74F3A
CD54HC138F3A
CD54HC147F3A
CD54HC75F3A
CD54HC374F3A
CD54HC373F3A
CD54HC174F3A
CD54HC240F3A
CD54HC161 F3A
CD54HC245F3A
CD54HCl12F3A
CD54HC175F3A
CD54HC243F3A
CD54HC14F3A
CD54HC139F3A
CD54HC153F3A
CD54HC162F3A
CD54HC165F3A
CD54HC244F3A
CD54HC04F3A
CD54HC273F3A
CD54HC393F3A
CD54HC151F3A
CD54HC109F3A
CD54HC164F3A
CD54HC365F3A
CD54HC367F3A
CD54HC4020F3A
CD54HC4040F3A
CD54HC357F3A
CD54HC251F3A
CD54HC573F3A
CD54HCT244F3A
CD54HCT138F3A
CD54HCT240F3A
CD54HCT245F3A
CD54HCT374F3A
CD54HC259F3A
CD54HC390F3A
CD54HCU04F3A
CD54HC4017F3A
CD54HC4024F3A
CD54HC85F3A
CD54HC163F3A
CD54HC280F3A
HCS/HCTS-Series Products
Type·
HC800M8
HCT800M8
HC802M8
HCT802M8
HCT804M8
HCT808M8
HC810M8
HCT810M8
HCT811M8
HCT814M8
HCT820M8
HCT821M8
HCT827M8
HCT832M8
HCT874M8
HC874MS
HCTS74MS
HCTS75MS
HCTS86MS
HCTS93MS
HCTS109MS
HCTS112MS
HCTS132MS
HCTS138MS
HCS138MS
HCTS139MS
HCTS147MS
HCTS153MS
Description
Quad 2 NAND (881)
Quad 2 NAND (881)
Quad 2 NOR (881)
Quad 2 NOR (881)
Hex Inverter (881)
Quad 2 AND (881)
Triple 3-lnput NAND Gate (881)
Triple 3-lnput NAND Gate (881)
Triple 3-lnput AND Gate (881)
Hex 8chmitt Trigger (881)
Dual 4 NAND (881)
Dual 4 AND (881)
Triple 3 NOR (881)
Quad 2-lnput OR Gate
Dual D FF (FF)
Dual D FF (FF)
Dual D FF (FF)
Dual 2-Bit Bistable Latch (FF)
Quad 2 EX-OR (SSI)
4-Bit Ripple Counter (MSI)
Dual JK FF (FF)
Dual JK FF SIR (FF)
Quad 2-lnput NAND Schmitt
Trigger
3-to-8-Line Decoder (MSI)
3-to-8-Line Decoder (MSI)
Dual 2-to-4 Decoder (MSI)
10-to-4 Line Priority Encoder
Dual 4-lnput Multiplexer (MSI)
Data Sheet
FileNo.
2138
2139
2137
2137
2140
2136
-
2248
2142
2143
2143
-
2141
-
-
Type·
Description
HCTS157MS
HCTS160MS
HCTS161MS
HCTS163MS
HCTS190MS
HCS190MS
HCTS191MS
HCTS193MS
Quad 2-lnput Multiplexer
4-Bit BCD Decade Counter (MSI)
4-Bit Binary Counter (MSI)
4-Bit Binary Counter (MSI)
4-Bit Binary U/D Counter (MSI)
4-Bit Binary U/D Counter (MSI)
4-Bit Binary U/D Counter (MSI)
Presettable Synchronous 4-Bit
Up/Down Counter
Octal Buffer (MSI)
Octal Buffer (MSI)
Octal Buffer (MSI)
Octal Bus Transceiver (MSI)
Octal Bus Transceiver (MSI)
Octal D F/F w/Reset (MSI)
Octal D F/F w/Reset (MSI)
8-Bit Universal Shift Register.
3-State
Hex Buffer (MSI)
Octal Latch (MSI)
Octal Latch (MSI)
Octal D F/F (MSI)
Dual Decade Ripple Counter
Octal Buffer (MSI)
Octal D F/F (MSI)
Transceiver/Register (MSI)
Dual 4 NOR (SSI)
Quad 2 EX-NOR (SSI)
HCTS240MS
HCS244MSt
HCTS244MSt
HCTS245MSt
HCS245MSt
HCS273MSt
HCTS273MSt
HCTS299MS
HCTS365MSt
HCTS373MSt
HCS373MSt
HC8374MSt
HCTS390MS
HCTS540MSt
HCTS574MSt
HCTS646MSt
HCTS4002MS
HCTS7266MS
tBus driver output stage.
'MS in the type designation indicates reliability screening to Class S flow charts.
192
Data Sheet
,FileNo.
2144
-
2251
-
2105
2132
2133
-
-
2131
2135
2134
-
-
-
High-Reliability CD54AC/ACT-Series ACL Types
TYPES
CD54AC/ACTOOF
CD54AC/ACT02F
CD54ACIACT04F
CD54AC/ACT05F
CD54AC/ACT08F
CD54AC/ACT1 OF
CD54AC/ACT14F
CD54ACI ACT20F
CD54AC/ACT32F
CD54AC/ACT74F
CD54AC/ACT86F
CD54AC/ACT109F
CD54AC/ACT112F
CD54AC/ACT138F
CD54AC/ACT139F
CD54AC/ACT151 F
CD54AC/ACT153F
CD54AC/ACT157F
CD54AC/ACT158F
CD54AC/ACT161 F
CD54AC/ACT163F
CD54AC/ACT164F
CD54AC/ACT174F
CD54ACIACT175F
CD54AC/ACT191 F
CD54AC/ACT193F
CD54ACIACT238F
CD54AC/ACT240F
CD54AC/ACT241 F
CD54AC/ACT244F
CD54ACIACT245F
CD54AC/ACT251 F
CD54AC/ACT253F
CD54ACIACT257F
CD54ACIACT258F
DESCRIPTION
Quad 2-lnput NAND Gate
Quad 2-lnput NOR Gate
Hex Inverter/Buffer
Hex Inverter/Buller with Open-Drain Outputs
Quad 2-lnput AND Gate
Triple 3-lnput NAND Gate
Hex Inverting Schmitt Trigger
Dual 4-lnput NAND Gate
Quad 2-lnput OR Gate
Dual D Flip-Flop w/Set and Reset
Quad 2-lnput Exclusive-OR Gate
Dual J-K Flip-Flop w/Set and Reset
Dual J-K Flip-Flop w/Set and Reset
3-to-8 Line Decoder/Demultiplexer, Inverting
Dual 2-01-4 Line Decoder/Demultiplexer
8-lnput Multiplexer
Dual 4-lnput Multiplexer
Quad 2-lnput Multiplexer
Quad 2-lnput Multiplexer, Inverting
Synchronous 4-Bit Binary Counter, Asynchronous
Reset
Synchronous 4-Bit Binary Counter, Synchronous
Reset
8-Bit Serial-In Parallel-Out Shift Register
Hex D-Type Flip-Flop w/Reset
Quad D-Type Flip-Flop w/Reset
Synchronous 4-Bit Binary Up/Down Counter
Synchronous 4-Bit Binary Up/Down Counter
3-to-8 Line Decoder/Demultiplexer
Octal Buffer Line Driver, 3-State, Inverting
Octal Buffer Line Driver, 3-State
Octal Buffer Line Driver, 3-State
Octal-Bus Transceiver, 3-State
8-lnput Multiplexer, 3-State
Dual 4-lnput Multiplexer, 3-State
Quad 2-lnput Multiplexer, 3-State
Quad 2-Line to 4-Line Data Selector
BB3C
CLASS B
SMD/
DESC
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X'
X'
X"
X'
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
•AC type only.
193
X'
"ACT type only.
High-Reliability CD54AC/ACT-Series ACL Types
TYPES
CD54AC/ACT273F
CD54AC/ACT280F
CD54AC/ACT283F
CD54AC/ACT299F
CD54AC/ACT323F
CD54AC/ACT373F
CD54AC/ACT374F
CD54AC/ACT533F
CD54AC/ACT534F
CD54AC/ACT540F
CD54AC/ACT541 F
CD54AC/ACT563F
CD54AC/ACT564F
CD54AC/ACT573F
CD54AC/ACT574F
CD54AC/ACT623F
CD54AC/ACT646F
CD54AC/ACT647F
CD54AC/ACT648F
CD54AC/ACT649F
CD54AC/ACT651F
CD54AC/ACT652F
CD54AC/ACT653F
CD54AC/ACT654F
CD54AC/ACT7060F
CD54AC/ACT7201 F
CD54AC/ACT7202F
CD54AC/ACT7623
DESCRIPTION
Octal 0-Type Flip-Flop w/Reset
8-Bit Odd/Even Parity Generator/Checker
4-Bit Full Adder w/Fast Carry
8-Bit Universal Shift Register, 3-State
8-Bit Universal Shift Register, 3-State,
(With Synchronous Reset)
Octal 0-Type Flip-Flop, 3-State
Octal 0 Flip-Flop, 3-State
Octal Transparent Latch, 3-State, Inverting
Octal 0 Flip-Flop, 3-State, Inverting
Octal Buffer Line Driver, 3-State, Inverting
Octal Buffer Line Driver, 3-State
Octal Inverting Transparent Latch, 3-State
Octal 0-Type Flip-Flop, 3-State, Inverting
Octal Transparent Latch, 3-State
Octal 0-Type Flip-Flop, 3-State
Octal Bus Transceiver 3-State
Octal Bus Transceiver/Register, 3-State
Octal Bus Transceiver/Register 3-State
Octal Bus Transceiver/Register, 3-State, Inverting
Octal Transceiver/Reg, 3-State, Inverting, open C
Octal Transceiver/Reg, 3-State, Inverting, open C
Octal Bus Transceiver/Reg, 3-State, open C
Octal Transceiver/Reg, 3-State, open C
Octal Transceiver/Reg, 3-State, open C
14 Stage Counter with Oscillator
512 x 9 Bit Parallel In-Out FIFO
1024 x 9 Bit Parallel Input FIFO
Octal Bus Transceiver, 3 State, w/open Drain
883C
CLASSB
SMD/
DESC
X
X
X
X
X'
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
• AC type only
High-Reliability ACL, SM D/DESC Military
Drawings Cross-Reference
DEVICE TYPE
CD54ACOOF3A
CD54ACTOOF3A
CD54AC04F3A
CD54AC08F3A
CA54AC32F3A
CD54AC74F3A
CD54ACT74F3A
CD54AC138F3A
CD54AC139F3A
CD54ACT139F3A
CD54AC174F3A
CD54ACT174F3A
SMD/DESC DWG NUMBER
5962-8754901 CA
5962-8769901 CA
5962-8760901 CA
5962-8761501 CA
5962-8761401 CA
5962-8852001 CA
5962-8752501 CA
5962-8762201 EA
5962-8762301 EA
5962-8755301 EA
5962-8762601 EA
5962-8775701 EA
DEVICE TYPE
CD54AC240F3A
CD54ACT240F3A
CD54AC241 F3A
CD54AC244F3A
CD54ACT244F3A
CD54AC245F3A
CD54ACT245F3A
CD54AC273F3A
CD54ACT273F3A
CD54AC374F3A
CD54AC540F3A
SMD/DESC DWG NUMBER
5962-8755001 RA
5962-8775901 RA
5962-8755101 RA
5962-8755201 RA
5962-8776001 RA
5962-8775801 RA
5962-8766301 RA
5962-8755501 RA
5962-8755601 RA
5962-8769401 RA
5962-8769501 RA
REFERENCE: Military Bulietin MIL-BUL-l03
LIST OF STANDARDIZED MILITARY DRAWINGS "
SM D/DESC Military Drawings, High-Reliability ACL
Cross-Reference
SMD/DESC DWG NUMBER
5962-8752501 CA
5962-8754901 CA
5962-8755001 RA
5962-8755101 RA
5962-8755201 RA
5962-8755301 EA
5962-8755501 RA
5962-8755601 RA
5962-8760901 CA
5962-8761401CA
5962-8761501CA
5962-8762201 EA
•• Awailing final DESC approval.
DEVICE TYPE
CD54ACT74F3A
CD54ACOOF3A
CD54AC240F3A
CD54AC241F3A
CD54AC244F3A
CD54ACT139F3A
CD54AC273F3A
CD54ACT273F3A
CD54AC04F3A
CA54AC32F3A
CD54AC08F3A
CD54AC138F3A
SMD/DESC DWG NUMBER
5962-8762301 EA
5962-8762601 EA
5962-8766301 RA
5962-8769401 RA
5962-8769501 RA
5962-8769901 CA
5962-8775701 EA
5962-8775801 RA
5962-8775901 RA
5962-8776001 RA
5962-8852001 CA
DEVICE TYPE
CD54AC139F3A
CD54AC174F3A
CD54ACT245F3A
CD54AC374F3A
CD54AC540F3A
CD54ACTOOF3A
CD54ACT174F3A
CD54AC245F3A
CD54ACT240F3A
CD54ACT244F3A
CD54AC74F3A
REFERENCE: Military Bulietin MIL-BUL-l03
"LIST OF STANDARDIZED MILITARY DRAWINGS"
194
Microprocessor, Memory, Peripheral, and Communication ICs
PRODUCT GRADES
DEVICE
TYPE
CDP1802ACD3
CDP1802AD3
CDP1821CD3
CDP1822CD3
CDP1823CD3
CDP1824CD3
'CDP1824D3
CDP1852CD3
CDP1852D3
CDP1853CD3
CDP1853D3
CDP1854ACD3
CDP1854AD3
CDP1831CD3
CDP1831D3
CDP1832CD3
CDP1832D3
CDP1833CD3
CDP1833D3
CDP1834CD3
CDP1834D3
CDP1857CD/3
CDP1857D/3
CDM5114CD3
CMM5114DIRZ
CMM5114JIRZ
CMM5114KIRZ
CDM5332CD3
CDM6264CD3
CDM6264ACD3
CDM6264ACJ3
CDM6264LCD3
CDM62256CD3
CMM5104D/3
CMM5104J/3
CMM5104K/3
CMM5104DIRZ
CMM5104JIRZ
CMM5104KIRZ
CMM6167K/3
CMM6167KIRZ
GP511K/3
GP511KIRZ
GPOOl/3
GP001/1RZ
GP501/3
GP501lRZ
GP502/3
GP502/1RZ
GP503/3
GP503/1RZ
GP514/3
GP514/1RZ
GP515/3
GP515/1RZ
GP516/3
GP516/1RZ
GP517/3
GP517/IRZ
GP301/3
GP301/1RZ
GP302/3
GP302/1RZ
GP305/3
GP305/1RZ
HD-15530
HD-15531
HD-4702
HD-6402
DESCRIPTION/FEATURES
8 Bit Microprocessor
8 Bit Microprocessor
1024 Word x 1 Bit SRAM
256 Word x 4 Bit SRAM
128 Word x 8 Bit SRAM
32 Word x 8 Bit SRAM
32 Word x 8 Bit SRAM
1/0 Port
1/0 Port
N Bit 1-01-8 Decoder
N Bit 1-01-8 Decoder
UART
UART
512 Word x 8 Bit ROM
512 Word x 8 Bit ROM
512 Word x 8 Bit ROM
512 Word x 8 Bit ROM
1024 Word x 8 Bit ROM
1024 Word x 8 Bit ROM
1024 Word x 8 Bit ROM
1024 Word x 8 Bit ROM
4 Bit Bus BufferlSeparator
4 Bit Bus BufferlSeparator
1024 Word x 4 Bit SRAM
1024 Word x 4 Bit SRAM Rad Hard
1024 Word x 4 Bit SRAM Rad Hard
1024 Word x 4 Bit SRAM Rad Hard
4096 Word x 8 Bit ROM
8 K x 8 150/200ns SRAM
8 K x 8 150ns SRAM
8K x 8150ns SRAM
8K x 8100ns SRAM - Low power
32 K x 8100/120ns SRAM
4096 Word x 1 Bit SRAM
4096 Word x 1 Bit SRAM
4096 Word x 1 Bit SRAM
4096 Word x 1 Bit SRAM Rad Hard
4096 Word x 1 Bit SRAM Rad Hard
4096 Word x 1 Bit SRAM Rad Hard
16 Word x 1 Bit SRAM
16 Word x 1 Bit SRAM
Voltage Level Converter
and Buffer Rad Hard
General Processor
General Processor Rad Hard
Emulating Controller
Emulating Controller Rad Hard
"2910" Type Controller
"2910" Type Controller Rad Hard
Multiplier (8 x 8)
Multiplier (8 x 8) Rad Hard
Double Address Select Unit
Double Address Select Unit Rad Hard
Double Register Select Unit
Double Register Select Unit Rad Hard
BUS Interface Unit
BUS Interface Unit Rad Hard
Interrupt Control Unit
Interrupt Control Unit Rad Hard
Mask-Programmable ROM (512 x 8)
Mask-Programmable ROM (512 x 8) Rad Hard
Mask-Programmable ROM (256 x 16)
Mask-Programmable ROM (256 x 16) Rad Hard
Mask-Programmable ROM
(512 x 16) with Pipeline Register Rad Hard
Manchester Encoder-Decoder
Manchester Encoder-Decoder
Programmable Bit Rate Generator
Universal Asynchronous Receiver Transmitter
195
JAN
SMD/
DESC
/883
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
-8
-Q
Microprocessor, Memory, Peripheral, and Communication ICs
PRODUCT GRADES
DEVICE
TYPE
HD-6406
HD-6409
HM-6504
HM-6508
HM-6514
HM-6516
HM-65162
HM-651B
HM-65262
HM-6551
HM-6561
HM-6564
HM-65642
HM-6617
HM-6642
HM-880B/08A
HM-8B16H
HM-8832
HM-92560
HM-92570
HM5-91MZ
HS-3182
HS-3282
HS-3447
HS65643RH
HS65647RH
RTX2000-8
BOC86
BOC88
BOC2B6
82C37A
82C52
82C54
82C55A
B2C59A
B2C82
82CB3H
82CB4A
82C85
82C86H/87H
82C88
B2C89
B2C2B4
B2C2BB
DESCRIPTION/FEATURES
Programmable Asynchronous Communication Interface
Manchester Encoder-Decoder
4K x 1 Synchronous RAM
1K x 1 Synchronous RAM
1K x 4 Synchronous RAM
2K x 8 Synchronous RAM
2K x B Asynchronous RAM
1K x 1 Synchronous RAM
16K x 1 Asynchronous RAM
256 x 4 Synchronous RAM
256 x 4 Synchronous RAM
64K Synchronous RAM Module
BK x 8 Asynchronous RAM
2K x 8 Fuse Link PROM
512 x 8 Fuse Link PROM
8K x 8 Asynchronous RAM Modules
16K x 8 Asynchronous RAM Module
32K x 8 Asychronous RAM Module
256K Synchronous RAM Module
256K Buffered Synchronous RAM Module
1M-Bit Asynchronous RAM Module
ARINC 429 Bus Interface Line Driver
ARINC 429 Bus Interface
Cypher-1'· Data Encryption
64K x 1 SOS CMOS Rad Hard Static RAM
8K x B SOS CMOS Rad Hard Static RAM
16-Bit Real Time Microcontroller
Static 16-Bit Microprocessor
Static B/16-Bit Microprocessor
Static 16-Bit Microprocessor
High Performance Programmable DMA Controller
Serial Controller Interface
Programmable Interval Timer
Programmable Peripheral Interface
Priority Interrupt Controller
Octal Latching Bus Driver
Octal Latching Inverting Bus Driver
Clock Generator Driver
StatiC Clock Controller/Generator
Octal Bus Transceivers
Bus Controller
Bus Arbiter
Clock Driver
Bus Controller
196
JAN
SMD/
DESC
X
X
X
X
X
X
X
X
X
X
/883
-8
-Q
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
5MO/OE5C and 1883 Microprocessor and PeripherallCs Cross-Reference
Device Type
ICM7170AMDG
ICM7170MJG
IM6402-1 MJL
IM6402AMJL
IM6653AMJG
IM6653MJG
IM6654AMJG
IM6654MJG
MG80C286-12
MG80C286-10
MD82C284-12
MD82C284-10
MD82C288-12
MD82C288-10
MD80C86
MR80C86
MD80C86-2
MR80C86-2
MD80C88
MR80C88
MD80C88-2
MR80C88-2
MD82C37A
MR82C37A
MD82C37A-5
MR82C37A-5
MD82C52
MR82C52
MD82C54
MR82C54
MD82C55A
MR82C55A
MD82C55A-5
MR82C55A-5
MD82C59A
MR82C59A
MD82C59A-5
MR82C59A-5
MD82C82
MR82C82
MD82C83H
MR82C83H
MD82C84A
MR82C84A
MD82C85
MR82C85
MD82C86H-5
MR82C86H-5
MD82C87H-5
MR82C87H-5
MD82C88
MR82C88
MD82C89
MR82C89
RTX2000-8
JAN
SMD/DESC Dwg. No.
-
-
-
-
-
-
-
84052010A
8405201XA
84052020A
8405202XA
-
-
-
-
-
8501501XA
85015013A
8406501JA
84065013A
8406602QA
8406602XA
8406601QA
8406601XA
5962-8501602YA
5962-85016023A
5962-8501601YA
5962-85016013A
8406701RA
84067012A
8406702RA
84067022A
8406801VA
84068012A
-
-
-
-
-
-
5962-8757701 RA
5962-87577012A
5962-8757702RA
5962-87577022A
8406901RA
84069012A
5962-8552801 RA
5962-85528012A
-
-
-
-
859000 (UK) Microprocessor and Peripheral ICs
Device Type
BS Detail Specification No.
MD80C86
MD80C86-2
MD82C52
MD82C54
MD82C55A
MD82C59A
MD82C59A-5
MD82C82
MD82C83H
MD82C84A
MD82C86H-5
MD82C87H-5
MD82C88
MD82C89
BS9490F8166
BS9490F8166
BS9490F8167
BS9490F8168
BS9490F8169
BS9490F8170
BS9490F8170
BS9490F8171
BS9490F8172
BS9490F8173
BS9490F8174
BS9490F8175
BS9490F8176
BS9490F8177
197
1883 No.
ICM7170AMDG/883B
ICM7170MJG/883B
IM6402-1MJL/883B'
IM6402AMJL/883B
IM6653AMJG/883B
IM6653MJG/883B
IM6654AMJG/883B
IM6654MJG/883B
M G80C286-12/883
MG80C286-10/833
MD82C284-12/883
MD82C284-10/883
MD82C288-12/883
MD82C288-10/883
MD80C86/883
MR80C86/883
MD80C86-2/883
MR80C86-2/883
MD80C88/883
MR80C88/883
MD80C88-2/833
MR80C88-2/883
MD82C37A/883
MR82C37 A/883
MD82C37 A-5/883
MR82C37A-5/883
MD82C52/883
MR82C52/883
MD82C54/883
MR82C54/883
MD82C55A/883
MR82C55A/883
MD82C55A-5/883
MR82C55A-5/883
MD82C59A/883
MR82C59A/883
MD82C59A-5/883
MR82C59A/883
MD82C82/883
MR82C82/883
MD82C83H/883
MR82C83H/883
MD82C84A/883
MR82C84A/883
MD82C85/883
MR82C85/883
MD82C86H-5/883
MR82C86H-5/883
MD82C87H-5/883
MR82C87H-5/883
MD82C88/883
MR82C88/883
MD82C89/883
MR82C89/883
RTX2000-8/883
1883 1K Static RAMS
Device Type
HM1-6508
HM1-6508B
HM1-6518
HM1-6518B
HM1-6551
HM1-6551B
HM1-6561
HM1-6561B
SMD/DESC Dwg. No.
JAN
-
-
-
-
-
1883 No.
H M 1-6508/883
HM1-6508B/883
HM1-6518/883
HM1-6518B/883
HM1-651/883
H M 1-6551 B/883
HM1-6561/883
HM1-6561 B/883
JAN, SMD/DESC, and 1883 4K Static RAMS
HM1-6504
HM1-6504B
HS1-6504RH
HM1-6504S
HS9-6504RH
HM1-6514
HM1-6514B
HS1-6514RH
HM1-6514S
HS9-6514RH
-
8102405VA
8102403VA
-
M38510R24503SVC
M38510/24501 BVA
M38510R24503SKC
8102401VA
-
-
8102406VA
8102404VA
8102402VA
-
M38510R24-504SVC
M38510/24502BVA
M38510R24504SKC
H M 1-6504/883
HM1-6504B/883
HS1-6504RH/883
HM1-6504S/883
HS9-6504RH/883
HM1-6514/883
HM1-6514B/883
HS1-6514RH/883
H M 1-6514S/883
HS9-6514RH/883
JAN/SMD/DESC, and 188316K Synchronous Static RAMS
HM1-6516
HM4-6516
HM1-6516B
HM4-6516B
M3851 0/291 02BJA
M3851 0/291 02BXA
8403601JA
8403601ZA
8403607JA
8403607ZA
-
HM1-6516/883
HM4-6516/883
H M 1-6516B/883
HM4-6516B/883
.JAN, SMD/DESC, and 188316K Asynchronous Static RAMS
HM1-65162
HM4-65162
HM1-65162B
HM4-65162B
HM1-65162C
HM4-65162C
HM1-65262
HM4-65262
HM1-65262B
HM4-65262B
M3851 0/291 04BJA
M3851 0/291 04BXA
M38510/29110BJA
M38510/29110BXA
-
-
M38510/29103BRA
M3851 0/291 03BYA
M3851 0/291 09BRA
M3851 0/291 09BYA
8403602JA
8403602ZA
8403606JA
8403606ZA
8403603JA
8403603ZA
8413201RA
8413201YA
8413203RA
8413203YA
HM1-65162/883
HM4-65162/883
HM1-65162B/883
HM4-65162B/883
HM1-65162C/883
H M4-65162C/883
HM1-65262/883
HM4-652621883
HM1-65262C/883
HM4-65262B/883
8552514XA
8552514YA
H M 1-65642/883
H M4-65642/883
HM1-65642B/883
HM4-65642B/883
HM1-65642C/883
H M4-65642C/883
JAN and 1883 64K Static RAMS
HM1-65642
HM4-65642
HM1-65642B
HM4-65642B
HM1-65642C
HM4-65642C
-
-
M38510/29205BXA
M38510/29205BYA
-
-
-
1883 Fuse Link PROMS
HM1-6617
HM4-6617
HM6-6617
HM1-6617B
HM4-6617B
HM6-6617B
HS1-6617RH
HS9-6617RH
HM1-6642
HM4-6642
HM6-6642
HM1-6642B
HM4-6642B
HM6-6642B
-
8954001JA
8954001XA
8954001 LA
'8954002JA
8954002XA
8954002LA
-
-
-
8869001JA
8869001XA
8869001 LA
8869002JA
88690023A
8869002LA
19B
HM1-6617/883
HM4-6617/883
HM6-6617/883
HM1-6617B/883
HM4-6617B/883
HM6-6617B/883
HS1-6617RH/883S
HS9-6617RH/883S
HM1-66421883
HM4-6642-883
HM6-6642/883
HM1-6642B/883
HM4-6642B/883
H M6-6642 B/883
Static RAM Modules
Device Type
HM5-6564
HM5-8808
HM5-8808B
HM5-8808S
HM5-8808A
HM5-8808AB
HM5-8808AS
HM5-8816H
HM5-8832
HM5-8832B
HM-91MZ
HM5-92560
HM5-92570
HS5-6564RH
JAN
SMD/DESC Dwg. No.
1883 No.
CMOS Static RAM Modules are available for military and high-reliability
applications processed to our high-reliability DASH 8 program flow. This includes
burn-in and value-added processing (temperature cycling. SEM inspection. etc.).
Please contact your Harris sales office or representative for information.
SM D and 1883 Data Communication Products
HD1-6409
HD1-15530
HD4-15530
HD1-15531
HD1-15531B
HD1-4702
HD1-6402
-
7802901JA
78029013A
-
-
H D1-6409/883
HD1-15530/883
H D4-15530/883
HD1-15531/883
HD1-15531B/883
H D1-4702/883
H D1-6402/883
High-Reliability JAN, SMD/DESC CMOS LSIIC Cross-Reference
JAN
Device Type
JAN
Device Type
M38150/24501BVA
M38510/24502BVA
M38510/29102BJA
M38510/29102BXA
M38510/29103BRA
M38510/29103BYA
M38510/29104BJA
M38510/29104BXA
M38510/29109BRA
HM1-6504S
HM1-6514S
HM1-6516
HM4-6516
HM1-65262
HM4-65262
HM1-65162
HM4-65162
HM1-65262B
M3851 0/291 09BYA
M38510/29110BJA
M38510/29110BXA
M38510/29205BXA
M38510/29205BYA
M38150R245035VC
M38150R24503SKC
M38150R24504SVC
M38150R24504SKC
HM4-65262B
HM1-65162B
HM4-65162B
HM1-65642B
HM4-65642B
HS1-6504RH
HS9-6504RH
HS1-6514RH
HS9-6514RH
SMD/DESC Dwg No.
Device Type
SMD/DESC Dwg No.
Device Type
5962-85016013A
5962-8501601 YA
5962-85016023A
5962-8501602YA
5962-85528012A
5962-8552801 RA
5962-86879013A
5962-8687901 EA
5962-8688010A
5962-868801 XA
5962-87577012A
5962-8757701 RA
5962-87577022A
5962-8757702RA
5962-8756301 JA
5962-8756301JC
78029013A
7802901JA
8102403VA
8102404VA
8102405VA
8102406VA
MR82C59A-5
MD82C59A-5
MR82C59A
MD82C59A
MR82C89
MD82C89
HS4-3182
HS1-3182
HS1-3282
HS4-3282
MR82C86H-5
MD82C86H-5
MR82C87H-5
MD82C87H-5
ICM7170MDG
ICM7170MDG
HD4-15530
HD1-15530
HM1-6504B
HM1-6514B
HM1-6504
HM1-6514
8403603JA
8403603ZA
8403607JA
8403607ZA
84052010A
8405201XA
84065013A
8406501JA
84066010A
8406601XA
84066020A
8406602XA
84067012A
8406701RA
84067022A
8406702RA
84068012A
8406801VA
84069012A
8406901RA
85015013A
8501501XA
HM1-65162C
HM4-65162C
HM1-6516B
HM4-6516B
MD80C86
MR80C86
MR82C54
MD82C54
MD82C55A-5
MR82C55A-5
MD82C55A
MR82C55A
MR82C82
MD82C82
MR82C83H
MD82C83H
MR82C84A
MD82C84A
MR82C88
MD82C88
MR82C52
MD82C52
199
Radiation-Hardened CMOS LSI ICs
Device Type
HS-3374RH
HS-54C138RH
HS-80C85RH
HS-81C55RH
HS-81C56RH
HS-82C08RH
HS-82C12RH
HS-83C55RH
HS-80CS6RH
HS-SOCS6RRH
HS-S2C37ARH
HS-S2C52RH
HS-S2C54RH
HS-S2C55ARH
HS-82C59ARH
HS-82C85RH
HS-15530RH
HS-245RH
HS-246RH
HS-248RH
HS-249RH
HS-3282
HS-6504RH
JAN
SMDI
DESC
X
1883
-8
-Q
Device Type
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
HS-6504RRH
HS-6508RH
HS-6514RH
HS-6514RRH
HS-6551RH
HS-65641RH
HS-65643RH
HS-65644RH
HS-65645RH
HS-65646RH
HS-65647RH
HS-65648RH
HS-6564RH
HS-65C162RH
HS-65C162RRH
HS-65C262RH
HS-65C262RRH
HS-65T162RH
HS-65T162RRH
HS-65T262RH
HS-65T262RRH
HS-6617RH
-
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
200
JAN
SMDI
DESC
1883
X
X
X
X
X
X
X
X
X
X
X
X
X
-8
X
X
X
X
X
X
-Q
X
X
X
X
X
X
X
X
X
X
X
X
-
-
-
-
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
Hi-Rei Analog ICs
PRODUCT
GRADES
FAMILY
DESCRIPTION/FEATURES
PART
NUMBER
-B
/BB3
SMD/
DESC
DIE
GRADES
JAN
CLASS
s/-o
B5B56
-3
AMPLIFIERS
HFA-0001
NEW!
Ultra High Speed Op Amp
• High Slew Rate
• Unity Gain Stable
1-0001
2-0001
04
04
HFA-0002
NEW!
Wideband Op Amp
• Wide Gain Bandwidth
• High Open Loop Gain
• Low Power
High Speed Op Amp
• High Slew Rate
• Wide Gain Bandwidth
• Unity Gain Stable
4 Channel Programmable Amp
• High Slew Rate
• Wide Gain Bandwidth
2-0002
7-0002
04
04
2-0005
7-0005
04
04
HFA-0005
NEW!
HA-2400
SMD:
HA-2500
Precision High Slew Rate Amp
• High Slew Rate
• Low Offset Current
• Fast Settling Time
JAN:
HA-2510
High Slew Rate Amp
• Wide Bandwidth
• Fast Settling Time
• High Input Impedence
JAN:
HA-2520
High Slew Rate (Uncomp) Amp
• High Slew Rate
• Fast Settling Time
• High Power Bandwidth
JAN:
HA-2529
High Output Cur (Uncomp) Amp
• High Slew Rate
• Wide Gain Bandwidth
SMD:
HA-2539
HA-2540
HA-2541
x
0-2400
1-2400
4-2400
0-2405
8778301EA
87783012A
X
X
X
X
X
2-2500
7-2500
0-2502
2-2502
4-2502
7-2502
0-2505
12204BGC
X
X
X
X
X
X
X
X
2-2510
7-2510
0-2512
2-2512
4-2512
7-2512
0-2515
12205BGC
X
X
X
X
X
X
X
X
2-2520
7-2520
0-2522
2-2522
4-2522
7-2522
0-2525
12206BGC
0-2539
1-2359
4-2539
8778701CA
87787012A
Wideband Fast Settling Amp
• Fast Settling Time
• Wide Gain Bandwidth
• High Slew Rate
SMD:
0-2540
1-2540
4-2540
X
X
X
= Now Available; Dale = Calendar Year; Blank = No current plans
201
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
8964801CA
89648012A
1-2541
2-2541
8778501XA
X
X
0-2529
2-2529
4-2529
7-2529
8972101GA
8972101PA
89721012A
High Slew Rate Wideband Amp
• Very High Slew Rate
• Open Loop Gain
SMD:
Wide band Unity Gain Stable Amp
• Unity Gain Bandwidth
SMD:
X
X
X
X
X
X
X
X
Hi-Rei Analog ICs
PRODUCT
GRADES
FAMILY
PART
NUMBER
DESCRIPTION/FEATURES
-8
/883
X
X
X
SMD/
DESC
DIE
GRADES
JAN
CLASS
s/-o
B5856
-3
AMPLIFIERS - Continued
HA-2542
HA-2544
Wideband High Output Cur Amp
• High Output Current
• Gain Bandwidth
SMD:
1-2542
2-2542
8964301XA
X
Video Operational Amp
• Unity Gain Bandwidth
• Low Supply Current
• Unity Gain Bandwidth
SMD:
0-2544
2-2544
4-2544
7-2544
8950201GA
8950201PA
89502012A
HA-2546
NEW!
2 Quadrant Video Multiplier
• Video Bandwidth
1-2546
4-2546
Q2
Q2
HA-2547
NEW!
Video Multiplier
• High Current Output
1-2547
4-2547
Q2
Q2
HA-2548
NEW!
High Slew Rate Wideband Amp
• Wide Gain Bandwidth
• Unity Gain
2-2548
4-2548
7-2548
Q2
Q2
Q2
HA-2600
Wideband High Impedence Amp
• Low Input Bias Current
• Low Input Offset Current
• High Gain
2-2600
7-2600
0-2602
2-2602
4-2602
7-2602
0-2605
12202BGC
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
JAN:
2-2620
7-2620
0-2622
2-2622
4-2622
7-2622
0-2625
12203BGC
High Voltage Amp
• Output Voltage Swing
• Supply Voltage
• Offset Current
DESC:
2-2640
4-2640
7-2640
0-2645
7800302GC
X
X
X
X
HA-2650
Dual High Performance Amp
• High Slew Rate
• Exceptional DC
2-2650
4-2650
7-2650
X
X
X
HA-4741
Quad Operational Amp
• Slew Rate
• Bandwidth
Precision Quad Comparator
• Fast Response Time
• Low Offset Voltage
• Low Offset Current
0-4741
1-4741
4-4741
X
X
X
X
X
X
Q2
JAN:
HA-2620
HA-2640
HA-4900
Wideband (Uncomp) Amp
• High Input Impedence
• High Slew Rate
• Gain Bandwidth Product
1-4900
4-4900
1-4902
4-4902
0-4905
8686001EA
86860012A
SMD:
HA-5002
HA-5004
NEW!
Wideband High Slew Rate Amp
• Voltage Gain
• High Slew Rate
• Wide Bandwidth
SMD:
Video Buffer-Amplifier
• High Speed
596259625962-
0-5002
2-5002
4-5002
7-5002
8963601GA
8963601PA
89636012A
1-5004
4-5004
x - Now Available: Cate _. Calendar Year: Blank - No current plans
202
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
Q2
X
Hi-Rei Analog ICs
PRODUCT
GRADES
FAMILY
PART
NUMBER
DESCRIPTION/FEATURES
-8
/883
X
X
SMD/
DESC
DIE
GRADES
JAN
CLASS
s/-o
B5856
-3
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
AMPLIFIERS - Conllnued
HA-5033
HA-5101
HA-5102
HA-5104
HA-5111
HA-5112
HA-5114
HA-5127
HA-5130
HA-5134
HA-5137
x
Video Buffer
• Differential Phase Error
• Differential Gain Error
SMD:
0-5033
2-5033
Single Low Noise Hi-Perf Amp
• Wide Bandwidth
• Compensated
• Low Noise
SMD:
0-5101
2-5101
4-5101
7-5101
6963501GA
6963501PA
69635012A
Dual Low Noise Hi-Perf Amp
• High Slew Rate
• Low Noise
• Low Offset Voltage
• Compensated
SMD:
0-5102
2-5102
4-5102
7-5102
Quad Low Noise Hi-Perf Amp
• High Slew Rate
• Low Noise
• Compensated
SMD:
0-5104
1-5104
4-5104
6963301XA
X
X
X
X
X
X
X
X
X
X
X
X
6954601GA
6954601PA
69546012A
59625962-
X
X
X
X
X
X
X
X
6650201CA
66502012A
Single Low Noise Hi-Perf Amp
• Low Noise
• Wide Bandwidth
• Uncompensated
SMD:
0-5111
2-5111
4-5111
7-5111
6963101GA
6963101PA
69631012A
Dual Low Noise Hi-Perf Amp
• Low Noise
• Wide Bandwidth
• Uncompensated
SMD:
0-5112
2-5112
4-5112
7-5112
6963201GA
6963201PA
69632012A
Quad Low Noise Hi-Perf Amp
• Low Noise
• Wide Bandwidth
• Uncompensated
SMD:
0-5114
1-5114
4-5114
Ultra-Low Noise Precision Amp
• Low Noise
• High Speed
• Low Offset Voltage
0-5127
2-5127
4-5127
7-5127
Precision Amp
• Low Offset Voltage
• Low Offset Voltage Drift
• Open Loop Gain
2-5130
7-5130
0-5135
2-5135
4-5135
7-5135
Precision Quad Amp
• High Channel Separation
• Low Offset Voltage
0-5134
1-5134
4-5134
X
X
Low Noise Precision Amp
• High Speed
• Wide Gain Bandwidth
• Low Noise
0-5137
2-5137
4-5137
7-5137
X
X
X
= Now Available; Date = Calendar Year; Blank =
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
6963401CA
69634012A
No current plans
203
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
Hi-Rei Analog les
PRODUCT
GRADES
FAMILY
DESCRIPTION/FEATURES
PART
NUMBER
-8
/883
SMD/
DESC
DIE
GRADES
JAN
CLASS
s/-o
B5856
-3
X
X
X
X
X
X
AMPLIFIERS - Continued
HA-5141
HA-5142
HA-5144
HA-5147
HA-5151
HA-5152
HA-5154
HA-5160
HA-5170
HA-5177
HA-51BO
HA-5190
Single Ultra Low Power Amp
• Low Supply Current
• Wide Supply Voltage Range
• High Slew Rate
Dual Ultra Low Power Amp
• Low Supply Current
• Wide Supply Voltage Range
• High Slew Rate
0-5141
2-5141
4-5141
7-5141
X
X
X
X
X
X
0-5142
2-5142
4-5142
7-5142
X
X
X
X
X
X
Ouad Ultra Low Power Amp
• Low Supply Current
• Wide Supply Voltage Range
0-5144
1-5144
4-5144
X
X
X
X
Ultra Low Noise Wide band Amp
• High Speed
• Wide Gain Bandwidth
• Low Noise
• High CMRR
Single Low Power Amp
• Low Supply Current
• Dual Supply Voltage Range
• High Slew Rate
Dual Low Power Amp
• Low Supply Current
• Dual Supply Voltage Range
• High Slew Rate
0-5147
2-5147
4-5147
7-5147
0-5151
2-5151
4-5151
7-5151
X
X
X
X
X
X
X
0-5152
2-5152
4-5152
7-5152
X
X
X
X
X
X
X
Ouad Low Power Amp
• Low Supply Current
• Dual Supply Voltage Range
• High Slew Rate
Wideband J-FET Input Amp
• Wide Gain Bandwidth
• High Slew Rate
0-5154
1-5154
4-5154
X
X
X
X
X
X
04
Precision J-FET Input Amp
• Low Offset Voltage
• Low Offset Voltage Drift
0-5170
2-5170
4-5170
7-5170
Ultra-Low Offset Voltage Amp
• Low Offset Voltage
• Low Offset Voltage Drift
0-5177
2-5177
4-5177
7-5177
Low Bias Current J-FET Amp
• Ultra Low Bias Current
• Low Power Supply Current
0-5180
2-5180
7-5180
Wideband Fast Settling Amp
• Fast Settling Time
• Very High Slew Rate
• Wide Gain Bandwidth
0-5190
1-5190
2-5190
4-5190
0-5195
8778401CA
8778401XA
87784012A
SMD:
x
X
X
X
X
2-5160
0-5162
X
X
X
X
X
X
X
X
04
04
04
X
X
X
X
X
X
X
04
04
X
X
X
X
X
X
X
X
X
X
X
X
Single Wideband Amp
• Unity Gain Bandwidth
• Low Offset Voltage
• Low Supply Current
2-5221
4-5221
7-5221
X
X
X
HA-5222
Dual Wideband Amp
• Unity Gain Bandwidth
• Low Offset Voltage
• Low Supply Current
4-5222
7-5222
X
X
HS-3516RH
Rad-Hard Hi Slew Rate Amp
• Wide Bandwidth
• Fast Settling Time
• Low Power Supply Current
9-3516RH
204
X
X
HA-5221
= Now Available; Date = Calendar Year; Blank = No current plans
X
X
X
Hi-Rei Analog les
PRODUCT
GRADES
FAMILY
DESCRIPTION/FEATURES
PART
NUMBER
-8
/883
SMD/
DESC
DIE
GRADES
JAN
CLASS
s/-o
B5856
-3
AMPLIFIERS - Continued
HS-3530RH
Rad-Hard Low Power Amp
• Wide Range DC Programming
• Wide Range AC Programming
• Low Noise
2-3530RH
X
X
HS-5104RH
Rad-Hard Quad Amp
• High Slew Rate
• Single 5V Supply Capability
• Low Ollset Voltage
1-5104RH
X
X
Fast Sample and Hold
• Fast Acquisition Time
• Low Droop Rate
• Gain Bandwidth Product
SMD:
0-2420
1-2420
4-2420
X
X
High Speed Sample and Hold
• Fast Acquisition time
1-5330
4-5330
X
X
1-0200
2-0200
X
X
SAMPLE AND HOLD
HA-2420
HA-5330
X
X
X
8001601CA
X
X
CMOS ANALOG SWITCHES
HI-0200
HI-0201
HI-0201HS
HI-0222
HI-5040
HI-5041
HI-5048
HI-5042
HI-5050
HI-5043
HI-5051
HI-5044
Dual SPST
• TTL/CMOS Input
• Low RON
Quad SPST
• TTL/CMOS Input
• Low RON
JAN:
SMD:
1-0201
4-0201
X
X
12302BEA
7705301 EX
77053012X
High Speed Quad SPST
• Fast tON/tOFF
• TTL Input
• Low RON
SMD:
DualSPST
• Video Bandwidth
• High Isolation
SingleSPST
• Low RON
• TTUCMOS Input
SMD:
1-0201HS
4-0201HS
59625962-
X
X
X
X
X
X
8671601EA
86716012A
X
X
1-0222
4-0222
X
X
1-5040
X
X
8100609EA
Dual SPST
• Low RON
• TTL/CMOS Input
SMD:
1-50XX
X
X
8100610EA
8100619EA
SingleSPDT
• Low RON
• TTL/CMOS Input
SMD:
X
X
1-50XX
X
8100611EA
8100621EA
Dual SPDT
• Low RON
• TTUCMOS Input
SMD:
1-50XX
4-50XX
8100612EA
81006122A
8100622EA
81006222A
Single DPST
• Low RON
• TTL/CMOS Input
SMD:
x = Now Available; Date = Calendar Year, Blank =
X
1-5044
8100613EA
No current plans
205
X
X
X
X
X
X
X
X
X
X
X
Hi-Rei Analog les
PRODUCT
GRADES
FAMILY
PART
NUMBER
DESCRIPTIONIFEATURES
-8
1883
SMDI
DESC
DIE
GRADES
JAN
CLASS
B5856
SI-Q
-3
CMOS ANALOG SWITCHES - Continued
HI-5045
HI-5049
HI-5046
HI-5046A
HI-5047
HI-5047A
HI-5048
HI-5049
HI-5050
HI-5051
Dual DPST
• Low RON
• TTL/CMOS Input
SMD:
.1-50XX
4-50XX
8100614EA
81006142A
8100620EA
81006202A
Single DPDT
• Low RON
• TTL/CMOS Input
SMD:
X
X
X
X
X
X
1-50XX
X
8100615EA
8100617EA
DPDT
• Low RON
• TTL/CMOS Input
SMD:
X
X
1-50XX
X
X
X
8100616EA
8100618EA
Dual SPST
• Low RON
• TTUCMOS Input
SMD:
1-5048
X
X
8100619EA
Dual DPST
• Low RON
• TTUCMOS Input
SMD:
1-5049
X
8100620EA
SPOT
• Low RON
• TTL/CMOS Input
SMD:
X
1-5050
X
X
8100621EA
Dual SPOT
• Low RON
• TTUCMOS Input
SMD:
1-5051
4-5051
8100622EA
81006222A
X
X
X
X
X
CMOS ANALOG MULTIPLEXERS
HI-0506
HI-0507
HI-0508
HI-0509
HI-0546
HI-0547
16 Channel
• Low RON
• Low Cost
0-0506
1-0506
4-0506
X
X
X
X
8 Channel Differential
• Low RON
• Low Cost
8 Channel
• Low RON
• Low Cost
SMD:
0-0507
1-0507
4-0507
X
X
X
X
X
X
X
X
4 Channel Differential
• Low RON
• Low Cost
0-0509
1-0509
4-0509
16 Channel
• Input Overvoltage Protected
• Matched RON
SMD:
59625962-
0-0546
1-0546
4-0546
8513101XA
85131013A
59625962-
0-0547
1-0547
4-0547
8513102XA
85131023A
8 Channel Differential
• Input Overvoltage Protected
• Matched RON
SMD:
0-0508
1-0508
4-0508
7705201EC
77052012A
x = Now Available; Date = Calendar Year; Blank = No current plans
206
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
Hi-Rei Analog ICs
PRODUCT
GRADES
FAMILY
PART
NUMBER
DESCRIPTION/FEATURES
-8
/883
SMD/
DESC
DIE
GRADES
JAN
CLASS
s/-o
B5856
-3
X
X
X
X
X
X
X
X
CMOS ANALOG MULTIPLEXERS - Continued
HI-0548
HI-0549
8 Channel
• Input Overvoltage Protected
• Matched RON
SMD:
0-0548
1-0548
4-0548
7705202EA
77052022A
4 Channel Differential
• Input Overvoltage Protected
• Matched RON
SMD:
0-0549
1-0549
4-0549
8513103EA
85131032A
59625962-
HI-1818A
X
X
X
X
X
X
X
X
X
X
X
X
8 Channel
• Low Leakage
• Low RON
HI-1828A
4 Channel Differential
• Low Leakage
• Low RON
A TO D CONVERTERS
0-1818A
1-1818A
X
0-1828A
1-1828A
X
HI-574A
(S,T)
12Bit
• 25/lsec Conversion
1-574A
4-574A
X
X
HI-674A
(S,T)
12 Bit
• 15/lsec Conversion
1-674A
4-674A
X
X
HI-774
(S,T)
12 Bit
• 9/lsec Conversion
1-774
X
D TO A CONVERTERS
HI-565A
(S,T)
HI-DAC87V
12 Bit
• Fast Settling
2-Supply
0-565A
1-565A
X
1-DAC87V
4-DAC87V
X
X
RADIATION HARDENED PRODUCTS
HS-508ARH
8-Channel Differential Analog
Multiplexer
• Gamma Dose -lx10s Rads (Si)
• Input Overvoltage Protected
1-508ARH
9-508ARH
X
X
X
X
HS-1840RH
16-Channel Analog Multiplexer
• Gamma Dose -2xlOS Rads (Si)
• Hi-Z Analog Input Protection
1-1840RH
9-1840RH
X
X
X
X
H5-302RH
HS-303RH
HS-306RH
HS-307RH
HS-384RH
HS-390RH
HS-3516RH
CMOS Analog Switches
• Gamma Dose -1 xl 05 Rads (Si)
• Pin for Pin Compatible with
Harris H 1-3XX Series Analog
Switches
1-3XXRH
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
HS-3530RH
HS-5104RH
x=
High Slew Rate OP Amp
• Gamma Dose -lxl06 Rads (Si)
Low Power, Programmable OP Amp
• Gamma Dose -lx102 Rads (Si)
Quad High-Performance OP Amp
• Gamma Dose -lx10s Rads (Si)
Now Available; Date
= Calendar Year; Blank = No currenl plans
207
High-Reliability JAN, 8M D!DE8C Military Drawings!
Device Types Cross-Reference
M38510 JAN PRODUCTS
PART NUMBER
12202BGC
12203BGC
12204BGC
12205BGC
12206BGC
12302BEA
19001BXA
19002BXA
19003BXA
19004BXA
19005BEA
19006BEA
19007BEA
19008BEA
DESCRIPTION
DEVICE TYPES
OPAMP
OPAMP
OPAMP
OPAMP
OPAMP
QUAD SWITCH
16-CHANNEL MULTIPLEXER
16-CHANNEL MULTIPLEXER
DlFF. 8-CHANNEL MULTIPLEXER
DIFF. 8-CHANNEL MULTIPLEXER
8-CHANNEL MULTIPLEXER
DIFF. 4-CHANNEL MULTIPLEXER
8-CHANNEL MULTIPLEXER
DIFF. 4-CHANNEL MULTIPLEXER
HA2-2600
HA2-2620
HA2-2500
HA2-2510
HA2-2520
H11-0201
H11-0506
H11-Q546
H11-0507
H11-Q547
H11-0548
H11-0549
H11-0508
H11-0509
8 CHANNEL MULTIPLEXER
8 CHANNEL MULTIPLEXER
8 CHANNEL MULTIPLEXER
QUAD SWITCH
QUAD SWITCH
HIGH-VOLTAGE OP AMP
SAMPLE AND HOLD
CMOS SWITCH
CMOS SWITCH
CMOS SWITCH
CMOS SWITCH
CMOS SWITCH
CMOS SWITCH
CMOS SWITCH
CMOS SWITCH
CMOS SWITCH
CMOS SWITCH
CMOS SWITCH
CMOS SWITCH
CMOS SWITCH
CMOS SWITCH
CMOS SWITCH
CMOS SWITCH
CMOS SWITCH
16 CHANNEL MULTIPLEXER
16 CHANNEL MULTIPLEXER
DIFF. 8 CHANNEL MULTIPLEXER
DIFF. 8 CHANNEL MULTIPLEXER
DIFF. 4 CHANNEL MULTIPLEXER
DIFF. 4 CHANNEL MULTIPLEXER
QUAD SWITCH
QUAD SWITCH
H11-0508
H11-0548
H14-0548
H11-0201
H14-0201
HA2-2640
HA1-2420
H11-5040
H11-5041
H11-5042
HI1-5043
H14-5043
H11-5044
H11-5045
HI4-5045
HI 1-5046
H11-5047
HI1-5046A
H11-5047A
HI 1-5048
HI 1-5049
H11-5050
H11-5051
H14-5051
H11-0546
H14-Q546
H11-0547
H14-0547
H11-0549
HI4-0549
H11-0201HS
H14-0201HS
SMD/DESC DRAWING NUMBER
7705201EC
7705202EA
77052022A
7705301 EX
77053012X
7800302GC
8001601CA
8100609EA
8100610EA
8100611EA
8100612EA
81006122A
8100613EA
8100614EA
81006142A
8100615EA
8100616EA
8100617EA
8100618EA
8100619EA
8100620EA
8100621EA
8100622EA
81006222A
5962-8513101 XA
5962-85131013A
5962-8513102XA
5962-85131023A
5962-8513103EA
5962-85131032A
5962-8671601 EA
5962-86716012A
208
High-Reliability CA3000 Slash-Series Types
CLASS B
MII-Std-883
NonCompliant
TYPE
DESCRIPTION
CA0723T3
CA0741S3
CA0741Tl
CA0741T3
CA0747Tl
CA0747T3
CA074BSl
CA074BS3
CA074BTl
CA074BT3
CA1558S3
CA1558Tl
CA1558T3
CA3001
CA3003
CA30011
Voltage Regulator
Single Amplifier
Single Amplifier
Single Amplifier
Dual Amplifier
Dual Amplifier
Single Amplifier
Single Amplifier
Single Amplifier
Single Amplifier
Dual Amplifier
Dual Amplifier
Dual Amplifier
DC Amplifier
DC Amplifier
Wideband I/O
Diff-Amp
Wideband I/O
Diff-Amp
IF Amplifier
IF Amplifier
RF Amplifier
RF Amplifier
Wideband Amplifier
Wideband Amplifier
Wideband Amplifier
Transistor Array
Transistor Array
Diode Array
CA30013
CA30021
CA30023
CA30061
CA30063
CA30153
CA3015Al
CA3015A3
CA301BAl
CA3018A3
CA30191
CLASSS
(Modified)
Mil-Std-883
NonCompliant
CLASS B
Mil-Std-883
NonCompliant
X
X
X
X
X
TYPE
DESCRIPTION
CA30193
CA3020Al
Diode Array
Wideband Power
Amplifier
Wide band Power
Amplifier
Differential Amplifier
Differential Amplifier
Differential/Cascade
Amplifer
Differential/Cascade
Amplifer
Differential/Cascade
Amplifer
Differential/Cascade
Amplifer
Diode Array
Diode Array
Transistor Array
Transistor Array
Dual Hi-Freq Diff
Amp
Dual Hi-Freq Diff
Amp
Zero Voltage Switch
Zero Voltage Switch
Single Transconductance Amp
Single Transconductance Amp
CA3020A3
X
CA30261
CA30263
CA3028A3
X
X
X
X
X
CA3028BS3
X
CA3028Bl
X
CA3028B3
X
X
CA30391
CA30393
CA3045F3
CA30451
CA3049Tl
X
X
X
X
X
CA3049T3
X
CA30581
CA30583
CA30BOAS3
X
X
X
X
X
CA3080Al
X
209
CLASSS
(Modified)
Mil-Std-883
NonCompliant
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
High-Reliability CA3000 Slash-Series Types
CLASSB
MII-Std-883
NonCompliant
TYPE
CA3080A3
CA30801
CA30803
CA3081F3
CA3082F3
CA3083F3W
CA3085AS1
CA3085AS3
CA3085A1
CA3085A3
CA3085BS3
CA3085BT3
CA3085B1
CA30853
CA3089F3W
CA3089F
CA3094AS3
CA3094AT1
CA3094AT3
CA309453
CA3094T1
CA3094T3
CA31 0053
CA3100T1
CA3100T3
CA3130AS3
CA3130AT1
CA3130AT3
DESCRIPTION
Single Transconductance Amp
Single Transconductance Amp
Single Transconductance Amp
Transistor Common
Emitter Array
Transistor Common
Coliector Array
Transistor Array
Positive Voltage
Regulator
Positive Voltage
Regulator
Positive Voltage
Regulator
Positive Voltage
Regulator
Positive Voltage
Regulator
Positive Voltage
Regulator
Positive Voltage
Regulator
Positive Voltage
Regulator
FM IF System
FM IF System
Power Switch
Amplifier
Power Switch
Amplifier
Power Switch
Amplifier
Power Switch
Amplifier
Power Switch
Amplifier
Power Switch
Amplifier
Wideband OperationalAmp
Wideband Operational Amp
Wideband Operational Amp
Wideband OperationalAmp
Wideband OperationalAmp
Wideband OperationalAmp
CLASSS
(Modified)
MII-Std-883
NonCompliant
CLASSB
Mil-Std-883
NonCompliant
TYPE
CA3130S3
X
CA3130T1
X
CA3130T3
X
CA3140AS3
X
CA3140AT1
X
X
CA3140AT3
X
X
CA3140S1
CA314053
X
CA3140T1
X
CA3140T3
X
CA3160AS1
X
CA3160AS3
X
CA3160AT1
X
X
CA3160AT3
X
CA3160S3
CA3160S1
X
CA3160T1
CA3160T3
X
X
X
X
CA3260AT1
CA3260AT3
CA3260T1
CA3260T3
CA3280F3
CA3280AF3
X
CA3290AT3
X
CA6741T3
X
HR3N0187
X
HR3N0200
X
HR3N0205
X
210
DESCRIPTION
Wide band Operational Amp
Wideband Operational Amp
Wideband Operational Amp
Wide band Operational Amp
Wide band Operational Amp
Wideband Operational Amp
Wide band Operational Amp
Wide band Operational Amp
Wideband Operational Amp
Wideband Operational Amp
Wideband Operational Amp
Wideband OperationalAmp
Wideband OperationalAmp
Wideband Operationa I Amp
Wideband Operational Amp
Wideband Operational Amp
Wideband Operational Amp
Wideband Operationa I Amp
Wideband Dual Amp
Wideband Dual Amp
Wideband Dual Amp
Wideband Dual Amp
Dual Variable
Op.Amp
Dual Variable
Op. Amp.
BiMOS Voltage
Comparator
CA0741 & Popcorn
Test
Dual Insulated Gate
FET
Dual Insulated Gate
FET
Dual Insulated
Gate FET
CLASSS
(Modified)
MII-Std-883
NonCompliant
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
High-Reliability Standard Cells -
HSC1000
The HSC1000 standard cell library is a series of high performance 1.5 micron dual level metal, silicon gate standard
~~
,
Features
• Supported on HARRIS FASTRACK'·, Daisy'· and
Mentor Graphics® Design Systems
• Low Power 1.5 Micron CMOS Process
• Dual Level Metal Interconnect
• 800 ps Typical 2-lnput Nand Gate Delay with a
Fanout = 2
• 150 MHz Maximum Toggle Frequency
• Supports Gate Counts to 25K
• Over 200 Primitive and Macrocell Functions
•
•
•
•
•
•
RAM and ROM Module Compilers
CMOSITTL Compatible 1I0's
Commercial-Industrial-Military Temperature Ranges
Proven Reliability and Manufacturable Process
Extensive Packaging Options
Screening and Qualification to Mil-Std-883C Method
5004/5005, Class B and Class S
• Function Compatible with the HSC1000RH Radiation
Hardened Library
Macrocell Families·
• 29XX Series ALU Functions
• RTX Core Microcontroller
• ARINC429
• Communication Functions
• Multiplier Functions
• Microprocessor Peripheral Functions
'Contact Harris for Availability
High-Reliability Gate Arrays -
AGC 40K & TAGC40K Series
The AGC40K and TAGC40K series gate arrays are fabricated using Harris' high performance, double level metal,
silicon gate 1.2 micron AVLSI2 process. The AGC40K series is ideal for military applications that require highperformance and low-power consumption. The TAGC40K series is ideal for military and space applications that
require radiation hardened, high-performance and low-power consumption.
Features:
• Advanced 1.2 Micron (0.9 Micron Effective) VHSICClass Dual Level Metal CMOS Technology
• High Performance with 0.68ns typical delay for a 2Input NAND Gate with Fanout of 2 (0.82 ns for
TAGC40K)
• Extensive Library with Over 400 Elements Allows for a
High Degree of Design Flexibility
• Available in 3 Sizes from 1750 to 13,500 Total Gates
• Latch Up Resistant
• Wide Military Package Selection
• Workstation Design Support for Mentor Graphics® and
Valid'"; Support for Harris FASTRACK'" and Daisy'· are
Planned
• Proven Reliable and Manufacturable Process - Over
150,000 Parts Delivered
• Function and Mask Compatible with Radiation
Hardened TAGC40K Series Gate Array
• CMOSITTL Compatible II0s
• Military Temperature Range
• Screening and Qualification to Mil-Std-883C Method
5004/5005, Class B and Class S
• All Design and Manufacturing Activities Performed in
the United States
• Low Skew Clock Drivers - Maximum < 1 ns
• > 3500 Volt ESD Protection
• Designed for Easy Routing and High Gate Utilization
~ Up to 95% Cell Occupancy Demonstrated
• Boundary Scan II0s and Scan Flip-Flops Allow for the
Design of Highly Testable Circuits
TAGC40K Radiation Hardened
~
~
~
~
~
~
Total Dose .......................... >1 X 106 Rads (Si)
Survivability ...................... >1 X 1012 Rads (Si)/s
Transient Upset .................>3.5 X 109 Rads (Si)/s
Latchup ..•............................ None Measured
Neutron Fluence ..............>1 X 1014 Neutrons/cm 2
SEU ........................... <1 x lQ-8 Errors bit-day
211
High-Reliability Radiation Hardened SOS Gate Arrays -
AUA Series
The AUA gate arrays are fabricated using the Harris' high performance, double level metal, silicon gate 1.2 micron
CMOS/SOS process. The AUA series is ideal for military and space applications that require radiation hardened, high
performance and low-power consumption.
Features
• Advanced 1.2 Micron (0.9 Micron Effective) VHSICClass Dual Level Metal CMOS/SOS Technology
• High Performance with 0.67 ns typical delay for a 2Input NAND Gate with Fanout of 2
• Available in 2 Sizes: 10,000 and 20,000 Total Gates
• Wide Military Package Selection
• Workstation Design Support for Mentor Graphics® and
Valid'"; Support for Harris FASTRACK'" and Daisy'" are
Planned
• 16 Dedicated Power Pins
• CMOSITTL Compatible II0s
• Military Temperature Range
• Screening and Qualification to Mil-Std-883C Method
5004/5005, Class B and Class S
• All Design and Manufacturing Activities Performed in
the United States
• Programmable I/O Pins
~Input, Output Bidirectional or Three-State
AUA Radiation Hardened
~
~
~
~
~
~
Total Dose .......................... >1 X 106 Rads (Si)
Survivability ...................... >1 X 1012 Rads (Si)/s
Transient Upset .................. >1 X 1011 Rads (Si)/s
Latchup ............................... None Measured
Neutron Fluence ............. > 1 X 1014 Neutrons/cm 2
SEU .. _....................... <1 X 10-11 Errors/bit-day
High-Reliability Gate Arrays -
AGC50K & TAGC50K* Series
The AGC50K and TAGC50K series gate arrays are fabricated using Harris' high performance, double level metal,
silicon gate 1-micron AVLS12 CMOS process. A 3 level metal option will be available soon to effectively double the
usable gate count. The process used to produce "T" series radiation hardened gate arrays is completely designer
transparent in library and implementation, providing total dose radiation tolerance with only a minor reduction in
speed.
Features
• Available in 4 sizes from 20,400 to 100,800 total gates
• Advance 1-micron VHSIC-class dual level metal CMOS
technology with a third metal level option available in
the future.
• Latch-up resistant
• High performance with 0.45 ns typical delay for a 2input NAND gate with fanout of 2 (0.7 ns for
TAGC50K)
• Extensive library with over 400 elements allows for a
high degree of design flexibility.
• Wide military package selection
• Workstation design support for Mentor Graphics, Valid,
Harris Fastrack and Daisy.
• Function and mask compatible with radiation hardened
TAGC50K series gate array.
• Fully programmable I/O cells - input, output, bidirectional, tri-state, Voo , Vss.
• CMOSITTL compatible I/O's with 2 to 16 mA programmable drive level.
• Military temperature range.
• Screening and qualification to MIL-STD-883C method
5004/5005, Class B and Class S..
• All design and manufacturing activities performed in
the United States.
• Designed for easy routing and high gate utilization.
• Dedicated boundary scan I/O ring and scan flip-flops
allow for the design of highly testable circuits.
• Enhanced power bus structure improves noise
immunity.
TAGC50K Radiation Hardened
~
~
~
~
~
~
Total Dose .......................... >1 X 106 Rads (Si)
Survivability ...................... >1 X 1012 Rads (Si)/s
Transient Upset ................. >3.5 X 109 Rads (Si)/s
Latchup ............................... None Measured
Neutron Fluence ............. > 1 X 1014 Neutrons/cm 2
SEU ......................... <2.5 X 10-7 Errors/bit-day
'Advance Information
212
High-Reliability Ceramic Package Options for ASIC Devices
PACKAGE
TYPE
Dual-In-Line
Side-Braze
FRIT
FlaVQuad Packs
50-Mil Centers
40-Mil Centers
2S-Mil Centers
Leadless Chip Carriers
50-Mil Centers
40-Mil Centers
NUMBER OF TERMINALS
14
16
18
20
22
24
28
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
32
40
42
44
48
X
64
120
132
X
X
X
X
X
X
X
X
X
X
X
X
Pin Grid Arrays
lOO-Mii Centers
X
X
Leaded Chip Carriers
40-Mil Centers
X
·Qualification Pending
213
JAN and JANTX Bipolar Power Transistors
MIL-S-
fr
PT
Ie
VCEO
Types
195001
Package
Polarlly
(W)
(A)
(V)
Min.
Ie (A)
(MHz)
2N3439. 2N3440
2N3584, 2N3585
2N3879
2N5038, 2N5039
2N5302, 2N5303
2N5415S,2N5416S
2N5671, 2N5672
2N6032, 2N6033
2N6211-2N6213
2N6283, 2N6284
2N6286, 2N6287
2N6306, 2N6308
2N6383-2N6385
2N6546, 2N6547
2N6648-2N6650
2N6671, 2N6673'
2N6674, 2N6675'
2N6676, 2N6678'
368
384
526
439'
456'
485
488'
528'
461
504'
505'
498'
523'
525'
527"
536'
537'
538'
TO-205AD/TO-39
TO-213AA/TO-66
TO-213AA/TO-66
TO-204AAlTO-3
TO-204AA/TO-3
TO-205ADITO-39
TO-204AA/TO-3
TO-204AE/TO-3
TO-213AAlTO-66
TO-204AAlTO-3
TO-204AA/TO-3
TO-204AAlTO-3
TO-204AA/TO-3
TO-204AA/TO-3
TO-204AA/TO-3
TO-204AA/TO-3
TO-204AA/TO-3
TO-204AA/TO-3
N-P-N
N-P-N
N-P-N
N-P-N
N-P-N
P-N-P
N-P-N
N-P-N
P-N-P
N-P-N
P-N-P
N-P-N
N-P-N
N-P-N
P-N-P
N-P-N
N-P-N
N-P-N
0.8
35
35
140
200
0.75
140
140
35
175
175
125
100
175
85
150
175
175
1
2
7
20
30
-1
30
50
-2
20
-20
8
10
15
-10
10
20
20
350
300
75
90
80
-300
120
120
-350
100
-100
350
80
300
-80
400
400
400
40
25
20
20
15
30
20
10
30
1250
1250
15
1000
12
1000
10
8
8
0.02
1
4
12
15
-0.05
20
50
-1
10
-10
3
5
5
-5
5
10
10
15
15
40
60
2
15
50
50
20
8
8
5
20
60
20
15
15
15
hFE
'Available in JANTX form.
JANTXV Bipolar Power Transistors Now Available
The following bipolar types are now available in JANTXV form:
2N5038TXV
2N5039TXV
2N5302TXV
2N5303TXV
2N5671TXV
2N5672TXV
2N6283TXV
2N6284TXV
2N6286TXV
2N6287TXV
2N6306TXV
2N6308TXV
2N6383TXV
2N6384TXV
2N6385TXV
2N6546TXV
2N6547TXV
2N6648TXV
2N6649TXV
2N6650TXV
2N6671TXV
2N6673TXV
2N6674TXV
2N6675TXV
2N6676TXV
2N6678TXV
Radiation-Hardened Bipolar Pbwer Transistors
Types
Description
2N3879
2N5038
2N5320
2N5322
2N5672
2N6248
2N6673
2N6688
2N7142'
2N7143'
2N7144'
2N7145'
2N7146'
2N7147'
75V/7A, N-P-N Hi-Speed
90V/20A, N-P-N Hi-Speed
75V/2A, N-P-N Small-Sig.
75V/2A, P-N-P Small-Sig.
120Vl30A, N-P-N Hi-Speed
1OOV11 OA, P-N-P EPI-Base
400V/8A, N-P-N Hi-Speed
200V/20A, N-P-N Hi-Speed
60V/12A, N-P-N Hi-Speed
80Vl12A, N-P-N Hi-Speed
60Vl12A, N-P-N Hi-Speed
80V/12A, N-P-N Hi-Speed
60Vl12A, N-P-N Hi-Speed
80V/12A, N-P-N Hi-Speed
Package
TO-213AA
TO-204AA
TO-205AD
TO-205AD
TO-204AA
TO-204AA
TO-204AA
TO-204AA
TO-204AA
TO-204AA
Radial
Radial
TO-257AA
TO-257AA.
'Formerly RCA Dev. type TA9107.
214
Gamma Intensity
(RAD(Si)/s)
1x
1x
1x
1x
1x
1x
1x
1x
1x
1x
1x
1x
1x
1x
10'
10'
10'
10'
10'
10'
10'
107
10.
10.
10.
10.
10·
10.
Neutron Fluence
(N/cm 2 2)
5 x 10"
5 X 10"
5 X 10'3
5 X 10'3
5 X 10'3
5 X 10'3
5 X 10'3
5 X 10'3
1 X 10'4
1 X 10'4
1 X 10'4
1 X 10'4
1 X 10'4
1 X 10'4
JAN and JANTX Power MOSFETs
N-Channel
Types
2N6756
2N6758
2N6760
2N6762
2N6764
2N6766
2N6768
2N6770
2N6782
2N6784
2N6786
2N6788
2N6790
2N6792
2N6794
2N6796
2N6798
2N6800
2N6802
P-Channel
Types
2N6849
2N6851
2N6895
2N6896
2N6897
2N6898
N-Channel LogicLevel Types
2N6901
2N6902
2N6903
2N6904
MIL-S195001
Channel
PT
(W)
(A)
VBR (OSS)
(V)
ros (on)
Package
542A
542A
542A
542A
543A
543A
543A
543A
556
556
556
555
555
555
555
557
557
557
557
TO-204AA
TO-204AA
TO-204AA
TO-204AA
TO-204AE
TO-204AE
TO-204AA
TO-204AA
TO-205AF
TO-205AF
TO-205AF
TO-205AF
TO-205AF
TO-205AF
TO-205AF
TO-205AF
TO-205AF
TO-205AF
TO-205AF
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
75
75
75
75
150
150
150
150
15
15
15
20
20
20
20
25
25
25
25
14
9
5.5
4.5
38
30
14
12
3.5
2.25
1.25
6
3.5
2
1.5
8
5.5
3
2.5
100
200
400
500
100
200
400
500
100
200
400
100
200
400
500
100
100
400
500
0.18
0.4
1
1.5
0.055
0.085
0.3
0.4
0.6
1.5
3.6
0.3
0.8
1.8
3
0.18
0.4
1
1.5
MIL-S195001
(A)
VBR (OSS)
(V)
rDS (on)
Channel
PT
(W)
10
Package
563
563
565
565
565
565
TO-20SAF
TO-20SAF
TO-20SAF
TO-204AA
TO-204AA
TO-204AA
P
P
P
P
P
P
25
25
8.33
60
100
1S0
6.S
4
1.5
6
12
25
100
200
100
100
100
100
0.3
0.8
3.65
0.6
0.3
0.2
MIL-S195001
(A)
VBR (OSS)
(V)
rOS (on)
Channel
PT
(W)
10
Package
566
566
566
566
TO-205AF
TO-204AA
TO-205AF
TO-204AA
N
N
N
N
8.33
7S
8.33
75
1.5
-12
1.5
-8
100
100
200
200
1.4
0.2
3.65
0.65
215
10
n
n
n
Rad-Hard Power MOSFETs
Post-Radiation Electrical Characteristics
'TYpes
Pre-Rad
Rating or
Look Alike Characteristic
10
FRM6756
FRS6756
FRM6758
FRS6758
FRK6764
FRF6764
FRK6766
FRF6766
FRL6796
FRL6798
2N6756
IRF130
2N6758
IRF230
2N6764
IRF150
2N6766
IRF250
2N6796
IRFF130
2N6798
IRFF230
BVoss
Pre-Rad
Min.
14
100
ROSIONI
V,.
2.0
10
9
200
BVoss
ROSION)
V,.
2.0
10
38
100
BVoss
ROSION)
V,.
2.0
10
30
200
BVoss
ROSIO N)
V,.
2.0
10
8
100
BVoss
ROSION)
V,.
2.0
10
5.5
200
BVoss
ROSION)
V,.
Max.
2.0
3K Rad(SI)'
10K Rad(SI).
Min.
Min.
Max.
14
100
0.18
4.0
14
100
0.18
4.0
2.0
9
180
0.4
4.0
0.4
4.0
2.0
.055
4.0
2.0
.085
4.0
2.0
1.5
1.5
0.18
4.0
1.5
.055
4.2
0.100
4.2
0.4
4.0
2.0
1.5
1.0
1.0
1.0
0.20
4.5
1.0
0.5
4.5
Non TX (commercial)
TX equivalent of MIL-S-19500
TXV equivalent of MIL-S-19500
Space equivalent of MIL-S-19500
RADIATION LEVEL ASSURANCE
DIE DESIGNATION
6756
6758
6764
6766
6796
6798
(100V,
(200V,
(100V,
(200V,
(100V,
(200V,
0.180)
0.400)
0.0550)
0.0850)
0.180)
0.400)
PACKAGE DESIGNATION
M-TO-204AA
K-TO-204AE
L-TO-205AF
S - TO-257AA
F-TO-254AA
Radiation-Hardened MOSFETs
216
Amps
Volts
Ohms
Volts
0.5
0.125
5.0
Amps
Volts
Ohms
Volts
0.5
0.250
5.00
Amps
Volts
Ohms
Volts
0.5
0.30
5.00
Amps
Volts
Ohms
Volts
0.5
0.75
5.00
Amps
Volts
Ohms
Volts
5
180
RELIABILITY SCREENING LEVEL
M - 3k rads (Si)
o -10k rads (Si)
R - 100k rads (Si)
H - 1 megarad (Si)
0.75
5.0
6
100
Radiation-Hardened MOSFET Nomenclature Guide
1234-
Amps
Volts
Ohms
Volts
8
180
0.125
4.5
5.5
180
0.4
4.2
0.30
5.00
16
100
.060
4.5
8
100
0.18
4.2
0.5
and 2x10'2 Neutrons
0.5
Units
Max.
5
180
0.5
4.5
1.0
1.0
1M Rad(SI)"
Min.
8
100
0.20
4.5
25
180
5.5
180
5.5
180
Max.
38
100
8
100
2.0
0.4
4.0
0.4
4.2
30
180
8
100
0.18
4.0
1.5
Min.
8
180
38
100
30
180
.085
4.0
1.5
100K Rad(SI)3
14
100
0.18
4.2
9
180
38
100
.055
4.0
Max.
Ultra Rad-Hard Power MOSFETs - Developmental Devices N-Channel Types
Ole SIze - mils
325 x 325
175 x 115
227 x 170
257 x 257
Voss
(HEX-IJI)
(HEX-IV)
(HEX-V)
(HEX-VI)
100V
TA17631XG
TA17631XW
TA17631XI
TA17631XO
TA17632XG
TA17632XW
TA17632XI
TA17632XO
TA17633XG
TA17633XW
TA17633XI
TA17633XO
TA17635XG
TA17635XW
TA17635XI
TA17635XO
TA17641XG
TA17641XI
TA17641XO
TA17651XP
TA17651XI
TA17651XJ
TA17661XP
TA17661XJ
TA17642XG
TA17642XI
TA17642XO
TA17652XP
TA17652XI
TA17652XJ
TA17662XP
TA17662XJ
TA17643XG
TA17643XI
TA17643XO
TA17653XP
TA17653XI
TA17653XJ
TA17663XP
TA17663XJ
TA17645XG
TA17645XI
TA17645XO
TA17655XP
TA17655XI
TA17655XJ
TA17665XP
TA17665XJ
325 x 325
200V
250V
500V
P-Channel Types
Ole Size - mils
175x115
227 x 170
257 x 257
Voss
(HEX-IJI)
(HEX-IV)
(HEX-V)
(HEX-VI)
100V
TA17731XG
TA17731XW
TA17731XI
TA17731XO
TA17732XG
TA17732XW
TA17732XI
TA17732XO
TA17735XG
TA17735XW
TA17735XI
TA17735XO
TA17741XG
TA17741XI
TA17741XO
TA17751XP
TA17751XI
TA17751XJ
TA17761XP
TA17761XJ
TA17742XG
TA17742XI
TA17742XO
TA17752XP
TA17752XI
TA17752XJ
TA17762XP
TA17762XJ
TA17745XG
TA17745XI
TA17745XO
TA17755XP
TA17755XI
TA17755XJ
TA17765XP
TA17765XJ
200V
500V
SUFFIX LETTER PACKAGE CODE:
G TO-204AA
P = TO-204AE (60 MIL LEADS)
W = TO-205AF
1= TO-254AA
o = TO-257AA
J TO-25BAA
=
=
217
Available QPL Types
Maximum Ratings (8S·C)
Continuous
Characteristics (2S·C)
Transient
Varistor
@1mADC
Test
Current
Energy
Type
M83S301
1-2000B
1-2200D
1-4300E
1-S100E
Commercial
No.
V130LA20B
V150LA20B
V275LA40B
V320LA40B
Size
Peak
Current
1000/1's) (8/2Ol's)
RMS
Voltage
DC
Voltage
VmlaCJ
Vmldcl
V,m
11m
Volts
Volts
Joules
Amps
130
150
275
320
175
200
369
420
50
55
100
120
6000
6000
6000
6000
20mm
20mm
20mm
20mm
Maximum
Clamping
Voltage
Vc@Test
Current
(8/20I's)
(101
Min.
VNldcI
Max.
Volts Volts Volts
184
212
389
462
200
240
430
510
228
243
453
540
Typical
Capacltance
Vc
10
f=1 MHz
Volts
Amps
Picofarads
325
360
680
810
100
100
100
100
1900
1600
900
750
Available TX Model Types
TXV models are not listed within but can be made available upon request. Contact factory for specific requirements.
TXModel
Model
Size
Device
Mark
TXModel
Model
Size
Device
Mark
V130LTX2
V130LTX10A
V130LTX20B
7mm
14mm
20mm
130TX
130TX10
130TX20
V130LA2
V130LA10A
V130LA20A
V1S0LTX2
V1S0LTX10A
V1S0LTX20B
7mm
14mm
20mm
150TX
150TX10
150TX20
V150LA2
V150LA10A
V150LA20B
V2S0LTX4
V2S0LTX20A
V2S0LTX40B
7mm
14mm
20mm
250TX
250TX20
250TX40
V250LA4
V250LA20A
V250LA40B
Catalog'
Type
Catalog'
Type
V8ZTX1
V8ZTX2
7mm
10mm
8TXl
8TX2
V8ZAl
V8ZA2
V12ZTX1
V12ZTX2
7mm
10mm
12TXl
12TX2
V12ZAl
V12ZA2
V22ZTX1
V22ZTX3
7mm
14mm
22TX2
22TX3
V22ZA1
V22ZA3
V24ZTXSO
20mm
24TX50
V24ZA50
V33ZTX1
V33ZTXS
V33ZTX70
7mm
14mm
20mm
33TXl
33TX5
33TX70
V33ZAl
V33ZA5
V33ZA70
V420LTX20A
V420LTX40B
14mm
20mm
420TX20
420TX40
V420LA20A
V420LA40B
V68ZTX2
V68ZTX10
7mm
14mm
68TX2
68TX10
V68ZA2
V68ZA10
V480LTX40A
V480LTX80B
14mm
20mm
480TX40
480TX80
V480LA40A
V480LA80B
V82ZTX2
V82ZTX12
7mm
14mm
82TX2
82TX12
V82ZA2
V82ZA12
VS10LTX40A
VS10LTX80B
14mm
20mm
510TX40
510TX80
V510LA40A
V510LA80B
NOTES: 1. Maximum ratings and characteristics shall be as specified per standard catalog tables.
2. Contact factory for TX models other than those listed above for custom types to meet your special requirements.
3. Minimum order may apply to certain model types.
218
SMD/DESC Drawing -
Metal-Oxide Varistor (MOVs) Controlled Types
Maximum Ratings (OSOC)
Continuous
SMDI
DESC
070631
001
002
003
004
005
006
007
008
009
010
011
012
013
014
015
016
017
018
019
020
021
022
023
024
025
026
027
028
029
030
031
032
033
034
035
036
037
038
039
040
041
042
043
044
045
046
047
048
049
050
051
052
'SIZE
Nearest
Commercial
No.
V22ZA05
V22ZA1
V22ZA2
V22ZA3
V24ZA50
V27ZA05
V27ZA1
V27ZA2
V27ZA4
V27ZA60
V33ZA05
V33ZA1
V33ZA2
V33ZA5
V33ZA70
V36ZA80
V39ZA05
V39ZA1
V39ZA3
V39ZA6
V47ZA05
V47ZA1
V47ZA3
V47ZA7
V56ZA05
V56ZA2
V56ZA3
V56ZA8
V68ZA05
V68ZA2
V68ZA3
V60ZA10
V82ZA05
V82ZA2
V82ZA4
V82ZA12
V100ZA05
V100ZA3
V100ZA4
V100ZA15
V120ZA05
V120ZA1
V120ZA4
V120ZA6
V150ZA05
V150ZA1
V150ZA4
V150ZA8
V180ZA05
V180ZA1
V100ZA5
V180ZA10
Size·
1
2
3
4
5
1
2
3
4
5
1
2
3
4
5
5
1
2
3
4
1
2
3
4
1
2
3
4
1
2
3
4
1
2
3
4
1
2
3
4
1
2
3
4
1
2
3
4
1
2
3
4
Characteristics (2S0C)
Transient
Energy
(101
1000ps)
Peak
Current
(0/20ps)
Varistor
Voltage
@1mADC
Test
Current
Maximum
Clamping
Voltage
Vc@Test
Current
(0/20ps)
Typical
Capacitance
RMS
Voltage
DC
Voltage
Vmlaci
Vmldcl
W,m
I,m
Min.
VNldCI
Max.
Vc
Ip
Volts
Volts
Joules
Amps
Volts
Volts
Volts
Volts
Amps
Picofarads
14
14
14
14
14
17
17
17
17
17
20
20
20
20
21
23
25
25
25
25
30
30
30
30
35
35
35
35
40
40
40
40
50
50
50
50
60
60
60
60
75
75
75
75
92
95
95
95
95
95
115
115
18
18
18
18
18
22
22
22
22
22
26
26
26
26
27
31
31
31
31
31
38
38
38
38
45
45
45
45
56
56
56
56
66
66
66
66
81
81
81
81
102
102
102
102
127
127
127
127
127
125
153
153
0.2
0.9
2.0
4.0
6.5
0.25
1.0
2.5
5.0
8.0
0.3
1.2
3.0
6.0
9.0
10.0
0.35
1.5
3.5
7.2
0.4
1.8
4.5
8.8
0.5
2.3
5.5
10.0
0.6
3.0
6.5
13.0
1.2
3.5
7.3
13.0
1.5
4.3
8.9
16.0
1.8
5.3
11.0
19.0
2.3
6.5
13.0
23.0
2.7
7.7
16.0
27.0
35
150
350
750
1500
35
150
350
750
1500
35
150
350
750
1500
1500
35
150
350
750
35
150
350
750
35
150
350
750
35
150
350
750
70
300
750
1500
70
300
750
1500
100
400
1000
2000
100
400
1000
2000
150
500
1500
3000
18.7
18.7
18.7
18.7
19.2
23
23
23
23
23
29.5
29.5
29.5
29.5
29.5
32
35
35
35
35
42
42
42
42
50
50
50
50
61
61
61
61
73
73
73
73
90
90
90
90
108
108
108
108
135
135
135
135
162
162
162
162
22
22
22
22
24
27
27
27
27
27
33
33
33
33
33
36
39
39
39
39
47
47
47
47
56
56
56
56
68
68
68
68
82
82
82
82
100
100
100
100
120
120
120
120
150
150
150
150
180
180
100
180
26
26
26
26
26
31.1
31.1
31.1
31.1
31.1
38
36.5
36.5
36.5
36.5
40
46
43
43
43
55
52
52
52
66
62
62
62
80
75
75
75
97
91
91
91
117
110
110
110
138
132
132
132
173
165
165
165
207
198
198
198
51
47
43
43
43
59
57
53
53
50
67
68
64
64
58
63
79
79
76
76
90
92
89
89
108
107
103
103
127
127
123
123
145
135
135
145
175
165
165
175
205
205
200
210
240
250
250
255
290
295
300
300
2
5
5
10
20
2
5
5
10
20
2
5
5
10
20
20
2
5
5
10
2
5
5
10
2
5
5
10
2
5
5
10
2
10
25
50
2
10
25
50
2
10
25
50
2
10
25
50
2
10
25
50
400
1600
4000
9000
18000
300
1300
3000
7000
15000
250
1100
2700
6000
13000
12000
220
900
2200
5000
200
800
2000
4500
180
700
1800
3900
150
600
1500
3300
120
500
1100
2500
90
400
900
2000
70
300
750
1700
60
250
600
1400
50
200
500
1100
1 - 5 mm, 2 - 7 mm, 3 - 10 mm, 4 - 14 mm, 5 - 20 mm
219
t
= 1 MHz
GaAs FET Products
Products and Services
GaAs FET Products
Gallium Arsenide-based standard and custom products
are available from Harris Microwave Semiconductor
(HMS), located in Milpitas, CA.
Standard products include:
1. GaAs Field Effect Transistors (GaAs FETs) for RF and
microwave applications.
2. GaAs Monolithic Microwave Integrated Circuits
(MMICs) for broadband amplification of RF and
microwave signals.
Custom design and foundry services are available
whereby customers can design or specify specialized
MMIC or FET products for manufacture at HMS. Analysis,
testing, packaging, and screening options are available
for all standard and custom products.
Microwave GaAs FETs from Harris have been designed
and built for performance, reliability and consistency
using low defect gallium arsenide substrates, ion
implantation, Ti/PtlAu metallization, large cross-section
"T" gate structure and integral dielectric scratch and
short circuit protection.
Each wafer undergoes extensive reliability and performance qualification. Each die is DC tested and visually
inspected priorto packaging and shipment. To accommodate specialized requirements, Harris can provide
selections tailored to meet these needs. Custom
screening and qualification testing are also available.
Gain Optimized FET Products
MAG
(dB)
Device Type
HMF-
Min
P'dB
(dBm)
Typ
(dBm)
G'dB
(dB)
PMAG
Min
Typ
Freq.
(GHz)
Bias
VDS, 'os
Appllcallon/
Description
03100-100
5
7
14
4.5
-
15
18
6V, 50% loss
2-20GHz Low Noise
03100-200
6
7
14
4.5
18
19
18
6V, 50% loss
2.20GHz Gain/Drive
03100-300
6
7
14
4.5
20
21
18
6V. 50% loss
2-20GHz Drive
0330
6
8
13.5
6
10
15
18
4V,20mA
06100-100
10
11
17
9
-
20
8
6V, 50% loss
2-14GHz Power. High GM
06100-200
5
6
19.5
4.5
21.5
23.5
18
6V, 50% loss
2-20GHz Power
1210
-
6
22
4
-
25
18
6V, 50% loss
2-20GHz Power
2-20GHz Low Noise,
Low Current
Power Optimized FET Products
P'dB
(dBm)
Device Type
HMF-
G'dB
(dB)
Min
Typ
MAG
(dB)
Typ
(dBm)
Freq
(GHz)
Bias
Yos, los
PMAG
Application/
Description
0300
7.5
20.5
21.5
12
18
8
8V, 50% loss
2-18GHZ, 125mW
0600
7
23.5
24.5
10.5
22
8
8V, 50% loss
2-18GHz.250mW
12000-100
6
25.5
26.5
9.5
25
8
8V. 50% loss
2-16GHz.500mW
12000-200
6
27
27.5
9.5
25
8
8V. 50% loss
2-16GHz.650mW
24000-100
4
28.5
29.5
7
28
8
8V. 50% loss
2-14GHz.800mW
24000-200
4.5
30
30.5
7
28
8
8V. 50% loss
2-14GHz.1.2W
220
GaAs MMIC Products
HMM Series
Our half-micron (gate length) family of fully integrated MMIC amplifiers is intended for broadband applications where
noise figure, gain or output power are key specifications in a system design.
HMM Product Family - Electrical Specifications
Model No
Frequency
Band (GHz)
Small Signal
Gain (dB)
Typ
Gain
Flatness
(dB)
Typ
ldB Gain
Compression
Output Power
(dBm)Typ
±.S
HMM-l0610
2-6
13
HMM-l0620
(Low Current)
2-6
11.5
HMM-11810
6-18
6
HMM-11820
(Low Current)
6-18
6
±.75
Noise
Figure
(dB)
Typ
VSWR
Typ
+19
6
1.7:1
+13
5.S
1.7:1
+16
6.S
2:1
+12
5.5
1.7:1
Operating Conditions
HMR Series
For lower frequency application, specify the Harris HMR one-micron (gate length) MMIC family.
HMR Product Family - Electrical Specifications
Model No
Frequency
Band (GHz)
Small Signal
Gain (dB)
Typ
Gain
Flatness
(dB)
Typ
ldB Gain
Compression
Output Power
(dBm)Typ
Noise
Figure
(dB)
Typ
VSWR
Typ
HMR-l0S04
0.5-3
11.0
±0.8
+10
7
1.7:1
HMR-l0S0S
1-3
10.5
±0.8
+10
7
1.7:1
HMR-l1000
Attenuator
DC-18
15 (Ins Loss)
at 18
±0.5 at
10dB (Ins Loss)
+16
1.5 (Ins Loss)
1.3:1
VDD = 8V, 100 = 50 rnA
221
GaAs Custom MMIC Programs
Custom and Fabrication Services
Key Features
MMIC Program Options
• Design rule book includes microwave and physical
rules
• Gain, power or low current FET models and
processing
• 0.5 micron plated "T"-gate technology
• Circuit design from customer specs
• Reliable Ti/PtlAu metallization
• "Layout" from customer-supplied design
• Ion implantation for uniformity, consistency
• Computer simulation of design
• Circuit elements
~ "n+" type (low sheet RHO) resistors
~ "n" type (high sheet RHO) resistors
~ Diodes
~ FETs
~ Dual gate FETs
~ Transmission lines
~ Inductors
~ Capacitors
~ Through substrate via holes
• Generation of digitized data from customer drawing
• RF screening of selected parts
• Special packaging/assembly
• Specialized DC testing
• High reliability screening
Processes for Custom MMICs
Process Type
(Gate Length)
Practical Operating
Frequencies
It
f max
Process Options
0.5 Micron
0.5 to 20 GHz
18GHz
40 GHz
High Gain, Low Current
High Power
1 Micron
0.1 to 12 GHz
12 GHz
26 GHz
High Gain
50-OHM INPUT LINE
THIN FILM RESISTOR
MIMTUNING
CAPACITOR
GROUND PLANE
SECOND LEVEL
METAL
FIRST LEVEL
METAL
GROUND-PLANE
METALLIZATION
THROUGH
SUBSTRATE
N+
VIA HOLE
IMPLANT
MI:III
CAPACITOR
IMPLANTED
RESISTOR
SEMI-INSULATING
GaAsSUBSTRATE
92CS-43543
Features of a typical MMIC chip
222
High-Reliability JAN, SM D/DESC Military Drawingsl
Device Types Cross-Reference
M38510 JAN PRODUCTS
Specification
I
I Package
Description
I
Device Type
Analog Switches/Multiplexers
10501BEA
10502BEA
10503BEA
10504BEA
10505BEA
10506BEA
11101BAC
lll01BCA
11101BIA
lll02BAC
lll02BCA
11l02BIA
lll03BAC
11l03BEA
11l04BAC
11104BEA
11105BAC
11l05BCA
11105BIA
11106BAC
11l06BCA
lll06BIA
lll07BAC
11107BEA
11108BAC
11108BEA
11601BCA
l1602BCA
11603BCA
11604BCA
12302BEA
12302BEA
19007BEA
19008BEA
SPST 75 Ohm High-Level CMOS Analog Switch
Dual SPST 75 Ohm High-Level CMOS Analog Switch
SPDT 75 Ohm High-Level CMOS Analog Switch
Dual SPDT 75 Ohm High-Level CMOS Analog Switch
DPST 75 Ohm High-Level CMOS Analog Switch
Dual DPST 75 Ohm High-Level CMOS Analog Switch
Dual SPST 30 Ohm High Speed Driver with JFET Switch
Dual SPST 30 Ohm High-Speed Driver with JFET Switch
Dual SPST 30 Ohm High Speed Driver with JFET Switch
Dual SPST 75 Ohm High Speed Driver with JFET Switch
Dual SPST 75 Ohm High Speed Driver with JFET Switch
Dual SPST 75 Ohn High Speed Driver with JFET Switch
Dual DPST 30 Ohm High Speed Driver with JFET Switch
Dual DPST 30 Ohm High Speed Driver with JFET Switch
Dual DPST 75 Ohm High Speed Driver with JFET Switch
Dual DPST 75 Ohn High Speed Driver with JFET Switch
SPDT 30 Ohm High Speed Driver with JFET Switch
SPDT 30 Ohm High Speed Driver with JFET Switch
SPDT 30 Ohm High Speed Driver with JFET Switch
SPDT 75 Ohm High Speed Driver with JFET Switch
SPDT 75 Ohm High Speed Driver with JFET Switch
SPDT 75 Ohm High Speed Driver with JFET Switch
Dual SPDT 30 Ohm High Speed Driver with JFET Switch
Dual SPDT 30 Ohm High Speed Driver with JFET Switch
Dual SPDT 75 Ohm High Speed Driver with JFET Switch
Dual SPDT 75 Ohm High Speed Driver with JFET Switch
TTL Compatible CMOS Analog Switch
TTL Compatible CMOS Analog Switch
TTL Compatible CMOS Analog Switch
TTL Compatible CMOS Analog Switch
Quad SPST CMOS Analog Switch
Quad SPST CMOS Analog Switch
8-Channel CMOS Analog Multiplexer
4-Channel Differential CMOS Analog Multiplexer
l2202BGC
l2203BGC
12204BGC
12205BGC
12206BGC
Wideband High Impedance Op Amp
Very Wideband Uncompensated Op Amp
Precision High Slew Rate Op Amp
High Slew Rate Op Amp
High Slew Rate Uncompensated Op Amp
l2702BEA
CMOS Monolithic 10-Bit Multiplying D/A Converter
l6-Pin Sidebraze
l6-Pin Sidebraze
l6-Pin Sidebraze
l6-Pin Sidebraze
l6-Pin Sidebraze
l6-Pin Sidebraze
l4-Pin Flatpack
l4-Pin Sidebraze
10-Pin Metal Can
l4-Pin Flatback
l4-Pin Sidebraze
10-Pin Metal Can
l4-Pin Flatpack
l6-Pin Sidebraze
l4-Pin Flatpack
l6-Pin Sidebraze
l4-Pin Flatpack
l4-Pin Sidebraze
10-Pin Metal Can
l4-Pin Sidebraze
l4-Pin Sidebraze
10-Pin Metal Can
l4-Pin Flatpack
l6-Pin Sidebraze
l4-Pin Flatpack
16-Pin Side Braze
14-Pin Sidebraze
14-Pin Sidebraze
14-Pin Sidebraze
14-Pin Sidebraze
16-Pin CERDIP
16-Pin Sidebraze
16-Pin Sidebraze
l6-Pin Sidebraze
IH5040MDE
IH5041MDE
IH5042MDE
IH5043MDE
IH5044MDE
IH5045MDE
DG181AL
DG181AP
DG181AA
DG182AL
DG182AP
DG182AA
DG184AL
DG184AP
DG185AL
DG185AP
DG187AL
DG187AP
DG187AA
DG188AL
DG188AP
DG188AA
DG190AL
DG190AP
DG191AL
DG191AP
DG300AAP
DG301AAP
DG302AAP
DG303AAP
Hll-020l
DG201AP
IH6108MDE
IH6208MDE
TO-99
TO-99
TO-99
TO-99
TO-99
HA2-2600
HA2-2620
HA2-2500
HA2-2510
HA2-2520
Amplifiers
CAN
CAN
CAN
CAN
CAN
Data Acquisition
16-Pin Sidebraze
AD7520UD
Package
Device Type
8-Pin CERDIP
TO-99 CAN
16-Pin CERDIP
l6-Pin CERDIP
16-Pin CERDIP
l6-Pin CERDIP
20-Pad CER LCC
l6-Pin CERDIP
TO-99 CAN
l4-Pin CERDIP
l4-Pin CERDIP
l4-Pin CERDIP
16-Pin CERDIP
16-Pin CERDIP
16-Pin CERDIP
16-Pin CERDIP
16-Pin CERDIP
16-Pin CERDIP
l6-Pin CERDIP
16-Pin CERDIP
l6-Pin CERDIP
16-Pin CERDIP
16-Pin CERDIP
LM4250H
LM4250J
IH6l08MJE
Hll-0508
Hll-0548
IH5108MJE
H14-054B
DG201AK
HA2-2640
DG129AK
DG129AP
HAl-2420
IH5040MJE
IH5041MJE
IH5042MJE
IH5043MJE
IH5044MJE
IH5045MJE
IH5046MJE
IH5047MJE
H11-5040
IH5140MJE
H11-5041
SMD/DESC PRODUCTS
SMD/DESC
Drwg No.
Description
Analog/Linear
7703901GA
7703901PA
7705201EA
7705201EC
7705202EA
7705203EA
77052022A
7705301EA
7800302GC
7801401CA
7801401CC
8001601CA
8100601EA
8100602EA
8100603EA
8100604EA
8100605EA
8100606EA
8100607EA
8100608EA
8100609EA
8100609EA
81006l0EA
Programmable Operational Amplifier
Programmable Operational Amplifier
8-Channel CMOS Analog Multiplexer
8-Channel CMOS Analog Multiplexer
8-Channel CMOS Analog Multiplexer
8-Channel Fault-Protected CMOS Analog Multiplexer
8-Channel CMOS Analog Multiplexer
Quad SPST CMOS Analog Switch
High-Voltage Operational Amplifier
Dual JFET Analog Switch
Dual JFET Analog Switch
Sample and Hold
SPST 75-ohm High-Level CMOS Analog Switch
Dual SPST 75-ohm CMOS Analog Switch
SPDT 75-ohm High-Level CMOS Analog Switch
Dual SPDT 75-ohm High-Level CMOS Analog Switch
DPST 75-ohm High-Level CMOS Analog Switch
Dual DPST 75-ohm High-Level CMOS Analog Switch
DPDT 57-ohm High-Level CMOS Analog Switch
4PST 75-ohm High-Level CMOS Analog Switch
SPST 75-ohm High-Level CMOS Analog Switch
SPST 75-ohm High-Level CMOS Analog Switch
Dual SPST 75-ohm High-Level CMOS Analog Switch
223
High-Reliability JAN, 8M D/DE8C Military Drawingsl
Device Types Cross-Reference
SMD/DESC PRODUCTS
SMD/DESC
DrwgNo.
Description
Package
Device Type
16-Pin CERDIP
16-Pin CERDIP
16-Pin CERDIP
16-Pin CERDIP
20-Pad CER LCC
16-Pin CERDIP
16-Pin CERDIP
16-Pin CERDIP
16-Pin CERDIP
16-Pin CERDIP
20-Pad CER LCC
16-Pin CERDIP
l6-Pin CERDIP
16-Pin CERDIP
16-Pin CERDIP
16-Pin CERDIP
16-Pin CERDIP
16-Pin CERDIP
16-Pin CERDIP
16-Pin CERDIP
16-Pin CERDIP
16-Pin CERDIP
16-Pin CERDIP
16-Pin CERDIP
16-Pin CERDIP
16-Pin CERDIP
16-Pin CERDIP
16-Pin CERDIP
28-Pin CERDIP
28-Pad CER LCC
28-Pin CERDIP
28-Pad CER LCC
16-Pin CERDIP
20-Pad CER LCC
28-Pin CERDIP
28-Pin CERDIP
16-Pin CERDIP
16-Pin CERDIP
20-Pad CER LCC
18-Pin CERDIP
TO-99 CAN
8-Pin CERDIP
28-Pin CERDIP
IH5141MJE
H11-5042
IH5142MJE
HI1-5043
H14-5043
IH5143MJE
H11-5044
IH5144MJE
H11-5045
IH5145MJE
H14-5045
H11-5046
IH5046MJE
H11-5047
IH5047MJE
H11-5046A
IH5046MJE
H11-5047A
IH5047MJE
H11-5048
IH5148MJE
H11-5049
IH5149MJE
H11-5050
IH5150MJE
H11-5051
IH5150MJE
H14-5051
Hll-0546
H14-0546
Hll-0547
H14-0547
Hll-0549
H14-0549
IH5116MJI
IH5216MJI
IH5208MJE
Hll-0201HS
H14-0201HS
Hll-0524
ICL7667MTV
ICL7667MJA
Hll-0516
24-Pin CERDIP
24-Pin CERDIP
ICM7170MDG
ICM7170MDG
AnaloglLlnear (continued)
8100610EA
8100611EA
8100611EA
8100612EA
81006l22A
8100612EA
8100613EA
8100613EA
8100614EA
8100614EA
81006142A
81oo615EA
8loo615EA
8100616EA
8100616EA
8100617EA
8100617EA
81OO618EA
8100618EA
81OO619EA
8loo619EA
81OO620EA
81OO620EA
8100621EA
8100621EA
8100622EA
81OO622EA
81OO6222A
5962-8513101XA
5962-85131013A
5962-8513102XA
5962-85131023A
5962-8513103EA
5962-85131032A
5962-8513104XA
5962-8513105XA
5862-8513106EA
5962-8671601 EA
5962-86716012A
5962-8671801 VA
5962-8766001 GA
5962-8766001 PA
5962-8869901 XA
Dual SPST 75-ohm High-Level CMOS Analog Switch
SPST 75-ohm High-Level CMOS Analog Switch
SPOT High-Level CMOS Analog Switch
Dual SPOT 75-ohm High-Level CMOS Analog Swtich
Dual SPOT 75-ohm High-Level CMOS Analog Switch
Dual SPOT High-Level CMOS Analog Switch
DPST 75-ohm High-Level CMOS Analog Switch
DPST High-Level CMOS Analog Switch
Dual DPST 75-ohm High-Level CMOS Analog Switch
Dual DPST 75-ohm High-Level CMOS Analog Switch
Dual DPST 75-ohm High-Level CMOS Analog Switch
DPDT 75-ohm High-Level CMOS Analog Switch
DPDT 75-ohm High-Level CMOS Analog Switch
4PST 75-ohm High-Level CMOS Analog Switch
4PST 75-ohm High-Level CMOS Analog Switch
DPDT 75-ohm High-Level CMOS Analog Switch
DPDT 75-ohm High-Level CMOS Analog Switch
4PST 75-ohm High-Level CMOS Analog Switch
4PST 75-ohm High-Level CMOS Analog Switch
Dual SPST 75-ohm High-Level CMOS Analog Switch
Dual SPST 75-ohm High-Level CMOS Analog Switch
Dual SPST 75-ohm High-Level CMOS Analog Switch
Dual DPST 75-ohm High-Level CMOS Analog Switch
SPOT 75-ohm High-Level CMOS Analog Switch
SPOT 75-ohm High-Level CMOS Analog Switch
Dual SPOT 75-ohm High-Level CMOS Analog Switch
Dual SPOT 75-ohm High-Level CMOS Analog Switch
Dual SPOT 75-ohm High-Level CMOS Analog Switch
Single 16/Diff. 8-Channel CMOS Analog Multiplexer
Single 16/Dill. 8-Channel CMOS Analog Multiplexer
Single 16/Dill. 8-Channel CMOS Analog Multiplexer
Single 16/Dill. 8-Channel CMOS Analog Multiplexer
Single 8/Dill. 4-Channel CMOS Analog Multiplexer
Single 8/Dill. 4-Channel CMOS Analog Multiplexer
16-Channel Fault-Protected CMOS Analog Multiplexer
8-Channel Diff. Fault-Protected CMOS Analog Multiplexer
4-Channel Diff. Fault-Protected CMOS Analog Multiplexer
Quad SPST CMOS Analog Switch
Quad SPST CMOS Analog Switch
4-Channel Wideband Multiplexer
Dual Power MOSFET Driver
Dual Power MOSFET Driver
16/Dill. 8-Channel CMOS Analog Multiplexer
5462-8756301JA
5462-8756301 JA
CMOS pp-Compatible Real-Time Clock
CMOS pp-Compatible Real-Time Clock
MicroperipherallMemory
Harris can provide devices that are in full compliance with MIL-STD-883B. Rev. C.
224
Hi-Rei Product Device Type Index
Device
Type
JAN
No.
2N3439
2N3440
2N3584
2N3585
2N3879
MIL-S-19500/368
MIL-S-19500/368
MIL-S-19500/384
MIL-S-19500/384
MIL-S-19500/526
2N5038
2N5039
2N5302
2N5303
2N5320
MIL-S-19500/439
MIL-S-19500/439
MIL-S-19500/456
MIL-S-19500/456
SMD/
DESC No.
Reference
Publication
Device
Type
JAN
No.
SSD-230C.
VaLli'
2N6849
2N6851
2N6895
2N6896
2N6897
MIL-S-19500/563
MIL-S-19500/563
MIL-S-19500/565
MIL-S-19500/565
MIL-S-19500/565
2N6898
2N6901
2N6902
2N6903
2N6904
MIL-S-19500/565
MIL-S-19500/566
MIL-S-19500/566
MIL-S-19500/566
MIL-S-19500/566
2N5322
2N5415S
2N5416S
2N5671
2N5672
MIL-S-19500/485
MIL-S-19500/485
MIL-S-19500/488
MIL-S-19500/488
2N7142
2N7143
2N7144
2N7145
2N7146
2N6032
2N6033
2N6211
2N6212
2N6213
MIL-S-19500/528
MIL-S-19500/528
MIL-S-19500/461
MIL-S-19500/461
MIL-S-19500/461
2N7147
AD7520UD
AD7521UD
AD7541TD
AGC40K
2N6248
2N6283
2N6284
2N6286
2N6287
MIL-S-19500/504
MIL-S-19500/504
MIL-S-19500/505
MIL-S-19500/505
AGC50K
AUA
CA0723
CA0741
CA0747
2N6306
2N6308
2N6383
2N6384
2N6385
MIL-S-19500/498
MIL-S-19500/498
MIL-S-19500/523
MIL-S-19500/523
MIL-S-19500/523
CA0748
CA1558
CA3001
CA3003
CA3015
2N6546
2N6547
2N6648
2N6649
2N6650
MIL-S-19500/525
MIL-S-19500/S25
MIL-S-19500/527
MIL-S-19500/527
MIL-S-19500/527
CA30153
CA3018
CA30191
CA30193
CA3020
2N6671
2N6673
2N6674
2N6675
2N6676
MIL-S-19500/536
MIL-S-19500/536
MIL-S-19500/537
MIL-S-19500/537
MIL-S-19500/538
CA30261
CA30263
CA3028
CA30391
CA30393
2N6678
2N6688
2N6756
2N6758
2N6760
MIL-S-19500/538
MIL-S-19500/542A
MIL-S-19500/542A
MIL-S-19500/542A
CA3045
CA30451
CA3049
CA3058
CA3060B
2N6762
2N6784
2N6766
2N6768
2N6770
MIL-S-19500/542A
MIL-S-19500/543A
MIL-S-19500/543A
MIL-S-19500/543A
MIL-S-19500/543A
CA3078A
CA3080
CA3081
CA3082
CA3083
2N6782
2N6784
2N6786
2N6788
2N6790
MIL-S-19500/556
MIL-S-19500/556
MIL-S-19500/556
MIL-S-19500/555
MIL-S-19500/555
CA3085
CA3089
CA3094A
CA31 00
CA3130
2N6792
2N6794
2N6796
2N6798
2N6800
2N6802
MIL-S-19500/555
MIL-S-19500/555
MIL-S-19500/557
MIL-S-19500/557
MIL-S-19500/557
MIL-S-19500/557
CA3140
CA3160
CA3260
CA3280
CA3290
CA6741
• High-Reliability Data Book SSD-230C
• Intersil's "Component Data Catalog:' No. 900301-003
- High-Reliability Data Book SSD-230C
225
12702BEA
12703BEA
12704BVC
SMD/
DESC No.
Reference
Publication
SSD-230C.
VaLli'
ICDC-1987.
I
•
ASIC'
I
SSD-230C.
VaLl-
-
Hi-Rei Product Device Type Index
Device
Type
JAN
No.
CA4000A
CD4000B
CD4000UB
CD4001A
CD4001B
MIL-M-3851 0/05201
MIL-M-38510/05251
CD4001UB
CD4002A
CD4002B
CD4002UB
CD4006A
SMDI
DESC No.
Reference
Publication
Device
Type
JAN
No.
SSD-230C.
Vol. I-
CD4019A
CD4019B
CD4020BB
CD4020A
CD4020B
MIL-M-3851 0105302
MIL-M-3B510/05352
MIL-M-3851 0/05704
MIL-M-3B51 0/05754
MIL-M-3B510/05604
MIL-M-3B510/05654
MIL-M-3B510105003
M IL-M-3B51 0/05202
MI L-M-3B51 0/05252
MIL-M-3B51 0/05701
CD4006B
CD4007A
CD4007UB
CD400BA
CD400BB
MIL-M-38510/05751
MIL-M-3B510/05301
MIL-M-38510/05351
M I L-M-3851 0/05401
MIL-M-38510/05451
CD4023B
CD4023UB
CD4024A
CD4024B
CD40257B
MIL-M-3B510105053
CD4009A
CD4009UB
CD40100B
CD40101B
CD40102B
MIL-M-3851 0/05501
MIL-M-38510/05551
CD4025A
CD4025B
CD4025UB
CD4026A
CD4026B
MI L-M-3851 0/05204
MI L-M-3851 0/05254
CD4027A
CD40278
CD4028A
CD40288
CD4029A
MIL-M-38510/05102
MIL-M-3B510/05152
MIL-M-38510/05901
MI L-M-3B51 0/05951
CD401038
CD401048
CD401058
CD401068
CD401078
CD401088
CD401098
CD4010A
CD40108
CD41108
CD401168
CD4011A
CD40118
CD4011UB
CD4012A
CD40128
CD4012UB
CD4013A
CD40138
CD401478
CD4014A
CD40148
CD4015A
CD40158
CD401608
CD401618
CD401628
CD401638
CD4016A
CD40168
CD401748
CD401758
CD4017A
CD40178
CD401B18
CD40182B
CD4018A
CD40188
CD401928
CD401938
CD401948
MIL-M-38510/17702
MIL-M-3851 0/17402
CD40298
CD4030A
CD40308
CD4031A
CD40318
MIL-M-38510/17404
MI L-M-3851 0/05502
MI L-M-3851 0105552
CD40338
CD4034A
CD40348
CD40358
CD4040A
MIL-M-3B510/05001
MIL-M-38510/05051
MIL-M-38510/05002
MIL-M-3851 0/05052
CD40408
CD4041A
CD4041U8
CD4042A
CD4042B
MIL-M-38510/05101
MIL-M-38510/05151
M I L-M-3851 0/05702
MIL-M-38510/05752
M I L-M-3851 0/05703
MIL-M-38510/05753
CD4043A
CD40438
CD4044A
CD40448
CD4046A
CD40468
CD40478
CD4048A
CD404B8
CD4049A
MIL-M-38510/05801
MIL-M-38510/05B51
Reference
Publication
SSD-230C.
Vol. I-
M I L-M-3B51 0/05603
MIL-M-38510/05653
CD4021A
CD4021B
CD4022A
CD4022B
CD4023A
M I L-M-3B51 0/05203
M I L-M-3851 0/05253
SMDI
DESCNo.
MIL-M-3B510/05605
MI L-M-3B51 0/05655
MIL-M-3B510/17803
MIL-M-3851 0/05303
MI L-M-38510/05353
MIL-M-38510/05705
MIL-M-3B510/05755
MIL-M-38510/05706
MIL-M-38510/05756
MIL-M-3851 0/05505
MIL-M-3851 0105555
MIL-M-38510/05103
MIL-M-38510/05153
MIL-M-3851 0/05304
MIL-M-3851 0/05354
M I L-M-3B51 0/05503
MIL-M-3B51 0/17505
CD40498
CD4049U8
CD4050A
CD40508
CD40518
MIL-M-3B51 0/05601
MIL-M-3B510/05651
CD40528
CD40538
CD40548
CD4055B
CD40568
MIL-M-3851 0/05602
MIL-M-38510/05652
- High-Reliability Data 800k SSD-230C
226
MIL-M-38510/05553
M I L-M-3851 0/05504
MIL-M-38510105554
-
Hi-Rei Product Device Type Index
Device
Type
CD4059A
CD4060A
CD4060B
CD4063B
CD4066A
JAN
No.
SMDI
DESC No.
Reference
Device
Publlcallon
Type
SSD-230C.
CD54AC/ACT151
CD54AC/ACT153
CD54ACI ACT157
CD54AC/ACT158
CD54AC/ACT161
VaLl.
MIL-M-38510105802
CD4066B
CD4067B
CD4066B
CD4069UB
CD4070B
MIL-M-38510105852
MIL-M-38510117801
CD4071B
CD4072B
CD4073B
CD4075B
CD4076B
MIL-M-38510/17101
MIL-M-38510/17102
MIL-M-38510117003
MIL-M-38510/17103
MIL-M-38510/17501
CD54ACI ACT20
CD54ACI ACT238
CD54ACIACT240
CD4077B
CD4078B
CD4081B
CD4082B
CD4085B
MIL-M-38510117204
CD54ACIACT244
MIL-M-38510/17001
MIL-M-3851 0/17002
MIL-M-38510/17201
CD54AC/ACT245
CD54AC/ACT251
CD54AC/ACT253
CD54AC/ACT257
MIL-M-38510/17401
MIL-M-38510/17203
SSD-230C.
VaLl.
CD54AC/ACT193
CD54AC/ACT241
CD4097B
CD4098B
CD4099B
CD4502B
CD4503B
MIL-M-38510/17802
MIL-M-38510/17504
MIL-M-38510/17601
MIL-M-38510117403
CD54ACIACT32
CD54ACIACT323
CD54ACIACT373
CD4508B
CD4510B
CD4511B
CD4512B
CD4514B
MIL-M-38510/17602
CD54AC/ACT534
CD54AC/ACT540
CD54AC/ACT541
CD54ACIACT563
CD54AC/ACT564
CD4543B
CD4555B
CD4556B
CD4585B
CD4724B
Reference
Publlcallon
CD54AC/ACT191
MIL-M-38510/17202
CD4527B
CD4532B
CD4536B
CD453BB
CD4541B
SMDI
DESCNo.
CD54ACIACT163
CD54ACI ACT164
CD54ACIACT17 4
CD54ACI ACT175
CD4086B
CD4089B
CD4093B
CD4095B
CD4096B
CD4515B
CD4516B .
CD4517B
CD4518B
CD4520B
JAN
No.
CD54AC/ACT258
CD54ACIACT273
CD54AC/ACT280
CD54ACIACT263
CD54ACIACT299
MIL-M-38510/17701
MIL-M-38510/17502
MIL-M-38510/17503
CD54AClACT374
CD54AC/ACT533
MIL-M-38510/17301
MIL-M-38510/17302
CD54AC/ACT573
CD54AC/ACT574
CD54ACIACT623
CD54ACIACT646
CD54AC/ACT647
CD54ACIACT648
MIL-M-38510/17303
CD54AC/ACT649
CD54AC/ACT651F
CD54ACIACT652F
CD54ACIACT653F
CD54ACIACT654F
CD54ACIACT7202F
MIL-M-38510/17304
MIL-M-38510/17305
CD64ACIACT7 4F
G:D54ACIACT86F
CD54HCOO
8403701CX
CD54AC/ACTOO
CD54AC/ACT02
CD54AC/ACT04
CD54AC/ACT05
CD54AC/ACT08
CD54HC02
CD54HC03
CD54HC04
CD54HC08
CD54HC10
6404101CX
5962-8764701 CA
8409801CX
8404701CX
8403B01CX
CD54AC/ACT10
CD54AC/ACT112
CD54AC/ACT138
CD54AClACT139
CD54AC/ACT14
CD54HC10
CD54HC107
CD54HC109
CD54HC11
CD54HC11
5962-8515401CX
8415001 EX
8404801CX
•
• High-Reliability Data Book SSD-230C
227
•
Hi-Rei Product Device Type Index
Device
Type
CD54HC112
CD54HC123
CD54HC125
CD54HC126
CD54HC132
JAN
No.
SMDI
DESCNo.
Reference
Device
Publication
Type
8408801 EX
5962-8684701 EX
5962-8772101 CA
5962-8684801 CX
SSD-230C.
Vol. I-
CD54HC356
CD54HC365
CD54HC366
CD54HC367
CD54HC368
85001 01 EX
5962-8682801 EX
8500201 EX
5962-8681201 EX
CD54HC373
CD54HC374
CD54HC377
CD54HC390
CD54HC393
8407201RX
8407101RX
5962-8780701 RA
8600901 EX
8410001CX
CD54HC137
CD54HC138
CD54HC139
CD54HC14
CD54HC147
8406201 EX
8409201 EX
8409101CX
8406401 EX
CD54HC147
CD54HC151
CD54HC153
CD54HC154
CD54HC157
8412801 EX
8409301 EX
5962-8682201 JX
5962-860610EX
CD54HC4002
CD54HC40102
CD54HC40103
CD54HC40104
CD54HC40105
CD54HC158
CD54HC160
CD54HC161
CD54HC162
CD54HC163
5962-8682301 EX
5962-8682401 EX
8407501 EX
8409401 EX
8607601 EX
CD54HC4015
CD54HC4016
CD54HC4017
CD54HC4020
CD54HC4024
CD54HC164
CD54HC165
CD54HC166
CD54HC173
CD54HC174
8416201CX
8409501 EX
CD54HC4040
CD54HC4046
CD54HC4049
CD54HC4050
CD54HC4051
5962-8682501 EX
8407301 EX
CD54HC175
CD54HC181
CD54HC182
CD54HC190
CD54HC191
5962-8689101 EX
CD54HC4052
CD54HC4053
CD54HC4059
CD54HC4060
CD54HC4066
CD54HC192
CD54HC193
CD54HC194
CD54HC195
CD54HC20
5962-8772401 EX
5962-8780801 EX
5962-8682601 EX
5962-8682701 EX
8403901CX
CD54HC4067
CD54HC4075
CD54HC4094
CD54HC42
CD54HC42
CD54HC21
CD54HC221
CD54HC237
CD54HC238
CD54HC240
5962-8857601 CX
5962-8780501 EX
5962-8860601 EA
5962-8688401 EX
8407401RX
CD54HC423
CD54HC4316
CD54HC4351
CD54HC4352
CD54HC4353
8409001CX
8409601RX
8408501RX
CD54HC4510
CD54HC4511
CD54HC4514
CD54HC4515
CD54HC4516
8408901 EX
CD54HC241
CD54HC242
CD54HC243
CD54HC244
CD54HC245
CD54HC251
CD54HC253
CD54HC257
CD54HC258
CD54HC259
8512501 EX
8512401 EX
8551901EX
CD54HC27
CD54HC27
CD54HC273
CD54HC280
CD54HC283
CD54HC297
CD54HC299
CD54HC30
CD54HC32
CD54HC354
CD54HC4518
CD54HC4520
CD54HC4538
CD54HC4543
CD54HC533
8404201CX
CD54HC534
CD54HC540
CD54HC541
CD54HC563
CD54HC564
8409901RX
8607701CX
CD54HC573
CD54HC574
CD54HC583
CD54HC597
CD54HC640
5962-8780601 RX
8404001CX
8404501CX
•
• High-Reliability Data Book SSD-230C
228
JAN
No.
SMDI
DESC No.
Reference
Publication
SSD-230C.
Vol. I.
8404401CX
8601101EX
8500301 EX
8601201CX
8500401 EX
5962-8681901 EX
5962-8682001 EX
5962-8768001 EX
5962-8772201 CX
5962-868210EX
5962-8688601 EX
5962-8681301 RX
5962-8681401 RX
5962-8606201 RX
5962-8681501 RX
8512801RX
5962-8780901 RX
•
Hi-Rei Product Device Type Index
Device
Type
CD54HC643
CD54HC646
CD54HC646
CD54HC670
CD54HC688
CD54HC7046
CD54HC7266
CD54HC73
CD54HC74
CD54HC75
CD54HC85
CD54HC86
CD54HC93
CD54HCTOO
CD54HCT02
CD54HCT03
CD54HCT04
CD54HCT05
CD54HCT08
CD54HCT10
JAN
No.
SMDI
DESC No.
Reference
Device
Publication
Type
SSD-230C.
Vol. I.
5962-8681801 RX
CD54HCT237
CD54HCT238
CD54HCT240
CD54HCT241
CD54HCT242
8404301CX
5962-8515301 CX
8405601CX
8407001 EX
CD54HCT243
CD54HCT244
CD54HCT245
CD54HCT251
CD54HCT253
5962-8688501 JX
8601301 EX
8404601CX
CD54HCT257
CD54HCT256
CD54HCT259
CD54HCT27
CD54HCT273
5962-8683101 CX
5962-668301 CX
CD54HCT32
CD54HCT354
CD54HCT356
CD54HCT365
CD54HCT366
CD54HCT125
CD54HCT126
CD54HCT132
CD54HCT137
CD54HCT138
CD54HCT367
CD54HCT368
CD54HCT373
CD54HCT374
CD54HCT377
CD54HCT153
CD54HCT154
CD54HCT157
CD54HCT158
CD54HCT160
CD54HCT161
CD54HCT162
CD54HCT163
CD54HCT164
CD54HCT165
8550401 EX
Reference
Publication
SSD-230C.
Vol. I.
8550501RX
8513001RX
8550601RX
5962-6772501 RX
5962-8685201 CX
5962-6666701 RX
855701RX
CD54HCT390
CD54HCT393
CD54HCT4002
CD54HCT40102
CD54HCT40103
5962-8689001 EX
CD54HCT40104
CD54HCT40105
CD54HCT4015
CD54HCT4016
CD54HCT4017
5962-8670101JX
5962-8685401 EX
CD54HCT4020
CD54HCT4024
CD54HCT4040
CD54HCT4046A
CD54HCT4050
5962-8685501 EX
CD54HCT166
CD54HCT173
CD54HCT174
CD54HCT175
CD54HCT181
CD54HCT4051
CD54HCT4052
CD54HCT4053
CD54HCT4059
CD54HCT4060
CD54HCT182
CD54HCT190
CD54HCT191
CD54HCT192
CD54HCT193
CD54HCT4066
CD54HCT4067
CD54HCT4075
CD54HCT4094
CD54HCT42
5962-8867101 EA
CD54HCT194
CD54HCT195
CD54HCT20
CD54HCT21
CD54HCT221
SMDI
DESC No.
CD54HCT280
CD54HCT263
CD54HCT297
CD54HCT299
CD54HCT30
CD54HCT107
CD54HCT109
CD54HCT11
CD54HCT112
CD54HCT123
CD54HCT139
CD54HCT14
CD54HCT14
CD54HCT147
CD54HCT151
JAN
No.
CD54HCT423
CD54HCT4316
CD54HCT4351
CD54HCT4352
CD54HCT4353
•
• High-Reliability Data Book SSD-230C
229
5962-8862401 JX
•
Hi-Rei Product Device Type Index
Device
Type
JAN
No.
SMOI
OESC No.
CD54HCT4510
CD54HCT4511
CD54HCT4514
CD54HCT4515
CD54HCT4516
Reference
Oevlce
Publication
Type
SSD-230C.
Vol. I-
CDP1854AC
CDP1857
CDP1857C
CGA10
CGA100
CD54HCT4518
CD54HCT4520
CD54HCT4538
CD54HCT4543
CD54HCT533
CD54HCT643
CD54HCT646
CD54HCT648
CD54HCT670
CD54HCT668
CD54HCT7046A
CD54HCT266
CD54HCT73
CD54HCT74
CD54HCT75
CD54HCT85
CD54HCT86
CD54HCT93
CD54HCU04
CDM5114C
5962-8685601 RX
5962-8685701 RX
5962-8685301CX
5962-8867201 EX
8601001CX
CDM5332C
CDM62256C
CDM6264AC
CDM6264BC
CDM6264C
CDP1802A
CDP1802AC
CDP1821C
CDP1822C
CDP1823C
CDP1824
CDP1824C
CDP1831
CDP1831C
CDP1832
CDP1832C
CDP1833
CDP1833C
CDP1834
CDP1834C
CDP1852
CDP1852C
CDP1853
CDP1853C
CDP1854A
• High-Reliability Data Book SSD-230C
- High-Reliability Data Book SSD-230C
~ Intersirs "Component Data Catalog:' No. 900301-003.
230
7801401CA
DG129AP
DG181AL
DG181AP
DG181AP
DG181AA
11101BAC
11101BCA
11101BCC
11101BIA
DG182AL
DG182AP
DG182AP
DG182AA
DG182AA
11102BAC
11102BCA
11102BCC
11102BIA
11102BIC
DG184AL
DG184AP
DG184AP
DG185AL
DG185AP
11103BAC
11103BEA
11103BEC
11104BAC
11104BEA
DG185AP
DG187AL
DG187AP
DG187AP
DG187AA
11104BEC
11105BAC
11105BCA
11105BCC
11105BIA
DG188AL
DG188AP
DG188AP
DG188AA
DG188AA
11106BAC
11106BCA
11106BCC
11106BIA
11106BIC
DG190AL
DG190AP
DG190AP
DG191AL
DG191AP
11107BAC
11107BEA
11107BEC
11108BAC
11108BEA
DG191AP
DG201AK
DG201AP
DG201AP
DG300AAP
11108BEC
12302BEA
12302BEC
11601BCA
DG300AAP
DG301AAP
DG301AAP
DG302AAP
DG302AAP
11601BCC
11602BCA
11602BCC
11603BCA
11603BCC
DG303AAP
DG303AAP
FRF6764
FRF6766
FRK6764
11604BCA
11604BCC
FRK6766
FRL6796
FRL6798
FRM6756
FRM6758
SMOI
OESC No.
Reference
Publication
SSD-230C.
Vol. I_
CMM5104
CMM5114
CMM6167
DG129AK
CD54HCT534
CD54HCT540
CD54HCT541
CD54HCT563
CD54HCT564
CD54HCT573
CD54HCT574
CD54HCT563
CD54HCT597
CD54HCT640
JAN
No.
ICDC
1987~
7801401CC
7705301EA
~
SSD-230C.
Val.li"
Hi.. Rel Product Device Type Index
Device
Type
JAN
No.
SMDI
DESCNo.
FRS6756
FRS67S8
GPOOl
GP301
GP302
Reference
Device
Publication
Type
SSD-230C,
HAQ-S170
HAOSl77
HAO-S180
HAQ-S190
HAQ-S19S
Val.li"
SSD-230C.
Vol. I-
GP30S
GPSOl
GP502
GP503
GPSll
HA1-2400
HAl-2420
HAl-2420
HAl-2539
HAl-2S40
GP514
GPS15
GP516
GPS17
GS105
HAl-2S41
HAl-2S42
HAl-2546
HAl-2S47
HAl-4741
GS205
GS210
GS215
GS600
GS601
HAl-4900
HAl-4902
HAl-5004
HA1-Sl04
HA1-Sl14
HAQ-2400
HAQ-240S
HAQ-2420
HAQ-2S02
RAQ-250S
-
JAN
No.
SMDI
DESCNo.
Reference
Publication
Analog*
BOO1601CA
HA1-S134
HA1-S144
HA1-Sl54
HA1-S190
HAl-5330
Analog*
HAO-2512
HAQ-251S
HAQ-2522
HAQ-2525
HAQ-2529
HA2-2500
HA2-2500
HA2-2S02
HA2-2510
HA2-2S10
HAQ-2539
HAQ-2540
HAQ-2544
HAQ-2602
HAQ-2605
HA2-2512
HA2-2520
HA2-2520
HA2-2S22
HA2-2S29
HAQ-2622
HAQ-262S
HAQ-264S
HAQ-4741
HAQ-4905
HA2-2541
HA2-2542
HA2-2544
HA2-2548
HA2-2600
HAQ-SOO2
HAQ-5033
HA()-5101
HAQ-51 02
HAQ-51 04
HA2-2600
HA2-2602
HA2-2620
HA2-2620
HA2-2622
HAQ-5111
HAQ-5112
HAQ-5114
HAQ-5127
HAQ-5134
HA2-2640
HA2-2640
HA2-2650
HA2-5002
HA2-5033
HAQ-5135
HAQ-5137
HAQ-5141
HAQ-5142
HA0-5144
HA2-5101
HA2-5102
HA2-5111
HA2-5112
HA2-5127
HAO-5147
HAQ-5151
HAQ-5152
HAQ-5154
HAQ-5162
HA2-5130
HA2-5135
HA2-5137
HA2-5141
HA2-5142
*
• High-Reliability Data Book SSD-230C
Harris Analog Military Products Data Book
*
231
12204BGC
1220SBGC
12206BGC
12202BGC
12203BGC
7BOO302GC
*
Hi-Rei Product Device Type Index
,---Device
Type
JAN
No.
SMDI
DESC No.
HA2-S147
HA2-S1S1
HA2-S1S2
HA2-S160
HA2-S170
Reference
Publication
Device
Type
Analog*
HA7-2S48
HA7-2600
HA7-2602
HA7-2620
HA7-2622
HA2-S177
HA2-S180
HA2-S190
HA2-S221
HA4-2400
HA7-2640
HA7-26S0
HA7-S002
HA7-S101
HA7-5102
HA4-2420
HA4-2502
HA4-2512
HA4-2522
HA4-2529
HA7-S111
HA7-5112
HA7-5127
HA7-S130
HA7-5135
HA4-2539
HA4-2S40
HA4-2544
HA4-2S46
HA4-2S47
HA7-5137
HA7-S141
HA7-5142
HA7-5147
HA7-S151
HA4-2S48
HA4-2602
HA4-2622
HA4-2640
HA4-26S0
HA7-S152
HA7-5170
HA7-S177
HA7-5180
HA7-S221
HA4-4741
HA4-4900
HA4-4902
HA4-S002
HA4-5101
HA7-S222
HC1-S5564
HC4-SS564
HC-SOO
HC-S02
HA4-5102
HA4-5104
HA4-S111
HA4-S112
HA4-5114
HCS138
HCS190
HCS244
HCS245
HCS373
HA4-S127
HA4-5134
HA4-5135
HA4-S137
HA4-5141
HCS374
HCS74
HCTSOO
HCTS02
HCTS04
HA4-S142
HA4-5144
HA4-5147
HA4-5151
HA4-S1S2
HCTS08
HCTS10
HCTS109
HCTSll
HCTS112
HA4-S1S4
HA4-S170
HA4-S177
HA4-S190
HA4-5221
HCTS132
HCTS138
HCTS139
HCTS14
HCTS147
HA4-5222
HA4-S330
HA7-2500
HA7-2502
HA7-2S10
HCTS1S3
HCTS157
HCTS160
HCTS161
HCTS163
HA7-2512
HA7-2520
HA7-2522
HA7-2529
HA7-2S44
HCTS190
HCTS191
HCTS193
HCTS20
HCTS21
*
*
Harris Analog MIlitary Products Data Book
• Harris Digital Military Products Data Book
- High-Reliability Data Book SSD-230C
232
JAN
No.
SMDI
DESC No.
Reference
Publicalion
Analog*
*
SSD-230C.
Vol. I-
-
Hi-Rei Product Device Type Index
Reference
Publication
Device
Type
SSD0230C,
Vol. 1·
H11-5042
H11-5043
H11-5044
H11-5045
H11-5046
8100611EA
8100612EA
8100613EA
8100614EA
8100615EA
HCTS299
HCTS32
HCTS365
HCTS373
HCTS390
H11-5046A
H11-5047
H11-5047A
H11-5048
H11-5049
8100617EA
8100616EA
8100618EA
8100619EA
8100620EA
HCTS4002
HCTS540
HCTS574
HCTS646
HCTS7266
H11-5050
H11-5051
H11-565A
H11-574A
H11-674A
8100621EA
8100622EA
HCTS74
HCTS75
HCTS86
HCTS93
HD1-15530
HI1-774
HI1-DAC87V
H12-0200
H14-0201
H14-0201HS
Device
Type
JAN
No.
SMDI
DESCNo.
HCTS240
HCTS244
HCTS245
HCTS27
HCTS273
•
7802901JA
HD,.,5531
HD1-4702
HD4-15530
HD1-6402
HD1-6409
Digital#
HFA4-0005
HFA7-Q002
HFA7-0005
H10-0506
HIO-0507
HI4-0549
HI4-5043
H14-5045
H14-5051
H14-574A
H10-0508
H10-0509
HIO-0546
HIQ-0547
HI0-0548
H14-674A
HI4-DAC87V
HM-91MZ
HM1-6504
HM1-6504B
HIQ-0549
HIO-1818A
H10-1828A
H11-0200
HI1-0201
HM1-6504S
HM1-6508
HM1-6514S
HM1-6516
HM1-65162
1230BEA
7705301 EX
19001BXA
19003BXA
H11-0508
H11-0509
H11-0546
H11-0547
H11-0548
19007BEA
19005BEA
19002BXA
19004BXA
19005BEA
5962-8513101XA
5962-8513102XA
7705202EA
HI1-0549
HI,.,818A
HI,.,828A
H11-5040
HI1-5041
19006BEA
5962-8513103EA
HM1-65162B
HM1-6518
HM1-65262
HM1-65262B
HM1-6551
5962-8671601 EA
7705201EC
8100609EA
8100610EA
Reference
Publication
Analog*
77053012X
5962-86716012A
HI4-0509
HI4-0546
H14-0547
H14-0547
H14-0548
Analog*
H11-Q201HS
H11-Q201HS
H11-0222
H11-0506
H11-0507
SMDI
DESC No.
HI4-0222
H14-0506
HI4-0506
HI4-0507
H14-0508
78029013A
HFA1-0001
HFA2-0002
HFA2-0005
HFA4-Q001
HFA4-Q002
JAN
No.
HM1-6561
HM1-65642B
HM1-6617
HM1-6617B
HM1-6642
HM1-6642B
HM4-6516
HM4-65161B
HM4-65162
HM4-65262
*
* Harris Analog Military Products Data Book
# Harris Digital Military Products Data Book
t Harris GaAs Products Data Sheets
233
5962-85131013A
5962-85131023A
77052022A
5962-85131032A
81006122A
81006142A
81006222A
*
Digital#
8102405VA
8102403VA
M38510/24501BVA
8102401 VA
M38510/24502BVA
M38510/29102BJA
M38510/29104BJA
8102402VA
8403601JA
8403602JA
M38510/29110BJA
8403606JA
M38510/29103BRA
M38510/29109BRA
8413201RA
8413203RA
M38510/29205BXA
8954001JA
8954002JA
8869001JA
M38510/29102BXA
M38510/29110BXA
M3851 0/291 04BXA
M38510/29103BYA
8869002JA
8403601ZA
8403606ZA
8403602ZA
8413201YA
#
Hi-Rei Product Device Type Index
Device
Type
JAN
SMDI
Reference
No.
DESC No.
Publication
HM4-65262B
HM4-65642B
HM4-6617
HM4-6617B
HM4-6642
M3851 0/291 09BYA
M38510/29205BYA
8413203YA
OigitaJ#
Device
Type
8954001XA
8954002XA
88690013A
HS9-508ARH
HS9-6504RH
HS9-6514RH
HS9-6617RH
HSC1000
HM5-92560
HM5-92570
HM6-6617
HM6-6617B
HM6-6642
HSC1000RH
ICL7667MTV
ICL7667MJA
ICM7170MDG
ICM7170MDG
8954001 LA
8954002LA
8869001 LA
8869002LA
IH5040MDE
IH5040MDE
IH5040MJE
IH5041MDE
IH5041MDE
#
GaAst
HMF-0330
HMF-0600
HMF-0610
HMF-0620
HMF-12000-100
IH5041MJE
IH5042MDE
IH5042MDE
IH5042MJE
IH5043MDE
HMF-12000-200
HMF-1210
HMF-24000-100
HMF-24000-200
HMM-1061O
IH5043MDE
IH5043MJE
IH5044MDE
IH5044MDE
IH5044MJE
HMM-10620
HMM-1181O
HMM-11820
HMR-10504
HMR-10505
IH5045MDE
IH5045MDE
IH5045MJE
IH5046MJE
IH5046MJE
HR3N0187
HR3N0200
HR3N0205
HS-3447
HS,.,840RH
HS1-302RH
HS1-303RH
HS1-306RH
HS1-307RH
HS1-3182
HS1-3282
HS1-384RH
HS1-390RH
HS1-508ARH
HS1-6504RH
HS1-6514RH
HS1-6617RH
HS2-3530RH
HS4-3182
HS4-3282
SMDI
Reference
No.
DESC No.
Publication
HS9-303AH
HS9-306RH
HS9-3516RH
HS9-384RH
HS9-390RH
HM5-6564
HM5-8808
HM5-8816H
HM5-8832
HM5-8832B
HM6-6642B
HMF-0300
HMF-03100-100
HMF-03100-200
HMF-03100-300
JAN
SSD-230C.
Vol. I"
"
Oigital#
8687901EA
86880010A
86879013A
8688001XA
HS5-6564RH
HS-65643RH
HS-65647RH
HS9-1840RH
HS9-302RH
Digitalff
#
ASIC-
5962-8766000GA
5962-8766001 PA
5962-8765301 JA
5962-8765301 JC
10501BEA
10501BEC
8100601EA
10502BEA
10502BEC
8100602EA
10503BEA
10503BEC
8100603EA
10504BEA
10504BEC
8100604EA
10505BEA
10505BEC
8100605EA
10506BEA
10506BEC
8100606EA
8100607EA
8100615EA
IH5047MJE
IH5047MJE
IH5108MJE
IH5116MJI
IH5140MJE
8100608EA
8100616EA
7705202EA
5962-8513104XA
8100609EA
IH5141MJE
IH5142MJE
IH5143MJE
IH5144MJE
IH5145MJE
8100610EA
8100611EA
8100612EA
8100613EA
8100614EA
IH5046MJE
IH5047MJE
IH5148MJE
IH5149MJE
IH5150MJE
8100617EA
8100618EA
8100619EA
8100620EA
8100621EA
IH5151MJE
IH5208MJE
IH5216MJI
IH6108MDE
IH6108MDE
8100622EA
5962-8513106EA
5962-8513105XA
IH6108MJE
IH6208MDE
IH6208MDE
IM6402-1MJL
IM6402AMJL
19007BEA
19007BEC
7705201EA
19008BEA
19008BEC
t
Harris GaAs Products Data Sheets
• Harris ASIC Products Data Sheets
•
High-Reliability Data Book SSO-230C
# Harris Digital Military Products Data Book
*
Harris Analog Military Products Data Book
.. Intersil's Component Data Catalog," No. 900301-003
234
ICDC-1987~
Hi-Rei Product Device Type Index
Device
JAN
SMDI
Reference
Device
JAN
SMDI
Reference
Type
No.
DESC No.
Publlcallon
Type
No.
DESC No.
Publication
ICDC-1987~
TA17665X
TA17731X
TA17732X
TA17735X
TA17741X
IM6653AMJG
IM6653MJG
IM6654AMJG
IM6654MJG
LM4250J
LM4250H
MD80C86
MD80C86-2
MD80C88
MD82C284
MD82C37A
MD82C52
MD82C54
MD82C55A
MD82C55A-5
7703901PA
7703901GA
8405201QA
8405202QA
8501501XA
84065015JA
8406602QA
8406601QA
TA17761X
TA17762X
TA17765X
TAGC40K
TAAC50K
Digital#
5962-8501602YA
5962-8501601Y A
8406701RA
8406702RA
8406801VA
V100ZA05
V100ZA15
V100ZA3
V100ZA4
V12ZA1
MD82C86H-5
MD82C87H-5
MD82C85
MD82C88
MD82C89
5962-8757701 RA
5962-8757702RA
V12ZA2
V120ZA05
V120ZA1
V120ZA4
V120ZA6
8406901RA
5962-8552801 RA
V130LA10A
V130LA2
V130LA20A
V130LA20B
V150LA10A
8405201XA
8405202QA
ASIC·
87063/037
87063/040
87063/038
87063/039
87063/041
87063/042
87063/043
87063/044
M83530/1-2000B
MR82C52
MR82C54
MR82C55A
MR82C55A-5
MR82C59A
85015013A
84065013A
8406602XA
8406601XA
5962-85016023A
V150LA2
V150LA20B
V150LA20B
V150ZA05
V150ZA1
MR82C59A-5
MR62C82
MR82C83H
MR82C84H
MR82C85
5962-85016013A
84067012A
8406702RA
84068012A
V150ZA4
V150ZAB
V180ZA05
V180ZA1
V180ZA10
87063/047
87063/048
87063/049
87063/050
87063/052
MR82C86H-5
MR82C87H-5
MR82C88
MR82C89
RTX2000-8
5962-87577012A
5962-87577022A
84069012A
5962-85528012A
V180ZA5
V22ZA05
V22ZA1
V22ZA2
V22ZA3
87063/051
87063/001
87063/002
87063/003
87063/004
V24ZA50
V250LA20A
V250LA4
V250LA40B
V275LA40B
87063/005
TA17631X
TA17632X
TA17633X
TA17635X
TA17641X
#
Data Sheet
SSD-230C.
VoLIPower:j:
TA17642X
TA17643X
TA17645X
TA17651X
TA17652X
V27ZA05
V27ZA1
V27ZA2
V27ZA4
V27ZA60
TA17653X
TA17655X
TA17661X
TA17662X
TA17663X
V320LA40B
V33ZA05
V33ZA1
V33ZA2
V33ZA5
t
-
Power+
TA17742X
TA17745X
TA17751X
TA17752X
TA17755X
Digital#
MD82C59A
MD82C59A-5
MD82C82
MD82C83H
MD82C84A
MG80C286
MR80C86
MR80CD86-2
MR80C88
MR82C37A
SSD-230C.
VoLl-
*
M83530/1-2200D
87063/045
87063/046
M83530/1-4300E
87063/006
87063/007
87063/008
87063/009
87063/010
M83538/1-5100E
• Hams ASIC Products Data Sheets
# Harris Digital Military Products Data Book
Hams GaAs Products Data Sheets
High-Reliability Data Book SSD-230C
Harris Analog Military Products Data Book
*
Power Data Sheets
235
87063/011
87063/012
87063/013
87063/014
SSD-230C.
VoL II·
Hi-Rei Product Device Type Index
Device
Type
JAN
No.
SMDI
DESeNo.
V33ZA70
V36ZA80
V39ZA05
V39ZA1
V39ZA3
87063/015
87063/016
87063/017
87063/018
870631019
V39ZA6
V420LA20A
V420LA40B
V47ZA05
V47ZA1
87063/020
V47ZA3
V47ZA7
V480LA40A
V480LA80B
V510LA40A
870631023
87063/024
Reference
Publication
SSD-230C,
Vol II'
87063/021
87063/022
V510LA80B
V56ZA05
V56ZA2
V56ZA3
V56ZA8
87063/025
87063/026
87063/027
87063/028
V68ZA05
V68ZA10
V68ZA2
V68ZA3
V82ZA05
87063/029
87063/032
87063/030
87063/031
87063/033
V82ZA12
V82ZA2
V82ZA4
V8ZA1
V8ZA2
87063/036
870631034
87063/035
• High-Reliability Data Book SSD-230C
236
Hi-Rei Product SM O/DESC Number Index
SM D/DESC No.
Device type
Reference Publication
SMD/DESC No.
Device type
Reference Publication
7703901GA
7703901PA
7705201EA
7705201EC
7705202EA
LM4250H
LM4250J
IH6108MJE
Hll-0508
Hll-0548
ICDC-1987~
8102406VA
8403601JA
8403601ZA
8403602JA
8403602ZA
HMl-6514
HMl-6516
HM4-6516
HMl-65162
HM4-65162
Dlgital#
7705202EA
77052022A
7705301EA
7705301 EX
7705301ZX
IH5108MJE
H14-0548
DG201AK
Hll-0201
H14-0201
8403603JA
8403603ZA
8403606JA
8403606ZA
8403607JA
HMl-65162C
HM4-65162C
HMl-65162B
HM4-65162B
HMI-6516B
7800302GC
7801401CA
7801401CC
7802901JA
78029013A
HA2-2640
DG129AK
DG129AP
HDI-15530
HD4-15530
6403607ZA
8403701CX
8403801CX
8403901CX
8404001CX
HM4-6516B
CD54HCOOF3A
CD54HC10F3A
CD54HC20F3A
CD54HC30F3A
8001601CA
8100601EA
8100602EA
8100603EA
8100604EA
HAI-2420
IH5040MJE
IH5041MJE
IH5042MJE
IH5043MJE
8404101CX
8404201CX
8404301CX
8404401CX
8404501CX
CD54HC20F3A
CD54HC27F3A
CD54HC7266F3A
CD54HC4002F3A
CD54HC32F3A
8100605EA
8100606EA
8100607EA
8100608EA
8100609EA
IH5044MJE
IH5045MJE
IH5046MJE
IH5047MJE
IH5140MJE
8404601CX
8404701CX
8404801CX
84052010A
84052020A
CD54HC86F3A
CD54HC08F3A
CD54HCll F3A
MD80CB6
MDBOCB6-2
8100609EA
8100610EA
8100610EA
8100611EA
8100611EA
HII-5040
HI 1-5041
IH5141MJE
HII-5042
IH5142MJE
8405202XA
8405201XA
8405601CX
8406201 EX
8406401 EX
MRBOCB6-2
MRBOC86
CD54HC74F3A
CD54HC138F3A
CD54HC147F3A
81006122A
8100612EA
8100612EA
8100613EA
8100613EA
H14-5043
HI-5043
IH5143MJE
HII-5044
IH5144MJE
84065013A
8406501JA
84066010A
8406601XA
84066020A
MR82C54
MD82C54
MD82C55A-5
MR82C55A-5
MD82C55A
81006142A
8100614EA
8100614EA
8100615EA
8100615EA
H14-5045
HII-5045
IH5145MJE
HII-5046
IH5046MJE
8406602XA
84067012A
8406701RA
84067022A
8406702RA
MR82C55A
MR82C82
MD82C82
MR82C83H
MD82CB3H
8100616EA
8100616EA
8100617EA
8100617EA
8100618EA
HII-5047
IH5047MJE
HII-5046A
IH5046MJE
HII-5047A
84068012A
8406801 VA
8406801 VA
84069012A
8406901RA
MR82CB4A
MDB2C84A
MD82C84A
MRB2C8B
MDB2C8B
8100618EA
8100619EA
8100619EA
8100620EA
8100620EA
IH5047MJE
HII-5048
IH5148MJE
HII-5049
IH5149MJE
8407001 EX
8407101RX
8407201RX
8407301 EX
8407401RX
CD54HC75F3A
CD54HC374F3A
CD54HC373F3A
CD54HC174F3A
CD54HC240F3A
8100621EA
8100621EA
81006222A
8100622EA
8100622EA
HII-5050
IH5150MJE
H14-5051
HII-5051
IH5151MJE
Analog*
8407501 EX
8408501RX
8408801 EX
8408901 EX
8409001CX
CD54HC161F3A
CD54HC245F3A
CD54HC112F3A
CD54HC175F3A
CD54HC243F3A
810240lVA
8102402VA
8102403VA
8102404VA
8102405VA
HMI-6504S
HMI-6514S
HMI-6504B
HMI-6514B
HMI-6504
Digital#
8409101CX
8409201 EX
8409301 EX
8409401 EX
8409501 EX
CD54HC14F3A
CD54HC139F3A
CD54HC153F3A
CD54HC162F3A
CD54HC165F3A
I
Analog*
*
ICDC-1987~
Analog*
ICDC-1987~
Analog*
I
*
ICDC-1987~
~
Digital#
#
Analog*
ICDC-1987~
Analog*
*
ICDC-1987~
Analog*
ICDC-1987.
Analog*
*
ICDC-1987~
Analog*
ICDC-1987.
Analog*
*
ICDC-1987~
Analog*
ICDC-1987.
Analog*
ICDC-1987~
Analog*
ICDC-1987~
Analog*
ICDC-1987~
Analog*
ICDC-1987~
Analog*
ICDC-1987~
ICDC-1987~
Analog*
*
ICDC-1987~
I
#
*Harris Analog Military Products Data Book
#Harris Digital Military Products Data Book
- High-Reliability Data Book SSD-230C
237
#
SSD-230C.
VaLl-
-
Digital#
I
#
SSD-230C.
VaLl-
-
Digital#
SSD-230C.
VoL I-
•
Hi-Rei Product SMD/DESC Number Index
SMD/DESC No.
Device type
Reference Publication
SMD/DESC No.
Device Iype
Reference Publication
8409S01RX
8409801CX
8409901RX
8410001CX
8412801 EX
CD54HC244F3A
CD54HC04F3A
CD54HC273F3A
CD54HC393F3A
CD54HC151F3A
SSD-230C.
Vol. I-
87063/013
87063/014
87063/015
87063/016
87063/017
V33ZA2
V33ZA5
V33ZA70
V36ZA80
V39ZA05
SSD-230C.
Vol. II·
8415001 EX
8416201EX
8500101 EX
8500201 EX
8500301 EX
CD54HC109F3A
CD54HC164F3A
CD54HC365F3A
CD54HC367F3A
CD54HC4020F3A
87063/018
87063/019
87063/020
87063/021
87063/022
V39ZAI
V39ZA3
V39ZA6
V47ZA05
V47ZAI
8500401 EX
85015013A
8501501XA
8501S013A
8501S01YA
CD54HC4040F3A
MR82C52
MD82C52
MR82C59A-5
MD82C59A-5
87063/023
87063/024
87063/025
87063/026
87063/027
V47ZA3
V47ZA7
V56ZA05
V56ZA2
V56ZA3
8501S023A
8501S02YA
8512401EX
8512501EX
8512801RX
MR82C59A
MD82C59A
CD54HC357F3A
CD54HC251 F3A
CD54HC357F3A
87063/028
87063/029
87063/030
87063/031
87063/032
V56ZA8
V68ZA05
V68ZA2
V68ZA3
V68ZA10
8513001RX
5962-85131013A
5962-8513101XA
5962-85 I 3 I 023A
59S2-8513102XA
CD54HC244F3A
H14-0546
Hll-0546
H14-0547
Hll-0547
87063/033
87063/034
87063/035
87063/036
87063/037
V82ZA05
V82ZA2
V82ZA4
V82ZA12
Vl00ZA05
5962-85131032A
5962-8513103EA
5962-85 13 I 04XA
5962-85 13 I 05XA
5962-85 13 I 06EA
H14-0549
Hll-0549
IH5116MJI
IH5216MJI
IH5208MJE
87063/038
87063/039
87063/040
87063/041
87063/042
Vl00ZA3
Vl00ZA4
Vl00ZA15
V120ZA05
V120ZAI
87063/043
87063/044
87063/045
87063/046
87063/047
V120ZA4
V120ZA6
V150ZA05
V150ZAI
V150ZA4
87063/048
87063/049
87063/050
87063/051
87063/052
V150ZA8
V180ZA05
V180ZAI
V180ZA5
V180ZA10
88690023A
8869001JA
8869001 LA
88690023A
8869002JA
HM4-6642
HM1-6642
HM6-6642
HM4-6642B
HM1-6642B
8869002LA
8869901XA
8954001JA
8954001 LA
8954001XA
HM6-6642B
Hll-0516
HM1-6617
HM6-6617
HM4-6617
-
Digital#
#
SSD-230C.
-
Analog*
*
ICDC-1987.
I
•
8550401 EX
8550501RX
8550S01RX
8550701RX
8551901 EX
CD54HCT138F3A
CD54HCT240F3A
CD54HCT245F3A
CD54HCT374F3A
CD54HC259F3A
SSD-230C.
Vol. I-
8552514XA
8552514YA
8600901 EX
8601001CX
8601101EX
HM1-65642
HM4-65642
CD54HC390F3A
CD54HCU04F3A
CD54HC4017F3A
Digital#
#
SSD-230C.
Vol. I-
8601201CX
8601301 EX
8607601 EX
8607701CX
86879013A
CD54HC4024F3A
CD54HC85F3A
CD54HC163F3A
CD54HC280F3A
HS4-3182
8687901EA
8688001QA
8688001XA
87063/001
87063/002
HS1-3182
HS1-3282
HS4-3282
V22ZA05
V22ZAI
87063/003
87063/004
87063/005
87063/006
87063/007
V22ZA2
V22ZA3
V24ZA50
V27ZA05
V27ZAI
8954002JA
5962-85016023A
5962-8501602YA
5962-85016013A
5962-8501601YA
HM1-6617B
MR82C59A
MD82C59A
MR82C59A-5
MD82C59A-5
87063/008
87063/009
87063/010
87063/011
87063/012
V27ZA2
V27ZA4
V27ZA60
V33ZA05
V33ZAI
5962-85016023A
5962-8501602YA
5962-85131013A
5962-8513101XA
5962-85131023
MR82C59A
MD82C59A
H14-0546
Hll-0546
H14-0547
-
-
Digital#
I
SSD-230C.
Val.li"
• High-Reliability Data Book SSD-230C
#Harris Digital Military Products Data Book
*Harris Analog Military Products Data Book
- High-Reliability Data Book SSD-230C
238
Digital#
Analog*
Digital#
Analog*
I
*
Hi-Rei Product SMD/DESC Number Index, JAN Number Index
SMD/DESC No.
Oevlce type
Reference Publication
SM D/DESC No.
Device type
Reference Publication
5962-8513T02XA
5962-85131032A
5962-8513103EA
5962-8515301CX
5962-8515401 CX
H11-0547
H14-0549
H11-0549
Analog*
SSD-230C.
Vol. I"
*
SSD-230C.
Vol. I"
CD54AC174F3A
CD54HC03F3A
ICM7170MDG
CD54HC73F3A
CD54HC107F3A
5962-8762601 EA
5962-8764701 CA
5962-8765301 JA
5962-8765301JC
5962-85528012A
MA82C89.
Digital#
5962-8552801 AA
5962-8606101 EX
5962-8606201 RX
5962-8670101JX
MD82C89
CD54HC157F3A
CD54HC563F3A
CD54HCT154F3A
#
SSD-230C.
Vol. I"
5962-86716012A
5962-8671601 EA
H14-0201HS
H11-0201HS
Analog*
5962-8681201 EX
5962-8681301 RX
5962-8681401 RX
CD54HC368F3A
CD54HC533F3A
CD54HC534F3A
5962-8681501 AX
CD54HC564F3A
CD54HC688F3A
CD54HC4049F3A
5962-8681801 AX
5962-8681901 EX
5962-8682001 EX
5962-8682101 EX
CD54HC154F3A
CD54HC158F3A
CD54HC160F3A
CD54HC173F3A
5962-8682701 EX
CD54HC195F3A
CD54HC366F3A
CD54HCTOOF3A
5962-8685201 CX
5962-8685301 CX
5962-8685401 EX
5962-8685501 EX
5962-8685601 RX
5962-8685701 RX
5962-8686701 AX
5962-8688301 CX
5962-8688401 EX
5962-8766000GA
5962-8766001 PA
5962-8766301 AA
5962-8768001 EX
5962-8769401 AA
5962-8769501 AA
•
5962-8769901 CA
5962-8772101 CA
5962-8772201 CX
*
SSD-230C.
Vol. I"
5962-8772401 EX
5962-8772501 AX
5962-8775701 EA
5962-8775801 AA
5962-8775901 AA
5962-8776001 AA
CD54HC4050F3A
CD54HC42F3A
5962-8682201 JX
5962-8682301 EX
5962-8682401 EX
5962-8682501 EX
5962-8682601 EX
5962-8682801 EX
5962-8683101 CX
5962-8684701 EX
5962-8684801 CX
I
5962-8780501 EX
ICL7667MJA
CD54ACT245F3A
CD54HC4060F3A
CD54AC374F3A
CD54AC540F3A
CD54ACTOOF3A
CD54HC125F3A
CD54HC4075F3A
CD54HC193F3A
CD54HCT273F3A
CD54ACT174F3A
CJ22±AC245F3A
CD54ACT240F3A
CD54ACT244F3A
CD54HC221 F3A
CD54HC299F3A
5962-8857601 CX
5962-8860601 EA
5962-8862401 JX
5962-8867101 EA
5962-8867201 EX
CD54HC21 F3A
CD54HC237F3A
CD54HCT 4059F3A
CD54HCT191F3A
CD54HCT85F3A
5962-8869901 XA
H11-0516
Analog*
JAN. No.
Device type
Reference Publication
10501BEA
IH5040MDE
IH5040MDE
ICDC-1987~
CD54HC123F3A
CD54HC126F3A
CD54HCT32F3A
CD54HCT74F3A
CD54HCT688F3A
CD54HCT373F3A
10501BEC
10502BEA
CD54HC377F3A
CD54HC192F3A
CD54HC640F3A
CD54AC74F3A
5962-8688501 JX
CD54HCT08F3A
CD54HCT238F3A
CD54HC646F3A
5962-8688601 EX
5962-8689001 EX
5962-8689101 EX
5962-8752501 CA
5962-8754901 CA
CD54HC453F3A
CD54HCT14F3A
CD54HC191F3A
CD54ACT74F3A
CD54ACOOF3A
10503BEC
10504BEA
10504BEC
10505BEA
5962-8755001 AA
CD54AC240F3A
10506BEA
IH5045MDE
5962-8755101 AA
5962-8755201 AA
5962-8755301 EA
5962-8755501 AA
CD54AC241 F3A
CD54AC244F3A
CD54ACT139F3A
CD54AC273F3A
10506BEC
11101BAC
11101BCA
11101BCC
IH5045MDE
DG181AL
DG181AP
DG181AP
5962-8755601 AA
5962-87577012A
5962-8757701AA
CD54ACT273F3A
MA82C86H-5
MD82C86H-5
11101BIA
DG181AA
DG182AL
DG182AP
5962-87577022A
5962-87577702RA
MA82C87H-5
MD82C87H-5
11102BAC
11102BCA
11102BCC
5962-8760901 CA
CD54AC04F3A
CA54AC32F3A
CD54AC08F3A
5962-8761401 CA
5962-8761501 CA
5962-8762201 EA
5962-8762301 EA
CD54AC138F3A
CD54AC139F3A
10502BEC
10503BEA
10505BEC
"
Digital#
I
11102BIA
SSD-230C.
Vol. I"
11102BIC
11103BAC
•
11103BEA
11103BEC
11104BAC
#Harris Digital Military Products Data Book
~
SSD-230C.
Vol. I"
5962-8780601 RX
5962-8780701 AA
5962-8780801 EX
5962-8780901 AX
5962-8852001CA
CD54HC194F3A
CD54HCT161 F3A
CD54HCT165F3A
CD54HCT573F3A
ICDC-1987~
ICM7170MDG
ICL7667MTV
IH5041MDE
IH5041MDE
IH5042MDE
IH5042MDE
IH5043MDE
IH5043MDE
IH5044MDE
IH5044MDE
DG182AP
DG182AA
DG182AA
DG184AL
DG184AP
DG184AP
DG185AL
• High-Reliability Data Book SSD-230C
[ntersil's Component Data Catalog," No. 900301-003
*Harris Analog Military Products Data Book
III>
239
~
Hi-Rei Product JAN Number Index
JAN. No.
Device type
Reference Publication
JAN. No.
Device type
Reference Publication
11104BEA
11104BEC
11105BAC
11105BCA
11105BCC
DG185AP
DG185AP
DG187AL
DG187AP
DG187AP
ICDC-1987~
MIL-M-38510/17302
MIL-M-38510/17303
MIL-M-38510/17304
MIL-M-38510/17305
MIL-M-38510/17401
CD4515B
CD4532B
CD4555B
CD4556B
CD4609UB
SSD-230C.
Vol. II-
11105BIA
11106BAC
11106BCA
11106BCC
11106BIA
DG187AA
DG188AL
DG188AP
DG188AP
DG188AA
MIL-M-38510/17402
MIL -M-3851 0/17403
MIL-M-38510/17404
MIL-M-38510/17501
MIL-M-38510/17502
CD40107B
CD4502B
CD40109B
CD4076B
CD4095B
11106BIC
11107BAC
11107BEA
11107BEC
11108BAC
DG188AA
DG190AL
DG190AP
DG190AP
DG191AL
MIL-M-3851 0/17503
MIL-M-38510/17504
MIL-M-38510/17505
MIL-M-38510/17601
MIL-M-3851 0/17602
CD4096B
CD4098B
CD40174B
CD4099B
CD4508B
11108BEA
11108BEC
11601BCA
11601BCC
11602BCA
DG191AP
DG191AP
DG300AAP
DG300AAP
DG301AAP
M I L-M-3851 0/17701
MIL-M-3851 0/17702
MIL-M-38510/17801
MIL-M-38510/17802
MIL-M-38510/17803
CD4093B
CD40106B
CD4067B
CD4097B
CD40257B
11602BCC
11603BCA
11603BCC
11604BCA
11604BCC
DG301AAP
DG302AAP
DG302AAP
DG303AAP
DG303AAP
MIL-M-38510/24501BVA
MIL-M-38510/24502BVA
MIL-M-38510/29102BJA
MIL-M-38510/29102BXA
MIL-M-38510/29103BRA
HM1-6504S
HM1-6514S
HM1-6516
HM4-6516
HM1-65262
12202BGC
12203BGC
12204BGC
12205BGC
12206BGC
HA2-2600
HA2-2620
HA2-2500
HA2-2510
HA2-2520
MIL-M-38510/29103BYA
MIL-M-38510/29104BJA
MIL-M-38510/29104BXA
MIL-M-38510/29109BRA
MIL-M-38510/29109BYA
HM4-65262
HM1-65162
HM4-65162
HM1-65262B
HM4-65262B
12302BEA
12702BEA
12703BEA
12704BVC
19001BXA
H11-0201
AD7502UD
AD7521UD
AD7541TD
H11-0506
MIL-M-38510/29110BJA
MIL-M-38510/29110BXA
MIL-M-38510/29205BXA
MIL-M-38510/29205BYA
MIL-M-3851 0105001
HM1-65162B
HM4-65161B
HM1-65642B
HM4·65642B
CD4011A
19002BXA
19003BXA
19004BXA
19005BEA
19006BEA
H11-0546
H11-0507
H11-0547
H11-0548
HI 1-0549
MIL-M-38510105002
MIL-M-3851 0105003
MIL-M-38510105051
M I L-M-3851 0105052
MIL-M-38510105053
CD4012A
CD4023A
CD4011A
CD4012B
CD4023B
19007BEA
19007BEA
19007BEC
19008BEA
·,9008BEA
H11-0508
IH6108MDE
IH6108MDE
H11-0509
IH6208MDE
MIL-M-38510105101
MIL-M-38510105102
MIL-M-3851 01051 03
MIL-M-38510105151
MIL-M-38510105152
CD4013A
CD4027A
CD4043A
CD4013B
CD4027B
19008BEC
MIL -R-83530/1-2000B
MI L-R-83530/1-2200D
MIL-R-83530/1-4300E
MIL-R-83530/1-5100E
IH6208MDE
V130LA20B
V150LA20B
V275LA40B
V320LA40B
MIL-M-38510/17001
M I L-M-3851 0/17002
MIL-M-38510/17003
MIL-M-38510/17101
MIL-M-38510/17102
CD4081B
CD4082B
CD4073B
CD4071B
CD4072B
MIL-M-3851 0105153
MIL-M-38510105201
MIL-M-3851 0105202
MIL-M-3851 0105203
MIL-M-38510105204
CD4043B
CD4000A
CD4001A
CD4002A
CD4025A
MIL-M-38510/17103
MIL-M-38510/17201
MIL-M-38510/17202
MIL-M-38510/17203
MIL-M-38510/17204
MIL-M-38510/17301
CD4075B
CD4085B
CD4086B
CD4070B
CD4077B
CD4514V
MIL-M-38510105251
M IL-M-3851 0105252
MIL-M-38510105253
MIL -M-3851 0105254
MIL-M-38510105301
CD4000B
CD4001B
CD4002B
CD4025B
CD4007A
MIL-M-3851 0105302
MIL-M-38510105303
M I L-M-3851 0105304
MIL-M-38510105351
MIL-M-3851 0105352
CD4019A
CD4030A
CD4048A
CD4007UB
CD4019B
~
Analog*
I
*
ICDC-1987~
I
~
Analog*
*
ICDC-1987~
~
Analog*
ICDC-1987~
-
Digital#
#
SSD-230C.
Vol. I-
~
SSD-230C,
Vol. II·
I
SSD-230C,
Vol. I-
-
* Harris Analog Military Products Data Book
- High-Reliability Data Book SSD-230C
• High-Reliability Data Book SSD-230C
~
240
Intersil's Component Data Catalog," No. 900301-003.
Hi-Rei Product JAN Number Index
JAN. No.
Device type
Relerence Publlcetlon
JAN. No.
Device type
Relerence Publlcetlon
MIL-M-38510/05353
MIL-M-3851 0/05354
MIL-M-3851 0/05401
MIL-M-38510/05451
MIL-M-38510/05501
CD4030B
CD4048B
CD4008A
CD4008B
CD4009A
SSD-230C.
VoL I-
MIL-S-19500/504
MIL-S-19500/505
MIL-S-19500/505
MIL-S-19500/523
MIL-S-19500/523
2N6284
2N6286
2N6287
2N6383
2N6384
SSD-230C.
VoL II"
MIL-M-38510/05502
MI L-M-3851 0/05503
M I L-M-3851 0/05504
MIL-M-38510/05505
MIL-M-38510/05551
CD4010A
CD4049A
CD4050A
CD4041A
CD4009UB
MIL-S-19500/523
MIL-S-19500/525
MIL-S-19500/525
MIL-S-19500/526
MIL-S-19500/527
2N6385
2N6546
2N6547
2N3879
2N6648
MIL-M-38510/05552
M I L-M-3851 0/05553
MIL-M-38510/05554
MIL-M-38510/05555
MIL-M-38510/05601
CD4010B
CD4049UB
CD4050B
CD4041UB
CD4017A
MIL-S-19500/527
MIL-S-19500/527
MIL-S-19500/528
MIL-S-19500/528
MIL-S-19500/536
2N6649
2N6650
2N6032
2N6033
2N6671
MIL-M-38510/05602
MIL-M-38510/05603
MI L-M-3851 0/05604
MIL-M-38510/05605
MIL-M-38510/05651
CD4018A
CD4020A
CD4022A
CD4024A
CD4017B
MIL-S-19500/536
MIL-S-19500/537
MIL-S-19500/537
MIL-S-19500/538
MIL-S-19500/538
2N6673
2N6674
2N6675
2N6676
2N6678
M I L-M-3851 0/05652
MIL-M-38510/05653
MIL-M-38510/05654
MIL-M-3851 0/05655
MI L-M-3851 0/05701
CD4018B
CD4020B
CD4022B
CD4024B
CD4006A
MIL-S-19500/542
MIL-S-19500/542
MIL-S-19500/542
MIL-S-19500/542
MIL-S-19500/543
2N6756
2N6758
2N6760
2N6762
2N6764
MIL-M-38510/05702
MIL-M-3851D105703
MIL-M-38510/05704
MIL-M-3851 0/05705
MIL-M-38510/05706
CD4014A
CD4015A
CD4021A
CD4031A
CD4034A
MIL-S-19500/543
MIL-S-19500/543
MIL-S-19500/543
MIL-S-19500/555
MIL-S-19500/555
2N6766
2N6768
2N6770
2N6788
2N6790
MIL-M-3851 0/05751
MIL-M-38510/05752
MIL-M-3851 0/05753
MIL-M-3851 0/05754
MIL-M-38510/05755
CD4006B
CD4014B
CD4015B
CD4021B
CD4031B
MIL-S-19500/555
MIL-S-19500/555
MIL-S-19500/556
MIL-S-19500/556
MIL-S-19500/556
2N6792
2N6794
2N6782
2N6784
2N6786
MIL-M-3851 0/05756
MIL-M-38510/05801
M I L-M-3851 0/05802
MIL-M-38510/05851
MIL-M-3851 0/05852
CD4034B
CD4016A
CD4066A
CD4016B
CD4066B
MIL-S-19500/557
MIL-S-19500/557
MIL-S-19500/557
MIL-S-19500/557
MIL-S-19500/563
2N6796
2N6798
2N6800
2N6802
2N6849
MIL-M-38510/05901
MIL-M-3851 0/05951
MIL-S-19500/368
MIL-S-19500/368
1\111 L-S-19500/384
CD4028A
CD4028B
2N3439
2N3440
2N3584
MIL-S-19500/563
MIL-S-19500/564
MIL-S-19500/564
MIL-S-19500/565
MIL-S-19500/565
2N6851
2N6849
2N6851
2N6895
2N6896
MIL-S-19500/384
MIL-S-19500/439
MIL-S-19500/439
MIL-S-19500/456
MIL-S-19500/456
2N3585
2N5038
2N5039
2N5302
2N5303
MIL-S-19500/565
MIL-S-19500/565
MIL-S-19500/566
MIL-S-19500/566
MIL-S-19500/566
2N6897
2N6898
2N6901
2N6902
2N6903
MIL-S-19500/461
MIL-S-19500/461
MIL-S-19500/461
MIL-S-19500/485
M I L-S-19500/485
2N6211
2N6212
2N6213
2N5415S
2N5416S
MIL-S-19500/566
2N6904
M I L-S-19500/488
MI L-S-19500/488
M I L-S-19500/498
MIL-S-19500/498
MIL-S-19500/504
2N5671
2N5672
2N6306
2NB308
2N6283
-
SSD-230C.
VoL II'
- High-Reliability Data Book SSD-230C
, High-Reliability Data Book SSD-230C
241
Packaging
The following tables explain the prefixes and suffixes used in
the charts in the product sections.
Suffix LeUer(s)
CATypes
CD,CDM,
CDP,MWS
Types
Dual-In-Line Side-Brazed Ceramic Package
Single-In-Line Plastic Package (SIP)
D
D
-
-
-
-
Dual-In-Line Plastic Package
E
Package Description
LMTypes
HlghReliability
Types
-
D
E
N
E
F
-
F
-
-
T
H
T
-
-
-
STANDARD PRODUCT
Dual-In-Line Frit-Seal Ceramic Package
Modified Dual-In-Line Plastic Package with "Power Slab"
F
EM (Note 2)
Modified Quad-In-Line Plastic Package
QM(Note2)
Q
Quad-In-Line Plastic Package
TO-5 Style Package with Dual-In-Line Formed Leads (DIL-CAN)
S
T
V (Note 1)
TO-5 Style Package with Straight Leads
TO-5 Style Package with Radial Formed Leads
TO-72 Style Package (Note 8)
-
TO-220 Style Package with Vertical-Mount Lead Form (Note 3)
M
Ceramic Flat Package
-
Small-Outline Package (SO)
Plastic Chip Carrier (Note 4)
Leadless Chip Carrier (Note 5)
M
K
M
Q
Q
J
J
X
H (Note 1)
W
X
H (Note 1)
W
-
-
Enhanced Product (Note 6)
Chip
Wafer
-
K
J
H
-
HIGH-RELIABILITY DEVICES
Product Assurance Levels:
(Availability of product according to these levels may vary from family to
to family).
Class S Modified, Linear Devices
Class S Modified, LSI Devices Radiation Hardened to 105 rads (Si)
-
II
/lRZ
-
-
-
-
SEM inspection and Condition A Visual Inspection
Same as IS + Radiation Hardened to 105 rads (Si)
-
-
-
HIS
-
-
-
HISR
Same as IS + Radiation Hardened to 106 rads (Si)
Condition B Pre cap Visual Inspection
-
Class B Modified to MIL-STD-883, Rev. C. Para 1.2.2
Class B Compliant to MIL-STD-863, Rev. C. Para 1.2.1
Class S Compliant to MIL-STD-883, Rev. C. Para 1.2.1 (Note 7)
Same as IMS + Radiation Hardened to 106 rads (Si)
Same as IMS + Radiation Hardened to 105 rads (Si)
-
-
-
13
13A
IMS
IMSH
IMSR
Chips:
-
-
HISH
HIM
NOTES:
1. Some linear integrated circuits are provided in chip form to allow customer
design of special and complex circuits to suit individual needs. Linear chips
are electrically identical to and offer features of their counterparts, sealed in
ceramic, TO-5 and plastic packages.
2. Some types may have an additional "M" suffix following the package desig·
nation suffix, i.e. CA3134EM. The additional "M" suffix simply indicates that
the device is a mechanical variant of the basic package type.
3. TO-220 Style Package is also available with horizontal-mount lead form. Indicate "M" suffix for modified version, i.e., CA3169M.
4. Square plastic package having leads on all four sides. Formed for surface
mounting. leads are benl underneath the package body to form the "J-Bend"
configuration.
242
5. Hermetically sealed. square 3-layer ceramic package available with terminals
spaced on 40-mil or 50-mil centers.
6. Burn-In and additional testing are added to the comprehensive real-time con
trois and test procedures carried out on standard product. (Optional for "0"
4
and "E" package types.)
7. Conformance testing is optional and required for full compliance.
8. Package used in JECEC 3N-Series CMOS and 40000-Series CMOS
devices.
NOTE: JEDEC 3N-Series devices and 40000-8eri9s DMOS devices are
coded in nurr:erical sequence only without regard for electrical or mechanical
parameters.
Packaging
Harris Device Family Prefixes
Description
Temperature-Range DeSignators
Prefix
Letter
Analog Devices Alternate Source
National Semiconductor ND Alternate Source
AD
ADC
Driver/Level Transistor IC
Siliconix Analog Switch Alternate Source
Monolithic DG Analog Switch Replacement
Siliconix Analog Gate Alternate Source
D
DG
DGM
LinearlC
MicroperipherallC
HybridlC
Low Leakage Diodes
Analog Switch Family
1M
Discrete Transistor
Discrete Transistor
Discrete Transistor
IT
ITE
J
National Semiconductor Hybrid Alternate Source
National Semicondutor Alternate Source
LH
LM
Discrete Transistor
High VollageAnalog Switch
Signetics Alternate Source
MM
NE
Discrete Transistor
Discrete Transistor
Commercial: Oto+70 0 C
G
ICL
ICM
ICH
ID
IH
Microcontroller IC
Discrete Transistor
Discrete Transistor
Discrete Transistor
Signetlcs Alternate Source
Temperature Range
Military:
-550C to +12S o C
M
Ceramic Flat Pack
Ceramic Pin-Grid Array
Hermetic, Hybrid DIP (16 pin, 0.6 x 0.7 pin spacing)
CERDlP, Dual-In-Line
TO-3
Leadless, Ceramic Quad-Pack
Plastic, Gullwing Quad-Pack
Plastic, J-Lead Quad-Pack
Plastic, Dual-In-Line
Plastic, Pin-Grid Array
TO-52
TO-S, TO-7B, TO-99, TO-100
TO-1B, TO-71 , TO-72
TO-39
U
VCR
(la
I
TO-237
Small Outline, Plastic (SOIC)
TO-220
Ceramic, Side Brazed, Dual-In-Line
Small TO-B
SE
Fairchild Linear Alternate Source
-2SoC to +BSoC or -400C to +8S o C
(Specified on Data Sheet)
Package
NF
P
PN
2N
3N
C
Industrial:
Package-Type DeSignators
M
Industry Standard Discrete Transitor
Industry Standard Discrete Transitor
Suffix
Letter
TO-92
DICE (Chip)
Wafer
Suffix
Leller
A
B
C
D
E
F
G
I
J
K
L
M
N
P
R
S
T
U
V
Z
/D
/W
NOTE:
JEDEC 2N- and 3N-series discrete devices are coded in numerical sequence
only without regard for electrical or mechanical parameters.
EXCEPTIONS TO PACKAGE-TYPE DESIGNATORS
DG and DGM (Analog Switch) Series
1O-Pin Metal Can
A
14-Pin Flat Pack
Ceramic Dual-In-Line Package (Special Order Only)
CERDIP
L
P
K
AD (D/A Converter) Series
TO-52
CERDIP Ceramic Dual-In-Line Package
Epoxy Dual-In-Line Package
TO-92
243
H
D
N
R
Packaging
Pin-Count Designators
Number of Pins
Suffix
Letter
8
10
A
12
C
14
16
0
E
F
G
H
I
8
22
24
42
28
32
J
35
40
48 (Not to be used on future products)
18
20
2
3
4
6
7
8 (0.200" pin circle, isolated case)
10 (0.230" pin circle, Isolated case)
8 (0.200" pin circle, case to pin 4)
10 (0.230" pin circle, case to pin 5)
K
L
M
N
P
Q
R
S
T
U
V
W
Y
Z
NOTE: For all new products in packages that have more than 40
pins, the pin count designator will be a 2 or 3 digit number
equal to the number of pins on the package.
EXCEPTIONS TO PIN-COUNT DESIGNATORS
DG and DGM (Analog Switch) Series
10-Pin Metal Can
14-Pin Flat Pack
Cemmlc Dual-In-Line Package (Special Order Only)
CERDIP
A
L
P
K
AD (D/A Converter) Series
20,18,160r14
3-Pin
0
H
High-Reliability Device Designators
Package Description
MIL-STD-8838 Rev. C Screened Devices
High-Reliability Device
Cost-Effective, High-Reliability Device
8urn-ln Only Process Flow
244
Suffix
Letter
18838
IHR
18R
181
Packaging
Ie
Packages
D Suffix
Ceramic Dual-In-Llne Packages
Welded-Seal 8, 14, 16,
18,22,24,28,and
48 Lead Versions
E Suffix
Dual-In-Une Plastic Package
Side-8razed 16, 18, 20,
22,24,28,40,48,and
64-Lead Versions
F Suffix
CERDIP (Frlt-Seal)
Dual-In-Llne
8, 14 16, 18,20, 22, 24, 28,
40, and 42-Lead Versions
Quad
tffI
14,16,18,20,22,24,
28, and 4O-Lead Versions
M Suffix
Smail-Outline Packages (SO)
Narrow Body
8, 14, 16-Lead
Versions
Wide Body
20, 24, and 28Lead Versions
Modified 16-Lead Package
J Suffix
Ceramic Leadless Chip Package
KSuffix
Ceramic Flat Pack
Standard
EM Suffix
Dual-In-Une Plastic Package
with 'Power Slab'
<:)
14,16,18,24,28,
and 42-Lead Versions
Available in
64 and 132-Lead Versions
PB
Piggy Back Package
Q Suffix
Plastic Leadless
Chip Package
40 Lead
28, 44, 68, and 84
Terminals
20, 24, 28, 32, 40, 44,
48, and 64 Terminals
QM Suffix
Quad-In-Line Plastic Package
with 'Power Slab'
16-Lead Version
Q Suffix (QUIP)
Quad-In-Line
Plastic Package
SIP
Single-In-Line Plastic Package
Modified 16-Lead Package
Shielded 20-Lead
8, 9, 10, and 12-Lead Versions
245
/-------------------------------------------------------------------------------------Packaging
Ie
Packages
(Continued)
TO-5 Style Packages
S Suffix with
Formed Leads (OIL-CAN)
TSuffixwlth
Straight Leads
V1 Suffix with
Radial Formed Leads
8-Lead Version
8, 10, and 12-Lead Versions
8, 10, and 12-Lead Versions
VERSA-V1 TO-220 Style
Plastic Package with
Vertical-Mount Lead Form
H Suffix Chip
(Versions with Horizontal-Mount
Lead Form are also Available.)
246
Packaging
Discrete Semiconductor Packages
BR-4
D-PAK
TO-252M
TO-251M
GE-3
GE-4
DO-204AP
TO-18 (UR)
i
TO-78 (TT)
DIP
Dual-In-Llne Plastic Package
TO-52 (SQ, SRI
TO-71 (UT)
int
i1r
Low-Profile
6-Lead Version
TO-92
TO-98
6-Lead Version
247
4-Lead Version
SOT-89
TO-71 (ST)
W
6-Lead Version
TO-72 (US)
9
4-Lead Version
TO-99 (TV, TV, TZ)
TO-100 (TW, TX)
8-Lead Version
10-Lead Version
Packaging
Discrete Semiconductor Packages
TO-202
(Continued)
TO-202AB
Modified TO-202
,
Ji #
IQ7
Modified TO-202
,
Press-Fit
VERSATAB
TO-204AA (TO-3)
TO-204AE (TO-3)
~
~
TO-205AA (TO-5)
m
TO-205AD (TO-39)
m
(0.060 In.-Dia. Pins)
TO-205AF (TO-39)
TO-213AA (TO-66)
TO-218AC
VERSAWATI
Low-Profile
TO-220AB
TO-220AC
VERSAWATI
VERSAWATI
TO-247S
TO-220AA
TO-2201S
Radial
248
TO-247
Metal Hermetic Package
Packaging
Optoelectronic Devices
TO-18 Style Hermetic Package
#54A
#54
#55
#57
i
2-Lead with Flat Window
2-Lead with Lens
3-Lead with Lens
3-Lead with Flat Window
6-Pln Dual-In-Llne Plastic Package
#295
#296
Lead-Formed
Optolsolator
#298
Standard Version
Surface-Mount
Unflanged Optolnterrupter Package
Flanged Optolnterrupter Package
#319
#323
#297
#320
#322
Index
- AA/D Converters
Display Drivers, 68-71
Fl ash, 65
Hi-Rel, 207
Integrating, 65
Microprocessor-Compatible, 67
Successive Approximation, 66
Amplifiers
4-channel multiplexed, 88
Differential, 89
General Purpose, 75,76
High Speed JFET, 88
Operational (see "Operational
Ampl ifiers")
Sample and Hold, 89
Analog Switches, 99-104
Analog Switches (Hi-Rel), 206
Arrays
Ampl ifier, 92
CMOS, 92
Diode, 92
Pinouts, 94-95
Transistor, 91-92
ASICs, 1-22
Engineering Workstations, 11-16
FASTRACK Design System, 5-10
Microcontrollers, 17-22
Standard Cell and Gate Arrays, 2-4
Automotive, 117-120
- BBus Support Circuits
82C82 Octal Latch, 36
82C83H Octal Latch (Invert), 36
82C84A Clock Generator/Driver, 36
82C85 Static Clock Controller, 36
82C86H Octal Transceiver, 36
82C87H Octal Inverting Transceiver,
36
82C88 Bus Controller, 36
82C89 Bus Arbiter, 36
AC/ACT Advanced CMOS Logic, 38
FCT Bus-Interface Logic, 39
HC/HCT High-Speed CMOS Logic, 37
- CClocks/Timers/Counters, 72-73
Comparators, 90
- DD/A Converters, 64
D/A Converters (Hi-Rel), 207
Data Communications
CDP1854A/CDP6402 (UARTs), 34
CDP65C51/53 (ACIAs), 35
CDP68C51A (ACIA), 35
HD-4702 Bit Rate Generator, 33
HD-6402R UART, 34
HD-6406 UART/BRG, 34
Hi -Rel, 199
ICL232 RS-232 Transmitter/Receiver,
34
Manchester Encoder/Decoders, 33
Differential Amplifiers, 89
Digital Signal Processing
CDPSI00 Programmable Digital FIR
Filters, 74
CDPSII0 (LMS) Adaptive FIR Filter,
74
CDPS200 FI FO, 74
ISP9110 12-bit Microprogram
Sequencer, 74
ISP9119 FIFO RAM Controller, 74
ISP9128 FIR Filter Controller, 74
ISP9326 32-Bit Floating Point
Processor, 74
ISP9520/21 Multilevel Pipeline
Register, 74
250
Index
Discrete Transistors
Amplifier, 164
Differential Amplifier, 165-167
Small-Signal Bipolar, 161-162
SWitching, 163
Switching/Amplifier, 165
Unijunction and Switches, 162
Display Drivers and Interface ICs,
69-71
- G-
Operational Amplifiers, 201-205
Power MOSFETs (JAN/JANTX), 215
Power MOSFETs (Rad-Hard), 216-217
Power Transistors, 214
Sample and Hold Amplifiers, 205
SMD/DESC and /883 Microprocessor
and Peripheral rcs CrossReference, 197
SMD/DESC High Speed CMOS CrossReference, 191
SMD/DESC Number Index, 223-224,237239
Gallium Arsenide Devices, 220-222
- I -
Graphics ICs
IM2110 Color Lookup Table/DAC, 123
Insulated-Gate Bipolar Transistors
(IGBTs), 138
- H-
Intelligent Power
Control ICs, 104
Modul es, 109
Hi -RelICs
/883 Fuse Link PROMs, 198
ACL Cross-Reference, 194
Analog Jan/SMD/DECS Cross
Reference, 223
Analog Switches, 205-206
CD4000-Series, 181-184
CD54HC/HCT-Series, 185-189
CDM, CDP, CMM, GP Types, 195
CDS54AC/ACT-Series All Types, 193
Ceramic Package Options, 213
CMOS LSI ICs, 200
D/A, A/D Converters, 207
Device Type Index, 225-241
GaAs FETs, 220
GaAs MMICs, 221-222
Gate Arrays and Standard Cells,
211-212
HCS/HCTS-Series Products, 192
JAN SMD/DESC and /883 RAMs, 198
JAN SMD/DESC CMOS LSI IC CrossReference, 199
JM 38510 QPL Devices, 218
Microprocessor, Memory, Peripheral
and Communication rcs, 195-196
MOV SMD/DESC Cross Reference, 219
Multiplexers, 206-207
- L -
Logic ICs
ACL Hi-Rel Cross Reference, 190
CD4000-Series, 40-43
CD4000-Series (Hi-Rel), 44-48
CD54/74AC/ACT-Series, 49-52
CD54AC/ACT-Series (Hi-Rel), 52
CD54/74HC/HCT-Series, 44-48
CD54HC/HCT-Series (Hi-Rel), 186-190
CD54/74FCT-Series, 52-54
HC/HCT-Series (Hi-Rel), 187
- MMemory
CMOS PROMs, 63
CMOS RAMs, 55-63
Hi -Rel, 195-196
Static RAM Modules, 37-39
Static RAMs, 40
251
Index
Microcomputers
1800-Series, 8
Microcontrollers
6805 Micros, 19-22
A2900 Series, 17
RTX 2000/RTX 2001A, 23
RTX Micros, 17
UH20C51 Macrocell, 18
Microprocessors
1800-Series, 24-25
6805-Series, 25
80C286, 4
80C86 , 24,26
80C88, 24,26
Hi -Rel, 195-196
Multiplexers
General Purpose, 96-97
Hi-Rel, 206-207
High Speed/Mode Programmable, 97
Multiplexer/Demultiplexer, 206
Overvoltage Protected, 98
Special Purpose, 97
Multipliers, 55,69
Optoelectronic Products, 79-80,
171-179
- p Packaging, 242-249
Peripheral Circuits
1800/6805-Series, 25,32
82C37A, 26
82C50A, 26
82C52, 26
82C54, 26
82C55A, 26
82C59A, 26
CDP68HC68A2 Serial A/D Converter,
10
CDP68HC68PI Single Port I/O, 30
CDP68HC68Rl/R2 Static RAMs, 30
CDP68HC68S1 Serial Bus Interface,
31
CDP68HC68Tl Real-Time Clock with
RAM, 31
CDP68HC68Wl Modulator, 31
Hi-Rel, 195-196
Power Control Circuits, 105-108
- 0 Operational Amplifiers
5-Volt BiMOS, 83
BiMOS-E, 84
Differential, 89
General Purpose, 75-76
High-Slew Rate, 81-82
High-Speed, 83
High-Voltage, 83
Low Input Bias Current, 77
Low/Ultra-Low Offset Voltage, 77
Low Power, 78
OTAs, 84
Pinouts, 85-87
Precision, 82
Programmable, 84
Sample and Hold, 89
Special Function, 88
Wide Bandwidth, 79,80,82
Power MOSFETs
Advanced Discrete N-Channel, 132
IRF-Series N-Channel, 129-131
JAN/JANTX Hi-Rel, 214-215
JEDEC Types N-Channel, 132
L2FETs N-Channel Types, 137
MegaFET N-Channel, 132
Rad-Hard, 216-217
RF and BUZ-Series N-Channel, 128129
RF-Series P-Channel, 128
Rugged-Series N-Channel, 133-135
Rugged-Series P-Channel, 136
Power Supply Supervisory Circuits
105
Power Transistors
Darlington, 156-160
General Purpose, 142-149
252
Index
High Speed, High Voltage Switching,
155-156
High Speed SWitching, 150-153
High Voltage, 152-155
JAN/JANTX, 215
Rad-Hard, 215
TV/CATV Circuits, 126
- V -
Varistors (MOVs), 219
Video/Monitor Circuits, 124
- R-
Voltage Regulators, 121
Rad-Hard
CMOS CSI ICs, 200
Gate Arrays and Standard Cells,
211-212
Multiplexers, 208
Power MOSFETs, 216
Power Transistors, 214
Products, 207
Switches, 205-206
Radio/Communications Circuits, 125
Rectifiers, 168-170
- S Sample and Hold Amplifiers, 87
Hi-Rel, 205
- TTelecommunications
CMOS Modems, III
CODECS, 115
Crosspoint SWitches, 115
CVSD, 114,116
DTMF Receivers, 114
DTMF Transmitters, 114
PCM Line Repeaters, 112
PCM Transcoders, 112
SLICs, 113
Transient Voltage Suppression
Devices
Metal-Oxide Varistors (MOVs), 139
MOV Selection Chart, 140-141
Surgectors, 139
253
Sales Offices
U.S. HEADQUARTERS
Harris Ssmlconductor
1301 Woody Burke Road
Melbourne, Florida 32902
TEL: (407) 724-3739
EUROPEAN HEADQUARTERS
Harris Semiconductor
Mercure Centre
Rue de la Fusse 100
Brussels, Belgium 1130
TEL: (32) 246-2201
SOUTH ASIA
Harris Semiconductor H.K. Ltd
13/F Fourseas Building
208-212 Nathan Road
Tsimshatsui, Kowloon
Hong Kong
TEL: (852) 3-723-8339
HARRIS
SEMICONDUCTOR
HARRIS
GE
RCA
254
INTERSIL
NORTH ASIA
Harris K.K.
Shinjuku NS Bldg. Box 6153
2-4-1 Nishi-Shinjuku
Shinjuku-Ku, Tokyo 163 Japan
TEL: 81-3-345-8911
Source Exif Data:
File Type : PDF File Type Extension : pdf MIME Type : application/pdf PDF Version : 1.3 Linearized : No XMP Toolkit : Adobe XMP Core 4.2.1-c041 52.342996, 2008/05/07-21:37:19 Create Date : 2017:06:25 17:16:01-08:00 Modify Date : 2017:06:25 18:45:49-07:00 Metadata Date : 2017:06:25 18:45:49-07:00 Producer : Adobe Acrobat 9.0 Paper Capture Plug-in Format : application/pdf Document ID : uuid:a891c914-af22-1e47-adab-b6cbed82c719 Instance ID : uuid:da62edd5-2549-ae41-b73c-d531ff59ca5b Page Layout : SinglePage Page Mode : UseNone Page Count : 260EXIF Metadata provided by EXIF.tools