1990_TI_Semiconductor_Products_Master_Selection_Guide 1990 TI Semiconductor Products Master Selection Guide

User Manual: 1990_TI_Semiconductor_Products_Master_Selection_Guide

Open the PDF directly: View PDF PDF.
Page Count: 505

Download1990_TI_Semiconductor_Products_Master_Selection_Guide 1990 TI Semiconductor Products Master Selection Guide
Open PDF In BrowserView PDF
-I/} TEXAS

INSTRUMENTS

Semiconductor Products,
Circuit Design Tools
and Support

1990

Master
Selection Guide
1990

Catalog of Semiconductor
Products and Services

TEXAS

INSTRUMENTS

IMPORTANT NOTICE
Texas Instruments (TI) reserves the right to make changes to Or
to discontinue any semiconductor product or service identified
in this publication without notice. TI advises its customers to
obtain the latest version of the relevant information to verify,
before placing orders, that the information being relied upon is
current.
TI warrants performance of its semiconductor products to current
specifications in accordance with TI's standard warranty. Testing
and other quality control teChniques are utilized to the extent TI
deems necessary to support this warranty. Unless mandated by
government requirements, specific testing of all parameters of
each device is not necessarily performed.
TI assumes no liability for TI applications assistance, customer
product design, software performance, or infringement of patents
or services described herein. Nor does TI warrant or represent that
any license, either express or implied, is granted under any patent
right, copyright, mask work right, or other intellectual property
right of TI covering or relating to any combination, machine, or
process in which such semiconductor products or services might
be or are used.
Texas Instruments products are not intended for use in life support
appliances, devices or systems. Use of a TI product in such
applications without the written consent of the appropriate TI
officer is prohibited.

Copyright © 1990, Texas Instruments Incorporated

CONTENTS

Alphanumeric Index

ASIC Alphanumeric and Functional Macro Listings

Standard and Advanced Logic Products

Microprocessors and Microcontrollers

Military Products

Interface Circuits

Linear Circuits

Optoelectronics and Image Sensors

Telecommunications and Speech Products

Memory Products

Customer Support and General Information

Functional Index

II
III
lEI
iii

TRADEMARKS
The trademarks mentioned in the 1990 Master Selection Guide are credited to the respective corporations
listed below:

iv

TRADEMARK

CORPORATION

ABEL
Advanced LinCMOS
AT
AutoCAD
CompilerCell
Crossware
CUPL
Data 110
DGIS
EPIC
Ethernet
ExCL
HALO
IBM
IMPACT
1M PACT-X
Macintosh
MegaModule
MPW
MS-DOS
MS-WINDOWS
NuBus
PAL
PC
PM
proLogic
SPOX
System Cell
TIGA-340
TROLl
ULTRIX
UNIX
VAX
VMS
XDS
XT

Data 110
Texas Instruments Incorporated
International Business Machines Corporation
Autodesk, Inc.
Texas Instruments Incorporated
Texas Instruments Incorporated
Personal CAD Systems, Inc.
Data 1/0
Graphic Software Systems
Texas Instruments Incorporated
Xerox
Texas Instruments Incorporated
Cybernetics
International Business Machines Corporation
Texas Instruments Incorporated
Texas Instruments Incorporated
Apple Computer Inc.
Texas Instruments Incorporated
Apple Computer Inc.
Microsoft Corporation
Microsoft Corporation
Texas Instruments Incorporated
Monolithic Memories Inc.
International Business Machines Corporation
International Business Machines Corporation
Inlab Incorporated
Spectron Microsystems Incorporated
Texas Instruments Incorporated
Pulse Engineering
Digital Equipment Corporation
AT&T Bell Laboratories
Digital Equipment Corporation
Digital Equipment Corporation
Texas Instruments Incorporated
International Business Machines Corporation

INTRODUCTION
The purpose of this book is to facilitate identification of Texas Instruments products and services. Each product
and service is designed to satisfy customer needs through the use of leading-edge technology and the principles
of Total Quality Control. TI's quality, reliability, comprehensive product support, and innovative customer
service programs are all aimed at meeting the varied requirements of customers worldwide. For this reason,
the 1990 Master Selection Guide covers both North American and European products and services.
Using this Master Selection Guide, designers can readily assess TI's broad semiconductor line by referring to
the Table of Contents. The book is organized into nine main product sections. The nine product sections are:
Application Specific Integrated Circuits (ASIC); Standard and Advanced Logic Products; Processors and
Controllers; Military Products; Interface Circuits; Linear Circuits; Optoelectronics and Image Sensors;
Telecommunications and Speech Products; and Memory Products. The information in each section summarizes the key characteristics of that particular group of products. It is designed as a quick way of determining
which TI products and services are generally suited to fulfill a specific customer requirement. A technical
literature reference is also given for more thorough, in-depth examination.
In addition to the product sections, Section 11 describes several items that are not specific to one product area.
The product-related topics of design tools, packaging, and sockets are covered. Such TI customer services as
technical training courses and the Customer Response Center are also included.
Two indexes, an alphanumeric (NN) index and a functional index, are also provided for reference. To improve
"reader-friendliness", the NN index is sorted to group prefixes alphabetically and arranged in ascending
numerical order - rather than strictly alphanumeric. Headers also have been added on each page of the index.
In the A/N index, military reference documents are linked to specific package codes. Technical literature
references, such as data sheets or data books, are also provided. The functional index gives the reader an
alternate means of reference. Key functional descriptions are referred to the appropriate section and page for
further information.
To obtain referenced technical literature, including data sheets, data books, and application notes, complete and
return the order forms incorporated in the Customer Support section of the Guide. For further convenience, a
complete listing ofTI field sales offices, authorized TI distributors, TI Regional Technology Centers (RTCs),
and the TI Customer Response Center is on the back page.

v

Introduction

ALPHANUMERIC
INDEX

a

INTRODUCTION

This section provides the means of identifying TI semiconductor products and support services. It is an
alphanumeric (A/N) index, sorted alphabetically to group like prefixes, and arranged in ascending numerical
order. Key-word functional indices that match functions to the appropriate catalog products and services are
presented in Section 12. Alphanumeric and functional macro listings for Application Specific Integrated
Circuits (ASIC) are presented in Section 2.

~

"C

.5
CJ

''::

Q)

E

:s
c
co

.r:::.

USING THE ALPHANUMERIC INDEX

Q,

The Alphanumeric Index lists and describes all TI semiconductor catalog products and services. This index
references the section and page, within the Guide, containing additional information on a particular product,
design tool or support service. It also cites the TI reference document containing the most current technical
data. The US DESC military drawing numbers and the MIL-M-38510 military device type numbers are
included for those qualified military products. Some examples of this A/N index are shown below.
TI PART
NUMBER

GENERAL DESCRIPTION

74AC11240
RC4558
SMJ27C128-20JM
SN74F374
TIBPAL 16L8-7
TMS320C25
TMS4Cl024

Octal Buffer/Line Driver
Operational Amplifier
128-Kilobit EPROM
Octal D-Type Flip-Flop
High-Performance IMPACT PAL Circuits
CMOS 2nd-Generation DSP
1M X 1 DRAM. Page Mode

REFERENCE

SECTIONI
PAGE
3-10
7-10

5962-8766105XA
SRPDOOl

TI
DOCUMENT
SCAD001A
SLYDOO3

3-16
2-6
4-8
10-5

SDFD001A
SRPDOOl
SPRS010B
SMGS024E

SECTION AND PAGE LOCATOR

Column headings "Section and Page" reference the section(s) and page(s) within the Guide containing
additional information on specific products or circuit design tools and support services. Familiarity with the
numbering sequence makes the Alphanumeric Index of the Master Selection Guide easy to use.
TIDOCUMENT

Column headings "TI Document" provides the latest available technical source for a particular product. TI's
technical literature is identified by a seven- or eight-character literature number. This number consists of four
codes that describe the document.

J~

SSY C 005 B
Product Source Identifier (3 Characters)-.-J
Document Type (1 Character)

Revision (1 Character)
Unique Literature Designator
(3 Characters)

The literature number is printed at the upper right-hand corner on the front cover and the lower left-hand
corner on the back cover of a data book, and at the lower left-hand corner on the back page of a data sheet. The
Customer Support section of the Guide provides the reader with instructions for obtaining technical literature
from Texas Instruments.
1-1

<

ADC0803C - JANB16R4-20J

TIPART
NUMBER

II

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

TI
DOCUMENT

ADC0803C
ADC08031
ADC0804C
ADC08041

AlD Converter
AlD Converter
AlD Converter
AlD Converter

7-18
7-18
7-18
7-18

SLYD004
SLYD004
SLYD004
SLYD004

ADC0805C
ADC08051
ADC0808M
ADC0809

AlD
AlD
AID
AlD

Converter
Converter
Converter
Converter

7-18
7-18
7-18
7-18

SLYD004
SLYD004
SLYD004
SLYD004

ADC0820
ADC0820B
ADC0820C
ADC0831A

AID Converter
AlD Converter Peripherals
AlD Converter Peripherals
AlD Converter Peripherals

7-19
7-18
7-18
7-18

TBA
SLYD004
SLYD004
SLYD004

ADC0831B
ADC0832A
ADC0832B
ADC0834A

AlD Converter Peripherals
AID Converter Peripherals
AlD Converter Peripherals
AlD Converter Peripherals

7-18
7-18
·7-18
7-18

SLYD004
SLYD004
SLYD004
SLYD004

ADC0834B
ADC0838A
ADC0838B
AD7524

AlD Converter Peripherals
AlD Converter Peripherals
AlD Converter Peripherals
AlD Converter

7-18
7-18
7-18
7-19

SLYD004
SLYD004
SLYD004
SLYD004

AD7524A
AD7524MFKB
AD7524MJB
AD7528

AID Converter
AlD Converter
AlD Converter
AlD Converter

7-19
7'19
7-19
7-19

SLYD004

AD7528B
AD7528K
AD7533
AD7533C

AlD Converter
AlD Converter
AlD Converter
AlD Converter

7-19
7-19
7-19
7-19

SLYD004
SLYD004
SLYD004
SLYD004

AD7533L
AD7628
AM26LS31
AM26LS32A

AlD Converter
AlD Converter
Line Driver
Line Receiver

7-19
7-19
6-2
6-2

SLYD004
SYLD004
SLYD002
SLYD002

AM26LS32AMFKB
AM26LS32AMJB
AM26L532AMWB
AM26LS33A

Line Receiver
Line Receiver
Line Receiver
Line Receiver

78020032A

6-2
6-2
6-2
6-3

SLYD002

AM26LS33AMFKB
AM26LS33AMJB
AM26LS33AMWB
AM26S10C

Line Receiver
Line Receiver
Line Receiver
Bus Transceiver

78020042A
7802004EA
7802004FA

6-3
6-3
6-3
6-4

SLYD002

AM26S11C
053680
EP610C-25
EP610C·30

Bus Transceiver
Telephone Relay Driver
16·Macrocell Erasable Programmable Logic Dev.
16·Macrocell Erasable Programmable Logic Dev.

6-4
6-7
2·6
2·6

SLYD002
SLYD002
SRPD001
SRPD001

EP910C-30
EP910C-35
EP1810C-35
EP1810C·45

24·Macrocell
24-Macrocell
48-Macrocell
48·Macrocell

2-6
2-6
2-6
2·6

SRPD001
SRPD001
SRPD001
SRPD001

HCPL2502
HCPL2530
HCPL2531
HCPL2601

Optocoupler
Optocoupler
Optocoupler
Optocoupler

8-4
8·4
8-4
8-4

SOYD002A
SOYD002A
SOYD002A
SOYDOO2A

HCPL2630
HCPL2631
HCPL2730
HCPL2731

Optocoupler
Optocoupler
Optocoupler
Optocoupler

8-4
8-4
8-5
8-5

SOYD002A
SOYD002A
SOYD002A
SOYD002A

ILC7135
JANB16L8AJ
JANB16L8A-2J
JANB16L8-20J

AlD Converter
Programmable Logic Array
Programmable Logic Array
Programmable Logic Array

JANB16L8-30J
JANB16R4AJ
JANB16R4A-2J
JANS16R4-20J

Programmable
Programmable
Programmable
Programmable

1-2

5962-87700012A
5962-8770001 EA

7802003EA
7802003FA

Erasable
Erasable
Erasable
Erasable

Programmable logic Dev.
Programmable Logic Dev.
Programmable Logic Dev.
Programmable logic Dev.

Logic Array
Logic Array
Logic Array
Logic Array

7-18
JM38510/50401 BRA
JM38510/50407BRA
JM38510/50601 BRA
JM38510/50605BRA
JM38510/50404BRA
JM38510/50410BRA
. JM38510/50604BRA

SLYD004

SLYDOO4

JANB16R4-30J - JANB54ALS574AFK
TIPART
NUMBER

GENERAL DESCRIPTION

REFERENCE

JANB16R4-30J
JANB16R6AJ
JANB16R6A-2J
JANB16R6-20J

Programmable
Programmable
Programmable
Programmable

Logic Array
Logic Array
Logic Array
Logic Array

JM38510/50608BRA
JM38510/50403BRA
JM38510/50409BRA
J M3851 0/50603BRA

JANB16R6-30J
JANB16R8AJ
JANB16R8A-2J
JANB16R8-20J

Programmable
Programmable
Programmable
Programmable

Logic Array
Logic Array
Logic Array
Logic Array

JM38510/50607BRA
JM38510/50402BRA
JM38510/50408BRA
JM38510/50602BRA

JANB16R8-30J
JANB25LS174FK
JANB25LS174J
JANB54AlSOOAFK

Programmable Logic Array
Hex D-Type Flip-Flop
Hex D-Type Flip-Flop
Quad 2-lnput NAND Gate

JM38510/50606BRA
JM3851 0/331 06B2A
JM3851 0/331 06BEA
JM3851 0/37001 B2A

JAN B54ALSOOAJ
JANB54ALS02FK
JANB54ALS02J
JANB54AlS04BFK

Quad 2-lnput NAND Gate
Quad 2-lnput NOR Gate
Quad 2-lnput NOR Gate
Hex Inverter

JM38510/37001 BCA
JM3851 0/37301 B2A
JM38510/37301BCA
JM38510/37006B2A

JANB54ALS04BJ
JAN B54AlS08FK
JANB54ALS08J
JANB54ALS10AFK

Hex Inverter
Quad 2-lnput AND Gate
Quad 2-lnput AND Gate
Triple 3-lnput NAND Gate

JM38510/37006BCA
JM38510/37401 B2A
JM38510/37401BCA
JM38510/37002B2A

JANB54ALS10AJ
JANB54ALS11AFK
JANB54ALS11AJ
JANB54ALS20AFK

Triple 3-lnput NAND Gate
Triple 3-lnput AND Gate
Triple 3-lnput AND Gate
Dual4-lnput NAND Gate

J M3851 0/37002BCA
JM38510/37402B2A
JM38510/37402BCA
JM38510/37003B2A

JAN B54ALS20AJ
JANB54ALS27FK
JANB54ALS27J
JAN B54ALS30AFK

Dual4-lnput NAND Gate
Triple 3-lnput NOR Gate
Triple 3-lnput NOR Gate
8-lnput NAND Gate

JM38510/37003BCA
JM38510/37302B2A
JM38510/37302BCA
JM38510/37004B2A

JANB54ALS30AJ
JANB54ALS32FK
JANB54ALS32J
JANB54ALS74AFK

8-lnput NAND Gate
Quad 2-lnput OR Gate
Quad 2-lnput OR Gate
Dual D-Type Flip-Flop

JM38510/37004BCA
JM38510/37501 B2A
JM38510/37501 BCA
JM3851 0/371 01 B2A

JANB54ALS74AJ
JANB54ALS109AFK
JANB54ALS109AJ
JANB54ALS112AFK

Dual OoType Flip-Flop
Dual J-K Flip-Flop
Dual J-K Flip-Flop
Dual J-K Flip-Flop

JM38510/37101BCA
JM38510/37102B2A
JM38510/37102BEA
JM38510/37103B2A

JAN B54ALS 112AJ
JANB54ALS133FK
JANB54ALS133J
JAN B54ALS 138 FK

Dual J-K Flip-Flop
13-lnput NAND Gate
13-lnput NAND Gate
3-8 Line Decoder/Demultiplexer

JM3851 0/371 03BEA
JM38510/37005B2A
JM38510/37005BEA
JM3851 0/37701 B2A

JANB54ALS138J
JANB54ALS161 BFK
JAN B54ALS 161 BJ
JANB54ALS163BFK

3-8 Line Decoder/Demultiplexer
4-Bit Synchronous Binary Counter
4-Bit Synchronous Binary Counter
4-Bit Binary Counter

JM38510/37701 BEA
JM38510/38001 B2A
JM3851 0/38001 BEA
JM38510/38002B2A

JANB54ALS163BJ
JANB54ALS169BFK
JANB54ALS169BJ
JANB54ALS174FK

4-Bit Binary Counter
4-Bit Synchronous Binary Counter
4-Bit Synchronous Binary Counter
Hex D-Type Flip-Flop

JM38510/38002BEA
JM38510/38003B2A
JM38510/38003BEA
JM38510/37201 B2A

JANB54ALS174J
JANB54ALS175FK
JANB54ALS175J
JANB54ALS240AFK

Hex D-Type Flip-Flop
Quad D-Type Flip-Flop
Quad D-Type Flip-Flop
Octal Buffer/Line Driver

JM3851 0/37201 BEA
JM38510/37202B2A
JM38510/37202BEA
JM38510/38301 B2A

JANB54ALS240AJ
JANB54ALS241AFK
JANB54ALS241AJ
JANB54ALS244AFK

Octal Buffer/Line Driver
Octal Buffer/Line Driver
Octal Buffer/Line Driver
Octal Buffer/Line Driver

JM3851 0/38301 BRA
JM38510/38302B2A
JM38510/38302BRA
JM38510/38303B2A

JANB54ALS244AJ
JANB54ALS373FK
JANB54ALS373J
JAN B54ALS37 4FK

Octal Buffer/Line Driver
Octal D-Type Flip-Flop
Octal D-Type Flip-Flop
Octal D-Type Flip-Flop

JM38510/38303BRA
JM38510/37203B2A
JM38510/37203BRA
JM38510/37204B2A

JANB54ALS374J
JANB54ALS573BFK
JANB54ALS573BJ
JANB54ALS574AFK

Octal D-Type Flip-Flop
Octal D-Type Transparent Latch
Octal D-Type Transparent Latch
Octal D-Type Flip-Flop

JM38510/37204BRA
JM38510/38201 B2A
JM38510/38201 BRA
JM3851 0/371 04B2A

SECTIONI
PAGE

TI.
DOCUMENT

a
~

"C

.5
CJ

"C:
Go)

E
;::,

c

co

.c
Q.

Ci

1-3

JANB54ALS574AJ -JANB54HCT138W
. TIPART
NUMBER

II

GENERAL DESCRIPTION

REFERENCE

JANB54ALS574AJ
JANB54ALS857JT
JAN B54ALS 1OOOAFK
JAN B54ALS 1OOOAJ

Octal D-Type Flip-Flop
Hex 2-To-1 Multiplexer
Quad 2-lnput NAND Driver
Quad 2-lnput NAND Driver

JM3851 0/371 04BRA
JM38510/37901 BLA
J M3851 0/38401 B2A
JM38510/38401BCA

JANB54ALS1002AFK
JAN B54ALS 1002AJ
JANB54ALS1020AFK
JANB54ALS1020AJ

Quad 2-lnput NOR Buffer
Quad 2-lnput NOR Buffer
Dual4-lnput NAND Buffer
Dual4-lnput NAND Buffer

JM38510/38402B2A
JM38510/38402BCA
JM38510/38407B2A
JM38510/38407BCA

JAN B54ALS 1034FK
JANB54ALS1034J
JANB54FOOFK
JANB54FOOJ

Hex Driver
Hex Driver
Quad 2-lnput NAND Gate
Quad 2-lnput NAND Gate

JM38510/38411 B2A
JM38510/38411 BCA
JM38510/33001B2A
JM38510/33001 BCA

JANB54FOOW
JANB54F02FK
JANB54F02J
JANB54F02W

Quad 2-lnput NAND Gate
Quad 2-lnput NOR Gate
Quad 2-lnput NOR Gate
Quad 2-lnput NOR Gate

JM38510/33001 BOA
JM38510/33301B2A
JM38510/33301 BCA
JM3851 0/33301 BOA

JANB54F04FK
JANB54F04J
JANB54F04W
JANB54F10FK

Hex Inverter
Hex Inverter
Hex Inverter
Triple 3-lnput NAND Gate

JM38510/33002B2A
JM38510/33002BCA
J M 38510/33002 BDA
JM38510/33003B2A

JANB54F10J
JANB54F10W
JANB54F11 FK
JANB54F11J

Triple 3-lnput NAND Gate
Triple 3-lnput NAND Gate
Triple 3-lnput AND Gate
Triple 3-lnput AND Gate

JM38510/33003BCA
J M 38510/33003 BDA
JM38510/34002B2A
JM38510/34002BCA

JANB54F11W
JANB54F20FK
JANB54F20J
JANB54F20W

Triple 3-lnput AND Gate
Dual4-lnput NAND Gate
Dual 4-lnput NAND Gate
Dual4-lnput NAND Gate

JM38510/34002BDA
J M3851 0/33004B2A
JM38510/33004BCA
J M 3851 0/33004B DA

JANB54F74FK
JANB54F74J
JANB54F74W
JANB54F109FK

Dual D-Type Flip-Flop
Dual D-Type Flip-Flop
Dual D-Type Flip-Flop
Dual J-K Flip-Flop

JM3851 0/341 01 B2A
JM3851 0/341 01 BCA
JM38510/34101BDA
JM38510/34102B2A

JANB54F109J
JANB54F109W
JANB54F138FK
JAN B54F138J

Dual J-K Flip-Flop
Dual J-K Flip-Flop
3-8 Line Decoder/Demultiplexer
3-8 Line Decoder/Demultiplexer

JM3851 0/341 02BEA
JM38510/34102BFA
JM38510/33701 B2A
JM3851 0/33701 BEA

JANB54F138W
JANB54F153J
JANB54F240FK
JANB54F240J

3-8 Line Decoder/Demultiplexer
DuaI1-of-4 Data Selector/Multiplexer
Octal Buffer/Line Driver
Octal Buffer/Line Driver

JM3851 0/33701 BFA
JM38510/33902BEA
JM3851 0/33201 B2A
JM3851 0/33201 BRA

JANB54F240W
JAN B54F241 FK
JANB54F241J
JANB54F244FK

Octal
Octal
Octal
Octal

Driver
Driver
Driver
Driver

J M3851 0/33201 BSA
JM38510/33202B2A
JM38510/33202BRA
JM38510/33203B2A

JAN B54F244J
JANB54F245J
JANB54F283J
JANB54F373FK

Octal Buffer/Line Driver
Octal Bus Transceiver
4-Bit Binary Full Adder
Dual D-Type Latch

JM38510/33203BRA
JM38510/34803BRA
JM38510/34201 BEA
JM38510/34601B2A

JANB54F373J
JANB54F373W
JANB54F374FK
JANB54F374J

Dual
Dual
Dual
Dual

JM3851 0/34601 BRA
JM38510/34601BSA
JM38510/34105B2A
JM38510/34105BRA

JANB54F521FK
JANB54F521J
JANB54F521W
JANB54HCT04FK

8-Bit Identity Comparator
8-Bit Identity Comparator
8-Bit Identity Comparator
Hex Inverter

JM3851 0/34701 B2A
JM38510/34701 BRA
JM3851 0/34701 BSA
JM38510/65751B2A

JANB54HCT04J
JANB54HCT04W
JANB54HCTI4FK
JANB54HCTI4J

Hex Inverter
Hex Inverter
Dual D-Type Flip-Flop
Dual D-Type Flip-Flop

JM38510/65751BCA
JM3851 0/65751 BOA
JM38510/65352B2A
JM38510/65352BCA

JANB54HCTI4W
JANB54HCT138FK
JAN B54H CT138J
JANB54HCT138W

Dual D-Type Flip-Flop
3-8 Line Decoder/Demultiplexer
3-8 Line Decoder/Demultiplexer
3-8 Line Decoder/Demultiplexer

JM38510/65352BDA
JM38510/65852B2A
JM38510/65852BEA
J M3851 0/65852BFA

1-4

Buffer/Line
Buffer/Line
Buffer/Line
Buffer/Line

D-Type
D-Type
D-Type
D-Type

Latch
Latch
Flip-Flop
Flip-Flop

SECTIONI
PAGE

TI
DOCUMENT

JANB54HCT240FK - JANB54HC139J

TI PART
NUMBER

GENERAL DESCRIPTION

REFERENCE

JAN B54HCT240FK
JANB54HCT240J
JANB54HCT240W
JANB54HCT244FK

Octal
Octal
Octal
Octal

Buffer/Line Driver
Buffer/Line Driver
Buffer/Line Driver
Buffer/Line Driver

J M3851 O/65753B2A
JM38510/65753BRA
JM38510/65753BSA
JM38510/65755B2A

JANB54HCT244J
JANB54HCT244W
JANB54HCT245J
JAN B54HCT245W

Octal
Octal
Octal
Octal

Buffer/Line Driver
Buffer/Line Driver
Bus Transceiver
Bus Transceiver

JM38510/65755BRA
JM38510/65755BSA
JM38510/65553BRA
JM38510/65553BSA

JANB54HCT373FK
JANB54HCT373J
JANB54HCT373W
JANB54HCT374FK

Octal
Octal
Octal
Octal

D-Type Latch
D-Type Latch
D-Type Latch
D-Type Flip-Flop

JM38510/65453B2A
JM38510/65453BRA
JM38510/65453BSA
JM38510/65652B2A

JANB54HCT374J
JANB54HCT374W
JANB54HCT540FK
JANB54HCT540J

Octal
Octal
Octal
Octal

D-Type Flip-Flop
D-Type Flip-Flop
Buffer/Line Driver
Buffer/Line Driver

JM38510/65652BEA
JM38510/65652BFA
JM38510/65760B2A
JM38510/65760BRA

JANB54HCT541J
JANB54HCOOFK
JANB54HCOOJ
JANB54HCOOW

Octal Buffer/Line Driver
Quad 2-lnput NAND Gate
Quad 2-lnput NAND Gate
Quad 2-lnput NAND Gate

JM38510/65761 BRA
JM38510/65001B2A
J M 38510/65001 BCA
JM38510/65001 BOA

JANB54HC02FK
JANB54HC02J
JANB54HC02W
JANB54HC04FK

Quad 2-lnput NOR Gate
Quad 2-lnput NOR Gate
Quad 2-lnput NOR Gate
Hex Inverter

JM38510/65101B2A
JM38510/65101 BCA
JM38510/65101 BDA
JM3851 0/65701 B2A

JANB54HC04J
JANB54HC04W
JANB54HC08FK
JANB54HC08J

Hex Inverter
Hex Inverter
Quad 2-lnput AND Gate
Quad 2-lnput AND Gate

JM3851 0/65701 BCA
JM38510/65701 BDA
J M3851 0/65203B2A
JM38510/65203BCA

JANB54HC08W
JANB54HC10FK
JANB54HC10J
JANB54HC10W

Quad 2-lnput AND Gate
Triple 3-lnput NAND Gate
Triple 3-lnput NAND Gate
Triple 3-lnput NAND Gate

JM38510/65203BDA
JM38510/65002B2A
JM38510/65002BCA
JM38510/65002BDA

JANB54HC11FK
JANB54HCllJ
JANB54HC11W
JANB54HC20FK

Triple 3-lnput AND Gate
Triple 3-lnput AND Gate
Triple 3-lnput AND Gate
Dual4-lnput NAND Gate

J M3851 O/65204B2A
JM38510/65204BCA
J M3851 O/65204BDA
J M3851 O/65003B2A

JANB54HC20J
JANB54HC20W
JANB54HC27FK
JANB54HC27J

Dual4-lnput NAND Gate
Dual4-lnput NAND Gate
Triple 3-lnput NOR Gate
Triple 3-lnput NOR Gate

J M3851 0/65003BCA
J M3851 O/65003BDA
JM38510/65102B2A
JM38510/65102BCA

JANB54HC27W
JANB54HC30FK
JANB54HC30J
JANB54HC30W

Triple 3-lnput NOR Gate
8-lnput NAND Gate
8-lnput NAND Gate
8-lnput NAND Gate

JM38510/65102BDA
J M3851 0/65004B2A
JM38510/65004BCA
JM38510/65004BDA

JANB54HC32FK
JANB54HC32J
JANB54HC32W
JANB54HC74FK

Quad 2-lnput OR Gate
Quad 2-lnput OR Gate
Quad 2-lnput OR Gate
Dual D-Type Flip-Flop

JM38510/65201 B2A
J M3851 0/65201 BCA
JM3851 0/65201 BDA
J M3851 O/65302B2A

JANB54HC74J
JANB54HC74W
JANB54HC86FK
JANB54HC86J

Dual D-Type Flip-Flop
Dual D-Type Flip-Flop
Quad 2-lnput Exclusive-OR Gate
Quad 2-lnput Exclusive-OR Gate

JM38510/65302BCA
JM38510/65302BDA
JM38510/65202B2A
J M3851 0/65202BCA

JANB54HC86W
JANB54HC109FK
JANB54HC109J
JANB54HC109W

Quad 2-lnput Exclusive-OR Gate
Dual J-K Flip-Flop
Dual J-K Flip-Flop
Dual J-K Flip-Flop

JM38510/65202BDA
JM38510/65304B2A
JM38510/65304BEA
JM38510/65304BFA

JANB54HCl12FK
JANB54HC112J
JANB54HCl12W
JANB54HC138FK

Dual J-K Flip-Flop
Dual J-K Flip-Flop
Dual J-K Flip-Flop
3-8 Line Decoder/Demultiplexer

J M3851 O/65305B2A
JM38510/65305BEA
JM38510/65305BFA
JM38510/65802B2A

JANB54HC138J
JANB54HC138W
JANB54HC139FK
JAN B54HC 139J

3-8 Line Decoder/Demultiplexer
3-8 Line Decoder/Demultiplexer
Dual 1-4 Line Decoder/Demultiplexer
Dual 1-4 Line Decoder/Demultiplexer

JM38510/65802BEA
J M3851 0/65802BFA
JM38510/65803B2A
JM38510/65803BEA

SECTIONI
PAGE

TI
DOCUMENT

a
~

"C

.5
(,)

'c
Q)

E

=

c
C'O
.c

Q,



-6'

::r
m
::I
c

3CD
:s.
n
S"
Q.
~

GENERAL DESCRIPTION

REFERENCE

JANB5406W
JANB5407J
JANB5407W
JANB5410J

Hex Inverter Buffer/Driver
Hex Buffer/Driver OC
Hex Buffer/Driver OC
Triple 3-lnput NAND Gate

JM38510/00801 BDA
JM38510/00803BCA
JM38510/00803BDA
JM38510/00103BCA

JANB5412J
JANB5416J
JANB5416W
JANB5417J

Triple 3-lnput NAND Gate OC
Hex Inverter Buffer/Driver
Hex Inverter Buffer/Driver
Hex Buffer/Driver OC

JM3851 0/001 06BCA
JM38510/00802BCA
JM38510/00802BDA
JM38510/00804BCA

JANB5417W
JANB5420J
JANB5423J
JANB5425J

Hex Buffer/Driver OC
Dual4-lnput NAND Gate
Dual4-lnput NOR Gate
Dual4-lnput NOR Gate

JM38510/00804BDA
JM38510/00102BCA
JM38510/00402BEA
JM38510/00403BCA

JANB5427J
JANB5430J
JANB5430W
JANB5437J

Triple 3-lnput NOR Gate
8-lnput NAND Gate
8-lnput NAND Gate
Quad 2-lnput NAND Buffer

J M3851 0/00404BCA
JM3851 0/001 01 BCA
JM38510/001 01 BDA
JM38510/00302BCA

JANB5437W
JANB5438J
JANB5438W
JANB5440J

Quad 2-lnput NAND Buffer
Quad 2-lnput NAND Buffer OC
Quad 2-lnput NAND Buffer OC
Dual4-lnput NAND Buffer

JM38510/00302BDA
JM38510/00303BCA
JM38510/00303BCA
JM3851 0/00301 BCA

JANB5450J
JANB5450W
JANB5451J
JANB5453J

Dual AND/OR Invert Gate
Dual AND/OR Invert Gate
Dual AND/OR Invert Gate
Expandable AND/OR Invert Gate

JM38510/00501 BCA
JM3851 0/00501 BDA
JM38510/00502BCA
JM38510/00503BCA

JANB5454J
JANB5470J
JANB5472J
JANB5472W

AND/OR Invert Gate
AND Gated J-K Flip-Flop
AND Gated J-K Flip-Flop
AND Gated J-K Flip-Flop

JM38510/00504BCA
JM38510/00206BCA
JM3851 0/00201 BCA
JM3851 0/00201 BDA

JANB5473J
JANB5473W
JANB5474J
JANB5474W

Dual J-K Flip-Flop
Dual J-K Flip-Flop
Dual D-Type Flip-Flop
Dual D-Type Flip-Flop

JM38510/00202BCA
JM38510/00202BDA
J M3851 0/00205BCA
JM38510/00205BDA

JANB5476J
JANB5483J
JANB5486J
JANB5486W

Dual J-K Flip-Flop
4-Bit Binary Adder
Quad 2-lnput Exclusive-OR Gate
Quad 2-lnput Exclusive-OR Gate

JM38510/00204BEA
JM38510/00602BEA
JM38510/00701 BCA
JM38510/00701 BDA

JANB54107J
JANB54114W
JANB54115W
JANB54161J

Dual J-K Flip-Flop
Dual Differential Line Driver
Dual Differential Line Receiver
4-Bit Synchronous Binary Counter

JM38510/00203BCA
JM38510/10403BFA
JM38510/10404BFA
JM38510/01306BEA

JANB54161W
JANB54174J
JANB54174W
JANB54175J

4-Bit Synchronous Binary Counter
Hex D-Type Flip-Flop
Hex D-Type Flip-Flop
Quad D-Type Flip-Flop

JM38510/01306BFA
JM38510/01701 BEA
JM38510/01701 BFA
JM38510/01702BEA

JANB54175W
JANB55107AJ
JANB55108AJ
JANB55113J

Quad D-Type Flip-Flop
General Purpose Line Receiver
General Purpose Line Receiver
Dual Differential Line Driver

JM38510/01702BFA
JM38510/10401 BCA
JM38510/10402BCA
J M3851 0/1 0405BEA

JANB55114J
JANB55115J
JANB55325J
JAN B55451 BJ G

Dual Differential Line Driver
Dual Differential Line Receiver
Core Memory Driver
Dual Peripheral AND Driver

JM38510/10403BEA
JM38510/10404BEA
JM38510/13001 BEA
JM38510/12902BPA

JANB55452BJG
JANB55453BJG
JAN B55454BJ G
JANB55461JG

Dual
Dual
Dual
Dual

Peripheral NAND Driver
Peripheral OR Driver
Peripheral NOR Driver
Peripheral AND Driver

J M3851 O/12903BPA
JM38510/12904BPA
JM38510/12905BPA
JM38510/12907BPA

JANB55462JG
JANB55463JG
JANS54F240J
JANS54F240W

Dual Peripheral NAND Driver
Dual Peripheral OR Driver
Octal Buffer Line Driver
Octal Buffer Line Driver

JM38510/12908BPA
JM38510/12909BPA
JM38510/33201SRA
JM3851 0/33201 SSA

JANS54F241J
JANS54F241W
JANS54F245J
JANS54F245W

Octal
Octal
Octal
Octal

JM38510/33202SRA
JM38510/33202SSA
JM38510/34803SRA
JM38510/34803SSA

1-12

Buffer Line Driver
Buffer Line Driver
Bus Transceiver
Bus Transceiver

SECTIONI
PAGE

TI
DOCUMENT

JANS54F374J - JANS54HC245J
TIPART
NUMBER

GENERAL DESCRIPTION

REFERENCE

JANS54F374J
JANS54F374W
JANS54HCT74J
JANS54HCT74W

Octal D-Type Flip-Flop
Octal D-Type Flip-Flop
Dual D-Type Flip-Flop
Dual D-Type Flip-Flop

JM38510/34105SRA
JM38510/34105SSA
JM38510/65352SCA
JM38510/65352SDA

JANS54HCT138J
JANS54HCT138W
JANS54HCT244J
JANS54HCT244W

3-8 Line Decoder/Demultiplexer
3-8 Line Decoder/Demultiplexer
Octal Buffer/Line Driver
Octal Buffer/Line Driver

J M3851 0/65852SEA
JM38510/65852SFA
JM38510/65755SRA
JM38510/65755SSA

JANS54HCT245J
JANS54HCT245W
JANS54HCT374W
JANS54HCT540J

Octal
Octal
Octal
Octal

J M3851 0/65553SRA
J M3851 0/65553SSA
J M3851 0/65652SSA
JM38510/65760SRA

JANS54HCT540W
JANS54HCT541J
JANS54HCT541W
JANS54HCOOJ

Octal Buffer/Line Driver
Octal Buffer/Line Driver
Octal Buffer/Line Driver
Quad 2-lnput NAND Gate

JM38510/65760SRA
JM38510/65761SRA
JM38510/65761SSA
JM38510/65001SCA

JANS54HCOOW
JANS54HC02J
JANS54HC02W
JANS54HC04J

Quad 2-lnput NAND Gate
Quad 2-lnput NOR Gate
Quad 2-lnput NOR Gate
Hex Inverter

JM38510/65001SDA
JM38510/65101SCA
JM38510/65101SDA
J M3851 0/65701 SCA

JANS54HC04W
JANS54HC08J
JANS54HC08W
JANS54HC109W

Hex Inverter
Quad 2-lnput AND Gate
Quad 2-lnput AND Gate
Dual J-K Flip-Flop

JM38510/65701SDA
JM38510/65203SCA
J M3851 0/65203SDA
J M3851 0/65304SFA

JANS54HC10J
JANS54HC10W
JANS54HC11J
JANS54HC11W

Triple 3-lnput NAND Gate
Triple 3-lnput NAND Gate
Triple 3-lnput AND Gate
Triple 3-lnput AND Gate

J M3851 0/65002SCA
J M3851 0/65002SDA
J M3851 0/65204SCA
J M3851 0/65204SDA

JANS54HC20J
JANS54HC20W
JANS54HC27J
JANS54HC27W

Dual4-lnput NAND Gate
Dual4-lnput NAND Gate
Triple 3-lnput NOR Gate
Triple 3-lnput NOR Gate

J M3851 0/65003SCA
JM38510/65003SDA
J M3851 0/651 02SCA
J M3851 0/651 02SDA

JANS54HC30J
JANS54HC30W
JANS54HC32J
JANS54HC32W

8-lnput NAND Gate
8-lnput NAND Gate
Quad 2-lnput OR Gate
Quad 2-lnput OR Gate

J M3851 0/65004SCA
JM38510/65004SDA
JM38510/65201SCA
JM3851 0/65201 SDA

JANS54HC74J
JANS54HC74W
JANS54HC86J
JANS54HC86W

Dual D-Type Flip-Flop
Dual D-Type Flip-Flop
Quad 2-lnput Exclusive-OR Gate
Quad 2-lnput Exclusive-OR Gate

JM38510/65302SCA
JM38510/65302SDA
JM38510/65202SCA
J M3851 0/65202SDA

JANS54HC109J
JANS54HC112J
JANS54HC112W
JANS54HC138J

Dual J-K Flip-Flop
Dual J-K Flip-Flop
Dual J-K Flip-Flop
3-8 Line Decoder/Demultiplexer

JM38510/65304SEA
JM38510/65305SEA
JM38510/65305SFA
JM38510/65802SEA

JANS54HC138W
JANS54HC139J
JANS54HC139W
JANS54HC161J

3-8 Line Decoder/Demultiplexer
Dual 1-4 Line Decoder/Demultiplexer
Dual 1-4 Line Decoder/Demultiplexer
4-Bit Synchronous Binary Counter

JM38510/65802SFA
JM38510/65803SEA
JM38510/65803SFA
J M3851 0/66302SEA

JANS54HC161W
JANS54HC163J
JANS54HC163W
JANS54HC173J

4-Bit Synchronous Binary Counter
4-Bit Binary Counter
4-Bit Binary Counter
4-Bit D-Type Register

JM38510/66302SFA
J M3851 0/66304SEA
J M3851 0/66304SFA
JM38510/65306SEA

JANS54HC173W
JANS54HC174J
JANS54HC174W
JANS54HC175J

4-Bit D-Type Register
Hex D-Type Flip-Flop
Hex D-Type Flip-Flop
Quad D-Type Flip-Flop

J M3851 0/65306SFA
JM38510/65307SEA
JM38510/65307SFA
JM38510/65308SEA

JANS54HC175W
JANS54HC240J
JANS54HC240W
JANS54HC241J

Quad D-Type Flip-Flop
Octal Buffer/Line Driver
Octal Buffer/Line Driver
Octal Buffer/Line Driver

JM38510/65308SFA
J M3851 0/65703SRA
J M3851 0/65703SSA
JM38510/65704SRA

JANS54HC241W
JANS54HC244J
JANS54HC244W
JANS54HC245J

Octal
Octal
Octal
Octal

J M3851 0/65704SSA
J M3851 0/65705SRA
JM38510/65705SSA
JM38510/65503SRA

Bus Transceiver
Bus Transceiver
D-Type Flip-Flop
Buffer/Line Driver

Buffer/Line Driver
Buffer/Line Driver
Buffer/Line Driver
Bus Transceiver

SECTIONI
PAGE

TI
DOCUMENT

II
~
"C
..5
Co)

"t:
Q)

E
::l
C
CO

.c

Co

<

1-13

JANS54HC245W -JANS54LS73J
TIPART
NUMBER

II

GENERAL DESCRIPTION

REFERENCE

JANS54HC245W
JANS54HC259J
JANS54HC259W
JANS54HC266J

Octal Bus Transceiver
8-Bit Addressable Latch
8-Bit Addressable Latch
Quad Exclusive-OR Gate OC

JM38510/65503SSA
JM38510/65402SEA
JM38510/65402SFA
JM38510/65103SCA

JANS54HC266W
JANS54HC273J
JANS54HC273W
JANS54HC365J

Quad Exclusive-OR Gate OC
Octal D-Type Flip-Flop
Octal D-Type Flip-Flop
Hex Bus Driver

JM38510/65103SDA
JM38510/65601 SRA
JM3851 0/65601 SSA
JM38510/65706SEA

JANS54HC365W
JANS54HC367J
JANS54HC367W
JANS54HC368J

Hex Bus Driver
3-8 Line Decoder/Demultiplexer
3-8 Line Decoder/Demultiplexer
Hex Bus Driver

JM38510/65706SFA
JM38510/65708SEA
JM38510/65708SFA
J M3851 0/65709SEA

JANS54HC368W
JANS54HC373J
JANS54HC373W
JANS54HC374J

Hex Bus Driver
Octal D-Type Latch
Octal D-Type Latch
Octal D-Type Flip-Flop

JM38510/65709SFA
JM38510/65403SRA
JM38510/65403SSA
JM38510/65602SRA

JANS54HC374W
JANS54HC393J
JANS54HC393W
JANS54HC540J

Octal D-Type Flip-Flop
4-Bit Binary Counter
4-Bit Binary Counter
Octal Buffer/Line Driver

JM38510/65602SSA
JM38510/66309SEA
JM38510/66309SFA
JM38510/65710SRA

JANS54HC540W
JANS54HC541 J
JANS54HC541W
JANS54HC573J

Octal
Octal
Octal
Octal

Buffer/Line Driver
Buffer/Line Driver
Buffer/Line Driver
D-Type Latch

JM38510/65710SSA
JM38510/65711SRA
JM38510/65711SSA
JM38510/65406SRA

JANS54HC573W
JANS54HC574J
JANS54HC574W
JANS54HC4002J

Octal D-Type Latch
Octal D-Type Flip-Flop
Octal D-Type Flip-Flop
Dual4-lnput NOR Gate

J M3851 0/65406SSA
JM38510/65604SRA
JM38510/65604SSA
JM38510/65104SCA

JANS54HC4002W
JANS54LSOOJ
JANS54LSOOW
JANS54LS02J

Dual 4-lnput NOR Gate
Quad 2-lnput NAND Gate
Quad 2-lnput NAND Gate
Quad 2-lnput NOR Gate

JM38510/65104SDA
JM38510/30001 SCA
JM38510/30001SDA
JM38510/30301SCA

JANS54LS02W
JANS54LS03J
JANS54LS03W
JANS54LS04J

Quad 2-lnput NOR Gate
Quad 2-lnput NAND Gate OC
Quad 2-lnput NAND Gate OC
Hex Inverter

JM38510/30301SDA
JM38510/30002SCA
JM38510/30002SDA
JM38510/30003SCA

JANS54LS04W
JANS54LS08J
JANS54LS08W
JANS54LS10J

Hex Inverter
Quad 2-lnput AND Gate
Quad 2-lnputAND Gate
Triple 3-lnput NAND Gate

J M3851 0/30003S DA
JM38510/31004SCA
JM38510/31004SDA
J M3851 0/30005SCA

JANS54LS10W
JANS54LS11J
JANS54LSllW
JANS54LS20J

Triple 3-lnput NAND Gate
Triple 3-lnput AND Gate
Triple 3-lnput AND Gate
Dual4-lnput NAND Gate

JM38510/30005SDA
JM38510/31001SCA
JM3851 0/31 001 SDA
JM38510/30007SCA

JANS54LS20W
JANS54LS26J
JANS54LS26W
JANS54LS27J

Dual4-lnput NAND Gate
Quad 2-lnput NAND Gate
Quad 2-lnput NAND Gate
Triple 3-lnput NOR Gate

JM38510/30007SDA
JM38510/32102SCA
JM3851 0/321 02SDA
JM38510/30302SCA

JANS54LS27W
JANS54LS30J
JANS54LS30W
JANS54LS32J

Triple 3-lnput NOR Gate
8-lnput NAND Gate
8-lnput NAND Gate
Quad 2-lnput OR Gate

JM38510/30302SDA
JM38510/30009SCA
JM38510/30009SDA
JM38510/30501SCA

JANS54LS32W
JANS54LS37J
JANS54LS37W
JANS54LS38J

Quad 2-lnput OR Gate
Quad 2-lnput NAND Buffer
Quad 2-lnput NAND Buffer
Quad 2-lnput NAND Buffer OC

JM38510/30501SDA
JM38510/30202SCA
JM38510/30202SDA
JM38510/30203SCA

JANS54LS38W
JANS54LS40J
JANS54LS40W
JANS54LS51J

Quad 2-lnput NAND Buffer OC
Dual 4-lnput NAND Buffer
Dual 4-lnput NAND Buffer
Dual AND/OR Invert Gate

JM38510/30203SDA
JM38510/30201SCA
JM38510/30201SDA
JM38510/30401SCA

JANS54LS51W
JANS54LS54J
JANS54LS54W
JANS54LS73J

Dual AND/OR Invert Gate
AND/OR Invert Gate
AND/OR Invert Gate
Dual J-K Flip-Flop

JM38510/30401SDA
J M3851 0/30402SCA
JM38510/30402SDA
JM38510/30101SCA

1-14

SECTIONI
PAGE

TI
DOCUMENT

JANS54LS73W - JANS54LS244J
TIPART
NUMBER

GENERAL DESCRIPTION
J-K Flip-Flop
D-Type Flip-Flop
D-Type Flip-Flop
J-K Flip-Flop

REFERENCE

JANS54LS73W
JANS54LS74J
JANS54LS74W
JANS54LS76J

Dual
Dual
Dual
Dual

JANS54LS76W
JANS54LS85J
JANS54LS85W
JANS54LS86AJ

Dual J-K Flip-Flop
4-Bit Magnitude Comparator
4-Bit Magnitude Comparator
Quad 2-lnput Exclusive-OR Gate

JM38510/30110SFA
JM38510/31101SEA
JM38510/31101SFA
JM38510/30502SCA

JANS54LS86AW
JANS54LS90J
JANS54LS90W
JANS54LS93J

Quad 2-lnput Exclusive-OR Gate
Decade Counter
Decade Counter
4-Bit Binary Counter

J M3851 0/30502SDA
JM38510/31501SCA
JM38510/31501SDA
JM38510/31502SCA

JANS54LS93W
JANS54LS109AJ
JANS54LS109AW
JANS54LS112AJ

4-Bit Binary Counter
Dual J-K Flip-Flop
Dual J-K Flip-Flop
Dual J-K Flip-Flop

JM38510/31502SDA
JM38510/30109SEA
JM38510/30109SFA
JM38510/30103SEA

JANS54LS112AW
JANS54LS123J
JANS54LS123W
JANS54LS 138J

Dual J-K Flip-Flop
Dual Monostable Multivibrator
Dual Monostable Multivibrator
3-8 Line Decoder/Demultiplexer

JM38510/30103SFA
JM38510/31401SEA
JM38510/31401SFA
JM3851 0/30701 SEA

JANS54LS138W
JANS54LS148J
JANS54LS148W
JANS54LS151J

3-8 Line Decoder/Demultiplexer
10-4/8-3 Line Priority Encoder
10-4/8-3 Line Priority Encoder
Data Selector/Multiplexer

JM3851 0/30701 SFA
JM38510/36001SEA
JM38510/36001 SFA
JM3851 0/30901 SEA

JANS54LS151W
JANS54LS 153J
JANS54LS153W
JANS54LS 155AJ

Data Selector/Multiplexer
Dual 4-1 Data Selector/Multiplexer
Dual 4-1 Data Selector/Multiplexer
Dual 1-4 Decoder

JM38510/30901SFA
JM38510/30902SEA
J M3851 0/30902SFA
JM3851 0/32601 SEA

JANS54LS155AW
JANS54LS157J
JANS54LS157W
JANS54LS 158J

Dual 1-4 Decoder
Quad 2-1 Data Selector/Multiplexer
Quad 2-1 Data Selector/Multiplexer
Quad 2-1 Data Selector/Multiplexer

JM38510/32601SFA
JM38510/30903SEA
JM38510/30903SFA
JM38510/30904SEA

JANS54LS158W
JANS54LS160AJ
JANS54LS160AW
JANS54LS161AJ

Quad 2-1 Data Selector/Multiplexer
4-Bit Synchronous Decade Counter
4-Bit Synchronous Decade Counter
4-Bit Synchronous Binary Counter

JM38510/30904SFA
JM38510/31503SEA
JM38510/31503SFA
JM38510/31504SEA

JANS54LS 161 AW
JANS54LS163AJ
JANS54LS163AW
JANS54LS164J

4-Bit Synchronous Binary Counter
4-Bit Binary Counter
4-Bit Binary Counter
8-Bit Shift Register

JM38510/31504SFA
JM38510/31512SEA
JM38510131512SFA
JM38510/30605SCA

JANS54LSl64W
JANS54LS165AJ
JANS54LS 165AW
JANS54LS 166AJ

8-Bit Shift Register
8-Bit Shift Register
8-Bit Shift Register
8-Bit Shift Register

JM38510/30605SDA
JM38510/30608SEA
JM38510/30608SFA
JM38510/30609SEA

JANS54LS166AW
JANS54LS173J
JANS54LS173W
JANS54LS174J

8-Bit Shift Register
4-Bit D-Type Register
4-Bit D-Type Register
Hex D-Type Flip-Flop

JM38510/30609SFA
JM38510/36101SEA
JM38510/361 01 SFA
JM38510/30106SEA

JANS54LS174W
JANS54LS175J
JANS54LS175W
JANS54LS 190J

Hex D-Type Flip-Flop
Quad D-Type Flip-Flop
Quad D-Type Flip-Flop
Synchronous Up/Down Decade Counter

JM38510/30106SFA
JM38510/30107SEA
JM38510/30107SFA
JM38510/31513SEA

JANS54LS190W
JANS54LS191J
JANS54LS191W
JANS54LS 193J

Synchronous Up/Down Decade Counter
Synchronous Up/Down Binary Counter
Synchronous Up/Down Binary Counter
4-Bit D-Type Register

JM38510/31513SFA
JM38510/31509SEA
JM38510/31509SFA
JM38510/31508SEA

JANS54LS193W
JANS54LS 194AJ
JANS54LS 194AW
JANS54LS221 J

4-Bit D-Type Register
4-Bit Shift Register
4-Bit Shift Register
Dual Monostable Multivibrator

JM38510/31508SFA.
J M3851 0/30601 SEA
JM38510/30601SFA
JM38510/31402SEA

JANS54LS221W
JANS54LS240J
JANS54LS240W
JANS54LS244J

Dual Monostable Multivibrator
Octal Buffer/Line Driver
Octal Buffer/Line Driver
Octal Buffer/Line Driver

JM38510/31402SFA
JM38510/32401SRA
JM38510/32401SSA
JM38510/32403SRA

SECTIONI
PAGE

TI
DOCUMENT

JM38510/30101SDA
JM38510/30102SCA
JM38510/30102SDA
JM38510/30110SEA

II
~
.5
"C

u

'I:

Q)

E
:::s

c
ns
.c
Q.

<

1-15

JANS54lS244W -lM208A
TIPART
NUMBER

II
»

'5"

::r

m
c

::I

3
CD

...C:;'
5"
a..
~

GENERAL DESCRIPTION

REFERENCE

SECTION!
PAGE

TI
DOCUMENT

JANS54LS244W
JANS54LS245J
JANS54LS245W
JANS54LS251J

Octal Buffer/Line Driver
Octal Bus Transceiver
Octal Bus Transceiver
8-lnput Data Selector/Multiplexer

JM38510/32403SSA
JM38510/32803SRA
JM38510/32803SSA
JM38510/30905SEA

JANS54LS251W
JANS54LS253J
JANS54LS253W
JANS54LS257BJ

8-lnput Data Selector/Multiplexer
4-1 Data Selector/Multiplexer
4-1 Data Selector/Multiplexer
Quad 2-lnput Data Selector/Multiplexer

JM38510/30905SFA
JM38510/30908SEA
JM38510/30908SFA
JM38510/30906SEA

JANS54LS257BW
JANS54LS259BJ
JANS54LS259BW
JANS54LS273J

Quad 2-lnput Data Selector/Multiplexer
8-Bit Addressable Latch
8-Bit Addressable Latch
Octal D-Type Flip-Flop

JM38510/30906SFA
JM38510/31605SEA
JM38510/31605SFA
JM3851 0/32501 SRA

JANS54LS273W
JANS54LS280J
JANS54LS280W
JANS54LS283J

Octal D-Type Flip-Flop
9-Bit Parity Generator/Checker
9-Bit Parity Generator/Checker
4-Bit Full Adder

JM3851 0/32501 SSA
JM3851 0/32901 SCA
JM3851 0/32901 SDA
JM38510/31202SEA

JANS54LS283W
JANS54LS366AJ
JANS54LS366AW
JANS54LS367AJ

4-Bit Full Adder
Hex Bus Driver
Hex Bus Driver
Hex Bus Driver

JM38510/31202SFA
JM38510/32202SEA
JM38510/32202SFA
JM38510/32203SEA

JANS54LS367 AW
JANS54LS368AJ
JANS54LS368AW
JANS54LS373J

Hex Bus Driver
Hex Bus Driver
Hex Bus Driver
Octal D-Type Latch

JM38510/32203SFA
JM38510/32204SEA
JM38510/32204SFA
JM38510/32502SRA

JANS54LS373W
JANS54LS374J
JANS54LS374W
JANS54LS377J

Octal
Octal
Octal
Octal

JM38510/32502SSA
JM38510/32503SRA
JM38510/32503SSA
JM38510/32504SRA

JANS54LS377W
JANS54LS393J
JANS54LS393W
JBP18S030

Octal D-Type Flip-Flop
4-Bit Binary Counter
4-Bit Binary Counter
256 Bits PROM with 3-State Outputs

JBP28L22
JBP28L42
LF198
LF198A

Low Power PROM with 3-State Outputs
Low Power PROM with 3-State Outputs
Operational Amplifier
Operational Amplifier

7-27
7-27

SLYD003
SLYD003

LF347
LF351
LF353
LF398

Operational Amplifier
Operational Amplifier
Operational Amplifier
Sample-and-Hold Amplifier

7-13
7-6
7-10
7-27

SLYD003
SLYD003
SLYD003
SLYD003

LF398A
LF411
LF412
LM101A

Sample-and-Hold Amplifier
Operational Amplifier
Operational Amplifier
Operational Amplifier

7-27
7-6
7-10
7-2

SLYD003
SLYDOO3
SLYDOO3
SLYDOO3

LM107
LM108
LM108A
LM118

Operational
Operational
Operational
Operational

Amplifier
Amplifier
Amplifier
Amplifier

7-3
7-2
7-2

SLYDOO3
SLOS041
SLOS041

LM111
LM124
LM124FKB
LM124JB

Operational
Operational
Operational
Operational

Amplifier
Amplifier
Amplifier
Amplifier

7-15
7-11
7-11
7-11

SLYD003
SLYD003

LM124WB
LM139
LM139A
LM148

Operational Amplifier
Voltage Comparator
Voltage Comparator
Operational Amplifier

7-11
7-16
7-16
7-16

SLYDOO3
SLYD003
SLYD003
SLYD003

LM158
LM185
LM185-2.5
LM193

Operational Amplifier
Voltage Reference
Voltage Reference, Micropower
Operational Amplifier

7-11

SLYD003

7-21
7-15

SLYDOO3
SLYDOO3

LM201A
LM207
LM208
LM208A

Operational
Operational
Operational
Operational

7-2
7-4
7-2
7-2

SLYD003
SLYDOO3
SLOS041
SLOS041

1-16

D-Type Latch
D-Type Flip-Flop
D-Type Flip-Flop
D-Type Flip-Flop

Amplifier
Amplifier
Amplifier
Amplifier

JM38510/32504SSA
JM38510/32702SCA
JM38510/32702SDA

77043012A
7704301CA
7704301DA

SLYD003

LM211- LT1011A

TI PART
NUMBER

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

TI
DOCUMENT

LM211
LM217
LM218
LM224

Voltage Comparator
Voltage Regulator
Operational Amplifier
Operational Amplifier

7-16
7-21
7-4
7-12

SLYDOO3
SLYDOO5
SLYDOO3
SLYDOO3

LM224A
LM237
LM239
LM239A

Operational Amplifier
Voltage Regulator
Voltage Comparator
Voltage Comparator

7-12
7-21
7-17
7-17

SLYDOO3
SLYDOO5
SLYDOO3
SLYDOO3

LM248
LM2S8
LM2S8A
LM293A

Operational Amplifier
Operational Amplifier
Operational Amplifier
Voltage Comparator

7-12
7-8
7-8
7-17

SLYDOO3
SLYDOO3
SLYDOO3
SLYDOO3

II
~

"C

.5
Co)

"C

LM301A
LM307
LM308
LM308A

Operational
Operational
Operational
Operational

Amplifier
Amplifier
Amplifier
Amplifier

7-2
7-6
7-2
7-2

SLYD003
SLYD003
SLOS041
SLOS041

LM311
LM318
LM324
LM324A

Voltage Comparator
Operational Amplifier
Operational Amplifier
Operational Amplifier

7-17
7-6
7-13
7-13

SLYDOO3
SLYDOO3
SLYD003
SLYDOO:::

LM330
LM3302
LM336-2.S
LM337

Voltage Regulator
Voltage Comparator
Voltage Reference, 2.S V
Voltage Regulator

7-22
7-16
7-21
7-21

SLYDOOS
SLYDOO3
SLYDOOS
SLYDOO5

LM339
LM339A
LM348
LM358

Voltage Comparator
Voltage Comparator
Operational Amplifier
Operational Amplifier

7-17
7-17
7-13
7-10

SLYDOO3
SLYD003
SLYDOO3
SLYDOO3

LM358A
LM385-2.S
LM393
LM393A

Operational Amplifier
Voltage Reference, Micropower
Voltage Comparator
Voltage Comparator

7-10
7-21
7-17
7-17

SLYD003
SLYD005
SLYD003
SLYDOO3

LM2900
LM2901
LM2902
LM2903

Operational Amplifier
Voltage Comparator
Operational Amplifier
Operational Amplifier

7-12
7-16
7-12
7-16

SLYD003
SLYD003
SLYDOO3
SLYD003

LM2904
LM2930-S
LM2930-8
LM2931-5A

Operational Amplifier
Voltage Regulator
Voltage Regulator
Voltage Regulator

7-8
7-22
7-22
7-22

SLYDOO3
SLYDOOS
SLYD005
SLYDOO5

LM3900
LP111
LP211
LP239

Operational Amplifier
Differential Comparator
Differential Comparator
Differential Comparator

7-13
7-1S
7-16
7-17

SLYDOO3
SLYDOO3
SLYDOO3
SLYDOO3

LP311
LP339
LP2901
LS600

Differential Comparator
Differential Comparator
Differential Comparator
Phototransistor

7-17
7-17
7-16
8-7

SLYDOO3
SLYD003
SLYD003
SOYDOO2A

LTC 1044
LTC10S2C
LTC10S2M
LTC76S2C

Voltage Converter, Switched Cap
Operational Amplifier, Chopper
Operational Amplifier, Chopper
Operational Amplifier

7-23
7-4
7-3
7-4

SLYDOOS
SLYDOO3
SLYDOO3
SLYDOO3

LT1001AC
LT1001AM
LT1001C
LT1001M

Operational
Operational
Operational
Operational

Amplifier
Amplifier
Amplifier
Amplifier

7-6
7-3
7-6
7-3

SLYD003
SLYDOO3
SLYDOO3
SLYDOO3

LT1004
LT1007AC
LT1007AM
LT1007C

Voltage Reference
Operational Amplifier
Operational Amplifier
Operational Amplifier

7-21
7-6
7-3
7-6

SLYDOOS
SLYDOO3
SLYDOO3
SLYDOO3

LT1007M
LT1008
LT1009
LT1011A

Operational Amplifier
Operational Amplifier
Voltage Reference, 2.5 V
Voltage Comparator

7-3
7-21
7-15

SLYDOO3
SLYDOO3
SLYDOOS
SLYDOO3

Q)

E
~

c
.c
CO

Co

«

1-17

LT1011AC - MOC3009
TIPART
NUMBER

II
»

-5'

::r
I»

:::s
c

3CD

..

rr
S'

Co

~

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

TI
DOCUMENT

LT1011AC
LT1011C
LT1011M
LT1012C

Voltage Comparator
Voltage Comparator
Voltage Comparator
Operational Amplifier

7-17
7-17
7-15
7-6

SLYD003
SLYD003
SLYD003
SLYD003

LT1012M
LT1013AC
LTl013AM
LT1013C

Operational
Operational
Operational
Operational

Amplifier
Amplifier
Amplifier
Amplifier

7-3
7-10
7-8
7-10

SLYD003
SLYD003
SLYD003
SLYD003

LT1013D
LT1013M
LT1014C
LTl014DC

Operational
Operational
Operational
Operational

Amplifier
Amplifier
Amplifier
Amplifier

7-10
7-8
7-14
7-14

SLYD003
SLYD003
SLOS039
SLOS039

LT1014DI
LT1014DI
LT1014DM
LT10141

Operational
Operational
Operational
Operational

Amplifier
Amplifier
Amplifier
Amplifier

7-12
7-12
7-11
7-12

SLOS039
SLOS039
SLOS039
SLOS039

LT10141
LT1014M
LT1016C
LT1016M

Operational Amplifier
Operational Amplifier
Voltage Comparator
Voltage Comparator

7-12
7-11
7-17
7-15

SLOS039
SLOS039
SLYD003
SLYD003

LT1028AC
LT1028AM
LT1028C
LT1028M

Operational Amplifier
Operational Amplifier
Operational Amplifier
Operational Amplifier

7-6
7-3
7-6
7-3

SLYD003
SLYD003
SLYD003
SLYD003

LT1030
LT1036
LT1037AC
LT1037AM

Line Driver, Low Power
Operational Amplifier
Operational Amplifier
Operational Amplifier

6-2
7-22
7-6
7-3

SLLS048A
SLYD003
SLYD003
SLYD003

LT1037C
LT1037M
LT1054
LT1070

Operational Amplifier
Operational Amplifier
Operational Amplifier
Switching Voltage Regulator

7-6
7-3
7-23
7-24

SLYD003
SLYD003
SLYD003
SLYD005

LT1071C
LT1071M
LT1084
L293

Switching Regulator
Switching Regulator
Voltage Regulator
Motor Driver

7-24
7-24
7-21
6-8

SLYD005
SLYD005
SLYD005
SLYD002

L293D
L298
MCT2
MCT2E

Motor Driver
Motor Driver
Optocoupler
Optocoupler

MC79L05
MC79L05A
MC79L12
MC79L12A

Voltage
Voltage
Voltage
Voltage

MC79L15
MC79L15A
MC1445
MC1458

SLYD002
SLRS011
SOYD002A
SOYDOO2A

7-23
7-23
7-23
7-23

SLYD005
SLYD005
SLYD005
SLYD005

Voltage Regulator
Voltage Regulator
Video Amplifier
Operational Amplifier

7-23
7-23
7-15
7-10

SLYD005
SLYD005
SLYD003
SLYD003

MC1558
MC3303
MC3403
MC3446

Operational Amplifier
Operational Amplifier
Operational Amplifier
Bus Transceiver

7-8
7-12
7-13
6-4

SLYD003
SLYD003
SLYDOO3
SLYD002

MC3450
MC3452
MC3453
MC3470

Line Receiver
Line Receiver
Line Driver
Read Amplifier, Floppy Disk

6-3
6-3
6-2
7-15

SLYD002
SLYD002
SLYD002
SLYD003

MC3470A
MC3486
MC3550
MC3552

Read Amplifier, Floppy Disk
Line Receiver
Line Receiver (SN55107)
Line Receiver (SN55108)

7-15
6-2

SLYD003
SLYDOO2

MC3553
MC34060
MF4A-50
MOC3009

Line Receiver (SN55110)
PWM Control Circuit
Switched-Capacitance Filter
Optocoupler

7-24
7-20
8-5

SLYD005
SLYD004
SOYDOO2A

1-18

Regulator
Regulator
Regulator
Regulator

6-8
6-8
8-3
8-3

MOC3010 - RC4559
TIPART
NUMBER

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

TI
DOCUMENT

MOC3010
MOC3011
MOC3012
MOC3020

Optocoupler
Optocoupler
Optocoupler
Optocoupler

8-5
8-5
8-5
8-5

SOYD002A
SOYD002A
SOYD002A
SOYD002A

MOC3021
MOC3022
MOC3023
NE555

Optocoupler
Optocoupler
Optocoupler
Timer

8-5
8-5
8-5
7-25

SOYD002A
SOYD002A
SOYD002A
SLYD003

NE556
NE592
NE592A
NE5532

Timer
Video Amplifier
Video Amplifier
Operational Amplifier

7-25
7-15
7-15
7-10

SLYD003
SLYD003
SLYD003
SLYD003

NE5532A
NE5534
NE5534A
OPI8012

Operational Amplifier
Operational Amplifier
Operational Amplifier
Optocoupler

7-10
7-6
7-6
8-5

SLYD003
SLYD003
SLYD003
SOYD002A

OPI8013
OPI8014
OPI8015
OP-07C

Optocoupler
Optocoupler
Optocoupler
Operational Amplifier

8-5
8-5
8-5
7-6

SOYD002A
SOYD002A
SOYD002A
SLYD003

OP-07D
OP-07E
OP-27A
OP-27C

Operational Amplifier
Operational Amplifier
Operational Amplifier
Operational Amplifier

7-6
7-6
7-3
7-3

SLYD003
SLYD003
SLYD003
SLYD003

OP-27E
OP-27E
OP-27G
OP-27G

Operational Amplifier
Operational Amplifier
Operational Amplifier
Operational Amplifier

7-4
7-5
7-4
7-5

SLYD003
SLYD003
SLYD003
SLYD003

OP-37A
OP-37C
OP-37E
OP-37E

Operational
Operational
Operational
Operational

7-3
7-3
7-4
7-5

SLYD003
SLYD003
SLYD003
SLYD003

OP-37G
OP-37G
PAL 16L8AMFKB
PAL 16L8AMJ B

Operational Amplifier
Operational Amplifier
Field Programmable Logic Array
Field Programmable Logic Array

7-4
7-5
81036072A
8103607RA

SLYD003
SLYD003
SRPD001
SRPD001

PAL 16L8AMWB
PAL 16L8A-2MFKB
PAL 16L8A-2MJB
PAL 16L8A-2MWB

Field
Field
Field
Field

Programmable
Programmable
Programmable
Programmable

Logic Array
Logic Array
Logic Array
Logic Array

8103607SA
81036112A
8103611RA
8103611SA

SRPD001
SRPD001
SRPD001
SRPD001

PAL16R4AMFKB
PAL 16R4AMJ B
PAL 16R4AMWB
PAL 16R4A-2MFKB

Field
Field
Field
Field

Programmable
Programmable
Programmable
Programmable

Logic Array
Logic Array
Logic Array
Logic Array

81036102A
8103610RA
8103610SA
81036142A

SRPD001
SRPD001
SRPD001
SRPD001

PAL16R4A-2MJB
PAL 16R4A-2MWB
PAL 16R6AMFKB
PAL16R6AMJB

Field
Field
Field
Field

Programmable
Programmable
Programmable
Programmable

Logic Array
Logic Array
Logic Array
Logic Array

8103614RA
8103614SA
81036092A
8103609RA

SRPD001
SRPD001
SRPD001
SRPD001

PAL 16R6AMWB
PAL 16R6A-2MFKB
PAL 16R6A-2MJ B
PAL 16R6A-2MWB

Field
Field
Field
Field

Programmable
Programmable
Programmable
Programmable

Logic Array
Logic Array
Logic Array
Logic Array

8103609SA
81036132A
8103613RA
8103613SA

SRPD001
SRPD001
SRPD001
SRPD001

PAL 16R8AMFKB
PAL 16R8AMJ B
PAL 16R8AMWB
PAL 16R8A-2MFKB

Field
Field
Field
Field

Programmable
Programmable
Programmable
Programmable

Logic Array
Logic Array
Logic Array
Logic Array

81036082A
8103608RA
8103608SA
81036122A

SRPD001
SRPD001
SRPD001
SRPD001

PAL 16R8A-2MJ B
PAL 16R8A-2MWB
PC401
PC402

Field Programmable Logic Array
Field Programmable Logic Array
Image Sensor Evaluation Board
Image Sensor Evaluation Board

8103612RA
8103612SA

PC405
RC4136
RC4558
RC4559

Image Sensor Evaluation Board
Operational Amplifier
Operational Amplifier
Operational Amplifier

Amplifier
Amplifier
Amplifier
Amplifier

a
~

"tJ

.5
(,)

8-2
8-2

SRPD001
SRPD001
SOYD002A
SOYDOO2A

8-2
7-13
7-10
7-10

SOYD002A
SLYD003
SLYD003
SLYD003

1-19

·C
CI)

E
:::s

c
ca
.c

Q.

«

RM4136 - SNJ54ACT11000
TIPART
NUMBER

II
l>

'6"
:r
Q)
::s
c

3
CD

::!.

n

S"
Q.

~

GENERAL DESCRIPTION

REFERENCE

SECTION I
PAGE

TI
DOCUMENT

RM4136
RM4558
RM4559
RTC/EVM7000C-1

Operational Amplifier
Operational Amplifier
Operational Amplifier
TMS7000 Evaluation Module (EVM)

7-11
7-8
4-5

SLYD003
SLYD003
SLYD003
SPNDOO1B

RTCWS-320DSP1
RTCWS-320DSP2
RTCWS-320DSP3
RTCWS-34010

TMS320C1X DSP Design Workshop
TMS320C2X DSP Design Workshop
TMS320C3X DSP Design Workshop
TMS34010 Graphics Sys. Proc. Des. Workshop

11-4
11-4
11-4
11-4

SSRCOO7
SSRC007
SSRC007
SPVU001A

RTCWS-34061
RTCWS-370M ICRO
RTCWS-380LAN 1
RTCWS-380LAN3

TMS34061 Graphics Systems Design Workshop
TMS370 Microcontroller Design Workshop
TMS380 IBM Token-Ring Network Des. Workshop
2nd Gen. TMS380 IBM Token-Ring Ntwk Des Wkshp

11-4
11-4
11-4
11-4

SPPU014A
SPNS014
SSRC007
SPWT084

RV4136
RV4558
SE555
SE556C

Operational Amplifier
Operational Amplifier
Timer
Timer

7-12
7-8
7-25
7-25

SLYD003
SLYD003
SLYD003
SLYD003

SE592
SE5534
SE5534A
SE70CP160J 0

Video Amplifier
Operational Amplifier
Operational Amplifier
Prototyping Device (TMS70C40Al20A)

7-14
7-3
7-3
4-6

SLYD003
SLYD003
SLYD003
SPND001B

SE70CP162J 0
SE77C42
SG2524
SG3524

Prototyping Device (TMS70C42)
Prototyping Device (TMS70C42)
PWM Controller
PWM Controller

4-6
4-6
7-24
7-24

SPND001B
SPND001B
SLYD003
SLYD003

SMJ4C1024
SMJ27C128-20JM
SMJ27C128-25JM
SMJ27C128-30JM

1M-Bit Dynamic RAM
128K-Bit EPROM
128K-Bit EPROM
128K-Bit EPROM

5962-8766105XA
5962-8766106XA
5962-8766107XA

SMJ27C256-20JM
SMJ27C256-25JM
SMJ27C256-30JM
SMJ27C512-20JM

256K-8it EPROM
256K-Bit EPROM
256K-Bit EPROM
512K-Bit EPROM

5962-8606301XA
5962-8606302XA
5962-8606303XA
5962-8764802XA

SMJ27C512-25J M
SMJ44C256
SMJ320C10FDM
SMJ320C10JDM

512K-Bit EPROM
256K-word by 4-8it Dynamic RAM
CMOS 1st-Generation DSP
CMOS 1st-Generation DSP

5962-8763301XA
5962-8763301 QA

SMJ320C15FDM
SMJ320C15JDM
SMJ320C15-25FDM
SMJ320C15-25JDM

CMOS
CMOS
CMOS
CMOS

1st-Generation DSP
1st-Generation DSP
1st-Generation DSP
1st-Generation DSP

5962-8763307XA
5962-8763307QA
5962-8763308XA
5962-8763308QA

SMJ320C25FDM
SMJ320C25GBM
SMJ4161
SMJ4164-12JDS

CMOS 2nd-Generation DSP
CMOS 2nd-Generation DSP
64K-Bit Multiport Video RAM
64K-word Dynamic RAM

8201008EA

SMJ4164-15JDS
SMJ4164-20J OS
SMJ4256-12JDS
SMJ4256-15JDS

64K-word Dynamic RAM
64K-word Dynamic RAM
64K-Bit Dynamic RAM
256K-Bit Dynamic RAM

8201006EA
8201007EA
8515203EA
8515201EA

SMJ4256-20JDS
SMJ4416
SMJ4461
SMJ4464

256K-Bit Dynamic RAM
16K-word by 4-Bit Dynamic RAM
256K-Bit Multiport Video RAM
64K-word by 4-Bit Dynamic RAM

8515202EA

SMJ9914AFDS
SMJ9914AJDS
SMJ32020
SMJ34010

GPIB Controller
GPIB Controller
NMOS 2nd-Generation DSP (20 MHz)
1st-Generation GSP

5962-8751901XA
5962-8751901QA

SMJ34061
SM4C1024
SM44C256
SM4164

Video System Controller
1M-Bit Dynamic RAM
256K-word by 4-Bit Dynamic RAM
16K-word Dynamic RAM

SM4256
SM4416
SM4464
SNJ54ACT11000

256K-Bit Dynamic RAM
16K-word by 4-Bit Dynamic RAM
64K-word by 4-Bit Dynamic RAM
Quad 2-lnput NAND Gate

1-20

5962-8764803XA

5962-8861901YA
5962-8861901XA

3-4

SNJ54ACT11002 - SNJ54AC11520
TIPART
NUMBER

GENERAL DESCRIPTION

REFERENCE

SNJ 54ACT11 002
SNJ54ACTll004FK
SNJ54ACT11004J
SNJ54ACT11008FK

Quad 2-lnput NOR Gate
Hex Inverter
Hex Inverter
Quad 2-lnput AND Gate

SNJ54ACT11008J
SNJ54ACTll0l0
SNJ54ACT11011
SNJ54ACT11020

Quad 2-lnput AND Gate
Triple 3-lnput NAND Gate
Triple 3-lnput AND Gate
DUAL 4-lnput NAND Gate

SNJ54ACTll021
SNJ54ACT11027
SNJ54ACT11030
SNJ54ACT11032FK

DUAL 4-lnput AND Gate
Triple 3-lnput NOR Gate
8-lnput NAND Gate
Quad 2-lnput OR Gate

SNJ54ACTll032J
SNJ54ACT11034
SNJ54ACT11074
SNJ54ACTlll09FK

Quad 2-lnput OR Gate
Hex Non-Inverter
Dual D-Type Flip-Flop
Dual J-K Flip-Flop

SNJ54ACTlll09J
SNJ54ACT11138
SNJ54ACT11240
SNJ54ACT11241 FK

Dual J-K Flip-Flop
3-8 Line Decoder/Demultiplexer
Octal Buffer/Line Driver
Octal Buffer/Line Driver

SNJ54ACTl1241 JT
SNJ54ACTl1244
SNJ54ACTl1245
SNJ54ACT11253

Octal Buffer/Line Driver
Octal Butfer/Line Driver
Octal Bus Transceiver
Dua14-1 Data Selector/Multiplexer

SNJ54ACT11257
SNJ54ACT11280
SNJ54ACT11353
SNJ54ACT11373FK

Quad 4-1 Data Selector/Multiplexer
9-Bit Parity Generator
Dua14-1 Data Selector/Multiplexer
Octal D-Type Latch

SNJ54ACT11373JT
SNJ54ACT11374FK
SNJ54ACT11374JT
SNJ54ACT11520

Octal D-Type Latch
Octal D-Type Flip-Flop
Octal D-Type Flip-Flop
8-Bit Identity Comparator

SNJ54ACTl1521
SNJ54ACT11533
SNJ54ACT11534
SNJ54ACTl1640

8-Bit Identity Comparator
Octal D-Type Transparent Latch
Octal D-Type Flip-Flop
Octal Bus Transceiver

SNJ54AC11000FK
SNJ54AC11000J
SNJ54AC11002
SNJ54AC11004FK

Quad 2-lnput NAND Gate
Quad 2-lnput NAND Gate
Quad 2-lnput NOR Gate
Hex Inverter

5962-87699022A
5962-8769902EA .

SNJ54ACll004J
SNJ54ACll008
SNJ54AC11 01 0
SNJ54AC11011

Hex Inverter
Quad 2-lnput AND Gate
Triple 3-lnput NAND Gate
Triple 3-lnput AND Gate

5962-8760902RA

SNJ54ACll020
SNJ54AC11021
SNJ54AC11027
SNJ54ACll030

DUAL 4-lnput NAND Gate
DUAL 4-lnput AND Gate
Triple 3-lnput NOR Gate
8-lnput NAND Gate

SNJ54AC11032
SNJ54ACll034
SNJ54ACll074FK
SNJ54AC11074J

Quad 2-lnput OR Gate
Hex Non-Inverter
Dual D-Type Flip-Flop
Dual D-Type Flip-Flop

SNJ54AC11109
SNJ54AC11138
SNJ54AC11238
SNJ54AC11240FK

Dual J-K Flip-Flop
3-8 Line Decoder/Demultiplexer
3-8 Line Decoder/Demultiplexer
Octal Buffer/Line Driver

SNJ54AC11240JT
SNJ54AC11241
SNJ54AC11244
SNJ54AC11245

Octal
Octal
Octal
Octal

SNJ54AC11373FK
SNJ54AC11373JT
SNJ54AC11374
SNJ54AC11520

Octal D-Type Latch
Octal D-Type Latch
Octal D-Type Flip-Flop
8-Bit Identity Comparator

Buffer/Line Driver
Buffer/Line Driver
Buffer/Line Driver
Bus Transceiver

5962-89734022A
5962-8973402RA
5962-8947012A
5962-894701 EA

5962-89736022A
5962-8973602EA

5962-88534022A
5962-8853402EA

5962-89847023A
5962-8984702LA

5962-87556023A
5962-8755602LA
5962-87631023A
5962-8763102LA

SECTIONI
PAGE

TI
DOCUMENT

3-5
3-8
3-8
3-5

II

3-5
3-4
3-5
3-4

~
"C
.5

3-5
3-5
3-4
3-5

(,)

0a::

3-5
3-8
3-15
3-15

CI)

E
::s

c
CO
.c

3-15
3-25
3-10
3-10

Co



-6'

:::r
CI)

:::s

c

.

3
CD
C:;'

5"
Co
CD
)C

GENERAL DESCRIPTION

SNJ54ACl1521
SNJ54AC11533
SNJ54AC1 1534
SNJ54ALSOOAFK

8-Bit Identity Comparator
Octal D-Type Transparent Latch
Octal D-Type Flip-Flop
Quad 2-lnput NAND Gate

SNJ54ALSOOAW
SNJ54ALS01
SNJ54ALS02FK
SNJ54ALS02W

Quad
Quad
Quad
Quad

SNJ54ALS03B
SNJ54ALS04BFK
SNJ54ALS04BW
SNJ54ALS05AFK

2-lnput
2-lnput
2-lnput
2-lnput

NAND Gate
NAND Gate OC
NOR Gate
NOR Gate

REFERENCE

5962-86833012A
5962-8683301DA

SECTION!
PAGE
3-28
3-18
3-16
3-4

5962-86844012A
5962-8684401DA

3-4
3-4
3-5
3-5

Quad 2-lnput NAND Gate OC
Hex Inverter
Hex Inverter
Hex Inverter OC

5962-86843012A
5962-8684301DA
5962-88540012A

3-4
3-8
3-8
3-8

SNJ54ALS05AJ
SNJ54ALS08FK
SNJ54ALS08W
SNJ54ALS09FK

Hex Inverter OC
Quad 2-lnput AND Gate
Quad 2-lnput AND Gate
Quad 2-lnput AND Gate OC

5962-8854001 CA
5962-86842012A
5962-8684201DA
84142012A

3-8
3-5
3-5
3-4

SNJ54ALS09J
SNJ54ALS10AFK
SNJ54ALS10AW
SNJ54ALSllAFK

Quad 2-lnput AND Gate OC
Triple 3-lnput NAND Gate
Triple 3-lnput NAND Gate
Triple 3-lnput AND Gate

8414201CA
5962-86865012A
5962-8686501DA
5962-86841012A

3-4
3-4
3-4
3-5

SNJ54ALSllAW
SNJ54ALS12A
SNJ54ALS15A
SNJ54ALS20A

Triple 3-lnput AND Gate
Triple 3-lnput NAND Gate OC
Triple 3-lnput AND Gate OC
Dual4-lnput NAND Gate

5962-8684101DA

3-5
3-4
3-4
3-4

SNJ54ALS21AFK
SNJ54ALS21AJ
SNJ54ALS21AW
SNJ54ALS22A

Dual4-lnput AND Gate
Dual4-lnput AND Gate
Dual 4-lnput AND Gate
Dual 4-lnput NAND Gate OC

84143012A
8414301CA
8414301DA

3-5
3-5
3-5
3-4

SNJ54ALS27FK
SNJ54ALS27W
SNJ54ALS28A
SNJ54ALS30AFK

Triple 3-lnput NOR Gate
Triple 3-lnput NOR Gate
Quad 2-lnput NOR Buffer
8-lnput NAND Gate

5962-86838012A
5962-8683801DA

3-5
3-5
3-5
3-4

SNJ54ALS30AW
SNJ54ALS32FK
SNJ54ALS32W
SNJ54ALS33A

8-lnput NAND Gate
Quad 2-lnput OR Gate
Quad 2-lnput OR Gate
Quad 2-lnput NOR Buffer

5962-8683701DA
5962-86836012A
5962-8683601DA

SNJ54ALS37A
SNJ54ALS38A
SNJ54ALS74AFK
SNJ54ALS74AJ

Quad 2-lnput NAND Buffer
Quad 2-lnput NAND Buffer
Dual D-Type Flip-Flop
Dual D-Type Flip-Flop

84011012A
8401101CA

3-4
3-4
3-15
3-15

SNJ54ALS74AW
SNJ54ALS86FK
SNJ54ALS86J
SNJ54ALS86W

Dual D-Type Flip-Flop
Quad 2-lnput Exclusive-OR Gate
Quad 2-lnput Exclusive-OR Gate
Quad 2-lnput Exclusive-OR Gate

8401101DA
5962-88621012A
5962-8862101CA
5962-8862101DA

3-15
3-6
3-6
3-6

SNJ54ALS 109AFK
SNJ54ALS109AJ
SNJ54ALS109AW
SNJ54ALS 112AFK

Dual J-K Flip-Flop
Dual J-K Flip-Flop
Dual J-K Flip-Flop
Dual J-K Flip-Flop

84000012A
8400001EA
8400001 FA
84000022A

3-15
3-15
3-15
3-15

SNJ54ALSl12AJ
SNJ54ALSl12AW
SNJ54ALSl13A
SNJ54ALSl14A

Dual J-K Flip-Flop
Dual J-K Flip-Flop
Dual J-K Flip-Flop
Dual J-K Flip-Flop

8400002EA
8400002FA

3-15
3-15
3-15
3-15

SNJ54ALS133W
SNJ54ALS 138FK
SNJ54ALS138W
SNJ54ALS139FK

13-lnput NAND Gate
3-8 LINE Decoder/Demultiplexer
3-8 LINE Decoder/Demultiplexer
Dual 2-4 Decoder/Demultiplexer

5962-8859001 FA
5962-86866012A
5962-8686601 FA
5962-87683012A

3-4
3-25
3-25
3-25

SNJ54ALS139J
SNJ54ALS139W
SNJ54ALS151FK
SNJ54ALS151J

Dual 2-4
Dual 2-4
8-1 Data
8-1 Data

Decoder/Demultiplexer
Decoder/Demultiplexer
Selector/Multiplexer
Selector/Multiplexer

5962-8768301EA
5962-8768301 FA
84141012A
8414101EA

3-25
3-25
3-24
3-24

SNJ54ALS151W
SNJ54ALS153FK
SNJ54ALS 153J
SNJ54ALS153W

8-1 Data
Dual 4-1
Dual 4-1
Dual 4-1

Selector/Multiplexer
Data Selector/Multiplexer
Data Selector/Multiplexer
Data Selector/Multiplexer

8414101FA
84134012A
8413401EA
8413401 FA

3-24
3-24
3-24
3-24

1-22

5962-86837012A

3-4
3-5
3-5
3-5

TI
DOCUMENT

SNJ54ALS157FK - SNJ54ALS323J

TIPART
NUMBER

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

TI
DOCUMENT

SNJ54ALS157FK
SNJ54ALS 157J
SNJ54ALS158FK
SNJ54ALS158J

Quad
Quad
Quad
Quad

Selector/Multiplexer
Selector/Multiplexer
Selector/Multiplexer
Selector/Multiplexer

5962-86869012A
5962-8686901 EA
5962-88625012A
5962-8862501 EA

3-24
3-24
3-24
3-24

SNJ54ALS158W
SNJ54ALS161 BFK
SNJ54ALS161BJ
SNJ54ALS161BW

Quad 2-1 Data Selector/Multiplexer
4-Bit Synchronous Binary Counter
4-Bit Synchronous Binary Counter
4-Bit Synchronous Binary Counter

5962-8862501 FA
83022012A
8302201EA
8302201 FA

3-24
3-21
3-21
3-21

SNJ54ALS 162BFK
SNJ54ALS162BJ
SNJ54ALS162BW
SNJ54ALS163BFK

4-Bit Synchronous Decade Counter
4-Bit Synchronous Decade Counter
4-Bit Synchronous Decade Counter
4-Bit Synchronous Binary Counter

84079012A
8407901EA
8407901 FA
83022022A

3-21
3-21
3-21
3-21

SNJ54ALS163BJ
SNJ54ALS165
SNJ54ALS169BFK
SNJ54ALS169BJ

4-Bit Synchronous Binary Counter
8-Bit Shift Register
4-Bit Up/Down Synchronous Binary Counter
4-Bit Up/Down Synchronous Binary Counter

8302202EA

'C

83025012A
8302501EA

3-21
3-19
3-21
3-21

SNJ54ALS169BW
SNJ54ALS174FK
SNJ54ALS174J
SNJ54ALS174W

4-Bit Up/Down Synchronous Binary Counter
Hex D-Type Flip-Flop
Hex D-Type Flip-Flop
Hex D-Type Flip-Flop

8302501 FA
83019012A
8301901EA
8301901 FA

3-21
3-15
3-15
3-15

CO

SNJ54ALS175FK
SNJ54ALS175J
SNJ54ALS175W
SNJ54ALS191FK

Quad D-Type Flip-Flop
Quad D-Type Flip-Flop
Quad D-Type Flip-Flop
Synchronous Up/Down Binary Counter

83019022A
8301902EA
8301902FA
5962-86840012A

3-15
3-15
3-15
3-21

SNJ54ALS191J
SNJ54ALS191W
SNJ54ALS193FK
SNJ54ALS193J

Synchronous
Synchronous
Synchronous
Synchronous

5962-8684001EA
5962-8684001 FA
5962 -886980 12A
5962-8869801 EA

3-21
3-21
3-21
3-21

SNJ54ALS229A
SNJ54ALS234
SNJ54ALS240AW
SNJ54ALS241AW

16 X 5 FIFO Memory
64 X 4 FIFO Memory
Octal Buffer/Line Driver
Octal Buffer/Line Driver

5962-8859101 SA
5962-8859601 SA

3-31
3-31
3-10
3-10

SNJ54ALS242BFK
SNJ54ALS242BJ
SNJ54ALS243AFK
SNJ54ALS243AJ

Quad
Quad
Quad
Quad

84013012A
8401301CA
84013022A
8401302CA

3-11
3-11
3-11
3-11

SNJ54ALS243AW
SNJ54ALS244AFK
SNJ54ALS244AW
SNJ54ALS245AFK

Quad Bus Transceiver
Octal Buffer/Line Driver
Octal Buffer/Line Driver
Octal Bus Transceiver

8401302DA
5962-86839012A
5962-8683901 SA
84030012A

3-11
3-10
3-10
3-11

SNJ54ALS245AJ
SNJ54ALS251 FK
SNJ54ALS251J
SNJ54ALS251W

Octal Bus Transceiver
8-1 Data Selector/Multiplexer
8-1 Data Selector/Multiplexer
8-1 Data Selector/Multiplexer

8403001RA
84135012A
8413501EA
8413501 FA

3-11
3-24
3-24
3-24

SNJ54ALS253FK
SNJ54ALS253J
SNJ54ALS253W
SNJ54ALS257FK

4-1 Data Selector/Multiplexer
4-1 Data Selector/Multiplexer
4-1 Data Selector/Multiplexer
Quad 2-1 Data Selector/Multiplexer

85096012A
8509601EA
8509601 FA
85097012A

3-24
3-24
3-24
3-24

SNJ54ALS257J
SNJ54ALS257W
SNJ54ALS258FK
SNJ54ALS258J

Quad 2-1
Quad 2-1
Quad 2-1
Quad 2-1

Selector/Multiplexer
Selector/Multiplexer
Selector/Multiplexer
Selector/Multiplexer

8509701EA
8509701 FA
5962-88626012A
5962-8862601 EA

3-24
3-24
3-24
3-24

SNJ54ALS258W
SNJ54ALS259FK
SNJ54ALS259J
SNJ54ALS259W

Quad 2-1 Data Selector/Multiplexer
8-Bit Addressable Latch
8-Bit Addressable Latch
8-Bit Addressable Latch

5962-8862601 FA
5962-88741012A
5962-8874101EA
5962-8874101 FA

3-24
3-18
3-18
3-18

SNJ54ALS273FK
SNJ54ALS273J
SNJ54ALS273W
SNJ54ALS299FK

Octal D-Type Flip-Flop
Octal D-Type Flip-Flop
Octal D-Type Flip-Flop
8-Bit Shift Register

84136012A
8413601RA
8413601SA
83021012A

3-16
3-16
3-16
3-19

SNJ54ALS299J
SNJ54ALS299W
SNJ54ALS323FK
SNJ54ALS323J

8-Bit Shift Register
8-Bit Shift Register
8-Bit Shift/Storage Register
8-Bit Shift/Storage Register

8302101RA
8302101SA
83021022A
8302102RA

3-19
3-19
3-19
3-19

2-1
2-1
2-1
2-1

Data
Data
Data
Data

Up/Down
Up/Down
Up/Down
Up/Down

Binary Counter
Binary Counter
Binary Counter
Binary Counter

Bus Transceiver
Bus Transceiver
Bus Transceiver
Bus Transceiver

Data
Data
Data
Data

II
)(
Q)

"'C

.E
CJ
Q)

E

:s

c

.c
Q,
C(

1-23

SNJ54ALS323W - SNJ54ALS832AW
TIPART
NUMBER

II
l>

-6'

~

OJ

:::s

c

3

..,

CD

Ci'

Sc..
CD

><

..

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

SNJ54ALS323W
SNJ54ALS352
SNJ54ALS353
SNJ54ALS373FK

8-Bit Shift/Storage Register
Dual 4-1 Data Selector/Multiplexer
Dual 4-1 Data Selector/Multiplexer OC
Octal D-Type Latch

8302102SA

83020012A

3-19
3-24
3-24
3-18

SNJ54ALS373J
SNJ54ALS373W
SNJ54ALS374FK
SNJ54ALS374J

Octal
Octal
Octal
Octal

8302001RA
8302001 SA
83020022A
8302002RA

3-18
3-18
3-16
3-16

SNJ54ALS374W
SNJ54ALS520FK
SNJ54ALS520J
SNJ54ALS534FK

Octal D-Type Flip-Flop
8-Bit Identity Comparator
8-Bit Identity Comparator
Octal D-Type Flip-Flop

8302002SA
5962-88691012A
5962-8869101 RA
5962-88668012A

3-16
3-28
3-28
3-16

SNJ54ALS534J
SNJ54ALS534W
SNJ54ALS541
SNJ54ALS561A

Octal D-Type Flip-Flop
Octal D-Type Flip-Flop
Octal Buffer/Line Driver
Synchronous 4-Bit Counter

5962-8866801 RA
5962-8866801 SA

3-16
3-16
3-10
3-21

SNJ54ALS563AFK
SNJ54ALS563AJ
SNJ54ALS563AW
SNJ54ALS564AFK

Octal
Octal
Octal
Octal

5962-88700012A
5962-8870001 RA
5962-8870001 SA
5962-88728012A

3-18
3-18
3-18
3-16

SNJ54ALS564AJ
SNJ54ALS564AW
SNJ54ALS569AFK
SNJ54ALS569AJ

Octal D-Type Flip-Flop
Octal D-Type Flip-Flop
Syncronous 4-Bit Binary Counter
Syncronous 4-Bit Binary Counter

5962-8872801 RA
5962-8872801 SA
83025022A
8302502RA

3-16
3-16
3-21
3-21

SNJ54ALS569AW
SNJ54ALS573BFK
SNJ54ALS573BJ
SNJ54ALS573BW

Syncronous 4-Bit Binary Counter
Octal D-Type Latch
Octal D-Type Latch
Octal D-Type Latch

8302502SA
84012012A
8401201RA
8401201SA

3-21
3-18
3-18
3-18

SNJ54ALS574AFK
SNJ54ALS574AJ
SNJ54ALS574AW
SNJ54ALS576AFK

Octal
Octal
·Octal
Octal

D-Type Flip-Flop
D-Type Flip-Flop
D-Type Flip-Flop
D-Type Flip-Flop

84001012A
8400101RA
8400101SA
84001022A

3-16
3-16
3-16
3-16

SNJ54ALS576AJ
SNJ54ALS580AFK
SNJ54ALS580AJ
SNJ54ALS580AW

Octal
Octal
Octal
Octal

D-Type Flip-Flop
D-Type Latch
D-Type Latch
D-Type Latch

8400102RA
84012022A
8401202RA
8401202SA

3-16
3-18
3-18
3-18

SNJ54ALS6::2A
SNJ54ALS640BFK
SNJ54ALS640BJ
SNJ54ALS640BW

32-Bit Parallel EDAC
Octal Bus Transceiver
Octal Bus Transceiver
Octal Bus Transceiver

5962-88727012A
5962-8872701 RA
5962-8872701 SA

4-72
3-11
3-11
3-11

SNJ54ALS645A
SNJ54ALS646FK
SNJ54ALS646JT
SNJ54ALS646W

Octal
Octal
Octal
Octal

Bus Transceiver
Bus Transceiver
Bus Transceiver
Bus Transceiver

5962-8995601 KA

3-12
3-11
3-11
3-11

SNJ54ALS648
SNJ54ALS652FK
SNJ54ALS652JT
SNJ54ALS652W

Octal
Octal
Octal
Octal

Bus Transceiver/Register
Bus Transceiver/Register
Bus Transceiver/Register
Bus Transceiver/Register

5962-88673013A
5962-8867301 LA
5962-8867301 KA

3-11
3-11
3-11
.3-11

SNJ54ALS653
SNJ54ALS688FK
SNJ54ALS688J
SNJ54ALS688W

Octal Bus Transceiver/Register
8-Bit Identity Comparator
8-Bit Identity Comparator
8-Bit Identity Comparator

5962-88578012A
5962-8857801 RA
5962-8857801 SA

3-10
3-28
3-28
3-28

SNJ54ALS804AFK
SNJ54ALS804AJ
SNJ54ALS804AW
SNJ54ALS805AFK

Hex 2-lnput NAND Driver
Hex 2-lnput NAND Driver
Hex 2-lnput NAND Driver
Hex 2-lnput NOR Driver

5962-88693012A
5962-8869301 RA
5962-8869301 SA
5962-88694012A

3-4
3-4
3-4
3-5

SNJ54ALS805AJ
SNJ54ALS805AW
SNJ54ALS808AFK
SNJ54ALS808AJ

Hex 2-lnput NOR Driver
Hex 2-lnput NOR Driver
Hex 2-lnput AND Driver
Hex 2-lnput AND Driver

5962-8869401 RA
5962-8869401 SA
5962-88695012A
5962-8869501 RA

3-5
3-5
3-9
3-9

SNJ54ALS808AW
SNJ54ALS832AFK
SNJ54ALS832AJ
SNJ54ALS832AW

Hex 2-lnput AND Driver
Hex 2-lnput OR Driver
Hex 2-lnput OR Driver
Hex 2-lnput OR Driver

5962-8869501 SA
84145012A
8414501RA
8414501SA

3-9
3-5
3-5
3-5

1-24

D-Type Latch
D-Type Latch
D-Type Flip-Flop
D-Type Flip-Flop

D-Type Latch
D-Type Latch
D-Type Latch
D-Type Flip-Flop

5962-89956013A
5962~8995601 LA

TI
DOCUMENT

SNJ54ALS857 - SNJ54AS286J
TIPART
NUMBER

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

SNJ54ALS857
SNJ54ALS870FK
SNJ54ALS870JT
SNJ54ALS870W

Hex 2-1 Multiplexer
Dual 16 X 4 Register File
Dual 16 X 4 Register File
Dual 16 X 4 Register File

5962-89889013A
5962-8988901 LA
5962-8988901 KA

3-24
3-20
3-20
3-20

SNJ54ALS873BFK
SNJ54ALS873BJT
SNJ54ALS873BW
SNJ54ALS874BFK

Dual4-Bit D-Type Latch
Dual4-Bit D-Type Latch
Dual4-Bit D-Type Latch
Dual4-Bit D-Type Flip-Flop

84032013A
8403201 LA
8403201KA
84010013A

3-18
3-18
3-18
3-16

SNJ54ALS874BJT
SNJ54ALS874BW
SNJ54ALS996FK
SNJ54ALS996JT

Dual4-Bit D-Type Flip-Flop
Dual4-Bit D-Type Flip-Flop
8-Bit D-Type Read-Back Latch
8-Bit D-Type Read-Back Latch

8401001LA
8401001KA
5962-89945013A
5962-8994501 LA

3-16
3-16
3-17
3-17

SNJ54ALS996W
SNJ54ALS1005
SNJ54ALSl 01 OAFK
SNJ54ALS1010AJ

8-Bit D-Type Read-Back Latch
Hex Inverting Buffer
Triple 3·lnput Positive NAND Buffer
Triple 3-lnput Positive NAND Buffer

5962-8994501 KA
84060012A
8406001CA

3-17
3-8
3-30
3-30

SNJ54ALS1034FK
SNJ54ALS1034J
SNJ54ALS1034W
SNJ54ALS 1035FK

Hex Driver
Hex Driver
Hex Driver
Hex Noninverting Buffer OC

84031012A
8403101CA
840310lDA
5962-88742012A

3-9
3-9
3-9
3-9

SNJ54ALS 1035J
SNJ54ALS 1035W
SNJ54ALS1244AFK
SNJ54ALS1244AJ

Hex Noninverting Buffer OC
Hex Noninverting Buffer OC
Octal Buffer/Driver
Octal Buffer/Driver

5962-8874201 CA
5962-8874201DA
5962-88738012A
5962-8873801 RA

3-9
3-9
3-10
3-10

SNJ54ALS1245AFK
SNJ54ALS1245AJ
SNJ54ALS29821
SNJ54ALS29822

Octal Bus Transceiver
Octal Bus Transceiver
10-Bit Bus Interface Flip-Flop
10-Bit Bus Interface Flip-Flop

5962-88737012A
5962-8873701 RA

3-11
3-11
3-16
3-16

SNJ54ALS29824
SNJ54ALS29825
SNJ54ALS29842
SNJ54ALS29843

9-Bit Bus Interface Flip-Flop
8-Bit Bus Interface Flip-Flop
10-Bit Bus Interface D-Type Latch
9-Bit Bus Interface D-Type Latch

SNJ54ASOO
SNJ54AS02
SNJ54AS04
SNJ54AS08

Quad 2-lnput NAND Gate
Quad 2-lnput NOR Gate
Hex Inverter
Quad 2-lnput AND Gate

3-4
3-5
3-8
3-5

SNJ54AS10
SNJ54ASll
SNJ54AS20
SNJ54AS21FK

Triple 3-lnput NAND Gate
Triple 3-lnput AND Gate
Dual4-lnput NAND Gate
Dual 4-lnput AND Gate

3-4
3-5
3-4
3-5

SNJ54AS21J
SNJ54AS21W
SNJ54AS27
SNJ54AS30

Dual4-lnput AND Gate
Dual4-lnput AND Gate
Triple 3-lnput NOR Gate
8-lnput NAND Gate

SNJ54AS32
SNJ54AS34
SNJ54AS74
SNJ54AS86A

Quad 2-lnput OR Gate
Hex Noninverter
Dual D-Type Flip-Flop
Quad 2-lnput Exclusive-OR Gate

3-5
3-8
3-15
3-6

SNJ54AS13B
SNJ54AS161
SNJ54AS163
SNJ54AS169A

3-B Line Decoder/Demultiplexer
4-Bit Synchronous Binary Counter
4-Bit Synchronous Binary Counter
4-Bit Synchronous Binary Counter

3-25
3-21
3-21
3-21

SNJ54AS174
SNJ54AS175A
SNJ54AS181 B
SNJ54AS194

Hex D-Type Flip-Flop
Quad D-Type Flip-Flop
4-Bit Arithmetic Logic Unit
Universal Shift Register

3-15
3-15
3-30
3-19

SNJ54AS240
SNJ54AS241
SNJ54AS242
SNJ54AS244

Octal Buffer/Line Driver
Octal Buffer/Line Driver
Quad Bus Transceiver
Octal Buffer/Line Driver

3-10
3-10
3-11
3-10

SNJ54AS245
SNJ54AS250A
SNJ54AS2B6FK
SNJ54AS286J

Octal Bus Transceiver
16-1 Multiplexer
9-Bit Parity Generator/Checker
9-Bit Parity Generator/Checker

TI
DOCUMENT

II
~
.5
"tl
CJ

'i:
Q)

E
:::s

c
CO
.c

c.

a:

3-16
3-16
3-18
3-18

5962-87804012A
5962-8780401CA
5962-8780401DA

5962-89663012A
5962-8966301CA

3-5
3-5
3-5
3-4

3-11
3-24
3-29
3-29

1-25

SNJ54AS286W - SNJ54BCT244
TIPART
NUMBER

GENERAL DESCRIPTION

REFERENCE

SNJ54AS286W
SNJ54AS373
SNJ54AS374
SNJ54AS573

9-Bit Parity Generator/Checker
Octal D-Type Latch
Octal D-Type Flip-Flop
Octal D-Type Latch

SNJ54AS574
SNJ54AS575
SNJ54AS576
SNJ54AS640FK

Octal
Octal
Octal
Octal

D-Type Flip-Flop
D-Type Flip-Flop
D-Type Flip-Flop
Bus Transceiver

-6"
::r
D)

SNJ54AS640J
SNJ54AS640W
SNJ54AS645
SNJ54AS646FK

Octal
Octal
Octal
Octal

Bus Transceiver
Bus Transceiver
Bus Transceiver
Bus Transceiver and Register

5962-8955301 RA
5962-8955301 SA
5962-87595013A

3-11
3-11
3-12
3-11

3
CD

SNJ54AS646JT
SNJ54AS646W
SNJ54AS651 FK
SNJ54AS651 JT

Octal
Octal
Octal
Octal

Bus Transceiver and
Bus Transceiver and
Bus Transceiver and
Bus Transceiver and

Register
Register
Register
Register

5962-8759501 LA
5962-8759501 KA
5962-88753013A
5962-8875301 LA

3-11
3-11
3-11
3-11

SNJ54AS651W
SNJ54AS652FK
SNJ54AS652JT
SNJ54AS652W

Octal
Octal
Octal
Octal

Bus Transceiver and
Bus Transceiver and
Bus Transceiver and
Bus Transceiver and

Register
Register
Register
Register

5962-8875301 KA
5962-88687013A
5962-8868701 LA
5962-8868701KA

3-11
3-11
3-11
3-11

SNJ54AS756
SNJ54AS760
SNJ54AS804BFK
SNJ54AS804BJ

Octal Bus Transceiver
Octal Buffer Line Driver
Hex 2-lnput NAND Driver
Hex 2-lnput NAND Driver

5962-87766012A
5962-8776601 RA

3-9
3-9
3-4
3-4

SNJ54AS804BW
SNJ54AS805BFK
SNJ54AS805BJ
SNJ54AS805BW

Hex 2-lnput NAND Driver
Hex 2-lnput NOR Driver
Hex 2-lnput NOR Driver
Hex 2-lnput NOR Driver

5962-8776601 SA
5962-87794012A
5962-8779401 RA
5962-8779401 SA

3-4
3-5
3-5
3-5

SNJ54AS808BFK
SNJ54AS808BJ
SNJ54AS808BW
SNJ54AS821

Hex 2-lnput AND Driver
Hex 2-lnput AND Driver
Hex 2-lnput AND Driver
10-Bit Bus Interface Flip-Flop

5962-88522012A
5962-8852201 RA
5962-8852201 SA

3-9
3-9
3-9
3-16

SNJ54AS822
SNJ54AS823FK
SNJ54AS823JT
SNJ54AS823W

10-Bit Bus Interface Flip-Flop
9-Bit Bus Interface Flip-Flop
9-Bit Bus Interface Flip-Flop
9-Bit Bus Interface Flip-Flop

SNJ54AS825
SNJ54AS826
SNJ54AS832BFK
SNJ54AS832BJ

II
>

=
c
...

n'
So.

~

5962-8966301 DA

SECTIONI
PAGE

5962-89553012A

3-29
3-18
3-16
3-18
3-16
3-16
3-16
3-11

5962-89525013A
5962-8952501 LA
5962-8952501 KA

3-16
3-16
3-16
3-16

8-Bit Bus Interface Flip-Flop
8-Bit Bus Interface Flip-Flop
Hex 2-lnput OR Driver
Hex 2-lnput OR Driver

5962-88523012A
5962-8852301 RA

3-16
3-16
3-5
3-5

SNJ54AS832BW
SNJ54AS867FK
SNJ54AS867JT
SNJ54AS867W

Hex 2-lnput OR Driver
8-Bit Synchronous Up/Down Counter
8-Bit Synchronous Up/Down Counter
8-Bit Synchronous Up/Down Counter

5962-8852301 SA
5962-89668013A
5962-8966801 LA
5962-8966801 KA

3-5
3-21
3-21
3-21

SNJ54AS869
SNJ54AS873
SNJ54AS874
SNJ54AS882A

8-Bit Synchronous Up/Down Counter
Dual4-Bit D-Type Latch
Dual4-Bit D-Type Flip-Flop
32-Bit Look Ahead Carry Generator

3-21
3-18
3-15
3-30

SNJ54AS885
SNJ54AS1000A
SNJ54AS1004AFK
SNJ54AS1004AJ

8-Bit Magnitude Comparator
Quad 2-lnput NAND Driver
Hex Inverting Driver
Hex Inverting Driver

5962-99729012A
5962-9972901 CA

3-28
3-4
3-8
3-8

SNJ54AS1004AW
SNJ54AS1032AFK
SNJ54AS1032AJ
SNJ54AS1032AW

Hex Inverting Driver
Quad OR Buffer/Driver
Quad OR Buffer/Driver
Quad OR Buffer/Driver

5962-9972901DA
5962-88730012A
5962-8873001CA
5962-8873001DA

3-8
3-5
3-5
3-5

SNJ54AS1034AFK
SNJ54AS1034AJ
SNJ54AS 1034AW
SNJ54AS1036A

Hex Driver
Hex Driver
Hex Driver
Quad 2-lnput NOR Driver

5962-88731012A
5962-8873101CA
5962-8873101DA

3-9
3-9
3-9
3-5

SNJ54BCT125
SNJ54BCT240
SNJ54BCT241
SNJ54BCT244

Quad Buffer Gate
Octal Buffer/Line Driver
Octal Buffer/Line Driver
Octal Buffer/Line Driver

1-26

3-10
3-10
3-10
3-10

n
DOCUMENT

SNJ54BCT245 - SNJ54F299W

TI PART
NUMBER

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

SNJ54BCT245
SNJ54BCT373
SNJ54BCT374
SNJ54BCT534

Octal
Octal
Octal
Octal

Bus Transceiver
D-Type Latch
D-Type Flip-Flop
D-Type Flip-Flop

3-11
3-18
3-16
3-16

SNJ54BCT540
SNJ54BCT541
SNJ54BCT543
SNJ54BCT620A

Octal
Octal
Octal
Octal

Buffer/Line Driver
Buffer/Line Driver
Bus Transceiver/Register
Bus Transceiver

3-10
3-10
3-11
3-11

SNJ54BCT623
SNJ54BCT640
SNJ54BCT2240
SNJ54BCT2244

Octal
Octal
Octal
Octal

Bus Transceiver
Bus Transceiver
Buffer/Line Driver
Buffer/Line Driver

3-12
3-11
3-12
3-12

SNJ54BCT2827 A
SNJ54BCT2828A
SNJ54FOO
SNJ54F02

10-Bit Bus/MaS Memory Driver
10-Bit Bus/MaS Memory Driver
Quad 2-lnput NAND Gate
Quad 2-lnput NOR Gate

SNJ54F04
SNJ54F09FK
SNJ54F09J
SNJ54F09W

Hex Inverter
Quad 2-lnput AND Gate
Quad 2-lnput AND Gate
Quad 2-lnput AND Gate

SNJ54Fl0
SNJ54Fl1
SNJ54F20
SNJ54F21FK

TI
DOCUMENT

II
><
Q)

"C

.5
t,.)

'i:

3-10
3-10
3-4
3-5
5962-88723012A
5962-8872301 CA
5962-8872301DA

3-8
3-4
3-4
3-4

Triple 3-lnput NAND Gate
Triple 3-lnput AND Gate
Dual 4-lnput NAND Gate
Dual4-lnputAND Gate

5962-89554012A

3-4
3-5
3-4
3-5

SNJ54F21J
SNJ54F21W
SNJ54F27FK
SNJ54F27J

Dual4-lnput AND Gate
Dual4-lnput AND Gate
Triple 3-lnput NOR Gate
Triple 3-lnput NOR Gate

5962-8955401 CA
5962-8955401DA
5962-89510012A
5962-8951001 CA

3-5
3-5
3-5
3-5

SNJ54F30FK
SNJ54F30J
SNJ54F30W
SNJ54F32

8-lnput NAND Gate
8-lnput NAND Gate
8-lnput NAND Gate
Quad 2-lnput OR Gate

5962-88708012A
5962-8870801CA
5962-8870801DA

3-4
3-4
3-4
3-5

SNJ54F36FK
SNJ54F36J
SNJ54F36W
SNJ54F37

Quad 2-lnput NOR Gate
Quad 2-lnput NOR Gate
Quad 2-lnput NOR Gate
Quad 2-lnput NAND Buffer

5962-88709012A
5962-8870901 CA
5962-8870901DA

3-5
3-5
3-5
3-4

SNJ54F38FK
SNJ54F38J
SNJ54F38W
SNJ54F40

Quad 2-lnput NAND Buffer
Quad 2-lnput NAND Buffer
Quad 2-lnput NAND Buffer
Dual4-lnput NAND Buffer

5962-86872012A
5962-8687201 CA
5962-868720lDA

3-4
3-4
3-4
3-4

SNJ54F51
SNJ54F64
SNJ54F74
SNJ54Fl09

AND-OR-Invert Gate
4-2-3-2 Input AND-OR-Invert Gate
Dual D-Type Flip-Flop
Dual J-K Flip-Flop

3-6
3-6
3-15
3-15

SNJ54F138
SNJ54F153
SNJ54F157A
SNJ54F158A

3-8 Line Decoder/Demultiplexer
Dual 4-1 Data Selector/Multiplexer
Quad 2-1 Line Data Selector/Multiplexer
Quad 2-1 Line Data Selector/Multiplexer

3-25
3-24
3-24
3-24

SNJ54F175
SNJ54F240
SNJ54F241
SNJ54F242

Quad D-Type Flip-Flop
Octal Buffer/Line Driver
Octal Buffer/Line Driver
Quad Bus Transceiver

3-15
3-10
3-10
3-11

SNJ54F243
SNJ54F244
SNJ54F245FK
SNJ54F245J

Quad Bus Transciever
Octal Buffer/Line Driver
Octal Bus Transciever
Octal Bus Transciever

SNJ54F245W
SNJ54F253
SNJ54F257
SNJ54F258

Octal Bus Transciever
Duall-of-4 Data Selector/Multiplexer
Quad 1-of-2 Data Selector/Multiplexer
Quad 1-of-2 Data Selector/Multiplexer

SNJ54F280B
SNJ54F283
SNJ54F299FK
SNJ54F299W

9-Bit Parity Generator/Checker
4-Bit Binary Full Adder
8-Bit Shift Register
8-Bit Shift Register

85511012A
8551101RA
8551101SA

5962-89573012A
5962-8957301 SA

Q)

E
::J

t:
CO

.c

Q.


-6"
::r
Q)

::s
c

3CD

::3.
n

5"
Q.

~

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

SNJ54F350FK
SNJ54F350J
SNJ54F350W
SNJ54F352

4-Bit Shifter
4-Bit Shifter
4-Bit Shifter
Dual 4-1 Line Data Selector/Multiplexer

SNJ54F373
SNJ54F374
SNJ54F518FK
SNJ54F518J

Octal D-Type Latch
Octal D-Type Flip-Flop
8-Bit Identity Comparator
8-Bit Identity Comparator

5962-88710012A
5962-8871001 RA

3-18
3-16
3-28
3-28

SNJ54F518W
SNJ54F519FK
SNJ54F519J
SNJ54F519W

8-Bit Identity Comparator
8-Bit Identity Comparator
8-Bit Identity Comparator
8-Bit Identity Comparator

5962-8871001 SA
5962-88711012A
5962-8871101RA
5962-8871101SA

3-28
3-28
3-28
3-28

SNJ54F520FK
SNJ54F520J
SNJ54F520W
SNJ54F521

8-Bit Identity Comparator
8-Bit Identity Comparator
8-Bit Identity Comparator
8-Bit Identity Comparator

5962-88727012A
5962-8872701 RA
5962-8872701 SA

3-28
3-28
3-28
3-18

SNJ54F533
SNJ54F534
SNJ54F544FK
SNJ54F544JT

Octal
Octal
Octal
Octal

D-Type Latch
D-Type Flip-Flop
Registered Transceiver
Registered Transceiver

SNJ54F544W
SNJ54F563
SNJ54F573
SNJ54F620

Octal
Octal
Octal
Octal

Registered Transceiver
D-Type Latch
D-Type Latch
Bus Transceiver

SNJ54F621
SNJ54F623
SNJ54HCT04FK
SNJ54HCT04J

Octal Bus Transceiver
Octal Bus Transceiver
Hex Inverter
Hex Inverter

SNJ54HCT137
SNJ54HCT138FK
SNJ54HCT138J
SNJ54HCT237

3-8 Line
3-8 Line
3-8 Line
3-8 Line

SNJ54HCT238FK
SNJ54HCT238J
SNJ54HCT240FK
SNJ54HCT240J

Decoder/Demultiplexer
Decoder/Demultiplexer
Decoder/Demultiplexer
Decoder/Demultiplexer

5962-86075012A
5962-8607501 EA
5962-8607501 FA

5962-89555013A
5962-8955501 LA
5962-8955501 KA

5962-89747012A
5962-8974701 CA

3-25
3-25
3-25
3-24

3-18
3-16
3-11
3-11
3-11
3-18
3-18
3-11
3-9
3-12
3-8
3-8

85504012A
8550401EA

3-25
3-25
3-25
3-25

3-8 Line Decoder/Demultiplexer
3-8 Line Decoder/Demultiplexer
Octal Buffer/Line Driver
Octal Buffer/Line Driver

5962-89745012A
5962-8974501 EA
85505012A
8550501RA

3-25
3-25
3-10
3-10

SNJ54HCT241
SNJ54HCT244FK
SNJ54HCT244J
SNJ54HCT245FK

Octal
Octal
Octal
Octal

Buffer/Line Driver
Buffer/Line Driver
Buffer/Line Driver
Bus Transceiver

85130012A
8513001RA
85506012A

3-10
3-10
3-10
3-11

SNJ54HCT245J
SNJ54HCT373FK
SNJ54HCT373J
SNJ54HCT374FK

Octal
Octal
Octal
Octal

Bus Transceiver
D-Type Latch
D-Type Latch
D-Type Flip-Flop

8550601RA
5962-86867012A
5962-8686701 RA
85507012A

3-11
3-18
3-18
3-16

SNJ54HCT374J
SNJ54HCT540
SNJ54HCT541
SNJ54HCU04FK

Octal D-Type Flip-Flop
Octal Buffer/Line Driver
Octal Buffer/Line Driver
Hex Inverter

8550701RA

86010012A

3-16
3-10
3-10
3-8

SNJ54HCU04J
SNJ54HCOOFK
SNJ54HCOOJ
SNJ54HC02FK

Hex Inverter
Quad 2-lnput NAND Gate
Quad 2-lnput NAND Gate
Quad 2-lnput NOR Gate

8601001CA
84037012A
8403701CA
84041012A

3-8
3-4
3-4
3-5

SNJ54HC02J
SNJ54HC03FK
SNJ54HC03J
SNJ54HC04FK

Quad 2-lnput NOR Gate
Quad 2-lnput NAND Gate OC
Quad 2-lnput NAND Gate OC
Hex Inverter

8404101CA
5962-87647012A
5962-8764701 CA
84098012A

3-5
3-4
3-4
3-8

SNJ54HC04J
SNJ54HC05FK
SNJ54HC05J
SNJ54HC08FK

Hex Inverter
Hex Inverter OC
Hex Inverter OC
Quad 2-lnput AND Gate

8409801CA
5962-88718012A
5962-8871801CA
84047012A

3-8
3-8
3-8
3-5

SNJ54HC08J
SNJ54HC09FK
SNJ54HC09J
SNJ54HC10FK

Quad 2-lnput AND Gate
Quad 2-lnput AND Gate OC
Quad 2-lnput AND Gate OC
Triple 3-lnput NAND Gate

8404701CA
5962-88620012A
5962-8862001 CA
84038012A

3-5
3-4
3-4
3-4

1-28

TI
DOCUMENT

SNJ54HC10J - SNJ54HC165FK
TIPART
NUMBER

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

SNJ54HC10J
SNJ54HC1 1FK
SNJ54HC11J
SNJ54HC14FK

Triple 3-lnput NAND Gate
Triple 3-lnput AND Gate
Triple 3-lnput AND Gate
Hex Schmitt-Trigger Inverter

8403801CA
84048012A
8404801CA
84091012A

3-4
3-5
3-5
3-8

SNJ54HC14J
SNJ54HC20FK
SNJ54HC20J
SNJ54HC21 FK

Hex Schmitt-Trigger Inverter
Dual4-lnput NAND Gate
Dual4-lnput NAND Gate
Dual4-lnput AND Gate

8409101CA
84039012A
8403901CA
5962-88576012A

3-8
3-4
3-4
3-5

SNJ54HC21J
SNJ54HC27FK
SNJ54HC27J
SNJ54HC30FK

Dual4-lnput AND Gate
Triple 3-lnput NOR Gate
Triple 3-lnput NOR Gate
8-lnput NAND Gate

5962-8857601 CA
84042012A
8404201CA
84040012A

3-5
3-5
3-5
3-4

SNJ54HC30J
SNJ54HC32FK
SNJ54HC32J
SNJ54HC36

8-lnput NAND Gate
Quad 2-lnput OR Gate
Quad 2-lnput OR Gate
Quad 2-lnput NOR Gate

8404001CA
84045012A
8404501CA

3-4
3-5
3-5
3-5

SNJ54HC42FK
SNJ54HC42J
SNJ54HC51
SNJ54HC74FK

4-10 Line BCD to Decimal Decoder
4- 10 Line BCD to Decimal Decoder
Dual AND/OR Invert Gate
Dual D-Type Flip-Flop

5962-86821012A
5962-8682101 EA

SNJ54HC74J
SNJ54HC75J
SNJ54HC85A
SNJ54HC86FK

Dual D-Type Flip-Flop
4-Bit Latch
4-Bit Magnitude Comparator
Quad 2-lnput Exclusive-OR Gate

84046012A

3-15
3-17
3-28
3-6

SNJ54HC86J
SNJ54HC107FK
SNJ54HC107J
SNJ54HC109FK

Quad 2-lnput Exclusive-OR Gate
Dual J-K Flip-Flop
Dual J-K Flip-Flop
Dual J-K Flip-Flop

8404601CA
5962-85154012A
5962-8515401CA
84150012A

3-6
3-15
3-15
3-15

SNJ54HC109J
SNJ54HC112FK
SNJ54HC112J
SNJ54HC113

Dual J-K Flip-Flop
Dual J-K Flip-Flop
Dual J-K Flip-Flop
Dual J-K Flip-Flop

8415001EA
84088012A
8408801EA

3-15
3-15
3-15
3-15

SNJ54HC114
SNJ54HC125FK
SNJ54HC125J
SNJ54HC126FK

Dual J-K Flip-Flop
Quad 3-State Buffer
Quad 3-State Buffer
Quad 3-State Buffer

SNJ54HC126J
SNJ54HC132
SNJ54HC133FK
SNJ54HC133J

Quad 3-State Buffer
Quad 2-lnput NAND Schmitt-Trigger
13-lnput NAND Gate
13-lnput NAND Gate

SNJ54HC137
SNJ54HC138FK
SNJ54HC138J
SNJ54HC139FK

3-8 Line Decoder/Demultiplexer
3-8 Line Decoder/Demultiplexer
3-8 Line Decoder/Demultiplexer
Dual 1-4 Decoder/Demultiplexer

SNJ54HC139J
SNJ54HC148
SNJ54HC151FK
SNJ54HC151J

Dual 1-4 Decoder/Demultiplexer
3-8 Line Encoder
Data Selector/Multiplexer
Data Selector/Multiplexer

SNJ54HC152
SNJ54HC153FK
SNJ54HC153J
SNJ54HC157FK

84056012A
8405601CA
8407001EA

5962-87721012A
5962-8772101CA
5962-86848012A

><

Q)

"C

.5
CJ

'i:
Q)

E
~

c
C'CI
.s::.

Q,

Ci

3-15
3-10
3-10
3-10
3-10
3-4
3-4
3-4

84062012A
8406201EA
84092012A

3-25
3-25
3-25
3-25

84128012A
8412801EA

3-25
3-24
3-24
3-24

8-1 Line Data Selector/Multiplexer
Dual 4-1 Data Selector/Multiplexer
Dual 4-1 Data Selector/Multiplexer
Quad 2-1 Data Selector/Multiplexer

84093012A
8409301EA
5962-86061012A

3-24
3-25
3-25
3-24

SNJ54HC157J
SNJ54HC158FK
SNJ54HC158J
SNJ54HC160FK

Quad 2-1 Data Selector/Multiplexer
Quad 2-1 Data Selector/Multiplexer
Quad 2-1 Data Selector/Multiplexer
4-Bit Synchronous Decade Counter

5962-8606101EA
5962-86823012A
5962-8682301 EA
5962-86824012A

3-24
3-24
3-24
3-21

SNJ54HC160J
SNJ54HC161 FK
SNJ54HC161J
SNJ54HC163FK

4-Bit Synchronous Decade Counter
4-Bit Synchronous Binary Counter
4-Bit Synchronous Binary Counter
4-Bit Binary Counter

5962-8682401 EA
84075012A
8407501EA
86076012A

3-21
3-21
3-21
3-21

SNJ54HC163J
SNJ54HC164FK
SNJ54HC164J
SNJ54HC165FK

4-Bit Binary Counter
8-Bit Shift Register
8-Bit Shift Register
8-Bit Shift Register

8607601EA
84162012A
8416201CA
84095012A

3-21
3-19
3-19
3-19

8409201EA

a

3-25
3-25
3-6
3-15

5962-87723012A
5962-8772301 EA

5962-8684801 CA

TI
DOCUMENT

1-29

SNJ54HC165J - SNJ54HC393FK

TIPART
NUMBER

II
l>

-5'

:r
D)

:::s
c

3(I)

...

Ci'

S"

0.

~

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

SNJ54HC165J
SNJ54HC166
SNJ54HC173FK
SNJ54HC173J

8-Bit Shift Register
8-Bit Shift Register
4-Bit D-Type Register
4-Bit D-Type Register

8409501EA
5962-86825012A
5962-8682501 EA

3-19
3-19
3-20
3-20

SNJ54HC174FK
SNJ54HC174J
SNJ54HC175FK
SNJ54HC175J

HEX D-Type Flip-Flop
HEX D-Type Flip-Flop
Quad D-Type Flip-Flop
Quad D-Type Flip-Flop

84073012A
8407301EA
84089012A
8408901EA

3-15
3-15
3-15
3-15

SNJ54HC180
SNJ54HC190
SNJ54HC1 91 FK
SNJ54HC191J

9-Bit Parity Generator/Checker
Synchronous Up/Down Decade Counter
Synchronous Up/Down Binary Counter
Synchronous Up/Down Binary Counter

5962-86891012A
5962-8689101EA

3-29
3-21
3-21
3-21

SNJ54HC192
SNJ54HC193FK
SNJ54HC193J
SNJ54HC194FK

Synchronous Up/Down Decade Counter
Synchronous Up/Down Binary Counter
Synchronous Up/Down Binary Counter
4-Bit Universal Shift Register

5962-87724012A
5962-8772401 EA
5962-86826012A

3-21
3-21
3-21
3-19

SNJ54HC194J
SNJ54HC195FK
SNJ54HC195J
SNJ54HC237FK

4-Bit Universal Shift Register
4-Bit Shift Register
4-Bit Shift Register
3-8 Line Decoder/Demultiplexer

5962-8682601 EA
5962-86827012A
5962-8682701 EA
5962-88606012A

3-19
3-19
3-19
3-25

SNJ54HC237J
SNJ54HC238FK
SNJ54HC238J
SNJ54HC240FK

3-8 Line Decoder/Demultiplexer
3-8 Line Decoder/Demultiplexer
3-8 Line Decoder/Demultiplexer
Octal Buffer/Line Driver

5962-8860601 EA
5962-86884012A
5962-8688401 EA
84074012A

3-25
3-25
3-25
3-10

SNJ54HC240J
SNJ54HC241
SNJ54HC242
SNJ54HC243FK

Octal
Octal
Octal
Octal

Buffer/Line Driver
Buffer/Line Driver
Bus Transceiver
Bus Transceiver

8407401RA

84090012A

3-10
3-10
3-11
3-11

SNJ54HC243J
SNJ54HC244FK
SNJ54HC244J
SNJ54HC245FK

Octal
Octal
Octal
Octal

Bus Transceiver
Buffer/Line Driver
Buffer/Line Driver
Bus Transceiver

8409001CA
84096012A
8409601RA
84085012A

3-11
3-10
3-10
3-11

SNJ54HC245J
SNJ54HC251 FK
SNJ54HC251J
SNJ54HC253

Octal Bus Transceiver
8-lnput Data Selector/Multiplexer
8-lnput Data Selector/Multiplexer
4-1 Data Selector/Multiplexer

8408501RA
85125012A
8512501EA

3-11
3-24
3-24
3-24

SNJ54HC257FK
SNJ54HC257J
SNJ54HC258
SNJ54HC259FK

Quad 2-lnput Data Selector/Multiplexer
Quad 2-lnput Data Selector/Multiplexer
Quad 2-lnput Data Selector/Multiplexer
8-Bit Addressable Latch

85124012A
8512401EA
85519012A

3-24
3-24
3-24
3-18

SNJ54HC259J
SNJ54HC266FK
SNJ54HC266J
SNJ54HC273FK

8-Bit Addressable Latch
Quad Exclusive-OR Gate OC
Quad Exclusive-NOR Gate OC
Octal D-Type Flip-Flop

8551901EA
84053012A
8405301CA
84099012A

3-18
3-6
3-6
3-16

SNJ54HC273J
SNJ54HC280FK
SNJ54HC280J
SNJ54HC365FK

Octal D-Type Flip-Flop
9-Bit Parity Generator/Checker
9-Bit Parity Generator/Checker
Hex Bus Driver

8409901RA
86077012A
8607701CA
85001012A

3-16
3-29
3-29
3-9

SNJ54HC365J
SNJ54HC366FK
SNJ54HC366J
SNJ54HC367FK

Hex Bus Driver
Hex Bus Driver
Hex Bus Driver
Hex Bus Driver

8500101EA
5962-86828012A
5962-8682801 EA
85002012A

3-9
3-9
3-9
3-9

SNJ54HC367J
SNJ54HC368FK
SNJ54HC368J
SNJ54HC373FK

Hex Bus Driver
Hex Bus Driver
Hex Bus Driver
Octal D-Type Latch

8500201EA
5962-86812012A
5962-8681201EA
8407201RA

3-9
3-9
3-9
3-18

SNJ54HC373J
SNJ54HC374FK
SNJ54HC377
SNJ54HC378

Octal D-Type Flip-Flop
Octal D-Type Flip-Flop
Octal D-Type Flip-Flop
Hex D-Type Flip-Flop

84071012A
8407101RA

3-18
3-16
3-16
3-15

SNJ54HC379
SNJ54HC386
SNJ54HC390
SNJ54HC393FK

Quad D-Type Flip-Flop
Quad 2-lnput Exclusive-OR Gate
Dual4-Bit Decade Counter
Dual4-Bit Binary Counter

1-30

84100012A

3-15
3-6
3-22
3-22

TI
DOCUMENT

SNJ54HC393J -SNJ54LS37
TIPART
NUMBER

GENERAL DESCRIPTION

SECTIONI
PAGE

REFERENCE

SNJ54HC393J
SNJ54HC533FK
SNJ54HC533J
SNJ54HC534FK

Dual 4-Bit Binary Counter
Octal D-Type latch
Octal D-Type latch
Octal D-Type Flip-Flop

8410001CA
5962-86813012A
5962-8681301 RA
5962-86814012A

3-22
3-18
3-18
3-16

SNJ54HC534J
SNJ54HC540
SNJ54HC541
SNJ54HC563FK

Octal
Octal
Octal
Octal

D-Type Flip-Flop
Buffer/line Driver
Buffer/line Driver
D-Type latch

5962-8681401 RA

3-16
3-10
3-10
3-18

SNJ54HC563J
SNJ54HC564
SNJ54HC573FK
SNJ54HC573J

Octal
Octal
Octal
Octal

D-Type
D-Type
D-Type
D-Type

SNJ54HC574
SNJ54HC590AFK
SNJ54HC590AJ
SNJ54HC595FK

Octal D-Type Flip-Flop
Binary Counter with Output Register
Binary Counter with Output Register
Shift Register with Output Latch

SNJ54HC595J
SNJ54HC620
SNJ54HC623
SNJ54HC640

Shift Register with Output Latch
Octal Bus Transceiver
Octal Bus Transceiver
Octal Bus Transceiver

SNJ54HC643
SNJ54HC645
SNJ54HC68BFK
SNJ54HC688J

Octal Bus Transceiver
Octal Bus Transceiver
8-Bit Magnitude Comparator
8-Bit Magnitude Comparator

SNJ54HC4002FK
SNJ54HC4002J
SNJ54HC4016
SNJ54HC4020FK

Dual4-lnput NOR Gate
Dual4-lnput NOR Gate
Quad Bilateral Analog Switch (TLC4016)
Asynchronous 14-Bit Binary Counter

84044012A
8404401CA
85003012A

3-5
7-20
3-5
3-22

SNJ54HC4020J
SNJ54HC4024FK
SNJ54HC4024J
SNJ54HC4040FK

Asynchronous
Asynchronous
Asynchronous
Asynchronous

B500301EA
86012012A
8601201CA
85004012A

3-22
3-22
3-22
3-22

SNJ54HC4040J
SNJ54HC4066
SNJ54HC4075FK
SNJ54HC4075J

Asynchronous 12-Bit Binary Counter
Quad Bilateral Analog Switch (TLC4066)
Triple 3-lnput OR Gate
Triple 3-lnput OR Gate

8500401EA

3-22
7-20
3-5
3-5

SNJ54HC4078A
SNJ54LSOO
SNJ54lS01
SNJ54LS02

8-lnput OR/NOR Gate
Quad 2-lnput NAND Gate
Quad 2-lnput NAND Gate OC
Quad 2-lnput NOR Gate

3-6
3-4
3-4
3-5

SNJ54LS03
SNJ54lS04
SNJ54LS05
SNJ54LS08

Quad 2-lnput NAND Gate OC
Hex Inverter
Hex Inverter OC
Quad 2-lnput AND Gate

3-4
3-8
3-8
3-5

SNJ54LS09W
SNJ54LS10
SNJ54LS11
SNJ54LS12

Quad 2-lnput AND Gate OC
Triple 3-lnput NAND Gate
Triple 3-lnput AND Gate
Triple 3-lnput NAND Gate

SNJ54LS13
SNJ54LS14
SNJ54LS15
SNJ54LS20

Dual 2-lnput NAND Gate
Hex Schmitt-Trigger Inverter
Triple 3-lnput AND Gate OC
Dual4-lnput NAND Gate

SNJ54LS21
SNJ54LS22
SNJ54LS26J
SNJ54LS26W

Dual4-lnput AND Gate
Dual4-lnput NAND Gate
Quad 2-lnput NAND Gate
Quad 2-lnput NAND Gate

SNJ54LS27
SNJ54LS28
SNJ54LS30
SNJ54LS32

Triple 3-lnput NOR Gate
Quad 2-lnput NOR Buffer
8-lnput NAND Gate
Quad 2-lnput OR Gate

SNJ54LS33FK
SNJ54LS33J
SNJ54LS33W
SNJ54LS37

Quad 2-lnput NOR Buffer OC
Quad 2-lnput NOR Buffer OC
Quad 2-lnput NOR Buffer OC
Quad 2-lnput NAND Buffer

latch
Flip-Flop
latch
Latch

14-Bit Binary Counter
7-Bit Binary Counter
7-Bit Binary Counter
12-Bit Binary Counter

5962-B6813012A
5962-8681301 RA
8512B012A
8512801 RA

3-18
3-16
3-18
3-18

5962 -B96030 12A
5962-8960301 EA
5962-86816012A

3-16
3-22
3-22
3-19

TI
DOCUMENT

II
~
"C
.5
Co)

'a:::
Q)

E

:::s
c

3-19
3-11
3-12
3-11

5962 -868160 1EA

CO

.c
c.

<

3-11
3-12
3-28
3-28

5962 -868180 12A
5962-8681801 RA

5962-87722012A
5962-8772201 CA

3-4
3-4
3-5
3-4

8001901DA

3-4
3-8
3-4
3-4
3-5
3-4
3-4
3-4

7602001CA
7602001DA

3-5
3-5
3-4
3-5

/

85126012A
8512601CA
8512601DA

.-

3-5
3-5
3-5
3-4

1-31

SNJ54lS38 - SNJ54lS158FK
TIPART
NUMBER

II

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

SNJ54lS38
SNJ54lS40
SNJ54lS42J
SNJ54lS42W

Quad 2-lnput NAND Buffer OC
Dual4-lnput NAND Buffer
4-10 line BCD to Decimal Decoder
4-10 line BCD to Decimal Decoder

7603101EA
7603101FA

3-4
3-4
3-25
3-25

SNJ54lS442
SNJ54lS47J
SNJ54lS47W
SNJ54lS48

Bus Transceiver
BCD-7-Segment Decoder/Driver
BCD-7-Segment Decoder/Driver
BCD-7-Segment Decoder/Driver

7604501EA
7604501 FA

3-25
3-26
3-26

SNJ54lS51
SNJ54lS54
SNJ54lS73A
SNJ54lS74A

Dual AND/OR Invert Gate
AND-OR-Invert Gate
Dual D-Type Flip-Flop
Dual D-Type Flip-Flop

SNJ54lS75J
SNJ54lS75W
SNJ54lS76AJ
SNJ54lS76AW

4-Bit latch
4-Bit latch
Dual J-K Flip-Flop
Dual J-K Flip-Flop

7601201EA
7601201FA
7601301EA
7601301 FA

3-17
3-17
3-15
3-15

SNJ54lS78A
SNJ54lS83AJ
SNJ54lS83AW
SNJ54lS85

Dual J-K Flip-Flop
4-Bit Binary Adder
4-Bit Binary Adder
4-Bit Magnitude Comparator

7601401EA
7601401 FA

3-15
3-30
3-30
3-28

SNJ54lS86A
SNJ54lS90J
SNJ54lS90W
SNJ54lS91

Quad 2-lnput Exclusive-OR Gate
Decade Counter
Decade Counter
8-Bit Shift Register

7603201CA
7603201DA

3-6
3-22
3-22
3-19

SNJ54lS92
SNJ54lS93J
SNJ54lS95B
SNJ54lS96

Divide-by-12 Counter
4-Bit Binary Counter
4-Bit Parallel-Access Shift Register
5-Bit Shift Register

SNJ54lS107A
SNJ54lS109A
SNJ 54lS 112A
SNJ54lS114A

Dual J-K Flip-Flop
Dual J-K Flip-Flop
Dual J-K Flip-Flop
Dual J-K Flip-Flop

SNJ54lS 122J
SNJ54lS122W
SNJ54lS123J
SNJ54lS 123W

One Shot Multivibrator
One Shot Multivibrator
Dual Monostable Multivibrator
Dual Monostable Multivibrator

SNJ54lS 125A
SNJ54lS126A
SNJ54lS132J
SNJ54lS132W

3-6
3-6
3-15
3-15

7700101CA

3-22
3-22
3-19
3-19
3-15
3-15
3-15
3-15

7600301CA
7600301DA
7603901EA
7603901 FA

3-17
3-17
3-17
3-17

Quad 3-State Buffer
Quad 3-State Buffer
Quad 2-lnput NAND Schmitt-Trigger
Quad 2-lnput NAND Schmitt-Trigger

7600401CA
7600401DA

3-10
3-10
3-4
3-4

SNJ54lS136
SNJ54lS137
SNJ54lS138J
SNJ54lS138W

Quad Exclusive-OR Gate OC
3-8 line Decoder/Demultiplexer
3-8 line Decoder/Demultiplexer
3-8 Line Decoder/Demultiplexer

7600501EA
7600501 FA

3-6
3-25
3-25
3-25

SNJ54lS 139AFK
SNJ54lS139AJ
SNJ54lS139AW
SNJ54lS 145FK

Dual 1-4 Decoder/Demultiplexer
Dual 1-4 Decoder/Demultiplexer
Dual 1-4 Decoder/Demultiplexer
BCD-to-Decimal Decoder/Driver

76007012A
7600701EA
7600701 FA
85084012A

3-25
3-25
3-25
3-26

SNJ54lS145J
SNJ54lS145W
SNJ54lS147
SNJ54lS148FK

BCD-to-Decimal Decoder/Driver
BCD-to-Decimal Decoder/Driver
10-4 line Priority Encoder
8-3 line Priority Encoder

8508401EA
8508401 FA
78027012A

3-26
3-26
3-24
3-24

SNJ54lS148J
SNJ54lS148W
SNJ54lS151J
SNJ54lS151W

8-3 line Priority Encoder
8-3 line Priority Encoder
Data Selector/Multiplexer
Data Selector/Multiplexer

7802701EA
7802701 FA
7601001EA
7601001 FA

3-24
3-24
3-24
3-24

SNJ54lS 153J
SNJ54lS153W
SNJ 54lS 155A
SNJ54lS156

Dual 4-' Data Selector/Multiplexer
Dual 4-' Data Selector/Multiplexer
Dual 1-4 Decoder
Dual 1-4 Decoder OC

7601101EA
7601101FA

3-24
3-24
3-25
3-25

SNJ54lS157FK
SNJ54lS157J
SNJ54lS157W
SNJ54lS158FK

Quad 2-1
Quad 2-1
Qwid 2-1
Quad 2-1

76002012A
7600201EA
7600201 FA
76033012A

3-24
3-24
3-24
3-24

1-32

Data Selector/Multiplexer
Data Selector/Multiplexer
Data Selector/Multiplexer
Data Selector/Multiplexer

TI
DOCUMENT

SNJ54LS158J - SNJ54LS258BW
TIPART
NUMBER

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

TI
DOCUMENT

SNJ54LS158J
SNJ54LS158W
SNJ54LS160AJ
SNJ54LS160AW

Quad 2-1 Data Selector/Multiplexer
Quad 2-1 Data Selector/Multiplexer
4-Bit Synchronous Decade Counter
4-Bit Synchronous Decade Counter

7603301EA
7603301 FA
7700901EA
7700901 FA

3-24
3-24
3-21
3-21

SNJ54LS161AJ
SNJ54LS161AW
SNJ54LS162A
SNJ54LS163AFK

4-Bit Synchronous Binary Counter
4-Bit Synchronous Binary Counter
4-Bit Synchronous Decade Counter
4-Bit Binary Counter

7600801EA
7600801 FA

3-21
3-21
3-21
3-21

SNJ54LS163AJ
SNJ54LS163AW
SNJ54LS164
SNJ54LS165AJ

4-Bit Binary Counter
4-Bit Binary Counter
8-Bit Shift Register
8-Bit Shift Register

7603401EA
7603401 FA
7700601EA

3-21
3-21
3-19
3-19

SNJ54LS165AW
SNJ54LS166AJ
SNJ54LS166AW
SNJ54LS169BJ

8-Bit Shift Register
8-Bit Shift Register
8-Bit Shift Register
4-Bit Synchronous Binary Counter

7700601 FA
8001701EA
8001701 FA
8001802EA

3-19
3-19
3-19
3-21

'i:

SNJ54LS169BW
SNJ54LS170J
SNJ54LS170W
SNJ54LS173A

4-Bit Synchronous Binary Counter
4-by-4 Register File
4-by-4 Register File
4-Bit D-Type Register

B001B02FA
8002501EA
B002501FA

3-21
3-20
3-20
3-20

CU

SNJ54LS174
SNJ54LS175
SNJ54LS181
SNJ54LS183

HEX D-Type Flip-Flop
Quad D-Type Flip-Flop
4-BitALU
Dual Carry-Save Full Adder

SNJ54LS190J
SNJ54LS190W
SNJ54LS191J
SNJ54LS191W

Synchronous
Synchronous
Synchronous
Synchronous

Up/Down
Up/Down
Up/Down
Up/Down

Decade Counter
Decade Counter
Binary Counter
Binary Counter

7603501EA
7603501 FA
7600901EA
7600901 FA

3-21
3-21
3-21
3-21

SNJ54LS192J
SNJ54LS192W
SNJ54LS193J
SNJ54LS193W

Synchronous
Synchronous
Synchronous
Synchronous

Up/Down
Up/Down
Up/Down
Up/Down

Decade Counter
Decade Counter
Binary Counter
Binary Counter

7603601EA
7603601 FA
7600601EA
7600601 FA

3-21
3-21
3-21
3-21

SNJ54LS194A
SNJ54LS195A
SNJ54LS196J
SNJ54LS196W

4-Bit Universal Shift Register
4-Bit Shift Register
4-Bit BCD Counter
4-Bit BCD Counter

7701001CA
7701001DA

3-19
3-19
3-22
3-22

SNJ54LS197J
SNJ54LS197W
SNJ54LS221
SNJ54LS222A

4-Bit Binary Counter
4-Bit Binary Counter
Dual Monostable Multivibrator
FIFO Memory 16 X 4

SNJ54LS224A
SNJ54LS240FK
SNJ54LS240J
SNJ54LS240W

FIFO Memory 16X4
Octal Buffer/Line Driver
Octal Buffer/Line Driver
Octal Buffer/Line Driver

7B012012A
7801201RA
7B01201SA

3-31
3-10
3-10
3-10

SNJ54LS241
SNJ54LS242FK
SNJ54LS242J
SNJ54LS242W

Octal
Octal
Octal
Octal

Buffer/Line Driver
Bus Transceiver
Bus Transceiver
Bus Transceiver

B0020012A
B002001CA
B002001DA

3-10
3-11
3-11
3-11

SNJ54LS243J
SNJ54LS243W
SNJ54LS244J
SNJ54LS244W

Octal
Octal
Octal
Octal

Bus Transceiver
Bus Transceiver
Buffer/Line Driver
Buffer/Line Driver

B0020022A
B002002CA
7705701RA
7705701 SA

3-11
3-11
3-10
3-10

SNJ54LS245FK
SNJ54LS245W
SNJ54LS247
SNJ54LS248

Octal Bus Transceiver
Octal Bus Transceiver
BCD-to-7-Segment Decoder
BCD-to-7-Segment Decoder

80021012A
8002101SA

3-11
3-11
3-26

SNJ54LS251J
SNJ54LS251W
SNJ54LS253J
SNJ54LS253W

8-lnput Data Selector/Multiplexer
B-Input Data Selector/Multiplexer
4-1 Data Selector/Multiplexer
4-1 Data Selector/Multiplexer

7601601EA
7601601 FA
7601701EA
7601701FA

3-24
3-24
3-24
3-24

SNJ54LS257B
SNJ54LS258BFK
SNJ54LS258BJ
SNJ54LS258BW

Quad
Quad
Quad
Quad

7603B012A
7603B01EA
7603B01FA

3-24
3-24
3-24
3-24

2-lnput Data
2-lnput Data
2-lnput Data
2-lnput Data

Selector/Multiplexer
Selector/Multiplexer
Selector/Multiplexer
Selector/Multiplexer

76034012A

II
><

Q)

"'C

.5
CJ
Q)

E

:::s
t:

.c

Co

Ci

3-15
3-15
3-30
3-30

7601501CA
7601501DA

3-22
3-22
3-17
3-31

1-33

SNJ54LS259B - SNJ54LS592FK
TIPART
NUMBER

II

GENERAL DESCRIPTION

REFERENCE

SECTION/
PAGE

SNJ54LS259B
SNJ54LS261J
SNJ54LS261W
SNJ54LS266

8-Bit Addressable Latch
2-Bit by 4-Bit Parallel Multiplexer
2-Bit by 4-Bit Parallel Multiplexer
Quad Exclusive-NOR Gate OC

SNJ54LS273FK
SNJ54LS273J
SNJ54LS273W
SNJ54LS275

Octal D-Type Flip-Flop
Octal D-Type Flip-Flop
Octal D-Type Flip-Flop
4X4 Binary Multiplier

78010012A
7801001RA
7801001SA

3-16
3-16
3-16

SNJ54LS279AJ
SNJ54LS279AW
SNJ54LS280
SNJ54LS283J

Quad Set/Reset Latch
Quad Set/Reset Latch
9-Bit Parity Generator/Checker
4-Bit Full Adder

7601801EA
7601801FA

3-15
3-15
3-29
3-30

SNJ54LS283W
SNJ54LS290
SNJ54LS293
SNJ54LS295B

4-Bit Full Adder
Decade Counter
4-Bit Binary Counter
4-Bit Shift Register

7604301 FA

3-30
3-22
3-22
3-19

SNJ54LS298J
SNJ54LS298W
SNJ54LS299FK
SNJ54LS299J

Quad 2-lnput Multiplexer
Quad 2-lnput Multiplexer
8-Bit Shift Register
8-Bit Shift Register

7601901EA
7601901 FA
78024012A
7802401RA

3-20
3·20
3-19
3-19

SNJ54LS299W
SNJ54LS320
SNJ54LS321
SNJ54LS322A

8-Bit Shift Register
Crystal-Controlled Oscillator
Crystal Controlled Oscillator
8-Bit Shift Register

7802401SA

3-19

SNJ54LS323
SNJ54LS348
SNJ54LS352
SNJ54LS353FK

8-Bit Shift/Storage Register
8-3 Line Encoder
Dual Data Selector/Multiplexer
Dual 4-1 Line Data Selector/Multiplexer

SNJ54LS353J
SNJ54LS353W
SNJ54LS356
SNJ54LS365A

Dual 4-1 Line Data Selector/Multiplexer
Dual 4-1 Line Data Selector/Multiplexer
8-1 Selector/Multiplexer Register
Hex Bus Driver

SNJ54LS366A
SNJ54LS367 A
SNJ54LS368A
SNJ54LS373

Hex Bus Driver
Hex Bus Driver
Hex Bus Driver
Octal D-Type Latch

3-9
3-9
3-9
3-18

SNJ54LS374
SNJ54LS375
SNJ54LS377
SNJ54LS378

Octal D-Type Flip-Flop
4-Bit Bistable Latch
Octal D-Type Flip-Flop
Hex D-Type Flip-Flop

3-16
3-17
3-16
3-15

SNJ54LS379
SNJ54LS381A
SNJ54LS382AFK
SNJ54LS382AJ

Quad D-Type Flip-Flop
ALU/Function Generator
ALU/Function Generator
ALU/Function Generator

3-15
3-30
3-30
3-30

SNJ54LS382AW
SNJ54LS386A
SNJ54LS390J
SNJ54LS390W

ALU/Function Generator
Quad 2-lnput Exclusive-OR Gate
Dual 4-Bit Decade Counter
Dual4-Bit Decade Counter

SNJ54LS393
SNJ54LS395A
SNJ54LS396
SNJ54LS399FK

Dual 4-Bit Binary Counter
4-Bit Cascadable Shift Register
Octal Storage Register
Quad 2-lnput Multiplexer with Storage

SNJ54LS399J
SNJ54LS399W
SNJ54LS442
SNJ54LS490

Quad 2-lnput Multiplexer with Storage
Quad 2-lnput Multiplexer with Storage
Bus Transceiver
Dual 4-Bit Decade Counter

8415401EA
8415401FA

3-24
3-24
3-11
3-22

SNJ54LS540FK
SNJ54LS540W
SNJ54LS541 FK
SNJ54LS541W

Octal
Octal
Octal
Octal

84155012A
8415501SA
84156012A
8415601SA

3-10
3-10
3-10
3-10

SNJ54LS590FK
SNJ54LS590J
SNJ54LS590W
SNJ54LS592FK

Binary Counter with
Binary Counter with
Binary Counter with
Binary Counter with

5962-87517012A
5962-8751701EA
5962-8751701 FA
5962-87621012A

3-22
3-22
3-22
3-22

1-34

Buffer/Line
Buffer/Line
Buffer/Line
Buffer/Line

Driver
Driver
Driver
Driver
Output Register
Output Register
Output Register
Input Register

3-18
8002601EA
8002601 FA
3-6

7604301EA

3-26
3-19

85508012A
8550801EA
8550801 FA

5962-86881012A
5962-8688101 RA
5962-8688101 SA

3-19
3-24
3-20
3-20
3-20
3-20
3-20
3-9

7802601EA
7802601 FA

3-30
3-6
3-22
3-22

84154012A

3-22
3-19
3-20
3-24

TI
DOCUMENT

SNJ54LS592J - SNJ54S22

TIPART
NUMBER

GENERAL DESCRIPTION

REFERENCE

SNJ54LS592J
SNJ54LS592W
SNJ54LS593
SNJ54LS595FK

Binary Counter with Input Register
Binary Counter with Input Register
Binary Counter with Input Register
Shift Register with Output Latch

SNJ54LS595J
SNJ54LS595W
SNJ54LS597
SNJ54LS598

Shift Register with
Shift Register with
Shift Register with
Shift Register with

SNJ54LS610
SNJ54LS624
SNJ54LS628
SNJ54LS629FK

Memory Mapperwith Latch
Voltage-Controlled Oscillator
Voltage-Controlled Oscillator
Voltage-Controlled Oscillator

SNJ54LS629J
SNJ54LS629W
SNJ54LS630
SNJ54LS640FK

Voltage-Controlled Oscillator
Voltage-Controlled Oscillator
Error Detection and Correction Circuit
Octal Bus Transceiver

SNJ54LS640J
SNJ54LS640W
SNJ54LS641
SNJ54LS642

Octal
Octal
Octal
Octal

SNJ54LS644
SNJ54LS645
SNJ54LS668FK
SNJ54LS668J

Octal Bus Transceiver
Octal Bus Transceiver
4-Bit Up/Down Counter
4-Bit Up/Down Counter

SNJ54LS668W
SNJ54LS669
SNJ54LS670J
SNJ54LS670W

4-Bit Up/Down Counter
4-Bit Up/Down Counter
4-by-4 Register File
4-by-4 Register File

7704201 EA
7704201 FA

3-21
3-21
3-20
3-20

SNJ54LS673FK
SNJ54LS673J
SNJ54LS673JT
SNJ54LS673W

16-Bit Shift Register
16-Bit Shift Register
16-Bit Shift Register
16-Bit Shift Register

5962-88602013A
5962-8860201JA
5962-8860201 LA
5962-8860201 KA

3-19
3-19
3-19
3-19

SNJ54LS674FK
SNJ54LS674J
SNJ54LS674W
SNJ54LS681

16-Bit Shift Register
16-Bit Shift Register
16-Bit Shift Register
4-Bit Binary Accumulator

5962-88607012A
5962-8860701JA
5962-8860701 KA

3-19
3-19
3-19
3-30

SNJ54LS682FK
SNJ54LS682J
SNJ54LS682W
SNJ54LS683

8-Bit Identity Comparator
8-Bit Identity Comparator
8-Bit Identity Comparator
8-Bit Identity Comparator

84151012A
8415101RA
8415101SA

3-28
3-28
3-28
3-28

SNJ54LS684FK
SNJ54LS684J
SNJ54LS684W
SNJ54LS685

8-Bit Identity Comparator
8-Bit Identity Comparator
8-Bit Identity Comparator
8-Bit Identity Comparator

84152012A
8415201RA
8415201SA

3-28
3-28
3-28
3-28

SNJ54LS688FK
SNJ54LS688J
SNJ54LS688W
SNJ54LS691

8-Bit Magnitude Comparator
8-Bit Magnitude Comparator
8-Bit Magnitude Comparator
Synchronous Counter

84153012A
8415301RA
8415301SA

3-28
3-28
3-28

SNJ54LS693
SNJ54LS696
SNJ54LS697
SNJ54LS699

Synchronous
Synchronous
Synchronous
Synchronous

SNJ54S00
SNJ54S02
SNJ54S03
SNJ54S04

Quad 2-lnput NAND Gate
Quad 2-lnput NOR Gate
Quad 2-lnput NAND Gate
Hex Inverter

3-4
3-5
3-4
3-8

SNJ54S05
SNJ54S08
SNJ54S09
SNJ54S10

Hex Inverter OC
Quad 2-lnput AND Gate
Quad 2-lnput AND Gate OC
Triple 3-lnput NAND Gate

3-8
3-5
3-4
3-4

SNJ54S11
SNJ54S15
SNJ54S20
SNJ54S22

Triple 3-lnput AND Gate
Triple 3-lnput AND Gate OC
Dual4-lnput NAND Gate
Dual4-lnput NAND Gate OC

3-5
3-4
3-4
3-4

Output Latch
Output Latch
Input Latch
Input Latch

Bus Transceiver
Bus Transceiver
Bus Transceiver
Bus Transceiver

Counter
Counter
Up/Down Binary Counter
Up/Down Binary Counter

5962-8762101EA
5962-8762101 FA

SECTION!
PAGE

5962-86717012A
5962-8671701 EA
5962-8671701 FA

81021012A
8102101EA
8102101FA
84161012A
8416101RA
8416101SA

5962-86876012A
5962-8687601 EA
5962-8687601 FA

TI
DOCUMENT

3-22
3-22
3-22
3-19

II

3-19
3-19
3-19
3-19

><

4-74
3-26
3-26
3-26

Q)

"C

.E
(.)

3-26
3-26
3-29
3-11

'i:

3-11
3-11
3-9
3-10

«I

Q)

E
:::s
c:

.c

c.

;a:

3-10
3-12
3-21
3-21

3-21
3-21
3-21
3-21

1-35

SNJ54S30 - SNJ5407

TI PART
NUMBER

II

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

SNJ54S30
SNJ54S32
SNJ54S37
SNJ54S38

8-lnput NAND Gate
Quad 2-lnput OR Gate
Quad 2-lnput NAND Buffer
Quad 2-lnput NAND Buffer DC

3-4
3-5
3-4
3-4

SNJ54S40
SNJ54S51
SNJ54S64
SNJ54S65

Dual4-lnput NAND Buffer
Dual AND-DR-Invert Gate
AND-DR-Invert Gate
AND-DR-Invert Gate

3-4
3-6
3-6
3-6

SNJ54S74
SNJ54S85
SNJ54S86
SNJ54S112

Dual D-Type Flip-Flop
4-Bit Magnitude Comparator
Quad 2-lnput Exclusive-OR Gate
Dual J-K Flip-Flop

3-15
3-28
3-6
3-15

SNJ54S113
SNJ54S114
SNJ54S124
SNJ54S132

Dual J-K Flip-Flop
Dual J-K Flip-Flop
Dual Voltage Controlled Oscillator
Quad 2-lnput NAND Schmitt Trigger

3-15
3-15
3-26
3-4

SNJ54S133
SNJ54S134
SNJ54S135
SNJ54S138J

13-lnput NAND Gate
12-lnput NAND Gate
Quad Exclusive OR/NOR Gate
3-8 Line Decoder/Demultiplexer

3-4
3-4
3-6
3-25

SNJ54S138W
SNJ54S139J
SNJ54S139W
SNJ54S140

3-8 Line Decoder/Demultiplexer
Dual 2-4 Decoder/Demultiplexer
Dual 2-4 DecoderlDemultiplexer
Dual 50 Ohm Line Driver

SNJ54S151
SNJ54S153
SNJ54S157
SNJ54S158

8-1 Data Select/Multiplexer
Dual 4-1 Data Selector/Multiplexer
Quad 2-1 Data Selector/Multiplexer
Quad 2-1 Data Selector/Multiplexer

3-24
3-24
3-24
3-24

SNJ54S162
SNJ54S163
SNJ54S169
SNJ54S174

4-Bit Synchronous Decade Counter
4-Bit Synchronous Binary Counter
4-Bit Synchronous Binary Counter
Hex D-Type Flip-Flop

3-21
3-21
3-21
3-15

SNJ54S175
SNJ54S181
SNJ54S182
SNJ54S194J

Quad D-Type Flip-Flop
4-BitALU
Look-Ahead Carry Generator
Universal Shift Register

3-15
3-30
3-30
3-19

SNJ54S194W
SNJ54S195
SNJ54S196
SNJ54S197

Universal Shift Register
4-Bit Shift Register
4-Bit BCD Counter
4-Bit Binary Counter

SNJ54S240
SNJ54S241
SNJ54S244
SNJ54S251FK

Octal Buffer/Line Driver
Octal Buffer/Line Driver
Octal Buffer/Line Driver
8-Bit Data Selector/Multiplexer

SNJ54S251J
SNJ54S251W
SNJ54S257
SNJ54S258J

8-Bit Data Selector/Multiplexer
8-Bit Data Selector/Multiplexer
Quad 2-lnput Data Selector/Multiplexer
Quad 2-lnput Data Selector/Multiplexer

8002201EA
8002201 FA

SNJ54S258W
SNJ54S260
SNJ54S280
SNJ54S283

Quad 2-lnput Data Selector/Multiplexer
Dual 5-lnput NOR Gate
9-Bit Odd/Even Parity Generator
4-Bit Binary Full Adder

8002301 FA

SNJ54S299
SNJ54S373
SNJ54S374
SNJ54S381

8-Bit Shift Register
Octal D-Type Latch
Octal D-Type Flip-Flop
ALU/Function Generator

SNJ5400
SNJ5401
SNJ5402
SNJ5403

Quad 2-lnput NAND Gate
Quad 2-lnput NAND Gate DC
Quad 2-lnput NOR Gate
Quad 2-lnput NAND Gate DC

3-4
3-4
3-5
3-4

SNJ5404
SNJ5405
SNJ5406
SNJ5407

Hex Inverter
Hex Inverter DC
Hex Inverter DC
Hex Buffer/Driver DC

3-8
3-8
3-8
3-9

1-36

7604101EA
7604101FA
7604001EA
7604001 FA

7604001EA
7604001 FA

80022012A

8002301EA

3-25
3-25
3-25
3-13

3-19
3-19
3-22
3-22
3-10
3-10
3-10
3-24
3-24
3-24
3-24
3-24
3-24
3-5
3-29
3-30
3-19
3-18
3-16
3-30

TI
DOCUMENT

SNJ5408 - SNJ54153
TIPART
NUMBER

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

SNJ5408
SNJ5409
SNJ5410
SNJ5412

Quad 2-lnput AND Gate
Quad 2-lnput AND Gate OC
Triple 3-lnput NAND Gate
Triple 3-lnput NAND Gate OC

3-5
3-4
3-4
3-4

SNJ5413
SNJ5414
SNJ5416
SNJ5417

Dual NAND Schmitt-Trigger
Hex Schmitt-Trigger
Hex Inverter/Driver
Hex Buffer/Driver OC

3-4
3-8
3-8
3-9

SNJ5420
SNJ5422
SNJ5423
SNJ5425

Dual4-lnput NAND Gate
Dual4-lnput NAND Gate
Dual4-lnput NOR Gate
Dual4-lnput NOR Gate

3-4
3-4
3-6
3-5

SNJ5426
SNJ5427
SNJ5428
SNJ5430

Quad 2-lnput NAND Gate
Triple 3-lnput NOR Gate
Quad 2-lnput NOR Buffer
8-lnput NAND Gate

3-4
3-5
3-5
3-4

SNJ5432
SNJ5433
SNJ5437
SNJ5438

Quad
Quad
Quad
Quad

3-5
3-5
3-4
3-4

SNJ5440
SNJ5442A
SNJ5445
SNJ5446A

Dual 4-lnput NAND Buffer
4-10 Line BCD-Decimal Decoder
BCD-to-Decimal Decoder/Driver
BCD-7-Segment Decoder/Driver

3-4
3-25
3-26
3-26

SNJ5447A
SNJ5448
SNJ5450
SNJ5451

BCD-7-Segment Decoder/Driver
BCD-7-Segment Decoder/Driver
Dual AND-OR-Invert Gate
Dual AND-OR-Invert Gate

3-26

SNJ5453
SNJ5454
SNJ5470
SNJ5472

Expandable 4-Wide AND-OR-Invert Gate
AND-OR-Invert Gate
AND-Gated J-K Flip-Flop
Master-Slave Flip-Flop

3-6
3-6
3-15

SNJ5473
SNJ5474
SNJ5475
SNJ5476

Dual J-K Flip-Flop
Dual D-Type Flip-Flop
4-Bit Latch
Dual J-K Flip-Flop

3-15
3-15
3-17
3-15

SNJ5483A
SNJ5485
SNJ5486
SNJ5490A

4-Bit Binary Adder
4-Bit Magnitude Comparator
Quad 2-lnput Exclusive-OR Gate
Decade Counter

3-30
3-28
3-6
3-22

SNJ5491A
SNJ5492A
SNJ5493A
SNJ5494

8-Bit Shift Register
Divide-by-12 Counter
4-Bit Binary Counter
4-Bit Shift Register

3-19
3-22
3-22

SNJ5495A
SNJ5496
SNJ5497
SNJ54107

4-Bit Shift Register
5-Bit Shift Register
Binary Rate Multiplier
Dual J-K Flip-Flop

3-19
3-19
3-23
3-15

SNJ54109
SNJ54111
SNJ54116
SNJ54120

Dual J-K Flip-Flop
Dual J-K Master-Slave
Dual4-Bit Latch
Dual Pulse Synchronizer/Driver

3-15
3-15
3-18
3-13

SNJ54121
SNJ54122
SNJ54123
SNJ54125

One-Shot Multivibrator
One-Shot Multivibrator
Dual Monostable Multivibrator
Quad 3-State Buffer

3-17
3-17
3-17
3-10

SNJ54126
SNJ54128
SNJ54132
SNJ54i36

Quad 3-State Buffer
50 Ohm Line Driver
Quad 2-lnput NAND Schmitt-Trigger
Quad Exclusive-OR Gate OC

3-10
3-13
3-4
3-6

SNJ54148
SNJ54150
SNJ54151A
SNJ54153

8-3 Line Priority Encoder
Data Selector/Multiplexer
8-1 Data Selector/Multiplexer
Dual 4-1 Data Selector/Multiplexer

3-24
3-24
3-24
3-24

2-lnput OR Gate
2-lnput NOR Buffer OC
2-lnput NAND Buffer
2-lnput NAND Buffer OC

TI
DOCUMENT

II
~

"C

.5
u

'C
Q)

E
::::s

c
.c
CO

Co

<

3-6
3-6

1-37

SNJ54154 - SNJ55108A
TIPART
NUMBER

II

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

SNJ54154
SNJ54155
SNJ54156
SNJ54157

4-to-16 Line Decoder
Dual 1-4 Decoder
Dual 1-4 Decoder OC
Quad 2-1 Data Selector/Multiplexer

3-25
3-25
3-25
3-24

SNJ54159
SNJ54160
SNJ54161
SNJ54162

4-16 Line Decoder/Demultiplexer
4-Bit Synchronous Decade Counter
4-Bit Synchronous Binary Counter
Synchronous 4-Bit Counter

3-25
3-21
3-21
3-21

SNJ54163
SNJ54164
SNJ54165
SNJ54166

4-Bit Binary Counter
8-Bit Shift Register
8-Bit Shift Register
8-Bit Shift Register

3-21
3-19
3-19
3-19

SNJ54167
SNJ54170
SNJ54173
SNJ54174

Synchronous Rate Multiplexer
4-BY-4 Register File
4-Bit D-Type Register
Hex D-Type Flip-Flop

3-23
3-20
3-20
3-15

SNJ54175
SNJ54176
SNJ54177
SNJ54178

Quad D-Type Flip-Flop
4-Bit BCD Counter
35-MHz Binary Co~nter/Latch
4-Bit Parallel-Access Shift Register

3-15
3-22
3-22
3-19

SNJ54180
SNJ54190
SNJ54191
SNJ54192

Parity Generator/Checker
Synchronous Up/down Decade Counter
Synchronous Up/down Binary Counter
Synchronous Up/down Decade Counter

3-29
3-21
3-21
3-21

SNJ54193
SNJ54195
SNJ54196
SNJ54197

Synchronous Up/down Binary Counter
4-Bit Shift Register
4-Bit BCD Counter
4-Bit Binary Counter

3-21
3-19
3-22
3-22

SNJ54198
SNJ54199
SNJ54221J
SNJ54246

8-Bit Shift Register
8-Bit Shift Register
Dual Monostable Multivibrator
BCD-to-7-Segment Driver

SNJ54247
SNJ54251
SNJ54259
SNJ54265

BCD-to-7-Segment Driver
8-1 Data Selector/Multiplexer
8-Bit Addressable Latch
Quad AND/NAND Gate

3-26
3-24
3-18
3-7

SNJ54278
SNJ54279
SNJ54283
SNJ54290

4-Bit Priority Register
Quad S-R Latch
4-Bit Binary Adder
Decade and 4-Bit Binary Counter

3-15
3-30
3-22

SNJ54293
SNJ54298
SNJ54365A
SNJ54366A

4-Bit Binary Counter
Quad 2-lnput Multiplexer
Hex Bus Driver
Hex Bus Driver

3-22
3-20
3-9
3-9

SNJ54367A
SNJ54368A
SNJ54376
SNJ54390

Hex Bus Driver
Hex Bus Driver
Quad J-K Flip-Flop
4-Bit Decade Counter

3-9
3-9
3-15
3-22

SNJ54393
SNJ55ALS056
SNJ55ALS057
SNJ55ALS126

4-Bit Binary Counter
Transceiver
Transceiver
Line Driver

3-22

SNJ55ALS130
SNJ55ALS 160FK
SNJ55ALS160J
SNJ55ALS161 FK

Line Driver
Octal Bus Transceiver
Octal Bus Transceiver
Octal Bus Transceiver

SNJ55ALS161J
SNJ55ALS192
SNJ55ALS193
SNJ55ALS194

Octal Bus Transceiver
Line Driver
Line Receiver
Line Driver

5962-8968101 RA

SNJ55ALS195FK
SNJ55107A
SNJ55107B
SNJ55108A

Line
Line
Line
Line

5962-88649012A

1-38

Receiver
Receiver
Receiver
Receiver

5962-8771101EA

5962-89680012A
5962-8968001 RA
5962-89681012A

3-19
3-19
3-17
3-26

TI
DOCUMENT

SNJ55108B - SN10KHT5578

TIPART
NUMBER

GENERAL DESCRIPTION

SNJ55108B
SNJ55109A
SNJ55110AFK
SNJ55110AJ

Line
Line
Line
Line

Receiver
Driver
Driver
Driver

SNJ55110AW
SNJ55111
SNJ55113
SNJ55114

Line Driver
Line Driver
Line Driver
Line Driver

SNJ55115
SNJ55116FK
SNJ55116J
SNJ55121FK

Line Receiver
Line Transceiver
Line Transceiver
Line Driver

SNJ55121J
SNJ55122
SNJ55138
SNJ55173

REFERENCE

SECTIONI
PAGE

TI
DOCUMENT

5962-87547012A
5962-8754701 CA
5962-8754701 DA

~

5962-88511012A
5962-8851101EA
5962-87769012A

.5

Line Driver
Line Receiver
Bus Transceiver
Line Receiver

5962-8776901 EA

'i:

SNJ55182FK
SNJ55176B
SNJ55182J
SNJ55182W

Line Receiver
Transceiver
Line Receiver
Line Receiver

79008012A

SNJ55183J
SNJ55183W
SNJ55188FK
SNJ55188J

Line Driver
Line Driver
Line Driver
Line Driver

790901CA
790901DA
5962-86889012A
5962-8688901 CA

SNJ55189
SNJ55189AFK
SNJ55189AJ
SNJ55234

Line Receiver
Line Receiver
Line Receiver
Sense Amplifier

5962-86888022A
5962-8688802CA

SNJ55450B
SNJ55451 B
SNJ55452BJG
SNJ55452BJG

Actuator/Driver
Actuator/Driver
Actu ato riD rive r
Actuator/Driver

SNJ55453B
SNJ55454B
SNJ55461
SNJ55462

Actuator/Driver
Actuator/Driver
Actuator/Driver
Actuator/Driver

SNJ55463
SNJ55464
SNJ55500EFD
SNJ55500EJ

Actuator/Driver
Actuator/Driver
AC Plasma Display Driver
AC Plasma Display Driver

SNJ55501 EFD
SNJ55501EJ
SNJ55551
SNJ55552

AC Plasma Display Driver
AC Plasma Display Driver
Display Driver
Display Driver

SNJ55553
SNJ55554
SNJ55563A
SNJ55564A

Display Driver
Display Driver
Display Driver
Display Driver

SN 1OKHT5538
SN10KHT5539
SN10KHT5540
SN10KHT5541

ECl-TTl Octal
ECl-TTl Octal
ECl-TTl Octal
ECl-TTl Octal

Bus
Bus
Bus
Bus

SN10KHT5542
SN10KHT5543
SN10KHT5562
SN 1OKHT5563

TTl-ECl Octal
TTl-ECl Octal
ECl-TTl Octal
ECl-TTl Octal

SN 1OKHT5564
SN 1OKHT5565
SN 1OKHT5573
SN10KHT5574
SN10KHT5575
SN 1OKHT5576
SN 1OKHT5577
SN10KHT5578

"C
(,)

(1)

E
:::s
c:::

CO

.c:

Co

«

7900801CA
7900801DA

7704901PA
7704901PA

8601801ZA
86018010A
8601802ZA
8601802QA

3-32
3-32
3-32
3-32

TBA
TBA
TBA
SDZS003

Bus Driver, Inverting
Bus Driver
Bus Transceiver
Bus Transceiver,lnverting

3-32
3-32
3-32
3-32

SDZS001A
SDZS001A
TBA
TBA

ECl-TTl Octal
ECl-TTl Octal
ECl-TTl Octal
ECl-TTl Octal

Bus Transceiver
Bus Transceiver
D-Type latch, 3-State
D-Type Flip-Flop, 3-State

3-32
3-32
3-32
3-32

TBA
TBA
TBA
TBA

ECl-TTl Octal
ECl-TTl Octal
TTl-ECl Octal
TTl-ECl Octal

D-Type latch,lnv, 3-State
D-Type Flip-Flop,lnv, 3-State
D-Type latch
D-Type Flip-Flop

3-32
3-32
3-32
3-32

TBA
TBA
TBA
TBA

Driver, Inverting, OC
Driver, OC
Driver, Inverting, 3-State
Driver, 3-State

1-39

SN10KHT5579 - SN54AC11521
TI PART
NUMBER

II

GENERAL DESCRIPTION

REFERENCE

SECTION I
PAGE

TI
DOCUMENT

SN10KHT5579
SN10KHT5580
SN10KHT5590
SN10KHT5591

TTl-ECl Octal
TTl-ECL Octal
ECl-TTl Octal
ECl-TTl Octal

D-Type latch, Inverting
D-Type Flip-Flop, Inverting
Registered Transceiver
Registered Transceiver, Inverting

3-32
3-32
3-32
3-32

TBA
TBA
TBA
TBA

SN10KHT5592
SN10KHT5593
SN 1OKHT5646
SN10KHT5648

ECl-TTl Octal
ECl-TTl Octal
ECl-TTl Octal
ECl-TTl Octal

Registered
Registered
Registered
Registered

3-32
3-32
3-32
3-32

TBA
TBA
TBA
TBA

SN28827
SN28828
SN 54ACT11 000
SN54ACT11002

Sonar Module
Sonar Module
Quad 2-lnput NAND Gate
Quad 2-lnput NOR Gate

7-26
7-26
3-4
3-5

SlYD003
SlYD003

SN54ACT11004
SN54ACT11008
SN54ACT11010
SN54ACT11011

Hex Inverter
Quad 2-lnput Positive AND Gate
Triple 3-lnput Positive NAND Gate
Triple 3-lnput Positive AND Gate

3-8
3-5
3-4
3-5

SN54ACT11020
SN54ACT11021
SN54ACT11027
SN54ACT11030

Dual4-lnput Positive NAND Gate
Dual4-lnput Positive AND Gate
Triple 3-lnput Positive NOR Gate
8-lnput Positive NAND Gate

3-4
3-5
3-5
3-4

SN 54ACT11 032
SN54ACT11034
SN54ACT11074
SN54ACT11109

Quad 2-lnput Positive OR Gate
Hex Non-Inverter
Dual D-Type Flip-Flop
Dual J-K Flip-Flop

3-5
3-8
3-15
3-15

SN54ACT11138
SN54ACT11240
SN54ACT11241
SN54ACT11244

3-8 Line Decoder/Demultiplexer
Octal Buffer/Line Driver
Octal Buffer/Line Driver
Octal Buffer/Line Driver

3-25
3-10
3-10
3-10

SN54ACT11245
SN54ACT11253
SN54ACT11257
SN54ACT11280

Octal Bus Transceiver
Dual 4-1 Data Selector/Multiplexer
Quad 4-1 Data Selector/Multiplexer
9-Bit Parity Generator

3-11
3-24
3-24
3-29

SN54ACT11353
SN54ACT11373
SN54ACT11374
SN54ACT11520

Dual 4-1 Data Selector/Multiplexer
Octal D-Type latch
Octal D-Type Flip-Flop
8-Bit Identity Comparator

3-24
3-18
3-16
3-28

SN54ACT11521
SN54ACT11533
SN54ACT11534
SN54ACT11640

8-Bit Identity Comparator
Octal D-Type Transparent latch
Octal D-Type Flip-Flop
Octal Bus Transceiver

3-28
3-18
3-16
3-11

SN54AC11000
SN54AC11002
SN54AC11004
SN54AC11008

Quad 2-lnput NAND Gate
Quad 2-lnput NOR Gate
Hex Inverter
Quad 2-lnput Positive AND Gate

3-4
3-5
3-8
3-5

SN54AC11010
SN54AC11011
SN54AC11020
SN54AC11021

Triple 3-lnput Positive NAND Gate
Triple 3-lnput Positive AND Gate
Dual4-lnput Positive NAND Gate
Dual4-lnput Positive AND Gate

3-4
3-5
3-4
3-5

SN54AC11027
SN54AC11030
SN54AC11032
SN54AC11034

Triple 3-lnput Positive NOR Gate
8-lnput Positive NAND Gate
Quad 2-lnput OR Gate
Hex Non-Inverter

3-5
3-4
3-5
3-8

SN54AC11074
SN54AC11109
SN54AC11138
SN54AC11238

Dual D-Type Flip-Flop
Dual J-K Flip-Flop
3-8 Line Decoder/Demultiplexer
3-8 Line Decoder/Demultiplexer

3-15
3-15
3-25
3-25

SN54AC11240
SN54AC11241
SN54AC11244
SN54AC11245

Octal
Octal
Octal
Octal

3-10
3-10
3-10
3-11

SN54AC11373
SN54AC11374
SN54AC11520
SN54AC11521

Octal D-Type latch
Octal D-Type Flip-Flop
8-Bit Identity Comparator
8-Bit Identity Comparator

1-40

Buffer/Line Driver
Buffer/Line Driver
Buffer/Line Driver
Bus Transceiver

Bus Transceiver
Bus Transceiver, Inv
Bus Transceiver
Bus Transceiver, Inv

3-18
3-16
3-28
3-28

SN54AC11533 - SN54AlS373
TIPART
NUMBER

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

-

TI
DOCUMENT

3-18
3-16
3-4
3-4

SN54AC11533
SN54AC11534
SN54ALSOOA
SN54ALS01

Octal D-Type Transparent Latch
Octal D-Type Flip-Flop
Quad 2-lnput NAND Gate
Quad 2-lnput NAND Gate OC

SN54ALS02
SN54ALS03B
SN54ALS04B
SN54ALS05A

Quad 2-lnput NOR Gate
Quad 2-lnput NAND Gate OC
Hex Inverter
Hex Inverter OC

3-5
3-4
3-8
3-8

SN54ALS08
SN54ALS09
SN54ALS10A
SN54ALS11A

Quad 2-lnput AND Gate
Quad 2-lnput AND Gate OC
Triple 3-lnput NAND Gate
Triple 3-lnput AND Gate

3-5
3-4
3-4
3-5

SN54ALS12A
SN54ALS15A
SN54ALS20A
SN54ALS21A

Triple 3-lnput NAND Gate OC
Triple 3-lnput AND Gate OC
Dual 4-lnput NAND Gate
Dual4-lnput AND Gate

3-4
3-4
3-4
3-5

SN54ALS22A
SN54ALS27
SN54ALS28A
SN54ALS30A

Dual4-lnput NAND Gate OC
Triple 3-lnput NOR Gate
Quad 2-lnput NOR Buffer
8-lnput NAND Gate

3-4
3-5
3-5
3-4

SN54ALS32
SN54ALS33A
SN54ALS37A
SN54ALS38A

Quad 2-lnput OR Gate
Quad 2-lnput NOR Buffer
Quad 2-lnput NAND Buffer
Quad 2-lnput NAND Buffer

3-5
3-5
3-4
3-4

SN54ALS74A
SN54ALS86
SN54ALS109A
SN54ALSl12A

Dual D-Type Flip-Flop
Quad 2-lnput Exclusive-OR Gate
Dual J-K Flip-Flop
Dual J-K Flip-Flop

3-15
3-6
3-15
3-15

SN54ALSl13A
SN54ALSl14A
SN54ALS133
SN54ALS138

Dual J-K Flip-Flop
Dual J-K Flip-Flop
13-lnput NAND Gate
3-8 Line Decoder/Demultiplexer

3-15
3-15
3-4
3-25

SN54ALS139
SN54ALS151
SN54ALS153
SN54ALS157

Dual 2-4 Decoder/Demultiplexer
8-1 Data Selector/Multiplexer
Dual 4-1 Data Selector/Multiplexer
Quad 2-1 Data Selector/Multiplexer

3-25
3-24
3-24
3-24

SN54ALS158
SN54ALS161B
SN54ALS162B
SN54ALS163B

Quad 2-1 Data Selector/Multiplexer
4-Bit Synchronous Binary Counter
4-Bit Synchronous Decade Counter
4-Bit Synchronous Binary Counter

3-24
3-21
3-21
3-21

SN54ALS165
SN54ALS169B
SN54ALS174
SN54ALS175

8-Bit Shift Register
4-Bit Up/Down Synchronous Binary Counter
Hex D-Type Flip-Flop
Quad D-Type Flip-Flop

3-19
3-21
3-15
3-15

SN54ALS191
SN54ALS193
SN54ALS229A
SN54ALS234

Synchronous Up/Down Binary Counter
Synchronous Up/Down Binary Counter
16 X 5 FIFO Memory
64 X 4 FIFO Memory

3-21
3-21
3-31
3-31

SN54ALS240A
SN54ALS241A
SN54ALS242B
SN54ALS243A

Octal Buffer/Line Driver
Octal Buffer/Line Driver
Quad Bus Transceiver
Quad Bus Transceiver

3-10
3-10
3-11
3-11

SN54ALS244A
SN54ALS245A
SN54ALS251
SN54ALS253

Octal Buffer/Line Driver
Octal Bus Transceiver
8-1 Data Selector/Multiplexer
4-1 Data Selector/Multiplexer

3-10
3-11
3-24
3-24

SN54ALS257
SN54ALS258
SN54ALS259
SN54ALS299

Quad 2-1 Data Selector/Multiplexer
Quad 2-1 Data Selector/Multiplexer
8-Bit Addressable Latch
8-Bit Shift Register

3-24
3-24
3-18
3-19

SN54ALS323
SN54ALS352
SN54ALS353
SN54ALS373

a-Bit Shift/Storage Register
Dual 4-1 Data Selector/Multiplexer
Dual 4-1 Data Selector/Multiplexer OC
Octal D-Type Latch

3-19
3-24
3-24
3-18

~
.5
"'C

Co)

·C
Q)

E

:s

c
CO
.c

Co



-6"'

=-

Q)

::::I
C

3

...

CD

n'
5"
Q.

~

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

SN54ALS374
SN54ALS520
SN54ALS534
SN54ALS541

Octal OoType Flip-Flop
8-Bit Identity Comparator
Octal OoType Flip-Flop
Octal Buffer/Line Driver

3-16
3-28
3-16
3-10

SN54ALS561A
SN54ALS563A
SN54ALS564A
SN54ALS569A

Synchronous 4-Bit Counter
Octal OoType Latch
Octal OoType Flip-Flop
Syncronous 4-Bit Binary Counter

3-21
3-18
3-16
3-21

SN54ALS573B
SN54ALS574A
SN54ALS576A
SN54ALS580A

Octal
Octal
Octal
Octal

Latch
Flip-Flop
Flip-Flop
Latch

3-18
. 3-16
3-16
3-18

SN54ALS632A
SN54ALS640A
SN54ALS645A
SN54ALS646

32-Bit Parallel EOAC
Octal Bus Transceiver
Octal Bus Transceiver
Octal Bus Transceiver

4-72
3-11
3-12
3-11

SN54ALS648
SN54ALS652
SN54ALS653
SN54ALS688

Octal Bus Transceiver/Register
Octal Bus Transceiver/Register
Octal Bus Transceiver/Register
8-Bit Identity Comparator

3-11
3-11
3-10
3-28

SN54ALS804A
SN54ALS805A
SN54ALS808A
SN54ALS832A

Hex 2-lnput NAND Driver
Hex 2-lnput NOR Driver
Hex 2-lnput AND Driver
Hex 2-lnput OR Driver

SN54ALS857
SN54ALS870
SN54ALS873B
SN54ALS874B

Hex 2-1 Multiplexer
Dual 16 X 4 Register File
Oual4-Bit OoType Latch
Oual4-Bit OoType Flip-Flop

3-24
3-20
3-18
3-16

SN54ALS996
SN54ALS1005
SN54ALS1010A
SN54ALS1034

8-Bit OoType Read-Back Latch
Hex Inverting Buffer
Triple 3-Input Positive NAND Buffer
Hex Driver

3-17
3-8
3-30
3-9

SN54ALS1035
SN54ALS1244A
SN54ALS1245A
SN54ALS29821

Hex Noninverting Buffer OC
Octal Buffer/Driver
Octal Bus Transceiver
10-Bit Bus Interface Flip-Flop

3-9
3-10
3-11
3-16

SN54ALS29822
SN54ALS29824
SN54ALS29825
SN54ALS29842

10-Bit Bus Interface Flip-Flop
9-Bit Bus Interface Flip-Flop
8-Bit Bus Interface Flip-Flop
10-Bit Bus Interface OoType Latch

3-16
3-16
3-16
3-18

SN54ALS29843
SN54ASOO
SN54AS02
SN54AS08

9-Bit Bus Interface OoType Latch
Quad 2-lnput NAND Gate
Quad 2-Input NOR Gate
Hex Inverter

3-18
3-4
3-4
3-5

SN54AS10
SN54AS11
SN54AS20
SN54AS21

Triple 3-Input NAND Gate
Triple 3-Input AND Gate
Dual 4-Input NAND Gate
Dual4-lnput AND Gate

3-4
3-5
3-4
3-5

SN54AS27
SN54AS30
SN54AS32
SN54AS34

Triple 3-lnput NOR Gate
8-Input NAND Gate
Quad 2-lnput OR Gate
Hex Noninverter

3-5
3-4
3-5
3-8

SN54AS74
SN54AS86A
SN54AS109
SN54AS138

Dual D-Type Flip-Flop
Quad 2-Input Exclusive-OR Gate
Dual J-K Flip-Flop
3-8 Line Decoder/Demultiplexer

3-15
3-6
3-15
3-25

SN54AS161
SN54AS163
SN54AS169A
SN54AS174

4-Bit Synchronous Binary Counter
4-Bit Synchronous Binary Counter
4-Bit Synchronous Binary Counter
Hex D-Type Flip-Flop

3-21
3-21
3-21
3-15

SN54AS175A
SN54AS181B
SN54AS194
SN54AS240

Quad OoType Flip-Flop
4-Bit Arithmetic Logic Unit
Universal Shift Register
Octal Buffer/Line Driver

3-15
3-30
3-19
3-10

1-42

OoType
OoType
OoType
OoType

3-4
3-5
3-9
3-5

TI
DOCUMENT

SN54AS241 - SN54F20

TlPART
NUMBER

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

SN54AS241
SN54AS242
SN54AS244
SN54AS245

Octal Buffer/Line Driver
Quad Bus Transceiver
Octal Buffer/Line Driver
Octal Bus Transceiver

3-10
3-11
3-10
3-11

SN54AS250A
SN54AS286
SN54AS373
SN54AS374

16-1 Multiplexer
9-Bit Parity Generator/Checker
Octal D-Type Latch
Octal D-Type Flip-Flop

3-24
3-29
3-18
3-16

SN54AS573
SN54AS574
SN54AS575
SN54AS576

Octal D-Type Latch
Octal D-Type Flip-Flop
Octal D-Type Flip-Flop
Octal D-Type Flip-Flop

3-18
3-16
3-16
3-16

SN54AS640
SN54AS645
SN54AS646
SN54AS651

Octal
Octal
Octal
Octal

Bus Transceiver
Bus Transceiver
Bus Transceiver and Register
Bus Transceiver and Register

3-11
3-12
3-11
3-11

SN54AS652
SN54AS756
SN54AS760
SN54AS804B

Octal Bus Transceiver and Register
Octal Bus Transceiver
Octal Buffer Line Driver
Hex 2-lnput NAND Driver

3-11
3-9
3-9
3-4

SN54AS805B
SN54AS808B
SN54AS821
SN54AS822

Hex 2-lnput NOR Driver
Hex 2-lnput AND Driver
10-Bit Bus Interface Flip-Flop
10-Bit Bus Interface Flip-Flop

3-5
3-9
3-16
3-16

SN54AS823
SN54AS825
SN54AS826
SN54AS832B

9-Bit Bus Interface Flip-Flop
8-Bit Bus Interface Flip-Flop
8-Bit Bus Interface Flip-Flop
Hex 2-lnput OR Driver

3-16
3-16
3-16
3-5

SN54AS867
SN54AS869
SN54AS873
SN54AS874

8-Bit Synchronous Up/Down Counter
8-Bit Synchronous Up/Down Counter
Dual4-Bit D-Type Latch
Dual4-Bit D-Type Flip-Flop

3-21
3-21
3-18
3-15

SN54AS882A
SN54AS885
SN54AS1000A
SN54AS1004A

32-Bit Look Ahead Carry Generator
8-Bit Magnitude Comparator
Quad 2-lnput NAND Driver
Hex Inverting Driver

3-30
3-28
3-4
3-8

SN54AS1032A
SN54AS1034A
SN54AS1036A
SN54BCT125

Quad OR Buffer/Driver
Hex Driver
Quad 2-lnput NOR Driver
Quad Buffer Gate

3-5
3-9
3-5
3-10

SN54BCT240
SN54BCT241
SN54BCT244
SN54BCT245

Octal
Octal
Octal
Octal

Buffer/Line Driver
Buffer/Line Driver
Buffer/Line Driver
Bus Transceiver

3-10
3-10
3-10
3-11

SN54BCT373
SN54BCT374
SN54BCT534
SN54BCT540

Octal D-Type Latch
Octal D-Type Flip-Flop
Octal D-Type Flip-Flop
Octal Buffer/Line Driver

3-18
3-16
3-16
3-10

SN54BCT541
SN54BCT543
SN54BCT620A
SN54BCT623

Octal
Octal
Octal
Octal

3-10
3-11
3-11
3-12

SN54BCT640
SN54BCT2240
SN54BCT2244
SN54BCT2827A

Octal Bus Transceiver
Octal Buffer/Line Driver
Octal Buffer/Line Driver
10-Bit Bus/MaS Memory Driver

3-11
3-12
3-12
3-10

SN54BCT2828A
SN54FOO
SN54F02
SN54F04

10-Bit Bus/MaS Memory Driver
Quad 2-lnput NAND Gate
Quad 2-lnput NOR Gate
Hex Inverter

3-10
3-4
3-5
3-8

SN54F09
SN54Fl0
SN54Fll
SN54F20

Quad 2-lnput AND Gate
Triple 3-lnput NAND Gate
Triple 3-lnput AND Gate
Dual4-lnput NAND Gate

Buffer/Line Driver
Bus Transceiver/Register
Bus Transceiver
Bus Transceiver

TI
DOCUMENT

a1

"C

.5
CJ

'i:

Q)

E
:::J
C
CO

.c
Q.



-C
::r
0)
::s
c

3

(1)
"'I

(;'

S"
Q,
~

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

SN54F21
SN54F27
SN54F30
SN54F32

Dual4-lnput AND Gate
Triple 3-lnput NOR Gate
8-lnput NAND Gate
Quad 2-lnput Positive OR Gate

3-5
3-5
3-4
3-5

SN54F36
SN54F37
SN54F38
SN54F40

Quad 2-lnput NOR Gate
Quad 2-lnput NAND Buffer
Quad 2-lnput NAND Buffer
Dual4-lnput NAND Buffer

3-5
3-4
3-4
3-4

SN54F51
SN54F64
SN54F74
SN54F109

AND-OR-Invert Gate
4-2-3-2 Input AND-OR-Invert Gate
Dual D-Type Flip-Flop
Dual J-K Flip-Flop

3-6
3-6
3-15
3-15

SN54F138
SN54F153
SN54F157A
SN54F158A

3-8 Line Decoder/Demultiplexer
Dual 4-1 Data Selector/Multiplexer
Quad 2-1 Line Data Selector/Multiplexer
Quad 2-1 Line Data Selector/Multiplexer

3-25
3-24
3-24
3-24

SN54F175
SN54F240
SN54F241
SN54F242

Quad D-Type Flip-Flop
Octal Buffer/Line Driver
Octal Buffer/Line Driver
Quad Bus Transceiver

3-15
3-10
3-10
3-11

SN54F243
SN54F244
SN54F245
SN54F253

Quad Bus Transciever
Octal Buffer/Line Driver
Octal Bus Transciever
DuaI1-of-4 Data Selector/Multiplexer

3-11
3-10
3-11
3-24

SN54F257
SN54F258
SN54F280B
SN54F283

Quad 1-of-2 Data Selector/Multiplexer
Quad 1-of-2 Data Selector/Multiplexer
9-Bit Parity Generator/Checker
4-Bit Binary Full Adder

3-24
3-24
3-16
3-30

SN54F299
SN54F350
SN54F352
SN54F373

8-Bit Shift Register
4-Bit Shifter
Dual 4-1 Line Data Selector/Multiplexer
Octal D-Type Latch

3-19
3-25
3-24
3-18

SN54F374
SN54F518
SN54F519
SN54F520

Octal D-Type Flip-Flop
8-Bit Identity Comparator
8-Bit Identity Comparator
8-Bit Identity Comparator

3-16
3-28
3-28
3-28

SN54F521
SN54F533
SN54F534
SN54F544

8-Bit Identity Comparator
Octal D-Type Latch
Octal D-Type Flip-Flop
Octal Registered Transceiver

3-18
3-18
3-16
3-11

SN54F563
SN54F573
SN54F620
SN54F621

Octal
Octal
Octal
Octal

3-18
3-18
3-11
3-9

SN54F623
SN54HCT04
SN54HCT137
SN54HCT138

Octal Bus Transceiver
Hex Inverter
3-8 Line Decoder/Demultiplexer
3-8 Line Decoder/Demultiplexer

3-12
3-8
3-25
3-25

SN54HCT237
SN54HCT238
SN54HCT240
SN54HCT241

3-8 Line Decoder/Demultiplexer
3-8 Line Decoder/Demultiplexer
Octal Buffer/Line Driver
Octal Buffer/Line Driver

3-25
3-25
3-10
3-10

SN54HCT244
SN54HCT245
SN54HCT373
SN54HCT540

Octal
Octal
Octal
Octal

SN54HCT540
SN54HCT541
SN54HCU04
SN54HCOO

Octal Buffer/Line Driver
Octal Buffer/Line Driver
Hex Inverter
Quad 2-lnput NAND Gate

SN54HC02
SN54HC03
SN54HC04
SN54HC05

Quad 2-lnput NOR Gate
Quad 2-lnput NAND Gate OC
Hex Inverter
Hex Inverter OC

1-44

D-Type Latch
D-Type Latch
Bus Transceiver
Bus Transceiver

Buffer/Line Driver
Bus Transceiver
D-Type Latch
Buffer/Line Driver

3-10
3-11
3-18
3-10
3-10
3-10
3-8
3-4
3-5
3-4
3-8
3-8

TI
DOCUMENT

SN54HC08 - SN54HC266

TI PART
NUMBER

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

SN54HCOS
SN54HC09
SN54HC10
SN54HC11

Quad 2-lnput AND Gate
Quad 2-lnput AND Gate OC
Triple 3-lnput NAND Gate
Triple 3-lnput AND Gate

3-5
3-4
3-4
3-5

SN54HC14
SN54HC20
SN54HC21
SN54HC27

Hex Schmitt-Trigger Inverter
Dual4-lnput NAND Gate
Dual4-lnput AND Gate
Triple 3-lnput NOR Gate

3-S
3-4
3-5
3-5

SN54HC30
SN54HC32
SN54HC36
SN54HC42

S-Input NAND Gate
Quad 2-lnput NOR Gate
Quad 2-lnput NOR Gate
4-10 Line BCD to Decimal Decoder

3-4
3-5
3-5
3-25

SN54HC51
SN54HC74
SN54HC75
SN54HCS5A

Dual AND/OR InviHt Gate
Dual D-Type Flip-Flop
4-Bit latch
4-Bit Magnitude Comparator

3-6
3-15
3-17
3-2S

SN54HC86
SN54HC107
SN54HC109
SN54HC112

Quad 2-lnput Exclusive-OR Gate
Dual J-K Flip-Flop
Dual J-K Flip-Flop
Dual J-K Flip-Flop

3-6
3-15
3-15
3-15

SN54HC113
SN54HC114
SN54HC125
SN54HC126

Dual J-K Flip-Flop
Dual J-K Flip-Flop
Quad 3-State Buffer
Quad 3-State Buffer

3-15
3-15
3-10
3-10

SN54HC132
SN54HC133
SN54HC137
SN54HC138

Quad 2-lnput NAND Schmitt-Trigger
13-lnput NAND Gate
3-S line Decoder/Demultiplexer
3-S Line Decoder/Demultiplexer

3-4
3-4
3-25
3-25

SN54HC139
SN54HC148
SN54HC151
SN54HC152

Dual 1-4 Decoder/Demultiplexer
3-8 Line Encoder
Data Selector/Multiplexer
8-1 Line Data Selector/Multiplexer

3-25
3-24
3-24
3-24

SN54HC153
SN54HC157
SN54HC158
SN54HC160

Dual 4-1 Data Selector/Multiplexer
Quad 2-1 Data Selector/Multiplexer
Quad 2-1 Data Selector/Multiplexer
4-Bit Synchronous Decade Counter

3-25
3-24
3-24
3-21

SN54HC161
SN54HC163
SN54HC164
SN54HC165

4-Bit Synchronous Binary Counter
4-Bit Binary Counter
8-Bit Shift Register
8-Bit Shift Register

3-21
3-21
3-19
3-19

SN54HC173
SN54HC174
SN54HC175
SN54HC180

4-Bit D-Type Register
Hex D-Type Flip-Flop
Quad D-Type Flip-Flop
9-Bit Parity Generator/Checker

3-20
3-15
3-15
3-29

SN54HC190
SN54HC191
SN54HC192
SN54HC193

Synchronous
Synchronous
Synchronous
Synchronous

3-21
3-21
3-21
3-21

SN54HC194
SN54HC195
SN54HC237
SN54HC238

4-Bit Universal Shift Register
4-Bit Shift Register
3-8 Line Decoder/Demultiplexer
3-8 Line Decoder/Demultiplexer

3-19
3-19
3-25
3-25

SN54HC240
SN54HC241
SN54HC242
SN54HC243

Octal
Octal
Octal
Octal

3-10
3-10
3-11
3-11

SN54HC244
SN54HC245
SN54HC251
SN54HC253

Octal Buffer/Line Driver
Octal Bus Transceiver
8-lnput Data Selector/Multiplexer
4-1 Data Selector/Multiplexer

3-10
3-11
3-24
3-24

SN54HC257
SN54HC258
SN54HC259
SN54HC266

Quad 2-lnput Data Selector/Multiplexer
Quad 2-lnput Data Selector/Multiplexer
8-Bit Addressable latch
Quad Exclusive-NOR Gate OC

3-24
3-24
3-18
3-6

Up/Down
Up/Down
Up/Down
Up/Down

Decade Counter
Binary Counter
Decade Counter
Binary Counter

Buffer/Line Driver
Buffer/Line Driver
Bus Transceiver
Bus Transceiver

TI
DOCUMENT

><

Q)

"C

.E
(.)
".::
Q)

E
::l

C
CO

.c::

Co

C

Q)

"0

.5
(,)

'i:

Q)

E
~

c
CO
.c

Co

Ci

1-47

SN 54LS240 - SN54LS628
TIPAAT
NUMBER

III

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

SN54LS240
SN54LS241
SN54LS242
SN54LS243J

Octal Buffer/Line Driver
Octal Buffer/Line Driver
Octal Bus Transceiver
Octal Bus Transceiver

SN54LS244
SN54LS245
SN54LS247
SN54LS248

Octal Buffer/Line Driver
Octal Bus Transceiver
BCD-to-7-Segment Decoder
BCD-to-7-Segment Decoder

3-10
3-11
3-26

SN54LS251
SN54LS253
SN54LS257B
SN54LS258B

8-lnput Data Selector/Multiplexer
4-1 Data Selector/Multiplexer
Quad 2-lnput Data Selector/Multiplexer
Quad 2-lnput Data Selector/Multiplexer

3-24
3-24
3-24
3-24

SN54LS259B
SN54LS261
SN54LS266
SN54LS273

8-Bit Addressable Latch
2-Bit by 4-Bit Parallel Multiplexer
Quad Exclusive-NOR Gate OC
Octal 0-Type Flip-Flop

3-18
3-6
3-16

SN54LS275
SN54LS279A
SN54LS280
SN54LS283

4X4 Binary Multiplier
Quad Set/Reset Latch
9-Bit Parity Generator/Checker
4-Bit Full Adder

3-15
3-29
3-30

SN54LS290
SN54LS293
SN54LS295B
SN54LS298

Decade Counter
4-Bit Binary Counter
4-Bit Shift Register
Quad 2-lnput Multiplexer

3-22
3-22
3-19
3-20

SN54LS299
SN54LS320
SN54LS321
SN54LS322A

8-Bit Shift Register
Crystal-Controlled Oscillator
Crystal Controlled Oscillator
8-Bit Shift Register

3-26
3-19

SN54LS323
SN54LS348
SN54LS352
SN54LS353

8-Bit Shift/Storage Register
8-3 Line Encoder
Dual Data Selector/Multiplexer
Dual 4-1 Line Data Selector/Multiplexer

3-19
3-24
3-20
3-20

SN54LS356
SN54LS365A
SN54LS366A
SN54LS367A

8-1 Selector/Multiplexer Register
Hex Bus Driver
Hex Bus Driver
Hex Bus Driver

3-20
3-9
3-9
3-9

SN54LS368A
SN54LS373
SN54LS375
SN54LS377

Hex Bus Driver
Octal D-Type Latch
4-Bit Bistable Latch
Octal D-Type Flip-Flop

3-9
3-18
3-17
3-16

SN54LS378
SN54LS379
SN54LS381A
SN54LS382A

Hex D-Type Flip-Flop
Quad D-Type Flip-Flop
ALUIFunction Generator
ALUIFunction Generator

3-15
3-15
3-30
3-30

SN54LS385
SN54LS386A
SN54LS390
SN54LS393

Quad Serial Adder/Subtractor
Quad 2-lnput Exclusive-OR Gate
Dual 4-Bit Decade Counter
Dual4-Bit Binary Counter

3-6
3-22
3-22

SN54LS395A
SN54LS396
SN54LS399
SN54LS442

4-Bit Cascadable Shift Register
Octal Storage Register
Quad 2-lnput Multiplexer with Storage
Bus Transceiver

3-19
3-20
3-24
3-11

SN54LS490
SN54LS540J
SN54LS541
SN54LS590

Dual4-Bit Decade Counter
Octal Buffer/Line Driver
Octal Buffer/Line Driver
Binary Counter with Output Register

SN54LS592
SN54LS593
SN54LS595
SN54LS597

Binary Counter with Input Register
Binary Counter with Input Register
Shift Register with Output Latch
Shift Register with Input Latch

3-22
3-22
3-19
3-19

SN54LS598
SN54LS610
SN54LS624
SN54LS628

Shift Register with Input Latch
Memory Mapper with Latch
Voltage-Controlled Oscillator
Voltage-Controlled Oscillator

3-19
4-74
3-26
3-26

1-48

8002002DA

3-10
3-10
3-11
3-11

3-19

8415501RA

3-22
3-10
3-10
3-22

TI
DOCUMENT

SN54LS629 -SN54S169
TIPART
NUMBER

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

SN54LS629
SN54LS630
SN54LS640
SN54LS641

Voltage-Controlled Oscillator
Error Detection and Correction Circuit
Octal Bus Transceiver
Octal Bus Transceiver

3-26
3-29
3-11
3-9

SN54LS642
SN54LS644
SN54LS645
SN54LS668

Octal Bus Transceiver
Octal Bus Transceiver
Octal Bus Transceiver
4-Bit Up/Down Counter

3-10
3-10
3-12
3-21

SN54LS669
SN54LS670
SN54LS673
SN54LS674

4-Bit Up/Down Counter
4-by-4 Register File
16-Bit Shift Register
16-Bit Shift Register

3-21
3-20
3-19
3-19

SN54LS681
SN54LS682
SN54LS683
SN54LS684

4-Bit Binary Accumulator
8-Bit Identity Comparator
8-Bit Identity Comparator
8-Bit Identity Comparator

3-30
3-28
3-28
3-28

SN54LS685
SN54LS688
SN54LS691
SN54LS693

8-Bit Identity Comparator
8-Bit Magnitude Comparator
Synchronous Counter
Synchronous Counter

3-28
3-28

SN54LS696
SN54LS697
SN54LS699
SN54S00

Synchronous Counter
Synchronous Up/Down Binary Counter
Synchronous Up/Down Binary Counter
Quad 2-lnput NAND Gate

3-21
3-21
3-21
3-4

SN54S02
SN54S03
SN54S04
SN54S05

Quad 2-lnput NOR Gate
Quad 2-lnput NAND Gate
Hex Inverter
Hex Inverter OC

3-5
3-4
3-8
3-8

SN54S08
SN54S09
SN54S10
SN54S11

Quad 2-lnput AND Gate
Quad 2-lnput AND Gate OC
Triple 3-lnput NAND Gate
Triple 3-lnput AND Gate

3-5
3-4
3-4
3-5

SN54S15
SN54S20
SN54S22
SN54S30

Triple 3-lnput AND Gate OC
Dual4-lnput NAND Gate
Dual4-lnput NAND Gate OC
8-lnput NAND Gate

3-4
3-4
3-4
3-4

SN54S32
SN54S37
SN54S38
SN54S40

Quad 2-lnput OR Gate
Quad 2-lnput NAND Buffer
Quad 2-lnput NAND Buffer OC
Dual4-lnput NAND Buffer

3-5
3-4
3-4
3-4

SN54S51
SN54S64
SN54S65
SN54S74

Dual AND-OR-Invert Gate
AND-OR-Invert Gate
AND-OR-Invert Gate
Dual D-Type Flip-Flop

3-6
3-6
3-6
3-15

SN54S85
SN54S86
SN54S112
SN54S113

4-Bit Magnitude Comparator
Quad 2-lnput Exclusive-OR Gate
Dual J-K Flip-Flop
Dual J-K Flip-Flop

3-28
3-6
3-15
3-15

SN54S114
SN54S124
SN54S132
SN54S133

Dual J-K Flip-Flop
Dual Voltage Controlled Oscillator
Quad 2-lnput NAND Schmitt Trigger
13-lnput NAND Gate

3-15
3-26
3-4
3-4

SN54S134
SN54S135
SN54S138
SN54S139

12-lnput NAND Gate
Quad Exclusive OR/NOR Gate
3-8 Line Decoder/Demultiplexer
Dual 2-4 Decoder/Demultiplexer

3-4
3-6
3-25
3-25

SN54S140
SN54S151
SN54S153
SN54S157

Dual 50 Ohm Line Driver
8-1 Data Select/Multiplexer
Dual 4-1 Data Selector/Multiplexer
Quad 2-1 Data Selector/Multiplexer

3-13
3-24
3-24
3-24

SN54S158
SN54S162
SN54S163
SN54S169

Quad 2-1 Data Selector/Multiplexer
4-Bit Synchronous Decade Counter
4-Bit Synchronous Binary Counter
4-Bit Synchronous Binary Counter

3-24
3-21
3-21
3-21

TI
DOCUMENT

~
"C
.E
u

'i:

Q)

E

:::s
c
ca

.c
Q.

<

Q)

"C

.E
(,)

Q)

E

:J
C

.c
Q.

«

SN74ALS1638 - SN74ALS580A
TIPART
NUMBER

II

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

TI
DOCUMENT

SN74ALS163B
SN74ALS164
SN74ALS168B
SN74ALS169B

4-Bit Synchronous Binary Counter
Parallel Out 8-Bit Shift Register
4-Bit Up/Down Synchronous Decade Counter
4-Bit Up/Down Synchronous Binary Counter

3-21
3-19
3-21
3-21

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74ALS174
SN74ALS175
SN74ALS190
SN74ALS191

Hex D-Type Flip-Flop
Quad D-Type Flip-Flop
Synchronous Up/Down Decade Counter
Synchronous Up/Down Binary Counter

3-15
3-15
3-21
3-21

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74ALS192
SN74ALS193
SN74ALS229A
SN74ALS231

Synchronous Up/Down Decade Counter
Synchronous Up/Down Binary Counter
FIFO Memory 16 X 5
Octal Buffer/Line Driver

3-21
3-21
3-31
3-10

SDAD001B
SDAD001B
SDVDOOl
SDAD001B

SN74ALS232A
SN74ALS233A
SN74ALS234
SN74ALS235

FIFO
FIFO
FIFO
FIFO

3-31
3-31
3-31
3-31

SDVDOOl
SDVDOOl
SDAS106
SDAS108

SN74ALS236
SN74ALS240A
SN74ALS241 B
SN74ALS242B

FIFO Memory 64 X 4
Octal Buffer/Line Driver
Octal Buffer/Line Driver
Quad Bus Transceiver

3-31
3-10
3-10
3-11

SDAS107
SDAD001B
SDAD001B
SDAD001B

SN74ALS243A
SN74ALS244B
SN74ALS245A
SN74ALS251

Quad Bus Transceiver
Octal Buffer/Line Driver
Octal Bus Transceiver
8-1 Data Selector/Multiplexer

3-11
3-10
3-11
3-24

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74ALS253
SN74ALS257
SN74ALS258
SN74ALS259

Dual 4-1 Data Selector/Multiplexer
Quad 2-1 Data Selector/Multiplexer
Quad 2-1 Data Selector/Multiplexer
8-Bit Addressable Latch

3-24
3-24
3-24
3-18

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74ALS273
SN74ALS280
SN74ALS299
SN74ALS323

Octal D-Type Flip-Flop
9-Bit Parity Generator/Checker
8-Bit Shift Register
8-Bit Shift/Storage Register

3-16
3-29
3-19
3-19

SDAD001B
SDVDOOl
SDAD001B
SDAD001B

SN74ALS352
SN74ALS353
SN74ALS373
SN74ALS374

Dual 4-1 Data Selector/Multiplexer
Dual 4-1 Data Selector/Multiplexer
Octal D-Type Latch
Octal D-Type Flip-Flop

3-24
3-24
3-18
3-16

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74ALS465A
SN74ALS466A
SN74ALS467A
SN74ALS468A

Octal
Octal
Octal
Octal

3-10
3-10
3-10
3-10

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74ALS518
SN74ALS519
SN74ALS520
SN74ALS521

8-Bit Identity Comparator
8-Bit Identity Comparator
8-Bit Identity Comparator
8-Bit Identity Comparator

3-28
3-28
3-28
3-28

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74ALS522
SN74ALS526
SN74ALS527
SN74ALS528

8-Bit Identity Comparator
Fuse Programmable Comparator
Fuse Programmable Comparator
Fuse Programmable Comparator

3-28
3-29
3-29
3-29

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74ALS533
SN74ALS534
SN74ALS540
SN74ALS541

Octal
Octal
Octal
Octal

3-18
3-16
3-10
3-10

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74ALS560A
SN74ALS561A
SN74ALS563A
SN74ALS564A

Synchronous 4-Bit Counter
Synchronous 4-Bit Counter
Octal D-Type Transparent Latch
Octal Edge-Triggered Flip-Flop

3-21
3-21
3-18
3-16

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74ALS568A
SN74ALS569A
SN74ALS573B
SN74ALS574A

4-Bit Up/Down Decade Counter
4-Bit Up/Down Binary Counter
Octal D-Type Latch
Octal D-Type Flip-Flop

3-21
3-21
3-18
3-16

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74ALS575A
SN74ALS576A
SN74ALS577A
SN74ALS580A

Octal
Octal
Octal
Octal

3-16
3-16
3-16
3-18

SDAD001B
SDAD001B
SDAD001B
SDAD001B

1-54

Memory 16 X 4
Memory 16 X 5
Memory 64 X 4
Memory 64 X 5

Buffer 3-State Output
Buffer3-State Output
Buffer 3-State Output
Buffer 3-State Output

D-Type Latch
D-Type Flip-Flop
Buffer/Line Driver
Buffer/Line Driver

D-Type Flip-Flop
D-Type Flip-Flop
D-Type Flip-Flop
D-Type Latch

SN74ALS614 - SN74ALS991

TI PART
NUMBER

GENERAL DESCRIPTION

REFERENCE

SECTION!
PAGE

TI
DOCUMENT

SN74ALS614
SN74ALS615
SN74ALS620A
SN74ALS621A

Octal
Octal
Octal
Octal

Bus TransceiverlTrue Outputs
Bus Transceiver/Inverting
Bus Transceiver
Bus Transceiver

3-10
3-9
3-11
3-9

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74ALS622A
SN74ALS623A
SN74ALS632B
SN74ALS638A

Octal
Octal
Error
Octal

Bus Transceiver
Bus Transceiver
Detection and Correction Circuit
Bus Transceiver

3-10
3-12
4-72
3-10

SDAD001B
SDAD001B
SDAD001A
SDAD001B

SN74ALS639A
SN74ALS640B
SN74ALS641A
SN74ALS642A

Octal
Octal
Octal
Octal

Bus Transceiver
Bus Transceiver
Bus Transceiver
Bus Transceiver

3-9
3-11
3-9
3-10

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74ALS643A
SN74ALS644A
SN74ALS645A
SN74ALS646

Octal
Octal
Octal
Octal

Bus Transceiver
Bus Transceiver
Bus Transceiver
Bus Transceiver and Register

3-11
3-10
3-12
3-11

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74ALS647
SN74ALS648
SN74ALS649
SN74ALS651

Octal
Octal
Octal
Octal

Bus Transceiver and
Bus Transceiver and
Bus Transceiver and
Bus Transceiver and

Register
Register
Register
Register

3-10
3-11
3-10
3-11

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74ALS652
SN74ALS653
SN74ALS654
SN74ALS666

Octal
Octal
Octal
Octal

Bus Transceiver and Register
Bus Transceiver and Register
Bus Transceiver and Register
D-Type Read-Back Latch

3-11
3-10
3-10
3-17

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74ALS667
SN74ALS677A
SN74ALS678
SN74ALS679

Octal D-Type Read-Back Latch
Address Comparator
Address Comparator
Address Comparator

3-17
3-29
3-29
3-29

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74ALS680
SN74ALS688
SN74ALS689
SN74ALS746

Address Comparator
8-Bit Identity Comparator
8-Bit Identity Comparator
Octal Buffer/Line Driver

3-29
3-28
3-28
3-12

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74ALS747
SN74ALS756
SN74ALS757
SN74ALS758

Octal Buffer/Line Driver
Octal Buffer/Line Driver
Octal Buffer/Line Driver OC
Quad Bus Transceiver

3-12
3-9
3-9
3-9

SDAD001B
SDAD001B
SDAS040A
SDAD001B

SN74ALS760
SN74ALS763
SN74ALS804A
SN74ALS805A

Octal Buffer/Line Driver OC
Octal Buffer/Line Driver
Hex 2-lnput NAND Driver
Hex 2-lnput NOR Driver

3-9
3-9
3-4
3-5

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74ALS808A
SN74ALS810
SN74ALS811
SN74ALS812

Hex 2-lnput AND Driver
Quad Exclusive-NOR Gate
Quad Exclusive-NOR Gate
Fuse Prog. Identity Comparator/Decoder

3-9
3-6
3-6
' 3-29

SDAD001B
SDAD001B
SDAD001B
SDAS103

SN74ALS819
SN74ALS832A
SN74ALS841
SN74ALS842

8-Bit Diagnostic/Pipeline Register
Hex 2-lnput OR Driver
10-Bit Bus Interface Latch
10-Bit Bus Interface Latch

3-20
3-5
3-18
3-18

SDAS105
SDAD001B
SDAD001B
SDAD001B

SN74ALS843
SN74ALS844
SN74ALS845
SN74ALS846

9-Bit
9-Bit
8-Bit
8-Bit

3-18
3-18
3-18
3-18

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74ALS857
SN74ALS867A
SN74ALS869
SN74ALS870

Hex 2-to-l Multiplexer
8-Bit Synchronous Up/Down Counter
8-Bit Synchronous Up/Down Counter
Dual Port 16 X 4 Register File

3-24
3-21
3-21
3-20

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74ALS871
SN74ALS873B
SN74ALS874B
SN74ALS876A

Dual 16 X 4 Register File
Dual4-Bit D-Type Latch
Dual4-Bit D-Type Flip-Flop
Dual4-Bit D-Type Flip-Flop

3-20
3-18
3-16
3-16

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74ALS878A
SN74ALS879A
SN74ALS880A
SN74ALS991

Dual4-Bit D-Type Flip-Flop
Dual4-Bit D-Type Flip-Flop
Dual4-Bit D-Type Latch
8-Bit D-Type Read-Back Latch

3-16
3-16
3-18
3-17

SDAD001B
SDAD001B
SDAD001B
SDAD001B

Bus Interface Latch
Bus Interface Latch
Bus Interface Latch
Bus Interface Latch

1-55

II
><

Q)

"C

.E
(.)

'i:
Q)

E

:::s

c
C'CI
.c

c.

«

SN74ALS992 - SN74ALS29846

TIPART
NUMBER

II

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

TI
DOCUMENT

SN74ALS992
SN74ALS993
SN74ALS994
SN74ALS995

9-Bit D-Type Read-Back Latch
9-Bit D-Type Read-Back Latch
10-Bit D-Type Read-Back Latch
10-Bit D-Type Read-Back Latch

3-17
3-17
3-17
3-17

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74ALS996
SN74ALS1000A
SN74ALS1002A
SN74ALS1003A

8-Bit D-Type Read-Back Latch
Quad NAND Buffer/Driver
Quad NOR Buffer
Quad NOR Buffer OC

3-17
3-4
3-5
3-4

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74ALS1004
SN74ALS1005
SN74ALS1008A
SN74ALS1010A

Hex Inverting Driver
Hex Inverting Buffer OC
Quad AND Buffer
Triple 3-lnput NAND Buffer

3-8
3-8
3-5
3-30

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74ALS1011A
SN74ALS1020A
SN74ALS1032A
SN74ALS1034

Triple 3-lnput AND Buffer
Dual4-lnput NAND Buffer
Quad OR Buffer/Driver
Hex Driver

3-5
3-4
3-5
3-9

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74ALS1035
SN74ALS1240
SN74ALS1242
SN74ALS1244A

Hex Noninverting Buffer
Octal Buffer/Line Driver
Quad Bus Transceiver
Octal Buffer/Driver

3-9
3-10
3-11
3-10

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74ALS1245A
SN74ALS1640A
SN74ALS1645A
SN74ALS1804A

Octal Bus Transceiver
Octal Bus Transceiver
Octal Bus Transceiver
Hex 2-lnput NAND Driver

3-11
3-12
3-12
3-4

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74ALS1805A
SN74ALS1808A
SN74ALS1832A
SN74ALS2232

Hex 2-lnput NOR Driver
Hex 2-lnput AND Driver
Hex 2-lnput OR Driver
64 X 8 Asynchronous FIFO, 40 MHz

3-5
3-9
3-32
3-31

SDAD001B
SDAD001B
SDAD001B
TBA

SN74ALS2233
SN74ALS2238
SN74ALS2240
SN74ALS2242

64 X 9 Asynchronous FIFO, 40 MHz
32 X 9 X 2 Asynchronous Bidirectional FIFO
Quad Line Driver
Quad Bus Transceiver/MOS Driver

3-33
3-31
3-12
3-12

TBA
TBA
SDAD001B
SDAD001B

SN74ALS2442
SN74ALS2540
SN74ALS2541
SN74ALS2967

NuBus Block Slave Address Generator
Octal Buffer and Line Driver
Octal Buffer and Line Driver
Dynamic Memory Controller

4-78
3-12
3-12
3-27

TBA
SDAD001B
SDAD001B
SDAS121A

SN74ALS2968
SN74ALS6301
SN74ALS6302
SN74ALS6310

Dynamic Memory Controller
Dynamic Memory Controller
Dynamic Memory Controller
Static Column/Page-Mode Access Detectors

3-27
3-27
3-27
4-60

TBA
SDAS120A
SDAS120A
SDAS144B

SN74ALS6311
SN74ALS8003A
SN74ALS8161
SN74ALS8163

Static Column/Page-Mode Access Detectors
Dual 2-lnput NAND Gate
8-Bit Synchronous Binary Counter
8-Bit Synchronous Binary Counter

4-60
3-4
3-21
3-21

SDAS144B
SDAD001B
SDAS116
SDAS104

SN74ALS8169
SN74ALS29806
SN74ALS29809
SN74ALS29818

8-Bit Synchronous Up/Down Binary Counter
2-to-4 Bit Comparator/Decoder
2-to-4 Bit Comparator/Decoder
8-Bit Diagnostic/Pipeline Register

3-21
3-28
3-28
3-20

SDAS117
SDAD001B
SDAD001B
SDVD001

SN74ALS29821
SN74ALS29822
SN74ALS29823
SN74ALS29824

10-Bit Register, Non-Inverting, 3-State
1O-Bit Bus Interface Flip-Flop, 3-State
9-Bit Register, Non-Inverting, 3-State
9-Bit Bus Interface Flip-Flop, 3-State

3-16
3-16
3-16
3-16

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74ALS29825
SN74ALS29826
SN74ALS29827
SN74ALS29828

8-Bit Bus Interface Flip-Flop, 3-State
8-Bit Register, Inverting, 3-State
10-Bit Buffer/Driver
10-Bit Buffer/Driver

3-16
3-16
3-10
3-10

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74ALS29833
SN74ALS29834
SN74ALS29841
SN74ALS29842

Bus Transceiver
Bus Transceiver
10-Bit Latch, Non-Inverting
10-Bit Latch,lnverting, 3-State

3-11
3-11
3-18
3-18

SDAS119
SDAS119
SDAS149
SDAS149

SN74ALS29843
SN74ALS29844
SN74ALS29845
SN74ALS29846

9-Bit LatCh, Non-Inverting
9-Bit Latch,lnverting, 3-State
8-Bit Latch, Non-Inverting
8-Bit Latch,lnverting, 3-State

3-18
3-18
3-18
3-18

SDAS151
SDAS151
SDAS150
SDAS150

1-56

SN74ALS29853 - SN74AS374
TI PART
NUMBER

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

TI
DOCUMENT

SN74ALS29853
SN74ALS29854
SN74ALS29861
SN74ALS29862

Bus Transceiver
Bus Transceiver
10-Bit Transceiver
10-Bit Transceiver

3-11
3-11
3-12
3-12

SDAS118
SDAS118
SDAD001B
SDAD001B

SN74ALS29863
SN74ALS29864
SN74ASOO
SN74AS02

9-Bit Transceiver
9-Bit Transceiver
Quad 2-lnput NAND Gate
Quad 2-lnput NOR Gate

3-11
3-11
3-4
3-5

SDVD001
SDVD001
SDAD001B
SDAS111

SN74AS04
SN74AS08
SN74AS10
SN74AS11

Hex Inverter
Quad 2-lnput AND Gate
Triple 3-lnput NAND Gate
Triple 3-lnput AND Gate

3-8
3-5
3-4
3-5

SDAD001B
SDADOO1B
SDADOO1B
SDADOO1B

SN74AS20
SN74AS21
SN74AS27
SN74AS30

Dual4-lnput NAND Gate
Dual4-lnput AND Gate
Triple 3-lnput NOR Gate
8-lnput NAND Gate

3-4
3-5
3-5
3-4

SDAD001B
SDAD001B
SDAS112
SDADOO1B

SN74AS32
SN74AS34
SN74AS74
SN74AS86A

Quad 2-lnput OR Gate
Hex Non-Inverter
Dual D-Type Flip-Flop
Quad 2-lnput Exclusive-OR Gate

3-5
3-8
3-15
3-6

SDAS113
SDAD001B
SDAD001B
SDAS006A

SN74AS95A
SN74AS109
SN74AS131A
SN74AS136

4-Bit Shift Register
Dual J-K Flip-Flop
3-8 Line Decoder w/Latch
Quad 2-lnput Exclusive-Or Gate

3-19
3-15
3-25
3-6

SDAS158
SDADOO1B
SDADOO1B
SDAS162

SN74AS137
SN74AS138
SN74AS151
SN74AS153

3-8 Line Decoder/Demultiplexer
3-8 Line Decoder/Demultiplexer
8-1 Data Selector/Multiplexer
Dual 4-1 Data Selector/Multiplexer

3-25
3-25
3-24
3-24

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74AS157
SN74AS158
SN74AS160
SN74AS161

Quad 2-1 Data Selector/Multiplexer
Quad 2-1 Data Selector/Multiplexer
4-Bit Synchronous Decade Counter
4-Bit Synchronous Binary Counter

3-24
3-24
3-21
3-21

SDAD001B
SDADOO1B
SDAD001B
SDAD001B

SN74AS162
SN74AS163
SN74AS168A
SN74AS169A

4-Bit Synchronous Decade Counter
4-Bit Synchronous Binary Counter
4-Bit Up/Down Synchronous Decade Counter
4-Bit Up/Down Synchronous Binary Counter

3-21
3-21
3-21
3-21

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74AS174
SN74AS175A
SN74AS1818
SN74AS182

Hex D-Type Flip-Flop
Quad D-Type Flip-Flop
4-Bit Arithmetic Logic Unit
ASI Look Ahead Carry Generator

3-15
3-15
3-30
3-30

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74AS194
SN74AS195
SN74AS230
SN74AS231

Universal Shift Register
4-Bit Sync Binary Counter
Octal Buffer/Line Driver
Octal Buffer/Line Driver

3-19
3-19
3-10
3-10

SDAD001B
SDAS138
SDAD001B
SDAD001B

SN74AS240
SN74AS241
SN74AS242
SN74AS243

Octal Buffer/Line Driver
Octal Buffer/Line Driver
Quad Bus Transceiver
Quad Bus Transceiver

3-10
3-10
3-11
3-11

SDADOO1B
SDAD001B
SDAD001B
SDAD001B

SN74AS244
SN74AS245
SN74AS250A
SN74AS251

Octal Buffer/Line Driver
Octal Bus Transceiver
16-1 Multiplexer
8-to-1 Multiplexer

3-10
3-11
3-24
3-24

SDAD001B
SDAD001B
SDAS137
SDAD001B

SN74AS253
SN74AS257
SN74AS258
SN74AS264

Dual 4-1 Data Selector/Multiplexer
Quad 2-1 Data Selector/Multiplexer
Quad 2-1 Data Selector/Multiplexer
ASI Look Ahead Carry Generator

3-24
3-24
3-24
3-30

SDAD001B
SDAD001B
SDAD001B
SDADOO1B

SN74AS280
SN74AS282
SN74AS286
SN74AS298

9-Bit Parity Generator/Checker
ASI Look Ahead Carry Generator
9-Bit Parity Generator/Checker
Quad 2-lnput Multiplexer

3-29
3-30
3-29
3-20

SDAD001B
SDAD001B
SDAD001B
SDADOO1B

SN74AS352
SN74AS353A
SN74AS373
SN74AS374

Dual 4-1 Data Selector/Multiplexer
Dual 4-1 Data Selector/Multiplexer
Octal D-Type Latch
Octal D-Type Flip-Flop

3-24
3-24
3-18
3-16

SDAD001B
SDAD001B
SDADOO1B
SDAD001B

'-57

II
~

"C

.5
Co)

'i:

Q)

E
~
C
to

.c

Co

«

SN74AS533 - SN74AS1000A

TIPART
NUMBER

II

GENERAL DESCRIPTION

REFERENCE

SECTION I
PAGE

TI
DOCUMENT

SN74AS533
SN74AS534
SN74AS573
SN74AS574

Octal
Octal
Octal
Octal

D-Type Latch
D-Type Flip-Flop
D-Type Latch
D-Type Flip-Flop

3-18
3-16
3-18
3-16

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74AS575
SN74AS576
SN74AS577
SN74AS580

Octal
Octal
Octal
Octal

D-Type Flip-Flop
D-Type Flip-Flop
D-Type Flip-Flop
D-Type Latch

3-16
3-16
3-16
3-18

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74AS620
SN74AS621
SN74AS622
SN74AS623

Octal
Octal
Octal
Octal

Bus Transceiver
Bus Transceiver
Bus Transceiver
Bus Transceiver

3-11
3-9
3-10
3-12

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74AS632
SN74AS638A
SN74AS639
SN74AS640

Error Detection and Correction Unit
Octal Bus Transceiver
Octal Bus Transceiver
Octal Bus Transceiver

4-72
3-10
3-9
3-11

SDAS102A
SDAD001B
SDAD001B
SDAD001B

SN74AS641
SN74AS642
SN74AS643
SN74AS644

Octal
Octal
Octal
Octal

Bus Transceiver
Bus Transceiver
Bus Transceiver
Bus Transceiver

3-9
3-10
3-11
3-10

SDAD001B
SDAD001B
SDADOO1B
SDAD001B

SN74AS645
SN74AS646
SN74AS648
SN74AS651

Octal
Octal
Octal
Octal

Bus Transceiver
Bus Transceiver and Register
Bus Transceiver and Register
Bus Transceiver and Register

3-12
3-11
3-11
3-11

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74AS652
SN74AS756
SN74AS757
SN74AS758

Octal Bus Transceiver and Register
Octal Buffer/Line Driver
Octal Buffer/Line Driver
Quad Bus Transceiver

3-11
3-9
3-9
3-9

SDAD001B
SDAS040A
SDAD001B
SDAD001B

SN74AS759
SN74AS759
SN74AS760
SN74AS762

Quad Bus Transceiver
Quad Bus Transceiver
Octal Buffer/Line Driver
Octal Buffer/Line Driver

3-9
3-9
3-9
3-9

SDAD001B
SDAD001B
SDAS141
SDAD001B

SN74AS763
SN74AS804B
SN74AS805B
SN74AS808B

Octal Buffer/Line Driver
Hex 2-lnput NAND Driver
Hex 2-lnput NOR Driver
Hex 2-lnput AND Driver

3-9
3-4
3-5
3-9

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74AS821
SN74AS822
SN74AS823
SN74AS824

10-Bit Bus Interface Flip-Flop
10-Bit Bus Interface Flip-Flop
9-Bit Bus Interface Flip-Flop
9-Bit Bus Interface Flip-Flop

3-16
3-16
3-16
3-16

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74AS825
SN74AS826
SN74AS832B
SN74AS850

8-Bit Bus Interface Flip-Flop
8-Bit Bus Interface Flip-Flop
Hex 2-lnput OR Driver
1 of 16 Data Selector/Multiplexer

3-16
3-16
3-5
3-24

SDAD001B
SDAD001B
SDAD001B
SDAS154

SN74AS851
SN74AS852
SN74AS856
SN74AS857A

1 of 16 Data Selector/Multiplexer
8-Bit Universal Port Controller
8-Bit Universal Port Controller
Hex 2-to-l Multiplexer

3-24
3-12
3-12
3-24

SDAD001B
SDADOO1B
SDAD001B
SDAD001B

SN74AS866
SN74AS867
SN74AS869
SN74AS870

8-Bit Magnitude Comparator
8-Bit Synchronous Up/Down Counter
8-Bit Synchronous Up/Down Counter
Dual 16-BY-4 Register File

3-28
3-21
3-21
3-20

SDAD001B
SDADOO1B
SDADOO1B
SDAD001B

SN74AS871
SN74AS873A
SN74AS874
SN74AS876

Dual 16-BY-4 Register File
Dual4-Bit D-Type Latch
Dual 4-Bit Flip-Flop
Dual4-Bit Flip-Flop

3-20
3-18
3-15
3-15

SDADOO1a
SDAD001B
SDAD001B
SDAD001B

SN74AS877
SN74AS878
SN74AS879
SN74AS880

8-Bit Universal Transceiver
Dual4-Bit Flip-Flop
Dual4-Bit Flip-Flop
Dual4-Bit D-Type Latch

3-12
3-15
3-16
3-18

SDADOO1B
SDADOO1B
SDADOO1B
SDADOO1B

SN74AS881A
SN74AS882A
SN74AS885
SN74AS1000A

Arithmetic Logic Unit/Function Generator
32-Bit Look-Ahead Carry Generator
8-Bit Magnitude Comparator
Quad NAND Buffer/Driver

3-30
3-30
3-28
3-4

SDAD001B
SDADOO1B
SDADOO1B
SDADOO1B

1-58

SN74AS1004A - SN74BCT29821

TIPART
NUMBER

GENERAL DESCRIPTION

REFERENCE

n

SECTIONI
PAGE

DOCUMENT

SN74AS1004A
SN74AS1008A
SN74AS1032A
SN74AS1034A

Hex Inverting Driver
Quad AND Buffer
Quad OR Buffer/Driver
Hex Driver

3-8
3-5
3-5
3-9

SDAD001B
SDADOO1B
SDAD001B
SDAD001B

SN74AS1036A
SN74AS1804
SN74AS1805
SN74AS1808

Quad 2-lnput NOR Driver
Hex 2-lnput NAND Driver
Hex 2-lnput NOR Driver
Hex 2-lnput AND Driver

3-5
3-4
3-5
3-9

SDAD001B
SDAD001B
SDAD001B
SDAD001B

SN74AS1821
SN74AS1823
SN74AS1832
SN74AS1841

10-Bit Bus Interface Flip-Flop
9-Bit Bus Interface Flip-Flop
Hex 2-lnput OR Driver
10-Bit Bus Interface Latch

3-16
3-16
3-5
3-18

SDAS131
SDAS126
SDAD001B
SDAS130

SN74AS1843
SN74AS2620
SN74AS2623
SN74AS2640

9-Bit Bus Interface Latch
Octal Bus Transceiver/MOS Driver
Octal Bus Transceiver/MOS Driver
Octal Bus Transceiver/MOS Driver

3-18
3-12
3-12
3-12

SDAS127
SDAD001B
SDAD001B
SDAD001B

SN74AS2645
SN74AS3374
SN74AS4374A
SN74BCT125

Octal Bus Transceiver/MOS Driver
8-Bit Metastable-Resistant Flip-Flop
8-Bit Metastable-Resistant Flip-Flop
Quad Bus Buffer Gate with 3-State Output

3-12
3-16
3-16
3-10

SDAD001B
SDAS152
SDAS109B
SCBD001A

SN74BCT126
SN74BCT240
SN74BCT241
SN74BCT244

Quad Bus Buffer Gate with 3-State Output
Octal Buffer/Line Driver
Octal Buffer/Line Driver
Octal Buffer/Line Driver

3-10
3-10
3-10
3-10

SCBD001A
SCBD001A
SCBD001A
SCBD001A

SN74BCT245
SN74BCT299
SN74BCT306
SN74BCT323

Octal Bus Transceiver
8-Bit Shift/Storage Reg. with 3-State
2-Bit Buffer
8-Bit Shift/Storage Reg. with 3-State

3-11
3-19
3-31
3-19

SCBD001A
TBA
TBA
TBA

SN74BCT373
SN74BCT374
SN74BCT533
SN74BCT534

Octal
Octal
Octal
Octal

D-Type Latch
D-Type Flip-Flop
D-Type Latch with 3-State
D-Type Flip-Flop with 3-State

3-18
3-16
3-18
3-16

SCBDOO1A
SCBD001A
TBA
SCBD001A

SN74BCT540
SN74BCT541
SN74BCT543
SN74BCT544

Octal
Octal
Octal
Octal

Bus Driver with 3-State
Bus Driver with 3-State
Registered Transceiver with 3-State
Registered Transceiver with 3-State

3-10
3-10
3-11
3-11

SCBDOO1A
SCBD001A
SCBD001A
SCBD001A

SN74BCT563
SN74BCT564
SN74BCT573
SN74BCT620A

Octal D-Type Latch with 3-State
Octal D-Type Flip-Flop with 3-State
Octal D-Type Latch with 3-State
Octal Transceiver with 3-State Output

3-18
3-16
3-18
3-11

TBA
TBA
TBA
SCBD001A

SN74BCT623
SN74BCT640
SN74BCT646
SN74BCl648

Octal
Octal
Octal
Octal

Bus Transceiver
Bus Transceiver
Bus Transceiver and Register
Bus Transceiver and Register

3-12
3-11
3-11
3-11

SCBD001A
SCBD001A
SCBS037
TBA

SN74BCT651
SN74BCT652
SN74BCT657
SN74BCT756

Octal
Octal
Octal
Octal

Bus Transceiver and Register
Bus Transceiver and Register
Bus Transceiver
Buffer and Driver, DC

3-11
3-11
3-11
3-9

TBA
SCBD001A
TBA
SCBD001A

SN74BCT757
SN74BCT760
SN74BCT2240
SN74BCT2241

Octal
Octal
Octal
Octal

Buffer and Driver, DC
Buffer and Driver, DC
MOS Memory Driver with 3-State
MOS Memory Driver with 3-State

3-9
3-9
3-12
3-12

TBA
SCBD001A
SCBD001A
SCBD001A

SN74BCT2244
SN74BCT2414
SN74BCT2420
SN74BCT2423

Octal MOS Memory Driver with 3-State
3-8 Memory Decoder with Batter B/U
NuBus Address/Data Transceivers/Registers
16-Bit Multiplexed Transceivers with Latches

3-12
3-25
4-76
4-75

SCBDOO1A
TBA
SDIS007A
TBA

SN74BCT2424
SN74BCT2827A
SN74BCT2828A
SN74BCT8244

16-Bit Multiplexed Transceivers with Latches
10-Bit MOS Memory Driver
10-Bit MOS Memory Driver
Octal Buffer with 3-State

4-75
3-10
3-10
3-14

TBA
SCBD001A
SCBD001A
SCBD001A

SN74BCT8245
SN74BCT8373
SN74BCT8374
SN74BCT29821

Octal Bus Transceiver with 3-State
Octal D-Type Latch with 3-State
Octal D-Type Edge-Triggered Flip-Flop
10-Bit D-Type Flip-Flop

3-14
3-14
3-14
3-16

SCBD001A
TBA
TBA
SCBD001A

1-59

II
~
.5
"'C
CJ
0':
(1)

E
~

c
CO
.c
c.

<

SN74BCT29823 - SN74F240
TIPART
NUMBER

II

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

TI
DOCUMENT

SN74BCT29823
SN74BCT29824
SN74BCT29825
SN74BCT29827 A

ASI8-TO-9 Bit Parity Bus/Flip-Flop
9-Bit Register
8-Bit Register
1O-Bit Bus Driver

3-16
3-16
3-16
3-10

SCBD001A
SCBD001A
TBA
SCBD001A

SN74BCT29828A
SN74BCT29833
SN74BCT29834
SN74BCT29841

10-Bit Bus Driver
Parity Bus Transceiver
Parity Bus Transceiver
10-Bit D-Type Latch

3-10
3-11
3-11
3-18

SCBD001A
SCBD001A
SCBD001A
SCBD001A

SN74BCT29842
SN74BCT29843
S N 74BCT29844
SN74BCT29845

10-Bit D-Type Latch
9-Bit Latch
9-Bit Latch
8-Bit Latch

3-18
3-18
3-18
3-18

SCBD001A
SCBD001A
SCBD001A
SCBD001A

SN74BCT29846
SN74BCT29853
SN74BCT29854
SN74BCT29861

8-Bit Latch
Parity Bus Transceiver
Parity Bus Transceiver
10-Bit Transceiver

3-18
3-11
3-11
3-12

SCBD001A
SCBD001A
SCBD001A
SCBD001A

SN74BCT29862A
SN74BCT29863A
SN74BCT29864
SN74FOO

1O-Bit Transceiver
9-Bit Transceiver
9-Bit Transceiver
Quad 2-lnput NAND Gate

3-12
3-11
3-11
3-4

SCBD001A
SCBD001A
TBA
SDFD001A

SN74F02
SN74F04
SN74F08
SN74F09

Quad 2-lnput NOR Gate
Hex Inverter
Quad 2-lnput AND Gate
Quad 2-lnput AND Gate OC

3-5
3-8
3-5
3-4

SDFD001A
SDFD001A
SDFD001A
SDFD001A

SN74F10
SN74F11
SN74F20
SN74F21

Triple 3-lnput NAND Gate
Triple 3-lnput AND Gate
Dual4-lnput NAND Gate
Dual 4-lnput AND Gate

3-4
3-5
3-4
3-5

SDFD001A
SDFD001A
SDFD001A
SDFD001A

SN74F27
SN74F30
SN74F32
SN74F36

Triple 3-lnput NOR Gate
8-lnput NAND Gate
Quad 2-lnput OR Gate
Quad 2-lnput NOR Gate

3-5
3-4
3-5
3-5

SDFD001A
SDFD001A
SDFD001A
SDFD001A

SN74F37
SN74F38
SN74F40
SN74F51

Quad 2-lnput NAND Gate
Quad 2-lnput NAND Gate
Dual 4-lnput NAND Buffer
Dual 2-lnput AND-OR Gate

3-4
3-4
3-4
3-6

SDFD001A
SDFD001A
SDFD001A
SDFD001A

SN74F64
SN74F74
SN74F86
SN74F10

4-2-3-2 Input AND-NOR Gate
Dual D-Type Flip-Flop
Quad 2-lnput Exclusive-OR Gate
Dual J K Flip-Flop

3-6
3-15
3-6
3-15

SDFD001A
SDFD001A
SDFD001A
SDFD001A

SN74F11
SN74F11
SN74F11
SN74F12

Dual J K Flip-Flop
Dual J-K Flip Flop
Dual J-K Flip Flop
Quad 3-State Buffer

3-15
3-15
3-15
3-10

SDFD001A
SDFD001A
SDFD001A
SDFD001A

SN74F12
SN74F14
SN74F151A
SN74F153

Quad 3-State Buffer
8-3 Line Encoder
8-1 Data Selector/Multiplexer
Dual 4-1 MUltiplexer

3-10
3-10
3-24
3-24

SDFD001A
SDFD001A
SDFD001A
SDFD001A

SN74F157A
SN74F158A
SN74F160A
SN74F161A

Quad 2-1 Data Selector/Multiplexer
Quad 2-1 Data Selector/Multiplexer
4-Bit Synchronous Decade Counter
4-Bit Synchronous Binary Counter

3-24
3-24
3-21
3-21

SDFD001A
SDFD001A
SDFD001A
SDFD001A

SN74F162A
SN74F163A
SN74F166
SN74F168

4-Bit Synchronous Decade Counter
4-Bit Synchronous Binary Counter
8-Bit Shift Register
4-Bit Synchronous Decade Counter

3-21
3-21
3-21
3-21

SDFD001A
SDFD001A
SDFD001A
SDFD001A

SN74F169
SN74F174
SN74F175
SN74F190

4-Bit Synchronous Binary Counter
Hex D-Type Flip-Flop
Hex D-Type Flip-Flop
Synchronous Up/Down Decade Counter

3-21
3-15
3-15
3-21

SDFD001A
SDFD001A
SDFD001A
SDFD001A

SN74F191
SN74F192
SN74F193
SN74F240

Synchronous Up/Down Binary Counter
Synchronous Up/Down Decade Counter
Synchronous Up/Down Binary Counter
Octal Buffer/Line Driver

3-21
3-21
3-21
3-10

SDFD001A
SDFD001A
SDFD001A
SDFD001A

1-60

SN74F241 - SN74HCT244
TIPART
NUMBER

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

TI
DOCUMENT

SN74F241
SN74F242
SN74F243
SN74F244

Octal Buffer/Line Driver
Quad Bus Transceiver
Quad Bus Transceiver
Octal Buffer/Line Driver

3-10
3-11
3-11
3-10

SDFD001A
SDFD001A
SDFD001A
SDFD001A

SN74F245
SN74F251A
SN74F253
SN74F257

Octal Bus Transceiver
8-1 Data Selector/Multiplexer
Dual 4-lnput Multiplexer
Quad 2-1 Data Selector/Multiplexer

3-11
3-24
3-24
3-24

SDFD001A
SDFD001A
SDFD001A
SDFD001A

SN74F258
SN74F260
SN74F273
SN74F280B

Quad 2-1 Data Selector/Multiplexer
Dual 5-lnput NOR Gate
Octal D-Type Flip-Flop
9-Bit Parity Generator/Checker

3-24
3-16
3-16
3-16

SDFD001A
SDFD001A
SDFD001A
SDFD001A

SN74F283
SN74F299
SN74F323
SN74F350

4-Bit
8-Bit
8-Bit
4-Bit

3-30
3-19
3-19
3-25

SDFD001A
SDFD001A
SDFD001A
SDFD001A

'C

SN74F352
SN74F353
SN74F373
SN74F374

Dual Data Selector/Multiplexer
Dual 4-1 Multiplexer
Octal D-Type Latch
Octal D-Type Flip-Flop

3-24
3-24
3-18
3-16

SDFD001A
SDFD001A
SDFD001A
SDFD001A

CO

SN74F377
SN74F378
SN74F379
SN74F381

Octal D-Type Flip-Flop
Hex D-Type Flip-Flop
Quad D-Type Flip-Flop
ALU Function Generator

3-16
3-15
3-15
3-30

SDFD001A
SDFD001A
SDFD001A
SDFD001A

SN74F382
SN74F455
SN74F456
SN74F518

ALU Function Generator
Octal Buffer Parity Generator/Checker
Octal Buffer Parity Generator/Checker
8-Bit Identity Comparator

3-30
3-29
3-29
3-28

SDFD001A
SDFD001A
SDFD001A
SDFD001A

SN74F519
SN74F520
SN74F521
SN74F533

8-Bit Identity Comparator
8-Bit Identity Comparator
8-Bit Identity Comparator
Octal D-Type Latch

3-28
3-28
3-18
3-18

SDFD001A
SDFD001A
SDFDOO1A
SDFD001A

SN74F534
SN74F540
SN74F541
SN74F543

Octal
Octal
Octal
Octal

D-Type Flip-Flop
Buffer/Line Driver
Buffer/Line Driver
Registered Transceiver

3-16
3-10
3-10
3-11

SDFDOO1A
SDFD001A
SDFDOO1A
SDFD001A

SN74F544
SN74F563
SN74F564
SN74F568

Octal Registered Transceiver
Octal D-Type Latch
Octal D-Type Flip-Flop
4-Bit Synchronous Decade Counter

3-11
3-18
3-16
3-21

SDFDOO1A
SDFD001A
SDFD001A
SDFDOO1A

SN74F569
SN74F573
SN74F574
SN74F620

4-Bit Synchronous Binary Counter
Octal D-Type Latch
Octal D- Type Flip-Flop
Octal Bus Transceiver

3-21
3-18
3-16
3-11

SDFD001A
SDFD001A
SDFDOO1A
SDFD001A

SN74F621
SN74F622N
SN74F623
SN74F657

Octal
Octal
Octal
Octal

3-9
3-10
3-12
3-11

SDFD001A
SDFD001A
SDFD001A
SDFD001A

SN74F776
SN74HCTOO
SN74HCT02
SN74HCT04

Pi Bus Transceiver
Quad 2-lnput NAND Gate
Quad 2-lnput NOR Gate
Hex Inverter

3-11
3-4
3-5
3-8

TBA
SCLD001C
SCLD001C
SCLD001C

SN74HCT08
SN74HCT32
SN74HCT74
SN74HCT137

Quad 2-lnput AND Gate
Quad 2-lnput OR Gate
Dual D-Type Flip-Flop
3-8 Line Decoder/Demultiplexer

3-5
3-5
3-15
3-25

SCLDOO1C
SCLD001C
SCLD001C
SCLD001C

SN74HCT138
SN74HCT237
SN74HCT238
SN74HCT240

3-8 Line Decoder/Demultiplexer
3-8 Line Decoder/Demultiplexer
3-8 Line Decoder/Demultiplexer
Octal Buffer/Line Driver

3-25
3-25
3-25
3-10

SCLDOO1C
SCLD001C
SCLD001C
SCLD001C

SN74HCT241
SN74HCT242
SN74HCT243
SN74HCT244

Octal Buffer/Line Driver
Quad Bus Transceiver
Quad Bus Transceiver
Octal Buffer/Line Driver

3-10
3-11
3-11
3-10

SCLD001C
SCLD001C
SCLD001C
SCLD001C

Full Adder
Universal Shift/Storage Register
Universal Shift/Storage Register
Shifter

Bus Transceiver
Bus Transceiver
Bus Transceiver
Bus Transceiver

1-61

II
><

Q)

"C

.5
(,)

Q)

E
::s

c

.c
c.

«

SN74HCT245 - SN74HC139
TIPART
NUMBER

II

GENERAL DESCRIPTION

REFERENCE

SECTION!
PAGE

TI
DOCUMENT

SN74HCT245
SN74HCT373
SN74HCT374
SN74HCT533

Octal
Octal
Octal
Octal

Bus Transceiver
D-Type Latch
D-Type Flip-Flop
D-Type Latch

3-11
3-18
3-16
3-18

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HCT534
SN74HCT540
SN74HCT541
SN74HCT563

Octal
Octal
Octal
Octal

D-Type Flip-Flop
Buffer/Line Driver
Buffer/Line Driver
D-Type Latch

3-18
3-10
3-10
3-18

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HCT564
SN74HCT573A
SN74HCT574
SN74HCT620

Octal
Octal
Octal
Octal

D-Type Flip-Flop
D-Type Latch
D-Type Flip-Flop
Bus Transceiver

3-16
3-18
3-16
3-11

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HCT623
SN74HCT640
SN74HCT643
SN74HCT645

Octal
Octal
Octal
Octal

Bus Transceiver
Bus Transceiver
Bus Transceiver
Bus Transceiver

3-12
3-11
3-11
3-12

SCLD001C
SCLD001C
SClD001C
SCLD001C

SN74HCT646
SN74HCT648
SN74HCT651
SN74HCT652

Octal
Octal
Octal
Octal

Bus Transceiver and
Bus Transceiver and
Bus Transceiver and
Bus Transceiver and

Register
Register
Register
Register

3-11
3-11
3-11
3-11

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HCT658
SN74HCT659
SN74HCT664
SN74HCT665

Octal
Octal
Octal
Octal

Bus Transceiver with
Bus Transceiver with
Bus Transceiver with
Bus Transceiver with

Parity
Parity
Parity
Parity

3-11
3-11
3-11
3-11

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HCU04
SN74HCOO
SN74HC01
SN74HC02

Hex Inverter
Quad 2-lnput NAND Gate
Quad 2-lnput NAND Gate DC
Quad 2-lnput NOR Gate

3-8
3-4
3-4
3-5

SCLD001C
SCLD001C
SCLD001C
SClD001C

SN74HC03
SN74HC04
SN74HC05
SN74HC08

Quad 2-lnput NAND Gate DC
Hex Inverter
Hex Inverter DC
Quad 2-lnput AND Gate

3-4
3-8
3-8
3-5

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC09
SN74HC10
SN74HC11
SN74HC14

Quad 2-lnput AND Gate DC
Triple 3-lnput NAND Gate
Triple 3-lnput AND Gate
Hex Schmitt-Trigger Inverter

3-4
3-4
3-5
3-8

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC20
SN74HC21
SN74HC27
SN74HC30

Dual4-lnput NAND Gate
Dual4-lnput AND Gate
Triple 3-lnput NOR Gate
8-lnput NAND Gate

3-4
3-5
3-5
3-4

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC32
SN74HC36
SN74HC42
SN74HC51

Quad 2-lnput OR Gate
Quad 2-lnput NOR Gate
4-of-10 Decoder
Dual AND-OR Invert Gate

3-5
3-5
3-25
3-6

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC73
SN74HC74
SN74HC75
SN74HC76

Dual J-K Flip-Flop
Dual D-Type Flip-Flop
4-Bit Bistable Latch
Dual J-K Flip-Flop

3-15
3-15
3-17
3-15

SCLD001C
SCLD001C
SCLD001C
SClD001C

SN74HC77
SN74HC78
SN74HC85A
SN74HC86

Quad D-Type Latch
Dual J-K Flip-Flop
4-Bit Magnitude Comparator
Quad 2-lnput Exclusive-OR Gate

3-17
3-15
3-28
3-6

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC107
SN74HC109
SN74HC112
SN74HC113

Dual J-K Flip-Flop
Dual J-K Flip-Flop
Dual J-K Flip-Flop
Dual J-K Flip-Flop

3-15
3-15
3-15
3-15

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC114
SN74HC126
SN74HC125
SN74HC132

Dual J-K Flip-Flop
Quad 3-State Buffer
Quad 3-State Buffer
Quad 2-lnput NAND Schmitt-Trigger

3-15
3-10
3-10
3-4

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC133
SN74HC137
SN74HC138
SN74HC139

13-Input NAND Gate
3-8 Line Decoder/Demultiplexer
3-8 Line Decoder/Demultiplexer
Dual 2-4 Line Decoder/Demultiplexer

3-4
3-25
3-25
3-25

SClD001C
SCLD001C
SCLD001C
SCLD001C

1-62

SN74HC147 - SN74HC533

TIPART
NUMBER

GENERAL DESCRIPTION

REFERENCE

SECTION/
PAGE

TI
DOCUMENT

SN74HC147
SN74HC148
SN74HC151
SN74HC152

10-to-4 Line Encoder
8-to-3 Line Encoder
8-1 Data Selector/Multiplexer
8-1 Data Selector/Multiplexer

3-24
3-24
3-24
3-24

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC153
SN74HC154
SN74HC157
SN74HC158

Dual 4-1 Data Selector/Multiplexer
4-16 Line Decoder/Demultiplexer
Quad 2-1 Data Selector/Multiplexer
Quad 2-1 Data Selector/Multiplexer

3-25
3-25
3-24
3-24

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC160
SN74HC161
SN74HC162
SN74HC163

4-Bit Synchronous
4-Bit Synchronous
4-Bit Synchronous
4-Bit Synchronous

3-21
3-21
3-21
3-21

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC164
SN74HC165
SN74HC166
SN74HC173

8-Bit Shift Register
8-Bit Shift Register
8-Bit Shift Register
4-Bit D-Type Register

3-19
3-19
3-19
3-20

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC174
SN74HC175
SN74HC180
SN74HC190

Hex D-Type Flip-Flop
Quad D-Type Flip-Flop
9-Bit Odd/Even Parity Generator
Synchronous Up/Down Decade Counter

3-15
3-15
3-29
3-21

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC191
SN74HC192
SN74HC193
SN74HC194

Synchronous Up/Down Binary Counter
Synchronous Up/Down Decade Counter
Synchronous Up/Down Binary Counter
4-Bit Universal Shift Register

3-21
3-21
3-21
3-19

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC195
SN74HC237
SN74HC238
SN74HC239

4-Bit Shift Register
3-8 Line Decoder/Demultiplexer
3-8 Line Decoder/Demultiplexer
Dual 2-4 Decoder

3-19
3-25
3-25
3-25

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC240
SN74HC241
SN74HC242
SN74HC243

Octal Buffer/Line Driver
Octal Buffer/Line Driver
Quad Bus Transceiver
Quad Bus Transceiver

3-10
3-10
3-11
3-11

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC244
SN74HC245
SN74HC251
SN74HC253

Octal Buffer/Line Driver
Octal Bus Transceiver
Data Selector/Multiplexer
Dual 4-1 Data Selector/Multiplexer

3-10
3-11
3-24
3-24

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC257
SN74HC258
SN74HC259
SN74HC266

Quad 2-1 Data Selector/Multiplexer
Quad 2-1 Data Selector/Multiplexer
8-Bit Addressable Latch
Quad 2-lnput Exclusive NOR Gate

3-24
3-24
3-18
3-6

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC273
SN74HC280
SN74HC283
SN74HC298

Octal D-Type Flip-Flop
9-Bit Parity Generator/Checker
4-Bit Full Adder
Quad 2-lnput Multiplexer

3-16
3-29
3-30
3-20

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC299
SN74HC352
SN74HC353
SN74HC354

8-Bit Shift Register
Dual 4-1 Selector/Multiplexer
Dual 4-1 Selector/Multiplexer
8-1 Decoder/Demultiplexer

3-19
3-24
3-24
3-24

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC356
SN74HC365
SN74HC366
SN74HC367

8-1 Selector/Multiplexer Register
Hex Bus Driver
Hex Bus Driver
Hex Bus Driver

3-20
3-9
3-9
3-9

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC368
SN74HC373
SN74HC374
SN74HC375

Hex Bus Driver
Octal D-Type Latch
Octal D-Type Flip-Flop
4-Bit Bistable Latch

3-9
3-18
3-16
3-17

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC377
SN74HC378
SN74HC379
SN74HC386

Octal D-Type Flip-Flop
Hex D-Type Flip-Flops
Quad D-Type Flip-Flop
Quad 2-lnput Exclusive OR Gate

3-16
3-15
3-15
3-6

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC390
SN74HC393
SN74HC490
SN74HC533

4-Bit Decade/Binary Counter
4-Bit Decade/Binary Counter
Dual 4-Bit Decade Counter
Octal D-Type Latch

3-22
3-22
3-22
3-18

SCLD001C
SCLD001C
SCLD001C
SCLD001C

Decade Counter
Binary Counter
Decade Counter
Binary Counter

1-63

II
><

Q,)

"'C

.5
CJ

'i:
Q,)

E

::s
c
CO
.c

c.

«

SN74HC534- SN74LS08
TIPART
NUMBER

II
»

-5"

::r
Q)

:s
c

3

.
CD

~.

:r
c..
CD
)C

GENERAL DESCRIPTION

SECTIONI
PAGE

TI
DOCUMENT

3-16
3-10
3-10
3-18

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC534
SN74HC540
SN74HC541
SN74HC563

Octal
Octal
Octal
Octal

SN74HC564
SN74HC573
SN74HC574
SN74HC590A

Octal D-Type Flip-Flop
Octal D-Type Latch
Octal D-Type Flip-Flop
Binary Counter With Output Register

3-16
3-18
3-16
3-22

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC594
SN74HC595
SN74HC604
SN74HC620

Shift Register With Output Register
Shift Register With Output Register
Octal 2-lnput Multiplexed Latch
Octal Bus Transceiver

3-19
3-19
3-18
3-11

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC623
SN74HC640
SN74HC643
SN74HC645

Octal
Octal
Octal
Octal

Bus Transceiver
Bus Transceiver
Bus Transceiver
Bus Transceiver

3-12
3-11
3-11
3-12

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC646
SN74HC648
SN74HC651
SN74HC652

Octal
Octal
Octal
Octal

Bus Transceiver and
Bus Transceiver and
Bus Transceiver and
Bus Transceiver and

3-11
3-11
3-11
3-11

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC658
SN74HC659
SN74HC664
SN74HC665

Octal
Octal
Octal
Octal

Bus Transceiver With
Bus Transceiver With
Bus Transceiver With
Bus Transceiver With

3-11
3-11
3-11
3-11

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC677
SN74HC678
SN74HC679
SN74HC680

16-Bit Address
16-Bit Address
12-Bit Address
12-Bit Address

3-28
3-28
3-28
3-28

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC682
SN74HC684
SN74HC688
SN74HC804

8-Bit Magnitude Comparator
8-Bit Magnitude Comparator
8-Bit Magnitude Comparator
Hex 2-lnput NAND Driver

3-28
3-28
3-28
3-4

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC805
SN74HC808
SN74HC832
SN74HC4002

Hex 2-lnput NOR Driver
Hex 2-lnput AND Driver
Hex 2-lnput OR Driver
Dual4-lnput NOR Gate

3-5
3-9
3-5
3-5

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC4017
SN74HC4020
SN74HC4024
SN74HC4040

Decade Counter/Divider
14-Stage Binary Counter
7-Bit Binary Counter
12-Bit Binary Counter

3-22
3-22
3-22
3-22

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC4060
SN74HC4061
SN74HC4075
SN74HC4078A

Asynchronous Binary Counter/Oscillator
Asynchronous Binary Counter/Oscillator
Triple 3-lnput OR Gate
8-lnput OR/NOR Gate

3-22
3-22
3-5
3-6

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC4514
SN74HC4515
SN74HC4724
SN74HC7001

4-to-16 Line Decoder/Latch
4-to-16 Line Decoder/Latch
8-Bit Addressable Latch
Quad AND Gate

3-25
3-25
3-18
3-4

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC7002
SN74HC7006
SN74HC7008
SN74HC7022

Quad NOR Gate
6-Section Multifunction Circuit
6-Section Multifunction Circuit
Octal Counter/Divider

3-5
3-7
3-7
3-22

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC7032
SN74HC7074
SN74HC7075
SN74HC7076

Quad OR Gate
6-Section Multifunction Circuit
6-Section Multifunction Circuit
6-Section Multifunction Circuit

3-5
3-15
3-15
3-15

SCLD001C
SCLD001C
SCLD001C
SCLD001C

SN74HC7266
SN74LSOO
SN74LS01
SN74LS02

Quad
Quad
Quad
Quad

3-6
3-4
3-4
3-5

SCLD001C
SDLD001A
SDLD001A
SDLD001A

SN74LS03
SN74LS04
SN74LS05
SN74LS08

Quad 2-lnput NAND Gate OC
Hex Inverter
Hex Inverter OC
Quad 2-lnput AND Gate

3-4
3-8
3-8
3-5

SDLD001A
SDLD001A
SDLD001A
SDLD001A

1-64

D-Type Flip-Flop
Buffer/Line Driver
Buffer/Line Driver
D-Type Latch

REFERENCE

Register
Register
Register
Register
Parity
Parity
Parity
Parity

Comparator
Comparator
Comparator
Comparator

2-lnput Exclusive NOR Gate
2-lnput NAND Gate
2-lnput NAND Gate OC
2-lnput NOR Gate

SN74LS09 - SN74LS155A
TI PART
NUMBER

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

TI
DOCUMENT

SN74LS09
SN74LS10
SN74LSll
SN74LS12

Quad 2-lnput AND Gate OC
Triple 3-lnput NAND Gate
Triple 3-lnput AND Gate
Triple 3-lnput NAND Gate OC

3-4
3-4
3-5
3-4

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS13
SN74LS14
SN74LS15
SN74LS19A

Dual4-lnput NAND Schmitt-Trigger
Hex Schmitt-Trigger Inverter
Triple 3-lnput AND Gate OC
Hex Schmitt-Trigger Inverter

3-4
3-8
3-4
3-8

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS20
SN74LS21
SN74LS22
SN74LS26

Dual4-lnput NAND Gate
Dual4-lnput AND Gate
Dual4-lnput NAND Gate
Quad 2-lnput NAND Gate

3-4
3-5
3-4
3-4

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS27
SN74LS28
SN74LS30
SN74LS31

Triple 3-lnput NOR Gate
Quad 2-lnput NOR Buffer
8-lnput NAND Gate
Delay Element

3-5
3-5
3-4
3-5

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS32
SN74LS33
SN74LS37
SN74LS38

Quad
Quad
Quad
Quad

3-5
3-5
3-4
3-4

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS40
SN74LS42
SN74LS47
SN74LS51

Dual4-lnput NAND Buffer
BCD-to-Decimal Decoder
BCD-7-Segment Decoder/Driver
Dual AND-OR-Invert Gate

3-4
3-25
3-26
3-6

SDLD001A
SDLD001A
SDLD001A
SDLDOO1A

SN74LS54
SN74LS55
SN74LS56
SN74LS57

AND-OR-Invert Gate
AND-OR-Invert Gate
Frequency Divider
Frequency Divider

3-6
3-6
3-23
3-23

SDLD001A
SDLD001A
SDLDOO1A
SDLD001A

SN74LS68
SN74LS69
SN74LS73A
SN74LS74A

Dual 4-Bit Decade Counter
Dual 4-Bit Binary Counter
Dual J-K Flip-Flop
Dual D-Type Flip-Flop

3-21
3-21
3-15
3-15

SDLDOO1A
SDLD001A
SDLD001A
SDLD001A

SN74LS75
SN74LS76A
SN74LS78A
SN74LS83A

4-Bit Latch
Dual J-K Flip-Flop
Dual J-K Flip-Flop
4-Bit Binary Adder

3-17
3-15
3-15
3-30

SDLD001A
SDLD001A
SDLD001A
SDLDOO1A

SN74LS85
SN74LS86A
SN74LS90
SN74LS91

4-Bit Magnitude Comparator
Quad 2-lnput Exclusive-OR Gate
Decade Counter
8-Bit Shift Register

3-28
3-6
3-22
3-19

SDLDOO1A
SDLD001A
SDLD001A
SDLDOO1A

SN74LS92
SN74LS93
SN74LS95B
SN74LS96

Divide-by- 12 Counter
4-Bit Binary Counter
4-Bit Shift Register
5-Bit Shift Register

3-22
3-22
3-19
3-19

SDLD001A
SDLD001A
SDLDOO1A
SDLD001A

SN74LS107A
SN74LS109A
SN74LS112A
SN74LS113A

Dual J-K Flip-Flop
Dual J-K Flip-Flop
Dual J-K Flip-Flop
Dual J-K Flip-Flop

3-15
3-15
3-15
3-15

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LSl14A
SN74LS122
SN74LS123
SN74LS125A

Dual J-K Flip-Flop
One Shot Multivibrator
Dual Monostable Multivibrator
Quad 3-State Buffer

3-15
3-17
3-17
3-10

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS126A
SN74LS132
SN74LS136
SN74LS137

Quad 3-State Buffer
Quad 2-lnput NAND Schmitt-Trigger
Quad 2-lnput Exclusive-OR Gate OC
3-8 Line Decoder/Demultiplexer

3-10
3-4
3-6
3-25

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS138
SN74LS139A
SN74LS145
SN74LS147

3-8 Line Decoder/Demultiplexer
Dual 2-4 Decoder/Demultiplexer
BCD-TO-Decimal Decoder/Driver
10-4 Line Encoder

3-25
3-25
3-26
3-24

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS148
SN74LS151
SN74LS153
SN74LS155A

8-3 Line Encoder
8-1 Data Selector/Multiplexer
Dual 4-1 Data Selector/Multiplexer
Dual 1-4 Decoder

3-24
3-24
3-24
3-25

SDLD001A
SDLD001A
SDLD001A
SDLDOO1A

2-lnput OR Gate
2-lnput NOR Buffer OC
2-lnput NAND Buffer
2-lnput NAND Buffer OC

1-65

II
>C

Q)

"C

.5
u

·C

Q)

E
:::s
c

CO

.c

Co

Ci

SN74LS156- SN74LS356
TIPART
NUMBER

II

GENERAL DESCRIPTION

REFERENCE

SECTION!
PAGE

TI
DOCUMENT

SN74lS156
SN74lS157
SN74lS158
SN74LS160A

Dual 1-4 Decoder DC
Quad 2-1 Data Selector/Multiplexer
Quad 2-1 Data Selector/Multiplexer
4-Bit Synchronous Decade Counter

3-25
3-24
3-24
3-21

SDlD001A
SDlD001A
SDLD001A
SDLD001A

SN74LS161A
SN74lS162A
SN74LS163A
SN74lS164

4-Bit Synchronous Binary Counter
4-Bit Synchronous Decade Counter
4-Bit Binary Counter
8-Bit Shift Register

3-21
3-21
3-21
3-19

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS165A
SN74LS166A
SN74LS169B
SN74LS171

8-Bit Shift Register
8-Bit Shift Register
4-Bit Synchronous Binary Counter
Dual D-Type Flip-Flop

3-19
3-19
3-21
3-15

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS173A
SN74lS174
SN74LS175
SN74LS181

Quad D-Type Register
HEX D-Type Flip-Flop
Quad D-Type Flip-Flop
4-BitALU

3-20
3-15
3-15
3-30

SDlD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS183
SN74LS190
SN74LS191
SN74lS192

Dual Carry-Save Full Adder
Dual Carry-Save Full Adder
Synchronous Up/Down Binary Counter
Synchronous Up/Down Decade Counter

3-30
3-21
3-21
3·21

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS193
SN74lS194A
SN74LS195A
SN74LS196

Synchronous Up/Down Binary Counter
4-Bit Universal Shift Register
4-Bit Shift Register
4-Bit BCD Counter

3-21
3-19
3-19
3-22

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS197
SN74LS221
SN74LS222A
SN74LS224A

4-Bit Binary Counter
Dual Monostable Multivibrator
FIFO Memory 16 X 4
FIFO Memory 16 X 4

3-22
3-17
3-31
3-31

SDLD001A
SDLD001A
SDVD001A
SDVD001A

SN74LS227
SN74LS228
SN74LS240
SN74LS241

FIFO Memory 16 X 4 DC
FIFO Memory 16 X 4 DC
Octal Buffer/Line Driver
Octal Buffer/Line Driver

3-31
3·31
3-10
3·10

SDVD001A
SDVD001A
SDLD001A
SDLD001A

SN74LS242
SN74LS243
SN74LS244
SN74LS245

Quad Bus Transceiver
Quad Bus Transceiver
Octal Buffer/Line Driver
Octal Bus Transceiver

3-11
3·11
3·10
3·11

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS247
SN74LS251
SN74LS253
SN74LS257B

BCD-to-7-Segment Decoder
8-1 Data Selector/Multiplexer
4-1 Data Selector/Multiplexer
Quad 2-lnput Data Selector/Multiplexer

3·26
3·24
3·24
3·24

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS258B
SN74LS259B
SN74LS266
SN74lS273

Quad 2-lnput Data Selector/Multiplexer
8-Bit Addressable Latch
Quad 2-lnput Exclusive-NOR Gate DC
Octal D-Type Flip-Flop

3-24
3·18
3-6
3-16

SDLD001A
SDLD001A
SDLD001A
SDlD001A

SN74LS279A
SN74LS280
SN74LS283
SN74LS290

Quad Set/Reset Latch
9·Bit Parity Generator/Checker
4-Bit Full Adder
Decade Counter

3-15
3·29
3·30
3·22

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS292
SN74LS293
SN74LS294
SN74LS295B

30-Bit Programmable Counter
4-Bit Binary Counter
16-Bit Programmable Counter
4-Bit Shift Register

3-23
3·22
3·23
3-19

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS297
SN74LS298
SN74LS299
SN74LS321

Digital Phase Lock Loop
Quad 2-lnput Multiplexer
8-Bit Shift Register
Crystal Controlled Oscillator

3·27
3·20
3·19
3-26

SDLD001A
SDLD001A
SDLD001A
SDlD001A

SN74LS322A
SN74LS323
SN74LS348
SN74LS352

8-Bit Shift Register
8-Bit Shift/Storage Register
8-3 Line Encoder
Dual Data Selector/Multiplexer

3·19
3·19
3·24
3-20

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS353
SN74LS354
SN74LS355
SN74LS356

Dual Data Selector/Multiplexer
8-1 Selector/Multiplexer Register
8-1 Selector/Multiplexer Register
8-1 Selector/Multiplexer Register

3-20
3·20
3-24
3-20

SDLD001A
SDLD001A
SDLD001A
SDLD001A

1-66

SN74LS365A - SN74LS652
TI PART
NUMBER

GENERAL DESCRIPTION

SN74LS365A
SN74LS366A
SN74LS367A
SN74LS368A

Hex Bus
Hex Bus
Hex Bus
Hex Bus

SN74LS373
SN74LS374
SN74LS375
SN74LS377

Driver
Driver
Driver
Driver

REFERENCE

SECTION!
PAGE

TI
DOCUMENT

3-9
3-9
3-9
3-9

SDLD001A
SDLD001A
SDLD001A
SDLD001A

Octal D-Type Latch
Octal D-Type Flip-Flop
4-Bit Bistable Latch
Octal D-Type Flip-Flop

3-18
3-16
3-17
3-16

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS378
SN74LS379
SN74LS381A
SN74LS382A

Hex D-Type Flip-Flop
Quad D-Type Flip-Flop
ALU/Function Generator
ALU/Function Generator

3-15
3-15
3-30
3-30

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS390
SN74LS393
SN74LS395A
SN74LS396

4-Bit Decade Counter
4-Bit Binary Counter
4-Bit Cascadable Shift Register
Octal Storage Register

3-22
3-22
3-19
3-20

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS399
SN74LS423
SN74LS442
SN74LS444

Quad 2-lnput Multiplexerwith Storage
Retriggerable Multivibrator
Bus Transceiver
Bus Transceiver

3-24
3-17
3-11
3-11

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS445
SN74LS446
SN74lS465
SN74LS466

BCD-Decimal Decoder/Driver
Bus Transceiver
Bus Transceiver
Octal Buffer

3-11
3-26
3-10
3-10

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS468
SN74LS490
SN74LS540
SN74LS541

Octal Buffer
Dual 4-Bit Decade Counter
Octal Buffer/Line Driver
Octal Buffer/Line Driver

3-10
3-22
3-10
3-10

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS590
SN74LS591
SN74LS592
SN74LS593

Binary Counter with
Binary Counter with
Binary Counter with
Binary Counter with

3-22
3-22
3-22
3-22

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS594
SN74LS595
SN74LS596
SN74LS597

Shift Register with
Shift Register with
Shift Register with
Shift Register with

Output Latch
Output Latch
Output Latch
Input Latch

3-19
3-19
3-19
3-19

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS598
SN74LS599
SN74LS604
SN74LS607

Shift Register with Input Latch
Shift Register with Output Latch
16-to-18 Multiplexed Latch
16-to-8 Multiplexed Latch

3-19
3-19
3-18
3-18

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS610
SN74LS612
SN74LS620
SN74LS621

Memory Mapper with Latch
Memory Mapper
Octal Bus Transceiver
Octal Bus Transceiver

4-74
4-74
3-11
3-9

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS623
SN74LS624
SN74LS625
SN74LS626

Octal Bus Transceiver
Voltage-Controlled Oscillator
Voltage-Controlled Oscillator
Voltage-Controlled Oscillator

3-12
3-26
3-26
3-26

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS628
SN74LS629
SN74LS630
SN74LS638

Voltage-Controlled Oscillator
Voltage-Controlled Oscillator
Error Detection and Correction Circuit
Octal Bus Transceiver

3-26
3-26
3-29
3-10

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS639
SN74LS640
SN74LS641
SN74LS642

Octal
Octal
Octal
Octal

Bus Transceiver
Bus Transceiver
Bus Transceiver
Bus Transceiver

3-9
3-11
3-9
3-10

SDLDOO1A
SDLD001A
SDLD001A
SDLD001A

SN74LS644
SN74LS645
SN74LS646
SN74LS647

Octal
Octal
Octal
Octal

Bus Transceiver
Bus Transceiver
Bus Transceiver and Register
Bus Transceiver and Register

3-10
3-12
3-11
3-10

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS648
SN74LS649
SN74LS651
SN74LS652

Octal
Octal
Octal
Octal

Bus Transceiver and
Bus Transceiver and
Bus Transceiver and
Bus Transceiver and

3-11
3-10
3-11
3-11

SDLD001A
SDLD001A
SDLD001A
SDLD001A

Output Register
Output Register
Input Register
Input Register

Register
Register
Register
Register

1-67

II
~

"C

.5
u

'i:
Q)

E

=
t::
CO

.c

c.
~

SN74LS653 - SN74S181
TIPART
NUMBER

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

TI
DOCUMENT

SN74LS653
SN74LS668
SN74LS669
SN74LS670

Octal Bus Transceiver and Register
4-Bit Up/Down Counter
4-Bit Up/Down Counter
4-by-4 Register File

3-10
3-21
3-21
3-20

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS671
SN74LS672
SN74LS673
SN74LS674

4-Bit Shift Register/Latch
4-Bit Shift Register/Latch
16-Bit Shift Register
16-Bit Shift Register

3-19
3-19
3-19
3-19

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74LS681
SN74LS682
SN74LS683
SN74LS684

4-Bit Binary Accumulator
8-Bit Identity Comparator
8-Bit Identity Comparator
8-Bit Identity Comparator

3-30
3-28
3-28
3-28

SDLD001A
SDLD001A
SDLD001A
SDLD001A

.

SN74LS685
SN74LS686
SN74LS687
SN74LS693

8-Bit Identity Comparator
8-Bit Identity Comparator
8-Bit Identity Comparator
Synchronous Counter/Register

3-28
3-28
3-28
3-21

SDLD001A
SDLD001A
SDLD001A
SDLD001A

~

SN74LS696
SN74LS697
SN74LS699
SN74SDB8800

Synchronous Up/Down Binary Counter
Synchronous Up/Down Binary Counter
Synchronous Up/Down Binary Counter
8800 Software Development Board

3-21
3-21
3-21
4-51

SDLD001A
SDLD001A
SDLD001A
SCSU003

SN74S00
SN74S02
SN74S03
SN74S04

Quad 2-lnput NAND Gate
Quad 2-lnput NOR Gate
Quad 2-lnput NAND Gate OC
Hex Inverter

3-4
3-5
3-4
3-8

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74S05
SN74S08
SN74S09
SN74S10

Hex Inverter OC
Quad 2-lnput AND Gate
Quad 2-lnput AND Gate OC
Triple 3-lnput NAND Gate

3-8
3-5
3-4
3-4

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74S11
SN74S15
SN74S20
SN74S22

Triple 3-lnput AND Gate
Triple 3-lnput AND Gate OC
Dual4-lnput NAND Gate
Dual4-lnput NAND Gate

3-5
3-4
3-4
3-4

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74S30
SN74S32
SN74S37
SN74S38

8-lnput NAND Gate
Quad 2-lnput OR Gate
Quad 2-lnput NAND Buffer
Quad 2-lnput NAND Buffer OC

3-4
3-5
3-4
3-4

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74S40
SN74S51
SN74S64
SN74S65

Dual4-lnput NAND Buffer
Dual AND-OR-Invert Gate
4-2-3-2 AND-OR-Invert Gate
4-2-3-2 AND-OR-Invert Gate

3-4
3-6
3-6
3-6

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74S74A
SN74S85
SN74S86A
SN74S112A

Dual D-Type Flip-Flop
4-Bit Magnitude Comparator
Quad 2-lnput Exclusive OR Gate
Dual J-K Flip-Flop

3-15
3-28
3-6
3-15

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74S113A
SN74S114A
SN74S124
SN74S132

Dual J-K Flip-Flop
Dual J-K Flip-Flop
Dual Voltage-Controlled Oscillators
Quad 2-lnput NAND Schmitt-Trigger

3-15
3-15
3-26
3-4

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74S133
SN74S134
SN74S135
SN74S138

13-lnput NAND Gate
12-lnput NAND Gate
Quad Exclusive-OR/NOR Gate
3-8 Line Decoder/Demultiplexer

3-4
3-4
3-6
3-25

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74S139A
SN74S140
SN74S151
SN74S153

Dual 2-4 Decoder/Demultiplexer
Dual4-lnput NAND Line Driver
8-1 Data Selector/Multiplexer
Dual 4-1 Data Selector/Multiplexer

3-25
3-13
3-24
3-24

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74S157
SN74S158
SN74S162A
SN74S163A

Quad 2-1 Data Selector/Multiplexer
Quad 2-1 Data Selector/Multiplexer
4-Bit Synchronous Decade Counter
4-Bit Binary Counter

3-24
3-24
3-21
3-21

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74S169B
SN74S174
SN74S175
SN74S181

4-Bit Synchronous Binary Counter
HEX D-Type Flip-Flop
Quad D-Type Flip-Flop
4-BitALU

3-21
3-15
3-15
3-30

SDLD001A
SDLD001A
SDLD001A
SDLD001A

II
~
:r
Q)

"C
::::I
C

3
ri'
:r
c.
CD

'-68

SN74S182 - SN7485

TIPART
NUMBER

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

TI
DOCUMENT

SN74S182
SN74S194A
SN74S195A
SN74S196

Look-Ahead Carry Generator
4-Bit Universal Shift Register
4-Bit Shift Register
4-Bit BCD Counter

3-30
3-19
3-19
3-22

SDlD001A
SDLD001A
SDlD001A
SDlD001A

SN74S197
SN74S240
SN74S241
SN74S244

4-Bit Binary Counter
Octal Buffer/Line Driver
Octal Buffer/Line Driver
Octal Buffer/Line Driver

3-22
3-10
3-10
3-10

SDlD001A
SDLD001A
SDLD001A
SDLD001A

SN74S251
SN74S253
SN74S258B
SN74S273

8-1 Data Selector/Multiplexer
4-1 Data Selector/Multiplexer
Quad 2-lnput Data Selector/Multiplexer
Octal D-Type Flip-Flop

3-24
3-24
3-24
3-16

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74S280
SN74S283
SN74S298
SN74S373

9-Bit Parity Generator/Checker
4-Bit Full Adder
Quad 2-lnput Multiplexer
Octal D-Type Latch

3-29
3-30
3-20
3-18

SDlD001A
SDlD001A
SDLD001A
SDLD001A

SN74S374
SN74S377
SN74S381A
SN7400

Octal D-Type Flip-Flop
Octal D-Type Flip-Flop
ALUlFunction Generator
Quad 2-lnput NAND Gate

3-16
3-16
3-30
3-4

SDLD001A
SDLD001A
SDlD001A
SDLD001A

SN7401
SN7402
SN7403
SN7404

Quad 2-lnput NAND Gate DC
Quad 2-lnput NOR Gate
Quad 2-lnput NAND Gate DC
Hex Inverter

3-4
3-5
3-4
3-8

SDLD001A
SDlD001A
SDLD001A
SDLD001A

SN7405
SN7406
SN7407
SN7408

Hex Inverter DC
Hex Inverter Buffer/Driver
Hex Buffer/Driver
Quad 2-lnput AND Gate

3-8
3-8
3-9
3-5

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN7409
SN7410
SN7412
SN7413

Quad 2-lnput AND Gate DC
Triple 3-lnput NAND Gate
Triple 3-lnput NAND Gate DC
Dual4-lnput NAND Schmitt-Trigger

3-4
3-4
3-4
3-4

SDlD001A
SDlD001A
SDlDOO1A
SDLD001A

SN7414
SN7416
SN7417
SN7420

Hex Schmitt-Trigger Inverter
Hex Inverter Buffer/Driver
Hex Buffer/Driver
Dual 4-lnput NAND Gate

3-8
3-8
3-9
3-4

SDlDOO1A
SDlD001A
SDlD001A
SDlD001A

SN7422
SN7423
SN7425
SN7426

Dual4-lnput NAND Gate
Dual4-lnput NOR Gate
Dual4-lnput NOR Gate
Quad 2-lnput NAND Gate

3-4
3-6
3-5
3-4

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN7427
SN7428
SN7430
SN7432

Triple 3-lnput NOR Gate
Quad 2-lnput NOR Buffer
8-lnput NAND Gate
Quad 2-lnput OR Gate

3-5
3-5
3-4
3-5

SDLD001A
SDLD001A
SDlD001A
SDLDOO1A

SN7433
SN7437
SN7438
SN7439

Quad 2-lnput NOR Buffer DC
Quad 2-lnput NAND Buffer
Quad 2-lnput NAND Buffer DC
Quad 2-lnput NAND Buffer DC

3-5
3-4
3-4
3-4

SDlD001A
SDLD001A
SDLD001A
SDlD001A

SN7440
SN7442
SN7445
SN7446A

Dual4-lnput NAND Buffer
BCD-to-Decimal Decoder
BCD-to-Decimal Decoder Driver
BCD-7-Segment Decoder/Driver

3-4
3-25
3-26
3-26

SDLD001A
SDLD001A
SDlD001A
SDlDOO1A

SN7447A
SN7450
SN7451
SN7453

BCD-7-Segment Decoder/Driver
Dual AND-DR-Invert Gate
Dual AND-DR-Invert Gate
AND-DR-Invert Gate

3-26
3-6
3-6
3-6

SDLD001A
SDLD001A
SDlD001A
SDLD001A

SN7454
SN7470
SN7473
SN7474

AND-DR-Invert Gate
AND-Gated J-K Flip-Flop
Dual J-K Flip-Flop
Dual D-Type Flip-Flop

3-6
3-15
3-15
3-15

SDLD001A
SDLD001A
SDlD001A
SDLDOO1A

SN7475
SN7476A
SN7483A
SN7485

4-Bit Latch
Dual J-K Flip-Flop
4-Bit Binary Adder
4-Bit Magnitude Comparator

3-17
3-15
3-30
3-28

SDLD001A
SDLD001A
SDLD001A
SDLDOO1A

1-69

II
>C

CI)

".5·Cu
CI)

E
~

c

ca
.r:.

Q.

Ci

SN7486A - SN74265

TIPART
NUMBER

II

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

TI
DOCUMENT

SN7486A
SN7490
SN7492
SN7493

Quad 2-lnput Exclusive-OR Gate
Decade Counter
Divide-by-12 Counter
4-Bit Binary Counter

3-6
3-22
3-22
3-22

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN7495B
SN7496
SN7497
SN74107A

4-Bit Shift Register
5-Bit Shift Register
Synchronous 6-Bit Binary Rate Multiplier
Dual J-K Flip-Flop

3-19
3-19
3-23
3-15

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74109A
SN74116
SN74120
SN74121

Dual J-K Flip-Flop
Dual 4-Bit Latch
Dual Pulse Synchronizer/Driver
Monostable Multivibrator

3-15
3-18
3-13
3-17

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74122
SN74123
SN74125A
SN74126A

One-Shot Multivibrator
Dual Monostable Multivibrator
Quad 3-State Buffer
Quad 3-State Buffer

3-17
3-17
3-10
3-10

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74128
SN74132
SN74136
SN74143

Line Driver
Quad 2-lnput NAND Schmitt-Trigger
Quad 2-lnput Exclusive-OR Gate OC
4-Bit Counter/Latch

3-13
3-4
3-6
3-26

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74145
SN74147
SN74148
SN74150

BCD-to-Decimal Decoder/Driver
10-4 Line Encoder
8-3 Line Encoder
Data Selector/Multiplexer

3-26
3-24
3-24
3-24

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74151
SN74153
SN74154
SN74155A

8-1 Data Selector/Multiplexer
Dual 4-1 Data Selector/Multiplexer
4-16 Decoder/Demultiplexer
Dual 1-4 Decoder

3-24
3-24
3-25
3-25

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74156
SN74157
SN74159
SN74160A

Dual 1-4 Decoder OC
Quad 2-1 Data Selector/Multiplexer
4-16 Decoder/Demultiplexer
4-Bit Synchronous Decade Counter

3-25
3-24
3-25
3-21

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74161A
SN74162A
SN74163A
SN74164

4-Bit Synchronous Binary Counter
4-Bit Synchronous Decade Counter
4-Bit Binary Counter
8-Bit Shift Register

3-21
3-21
3-21
3-19

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74165A
SN74166A
SN74167
SN74170

8-Bit Shift Register
8-Bit Shift Register
Synchronous Decade Rate Multiplier
4-by-4 Register File

3-19
3-19
3-23
3-20

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74172
SN74173A
SN74174
SN74175

16-Bit Register File
Quad D-Type Register
HEX D-Type Flip-Flop
Quad D-Type Flip-Flop

3-20
3-20
3-15
3-15

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74176
SN74177
SN74178
SN74180

35 MHz Decade Binary Counter/Latch
35 MHz Decade Binary Counter/Latch
4-Bit Parallel-Access Shift Register
9-Bit Odd-Even Parity Generator Checker

3-22
3-22
3-19
3-29

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74181
SN74182
SN74190
SN74191

4-BitALU
Look Ahead Carry Generator
Synchronous Up/Down Decade Counter
Synchronous Up/Down Binary Counter

3-30
3-30
3-21
3-21

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74192
SN74193
SN74194A
SN74195A

Synchronous Up/Down Decade Counter
Synchronous Up/Down Binary Counter
4-Bit Universal Shift Register
4-Bit Shift Register

3-21
3-21
3-19
3-19

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74196
SN74197
SN74198
SN74199

4-Bit BCD Counter
4-Bit Binary Counter
8-Bit Shift Register
8-Bit Shift Register

3-22
3-22
3-19
3-19

SDLD001A
SDLD001A
SDLD001A
SDLD001A

SN74221
SN74251
SN74259B
SN74265

Dual Monostable Multivibrator
8-1 Data Selector/Multiplexer
8-Bit Addressable Latch
Quad Complementary-Output Element

3-17
3-24
3-18
3-7

SDLD001A
SDLD001A
SDLD001A
SDLD001A

1-70

SN74273 - SN75122

TI PART
NUMBER

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

TI
DOCUMENT

SN74273
SN74276
SN74279A
SN74283

Octal D-Type Flip-Flop
Quad J-K Flip-Flop
Quad Set/Reset Latch
4-Bit Full Adder

3-16
3-15
3-15
3-30

SDLD001A
SDLD001A
SDLDOO1A
SDLD001A

SN74290
SN74292
SN74293
SN74298

Decade Counter
30-Bit Programmable Counter
4-Bit Binary Counter
Quad 2-lnput MUltiplexer

3-22
3-23
3-22
3-20

SDLD001A
SDLD001A
SDLD001A
SDLDOO1A

SN74365A
SN74366A
SN74367A
SN74368A

Hex
Hex
Hex
Hex

3-9
3-9
3-9
3-9

SDLD001A
SDLD001A
SDLDOO1A
SDLD001A

SN74376
SN74390
SN74393
SN74440

Quad J-K Flip-Flop
4-Bit Decade Counter
4-Bit Binary Counter
Quad Tridirectional Bus Transceiver

3-15
3-22
3-22
3-9

SDLD001A
SDLD001A
SDLD001A
SDLD001A

'i:

SN74441
SN74442
SN74490
SN75ALS053

Quad Tridirectional Bus Transceiver
Bus Transceiver
Dual 4-Bit Decade Counter
Line Transceiver

3-9
3-11
3-22
6-4

SDLD001A
SDLD001A
SDLD001A
SLLS028A

CO

SN75ALS056
SN75ALS057
SN75ALS085
SN75ALS121

Bus Transceiver, Trapezoidal
Bus Transceiver, Trapezoidal
Driver/Reveiver, Dual LAN Interface
Line Driver

6-4
6-4
6-3
6-2

SLLS028A
SLLS028A
SLLS054
SLLS030

SN75ALS123
SN75ALS125
SN75ALS126
SN75ALS127

Line
Line
Line
Line

6-3
6-3
6-2
6-3

SLLS031
SLLS031
SLYD002
SLLS027A

SN75ALS130
SN75ALS160
SN75ALS161
SN75ALS162

Line Driver
Bus Transceiver
Bus Transceiver
Bus Transceiver

6-2
6-4
6-4
6-4

SLYD002
SLYD002
SLYD002
SLYD002

SN75ALS163
SN75ALS164
SN75ALS165
SN75ALS176

Bus Transceiver
Bus Transceiver
Bus Transceiver
Line Transceiver

6-4
6-4
6-4
6-4

SLYD002
SLYD002
SLYD002
SLLS040A

SN75ALS193
SN75ALS195
SN75ALS197
SN75ALS199

Line
Line
Line
Line

6-2
6-2
6-2
6-2

SLYD002
SLYD002
SLLS045
SLLS046

SN75AS030
SN75C188
SN75C189
SN75C189A

Line Transceiver
Line Driver
Line Receiver
Line Receiver

6-4
6-2
6-3
6-3

SLLS043
SLLS033
SLYD002
SLYD002

SN75C1154
SN75C1406
SN75061
SN75107A

Line Transceiver
Line Transceiver
Line Driver and Receiver with Squelch
Line Receiver

6-4
6-4
6-4
6-3

TBA
TBA
SLYD002
SLYDOO2

SN75107B
SN75108A
SN75108B
SN75109A

Line
Line
Line
Line

Receiver
Receiver
Receiver
Driver

6-3
6-3
6-3
6-2

SLYDOO2
SLYDOO2
SLYD002
SLYDOO2

SN75110A
SN75111
SN75112
SN75113

Line
Line
Line
Line

Driver
Driver
Driver
Driver

6-2
6-2
6-2
6-2

SLYDOO2
SLYDOO2
SLYD002
SLYDOO2

SN75114
SN75115
SN75116
SN75117

Line Driver
Line Receiver
Line Transceiver
Line Transceiver

6-2
6-3
6-4
6-4

SLYDOO2
SLYD002
SLYDOO2
SLYDOO2

SN75118
SN75119
SN75121
SN75122

Line Transceiver
Line Transceiver
Line Driver
Line Receiver

6-4
6-4
6-2
6-3

SLYD002
SLYDOO2
SLYDOO2
SLYDOO2

Bus
Bus
Bus
Bus

Driver
Driver
Driver
Driver

Receiver
Receiver
Driver
Receiver

Receiver
Receiver
Receiver
Receiver

1-71

><

(1)

"C

.5
CJ

(1)

E
:::s

c:

.c

a.

C

GENERAL DESCRIPTION

REFERENCE

SECTION/
PAGE

TI
DOCUMENT

6-2
6-3
6-3
6-3

SLYDOO2
SLYDOO2
SLYDOO2
SLYDOO2

Line Receiver
Line Receiver
Bus Transceiver
Bus Transceiver

6-3
6-3
6-4
6-4

SLYDOO2
SLYDOO2
SLYDOO2
SLYDOO2

SN75140
SN75141
SN75146
SN75150

Line
Line
Line
Line

Receiver
Receiver
Receiver
Driver

6-3
6-3
6-2
6-2

SLYDOO2
SLYDOO2
SLYDOO2
SLYDOO2

SN75152
SN75154
SN75155
SN75157

Line
Line
Line
Line

Receiver
Receiver
Driver
Receiver

6-3
6-3
6-4
6-2

SLYDOO2
SLYDOO2
SLYDOO2
SLYDOO2

SN75160B
SN75161B
SN75162B
SN75163B

Bus Transceiver
Bus Transceiver
Bus Transceiver
Bus Transceiver

6-4
6-4
6-4
6-4

SLYDOO2
SLYDOO2
SLYDOO2
SLYDOO2

SN75164B
SN75172
SN75173
SN75174

Bus Transceiver
Line Driver
Line Receiver
Line Driver

6-4
6-2
6-2
6-2

SLYDOO2
SLYDOO2
SLYDOO2
SLYDOO2

SN75175
SN75176B
SN75177B
SN75178B

Line Receiver
Bus Transceiver
Bus Repeater
Bus Repeater

6-2
6-4
6-4
6-4

SLYDOO2
SLYDOO2
SLYDOO2
SLYDOO2

SN75179B
SN75182
SN75183
SN75188

Line
Line
Line
Line

Driver and Receiver
Receiver
Driver
Driver

6-4
6-3
6-2
6-2

SLYDOO2
SLYDOO2
SLYDOO2
SLYDOO2

SN75189
SN75189A
SN75207
SN75207B

Line
Line
Line
Line

Receiver
Receiver
Receiver
Receiver

6-3
6-3
6-3
6-3

SLYDOO2
SLYDOO2
SLYDOO2
SLYDOO2

SN75208
SN75372
SN75374
SN75435

Line Receiver
MOSFET Driver
MOSFET Driver
Actuator/Driver

6-9
6-7
6-7
6-7

SLYDOO2
SLYDOO2
SLYDOO2
SLYDOO2

SN75436
SN75437A
SN75438
SN75446

Actuator/Driver
Actuator/Driver
Actuator/Driver
Actuator/Driver

6-7
6-7
6-7
6-7

SLYDOO2
SLYDOO2
SLYDOO2
SLYDOO2

SN75447
SN75448
SN75449
SN75451B

Actuator/Driver
Actuator/Driver
Actuator/Driver
Actuator/Driver

6-7
6-7
6-7
6-7

SLYDOO2
SLYDOO2
SLYDOO2
SLYDOO2

SN75452B
SN75453B
SN75454B
SN75461

Actuator/Driver
Actuator/Driver
Actuator/Driver
Actuator/Driver

6-7
6-7
6-7
6-7

SLYDOO2
SLYDOO2
SLYDOO2
SLYDOO2

SN75462
SN75463
SN75465
SN75466

Actuator/Driver
Actuator/Driver
Actuator/Driver
Actuator/Driver

6-7
6-7
6-7
6-7

SLYDOO2
SLYDOO2
SLYDOO2
SLYDOO2

SN75467
SN75468
SN75469
SN75471

Actuator/Driver
Actuator/Driver
Actuator/Driver
Actuator/Driver

6-7
6-7
6-7
6-7

SLYDOO2
SLYDOO2
SLYDOO2
SLYDOO2

SN75472
SN75473
SN75476
SN75477

Actuator/Driver
Actuator/Driver
Actuator/Driver
Actuator/Driver

6-7
6-7
6-7
6-7

SLYDOO2
SLYDOO2
SLYDOO2
SLYDOO2

SN75123
SN75124
SN75125
SN75127

Line
Line
Line
Line

SN75128
SN75129
SN75136
SN75138

1-72

Driver
Receiver
Receiver
Receiver

SN75478 - TCM2916
TIPART
NUMBER

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

TI
DOCUMENT

SN75478
SN75479
SN75500E
SN75501E

Actuator/Driver
Actuato rID river
Display Driver
Display Driver

6-7
6-7
6-6
6-6

SLYDOO2
SLYDOO2
SLYDOO2
SLYDOO2

SN75512B
SN75518
SN75551
SN75552

Display
Display
Display
Display

Driver
Driver
Driver
Driver

6-6
6-6
6-5
6-5

SLYDOO2
SLYDOO2
SLYDOO2
SLYDOO2

SN751506
SN751508
SN751516
SN751518

Display Driver
Display Driver
Display Driver
Display Driver

6-6
6-6
6-6
6-6

SLYDOO2
SLYDOO2
SLYDOO2
SLYDOO2

SN754410
SN754411
SN76494
SN76494A

Motor Driver
Motor Driver
Sound Generator
Sound Generator

6-8
6-8
7-27
7-27

SLYDOO2
SLYDOO2
SLYDOO3
SLYDOO3

SN76496
SN76496A
SN 1OOKT5538
SN100KT5539

Sound Generator
Sound Generator
ECl-TIl Octal Bus Driver, Inverting, OC
ECl-TIl Octal Bus Driver, OC

7-27
7-27
3-32
3-32

SLYDOO3
SLYDOO3
TBA
TBA

SN 1OOKT5540
SN100KT5541
SN 1OOKT5542
SN 1OOKT5543

ECl-TIl Octal
ECl-TIl Octal
TTl-ECl Octal
TIl-ECl Octal

Bus Driver, Inverting, 3-State
Bus Driver, 3-State
Bus Driver, Inverting
Bus Driver

3-32
3-32
3-32
3-32

TBA
TBA
SDZSOO2A
SDZSOO2A

SN 100KT5562
SN100KT5563
SN100KT5564
SN100KT5565

ECl-TIl Octal
ECl-TIl Octal
ECl-TIl Octal
ECl-TIl Octal

Bus Transceiver
Bus Transceiver, Inverting
Bus Transceiver
Bus Transceiver

3-32
3-32
3-32
3-32

TBA
TBA
TBA
TBA

SN100KT5573
SN100KT5574
SN100KT5575
SN100KT5576

ECl-TIl Octal
ECl-TIl Octal
ECl-TIl Octal
ECl-TIl Octal

D-Type
D-Type
D-Type
D-Type

latch, 3-State
Flip-Flop, 3-State
latch, Inverting, 3-State
Flip-Flop, Inv, 3-State

3-32
3-32
3-32
3-32

TBA
TBA
TBA
TBA

SN100KT5577
SN100KT5578
SN100KT5579
SN100KT5580

TTl-ECl Octal
TTl-ECl Octal
TTl-ECl Octal
TTl-ECl Octal

D-Type
D-Type
D-Type
D-Type

latch
Flip-Flop
latch, Inverting
Flip-Flop, Inverting

3-32
3-32
3-32
3-32

TBA
TBA
TBA
TBA

SN100KT5590
SN100KT5591
SN100KT5592
SN100KT5593

ECl-TIl Octal
ECl-TIl Octal
ECl-TIl Octal
ECl-TIl Octal

Registered
Registered
Registered
Registered

3-32
3-32
3-32
3-32

TBA
TBA
TBA
TBA

SN100KT5646
SN100KT5648
TCK102
TCK103

ECl-TIl Octal Registered Bus Transceiver
ECl-TIl Octal Registered Bus Transceiver, Inv
TC102 Evaluation Kit
TC103 Evaluation Kit

3-32
3-32
8-2
8-2

TBA
TBA
SOYDOO2
SOYDOO2

TCK104
TCK106
TCK108
TCM29C13

TC104 Evaluation Kit
Image Sensor Evaluation Kit
Evaluation Kit
PCM CODEC and Filter

8-2
8-2
8-2
9-2

SOYDOO2
SOYDOO2
TBA
SCTDOO1A

TCM29C14
TCM29C16
TCM29C17
TCM29C18

PCM
PCM
PCM
PCM

CODEC and
CODEC and
CODEC and
CODEC and

Filter
Filter
Filter
Filter

9-2
9-2
9-2
9-2

SCTDOO1A
SCTDOO1A
SCTDOO1A
SCTS021

TCM29C19
TCM29C23
TCM129C13
TCM129C14

PCM
PCM
PCM
PCM

CODEC and
CODEC and
CODEC and
CODEC and

Filter
Filter
Filter
Filter

9-2
9-2
9-3
9-3

SCTS021
SCTDOO1A
SCTDOO1A
SCTDOO1A

TCM129C16
TCM129C17
TCM129C18
TCM129C19

PCM CODEC and
PCM CODEC and
PCM CODEC and
PCM CODEC and

Filter
Filter
Filter
Filter

9-3
9-3
9-3
9-3

SCTDOO1A
SCTDOO1A
SCTDOO1A
SCTDOO1A

TCM2912C
TCM2913
TCM2914
TCM2916

PCM
PCM
PCM
PCM

Filter
Filter
Filter
Filter

9-2
9-2
9-2
9-2

SCTDOO1A
SCTDOO1A
SCTDOO1A
SCTDOO1A

CODEC and
CODEC and
CODEC and
CODEC and

Transceiver
Transceiver, Inverting
Bus Transceiver
Bus Transceiver, Inv

1-73

II
~
.E

"C
(,)

'i:
Q)

E
::::s

c
CO
.c
c.

<

Q)

"C

.5
u

'':::

Q)

E
:::s

c
ctI
.c

c.

a:

TIB82S105BC- TIL304
TI PART
NUMBER

II
»

'5"
:r
I»

:::s

c

3
<

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

TI
DOCUMENT

TLC27M2BC
TLC27M2BI
TLC27M2C
TLC27M21

Operational
Operational
Operational
Operational

Amplifier
Amplifier
Amplifier
Amplifier

7-10
7-9
7-10
7-9

SLYD003
SLYD003
SLYD003
SLYD003

TLC27M2M
TLC27M4AC
TLC27M4AI
TLC27M4AI

Operational Amplifier
Operational Amplifier
Operational Amplifier
Operational Amplifier

7-8
7-14
7-12
7-13

SLYD003
SLYD003
SLYD003
SLYD003

TLC27M4BC
TLC27M4BI
TLC27M4C
TLC27M41

Operational
Operational
Operational
Operational

Amplifier
Amplifier
Amplifier
Amplifier

7-14
7-12
7-14
7-12

SLYD003
SLYD003
SLYD003
SLYD003

TLC27M4M
TLC27M7C
TLC27M71
TLC27M7M

Operational
Operational
Operational
Operational

Amplifier
Amplifier
Amplifier
Amplifier

7-11
7-10
7-9
7-8

SLYD003
SLYD003
SLYD003
SLYD003

TLC27M9C
TLC27M91
TLC27M9M
TLC139

Operational Amplifier
Operational Amplifier
Operational Amplifier
Voltage Comparator

7-14
7-12
7-11

SLYD003
SLYD003
SLYD003

TLC193
TLC251AC
TLC251BC
TLC251C

Voltage Comparator
Operational Amplifier
Operational Amplifier
Operational Amplifier

7-6
7-6
7-6

SLYD003
SLYD003
SLYD003

TLC252AC
TLC252BC
TLC252C
TLC254AC

Operational
Operational
Operational
Operational

Amplifier
Amplifier
Amplifier
Amplifier

7-10
7-10
7-10
7-14

SLYD003
SLYD003
SLYD003
SLYD003

TLC254BC
TLC254C
TLC271AC
TLC271AI

Operational
Operational
Operational
Operational

Amplifier
Amplifier
Amplifier
Amplifier

7-14
7-14
7-7
7-5

SLYD003
SLYD003
SLYD003
SLYD003

TLC271BC
TLC271BI
TLC271C
TLC2711

Operational
Operational
Operational
Operational

Amplifier
Amplifier
Amplifier
Amplifier

7-7
7-4
7-7
7-4

SLYD003
SLYD003
SLYD003
SLYD003

TLC271M
TLC272AC
TLC272AI
TLC272BC

Operational
Operational
Operational
Operational

Amplifier
Amplifier
Amplifier
Amplifier

7-3
7-10
7-9
7-10

SLYD003
SLYD003
SLYD003
SLYD003

TLC272BI
TLC272C
TLC2721
TLC272M

Operational
Operational
Operational
Operational

Amplifier
Amplifier
Amplifier
Amplifier

7-9
7-10
7-9
7-8

SLYD003
SLYD003
SLYD003
SLYD003

TLC274AC
TLC274AI
TLC274BC
TLC274BI

Operational
Operational
Operational
Operational

Amplifier
Amplifier
Amplifier
Amplifier

7-14
7-12
7-14
7-12

SLYD003
SLYD003
SLYD003
SLYD003

TLC274C
TLC2741
TLC274M
TLC277C

Operational
Operational
Operational
Operational

Amplifier
Amplifier
Amplifier
Amplifier

7-14
7-12
7-11
7-10

SLYD003
SLYD003
SLYD003
SLYD003

TLC2771
TLC277M
TLC279C
TLC2791

Operational
Operational
Operational
Operational

Amplifier
Amplifier
Amplifier
Amplifier

7-9
7-8
7-14
7-12

SLYD003
SLYD003
SLYD003
SLYD003

TLC279M
TLC339C
TLC3391
TLC339M

Operational Amplifier
Voltage Comparator
Voltage Comparator
Voltage Comparator

7-11
7-17
7-17
7-16

SLYD003
SLYD003
SLYD003
SLYD003

TLC352C
TLC3521
TLC352M
TLC354C

Voltage
Voltage
Voltage
Voltage

7-17
7-16
7-15
7-17

SLYD003
SLYD003
SLYD003
SLYD003

1-78

Comparator
Comparator
Comparator
Comparator

TLC3541- TLC2654AC
TIPART
NUMBER

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

TI
DOCUMENT

7-17
7-16
7-17
7-16

SLYD003
SLYD003
SLYD003
SLYD003

7-15
·7-15
7-17
7-17

SLYD003
SLYD003

7-15
7-16
7-17
7-16

SLYD003
SLYD003

.5

Voltage Comparator
Analog Switch
Analog Switch
AID Converter Peripheral

7-15
7-20
7-20
7-18

SLYD003
SLYD004
SLYD004
SLYD004

'i:

TLC533A
TLC540
TLC541
TLC542

AiD Converter Peripheral
AID Converter Peripheral
AiD Converter Peripheral
AiD Converter Peripheral

7-18
7-18
7-18
7-18

SLYD004
SLYD004
SLYD004
SLYD004

TLC543
TLC544
TLC545
TLC546

AID Converter Peripheral
AID Converter Peripheral
AID Converter Peripheral
AiD Converter Peripheral

7-18
7-18
7-18
7-18

SLYD004
SLYD004
SLYD004
SLYD004

TLC548
TLC549
TLC551C
TLC552C

AiD Converter Peripheral
AiD Converter Peripheral
Timer, LinCMOS
Timer, LinCMOS

7-18
7-18
7-25
7-25

SLYD004
SLYD004
SLYD004
SLYD003

TLC555C
TLC555MFKB
TLC555MJGB
TLC556C

Timer, LinCMOS
Timer
Timer
Timer, LinCMOS

7-25
7-25
7-25
7-25

SLYD003

SLYD003

TLC556MFKB
TLC556MJB
TLC0820
TLC0820A

Timer
Timer
AiD Converter
AiD Converter

7-25
7-25
7-19
7-18

TBA
TBA

TLC0820B
TLC1078C
TLC10781
TLC1078M

AiD Converter
Operational Amplifier
Operational Amplifier
Operational Amplifier

7-18
7-11
7-8
7-8

SLYD004
SLYD003
SLYD003
SLYD003

TLC1079C
TLC10791
TLC1079M
TLC1225A

Operational Amplifier
Operational Amplifier
Operational Amplifier
AID Converter

7-13
7-12
7-11
7-18

SLYD003
SLYD003
SLYDOO3
SLYDOO4

TLC1225B
TLC1540
TLC1541
TLC2201AC

AiD Converter
AiD Converter Peripheral
AID Converter Peripheral
Operational Amplifier

7-18
7-18
7-18
7-7

SLYD004
SLYDOO4
SLYDOO4
SLYD003

TLC2201AI
TLC2201AM
TLC2201BC
TLC2201BI

Operational
Operational
Operational
Operational

Amplifier
Amplifier
Amplifier
Amplifier

7-4
7-3
7-7
7-4

SLYDOO3
SLYDOO3
SLYDOO3
SLYDOO3

TLC2201BM
TLC2201C
TLC22011
TLC2201M

Operational
Operational
Operational
Operational

Amplifier
Amplifier
Amplifier
Amplifier

7-3
7-7
7-4
7-3

SLYDOO3
SLYDOO3
SLYDOO3
SLYD003

TLC2652AC
TLC2652AI
TLC2652AI
TLC2652AM

Operational
Operational
Operational
Operational

Amplifier
Amplifier
Amplifier
Amplifier

7-7
7-4
7-5
7-3

SLYD003
SLYDOO3
SLYD003
SLYD003

TLC2652C
TLC26521
TLC2652M
TLC2654AC

Operational
Operational
Operational
Operational

Amplifier
Amplifier
Amplifier
Amplifier

7-7
7-4
7-3
7-7

SLYDOO3
SLYDOO3
5 LYD003
SLYD003

TLC3541
TLC354M
TLC372C
TLC372 I

Voltage Comparator
Voltage Comparator
Voltage Comparator
Voltage Comparator

TLC372MFKB
TLC372MJGB
TLC374C
TLC3741

Voltage Comparator
Voltage Comparator
Voltage Comparator
Voltage Comparator

5962-87658012A
5962-8765801 PA

TLC374MFKB
TLC374MJB
TLC393C
TLC3931

Voltage
Voltage
Voltage
Voltage

5962-87659012A
5962-8765901 CA

TLC393M
TLC4016
TLC4066
TLC532A

Comparator
Comparator
Comparator
Comparator

5962-89503012A
5962-8950301 PA
5962-89503022A
5962-8950302CA

~

1-79

"C

u

Q)

E
::::J

C
CO

..c

c.

<

Q)

"C

.5
Co)

'I:
Q)

E
::s

c
.c
CO

c.

~

TL594- TMDP380ASIC
TI PART
NUMBER

II

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

TI
DOCUMENT

TL594
TL595
TL598
TL601

PWM Control Circuit
PWM Control Circuit
PWM Control Circuit
Analog Switch

7-24
7-24
7-24
7-20

SLYD003
SLYD003
SLYD003
SLYD004

TL604
TL607
TL610
TL712

Analog Switch
Analog Switch
Analog Switch
Voltage Comparator

7-20
7-20
7-20
7-15

SLYD004
SLYD004
SLYD004
SLYD003

TL714C
TL721
TL750L05
TL750L08

Voltage
Voltage
Voltage
Voltage

Comparator
Comparator
Regulator
Regulator

7-17
7-15
7-22
7-22

SLYD003
SLYD003
SLYD005
SLYD005

TL750L10
TL750L12
TL750M05
TL750M08

Voltage
Voltage
Voltage
Voltage

Regulator
Regulator
Regulator
Regulator

7-22
7-22
7-22
7-22

SLYD005
SLYD005
SLYD005
SLYD005

TL750M10
TL750M12
TL7S1L05
TL751L08

Voltage
Voltage
Voltage
Voltage

Regulator
Regulator
Regulator
Regulator

7-22
7-22
7-22
7-22

SLYDOOS
SLYDOOS
SLYDOOS
SLYDOOS

TL751L10
TL751L12
TL7S1M05
TL751M08

Voltage Regulator
Voltage Regulator
Voltage Regulator
Voltage Regulator

7-22
7-22
7-22
7-22

SLYD005
SLYDOOS
SLYDOOS
SLYDOO5

TL751M10
TL7S1M12
TL780-12
TL780-1S

Voltage
Voltage
Voltage
Voltage

Regulator
Regulator
Regulator
Regulator

7-22
7-22
7-22
7-23

SLYD005
SLYDOO5
SLYDOOS
SLYDOOS

TL780-0S
TL783
TL851
TL8S2

Voltage Regulator
Voltage Regulator
Sonar Circuit
Sonar Circuit

7-22
7-21
7-26
7-26

SLYDOOS
SLYD005
SLYDOO3
SLYD003

TL853
n0808
TL0809
TL1451A

Sonar Circuit
AID Converter
AID Converter
PWM Control Circuit

7-26
7-18
7-18
7-24

SLYD003
SLYD004
SLYDOO4
SLYDOOS

TL1S21
TL3013C
TL3019C
TL3020C

Logarithmic Amplifier
Ha"-Effect Device
Ha"-Effect Device
Ha"-Effect Device

7-26
7-26
7-26

SLYD003
SLYD003
SLYDOO3

TL3101
TL31 03
TL3695
TL4810B

Ha"-Effect Device
Ha"-Effect Device
Bus Transceiver
Display Driver

7-26
7-26
6-4
6-6

SLYD003
SLYD003
SLLS044
SLYD002

TL481 OBI
TLS501
TLS601
TLS602

Display Driver
AID Converter
D/A Converter
D/A Converter

6-6
7-19
7-19
7-19

SLYD002
SLYDOO4
SLYD004
SLYDOO4

TL5812
TL58121
TL7702A
TL7705A

Display Driver
Display Driver
Supply Supervisor
Supply Supervisor

6-6
6-6
7-21
7-21

SLYDOO2
SLYD002
SLYD005
SLYD005

TL7705AMFKB
TL7705AMJGB
TL7709A
TL7712A

Supply Supervisor
Supply Supervisor
Supply Supervisor
Supply Supervisor

7-21
7-21
7-21
7-21

SLYD005
SLYD005

TL771 SA
TL7770-12
TL7770-1S
TL7770-S

Supply Supervisor
Supply Supervisor
Supply Supervisor
Supply Supervisor

7-21
7-21
7-21
7-21

SLYDOOS
SLYD005
SLYD005
SLYD005

TL7780-12
TL7780-1S
TL77BO-S
TMDP380ASIC

Supply Supervisor
Supply Supervisor
Supply Supervisor
TMS380 ASIC-LAN Tool Kit

7-21
7-21
7-21
4-35

SLYD005
SLYD005
SLYDOOS
SPWT018A

1-84

5962-88685012A
5962-8868501 PA

TMDSP2400PK- TMS27C64-120
TIPART
NUMBER

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

DOCUMENT

TI

TMDSP2400PK
TMDS704021 0-08
TMDS7040810-02
TMDS3411804420

DSP2400 Prototype Kit
TMS7000 DEC VAX VMS Assembler Linker
TMS7000 PC/MS-DOS Assembler Linker
TMS34010 Software Development Board

4-10
4-6
4-6
4-21

SPRT033
SPND001C
SPND001C
SPVU002A

TM DS3440200059
TMDS3440200069
TM DS3440202208
TMDS3440202308

TMS34010 VAX
TMS34010 VAX
TMS34010 VAX
TMS34010 VAX

4-22
4-22
4-22
4-22

SPVU004A
SPVU004A
SPVU006
SPVU007

TM DS3440205059
TM DS3440205069
TMDS3440802202
TMDS3440802302

TMS34010 VAX "C" Compiler Package, VMS
TMS34010 VAX "C" Compiler Package, DEC ULTRIX
TMS34010 PC Math/Graphics Function Library
TMS34010 PC Font Library

4-22
4-22
4-22
4-22

SPVU005A
SPRU005A
SPVU006
SPVU007

TMDS3440805002
TMDS3440806002
TM DS3440806003
TM DS3440808002

TMS34010 PC "C" Compiler Package, MS-DOS 2.1 1+
TMS34010 PC Debugger Dev. Pkg. (Not for Resale)
TMS34010 PC Debugger Dev. Pkg. (For Resale)
TMS34010 PC Assembler Package, MS-DOS 2.11 +

4-22
4-22
4-22
4-22

SPVU005A
SPVU004A
SPVU004A
SPVU004

TMDS3469910000
TMDS3469981000
TMDS374081 0-02
TMDS3740210-08

TMS34010 XDS-22 Real-Time Emulator (US)
TMS34010 XDS-22 Real-Time Emulator (EU)
TMS370 Family Assembler/Linker Pkg., MS/PC-DOS
TMS370 Family Assembler/Linker Package, VAXNMS

4-20
4-20
4-31
4-31

SPVU008
SPVU008
SPNU010
SPNU010

TMDS3760510
TMDS3761 110
TMDS3761 11 1
TMDS3762210

TMS370
TMS370
TMS370
TMS370

4-31
4-31
4-31
4-31

SPNU011
SPNU015
SPNU015
SPNU008

TMDS3770110
TMDS380C16LDK
TMDX380C16PC
TMDX7062220

TMS370 Design Kit
2nd Gen. IBM PC Token-Ring Design Kit
2nd Gen. IBM PC Token-Ring Eva!. Board
TMS7000 CMOS XDS

4-31
4-35
4-35
4-5

SPNU013
SPWT084
SPWT084
SPND001C

TMS27C291-3
TMS27C291-35
TMS27C291
TMS27C291-45

16K CMOS EPROM, 35 ns, 300-mil,
16K CMOS EPROM, 35 ns, 300-mil,
16K CMOS EPROM, 45 ns, 300-mil,
16K CMOS EPROM, 45 ns, 300-mil,

5% VCC
10% VCC
5% VCC
10% VCC

10-2
10-2
10-2
10-2

SMLS291B
SMLS291B
SMLS291B
SMLS291B

TMS27C291-5
TMS27C291-50
TMS27C292-3
TMS27C292-35

16K CMOS
16K CMOS
16K CMOS
16K CMOS

EPROM, 50
EPROM, 50
EPROM, 35
EPROM, 35

ns, 300-mil, 5% VCC
ns, 300-mil, 10% VCC
ns, 600-mil, 5% VCC
ns, 600-mil, 10% VCC

10-2
10-2
10-2
10-2

SMLS291B
SMLS291B
SMLS291B
SMLS2918

TMS27C292-45
TMS27C292-5
TMS27C292-50
TMS27C292

16K CMOS
16K CMOS
16K CMOS
16K CMOS

EPROM, 45 ns, 600-mil,
EPROM, 50 ns, 600-mil,
EPROM, 50 ns, 600-mil,
EPROM, 45 ns, 600-mil,

10-2
10-2
10-2
10-2

SMLS2918
SMLS291S
SMLS291B
SMLS291S

TMS2732A-17
TMS2732A-20
TMS2732A-25
TMS2732A-45

32K NMOS EPROM, 170 ns, JEDEC Pinout
32K NMOS EPROM, 200 ns, JEDEC Pinout
32K NMOS EPROM, 250 ns, JEDEC Pinout
32K NMOS EPROM, 450 ns, JEDEC Pinout

10-2
10-2
10-2
10-2

SMES732E
SMES732E
SMES732E
SMES732E

TMS27C32-100
TMS27C32-10
TMS27C32-120
TMS27C32- 12

32K CMOS
32K CMOS
32K CMOS
32K CMOS

EPROM,
EPROM,
EPROM,
EPROM,

100 ns, 5% VCC
100 ns, 10% VCC
120 ns, 5% VCC
120 ns, 10% VCC

10-2
10-2
10-2
10-2

SMLS032
SMLS032
SMLS032
SMLS032

TMS27C32-150
TMS27C32-15
TMS27C32-2
TMS27C32-20

32K CMOS
32K CMOS
32K CMOS
32K CMOS

EPROM, 150 ns, 5% VCC
EPROM, 150 ns, 10% VCC
EPROM, 200 ns, 5% VCC
EPROM, 200 ns, 10% VCC

10-2
10-2
10-2
10-2

SMLS032
SMLS032
SMLS032
SMLS032

TMS27C32
TMS27C32-25
TMS2764-17
TMS2764-20

32K CMOS EPROM, 250 ns, 5% VCC
32K CMOS EPROM, 250 ns, 10% VCC
64K NMOS EPROM, 170 ns, JEDEC Pinout
64K NMOS EPROM, 200 ns, JEDEC Pinout

10-2
10-2
10-2
10-2

SMLS032
SMLS032
SMES764C
SMES764C

TMS2764-25
TMS2764-45
TMS27C49-4
TMS27C49-45

64K NMOS EPROM, 250 ns, JEDEC Pinout
64K NMOS EPROM, 450 ns, JEDEC Pinout
64K CMOS EPROM, 600-mil, 45 ns, 5% VCC
64K CMOS EPROM, 600-mil, 45 ns, 10% VCC

10-2
10-2
10-2
10-2

SMES764C
SMES764C
SMLS049
SMLS049

TMS27C49-5
TMS27C49-55
TMS27C64-100
TMS27C64-120

64K CMOS EPROM, 600-mil, 55 ns, 5% VCC
64K CMOS EPROM, 600-mil, 55 ns, 10% VCC
64K CMOS EPROM, 100 ns, 5% VCC
64K CMOS EPROM, 120 ns, 5% VCC

10-2
10-2
10-2
10-2

SMLS049
SMLS049
SMLS064A
SMLS064A

Assembler Package, VMS
Assembler Package, DEC ULTRIX
Math/Graphics Function Library
Font Library

Family PROM Programmer
XDS/1 1 In-Circuit Emulator, 68 Pin Target
XDS/l 1 In-Circuit Emulator, 38 Pin Target
XDS/22 In-Circuit Emulator

10% VCC
5% VCC
10% VCC
5% VCC

1-85

II
~

"C

.5
(,)

't:

(1)

E

::::s

c
CO
.c
c.

«

TMS27C64-1- TMS27C210-300

TI PART
NUMBER

GENERAL DESCRIPTION

REFERENCE

SECTION I

TI

PAGE

DOCUMENT

TMS27C64-1
TMS27C64-2
TMS27C64-12
TMS27C64-15

64K CMOS EPROM, 150 ns, 5% VCC
64K CMOS EPROM, 200 ns, 5% VCC
64K CMOS EPROM, 120 ns, 10% VCC
64K CMOS EPROM, 150 ns, 10% VCC

10-2
10-2
10-2
10-2

SMLS064A
SMLS064A
SMLS064A
SMLS064A

TMS27C64-20
TMS27C64-25
TMS27C64
TMS27C128-100

64K CMOS EPROM, 200 ns, 10% VCC
64K CMOS EPROM, 250 ns, 10% VCC
64K CMOS EPROM, 250 ns, 5% VCC
128K CMOS EPROM, 100 ns 5% VCC

10-2
10-2
10-2
10-2

SMLS064A
SMLS064A
SMYD008
SMLS128C

TMS27C128-120
TMS27C128-12
TMS27C128-1
TMS27C128-2

128K CMOS EPROM,
128K CMOS EPROM,
128K CMOS EPROM,
128K CMOS EPROM,

120 ns 5% VCC
120 ns 10% VCC
150 ns, 5% VCC
200 ns, 5% VCC

10-2
10-2
10-2
10-2

SMLS128C
SMLS128C
SMLS128C
SMLS128C

3
CD

TMS27C128-15
TMS27C128-20
TMS27C128-25
TMS27C128

128K CMOS EPROM,
128K CMOS EPROM,
128K CMOS EPROM,
128K CMOS EPROM,

150 ns, 10% VCC
200 ns, 10% VCC
250 ns, 10% VCC
250 ns, 5% VCC

10-2
10-2
10-2
10-2

SMLS128C
SMLS128C
SMLS128C
SMLS128C

S"
c.
~

TMS29F256-170
TMS29F256-200
TMS29F256-20
TMS29F256-250

256K CMOS
256K CMOS
256K CMOS
256K CMOS

FEEPROM, 170 ns, 5% VCC
FEE PROM, 200 ns, 5% VCC
FEE PROM, 200 ns, 10% VCC
FEE PROM, 250 ns, 5% VCC

10-3
10-3
10-3
10-3

SMJS256A
SMJS256A
SMJS256A
SMJS256A

TMS29F256-25
TMS29F256-300
TMS29F256-30
TMS29F256-170

256K CMOS
256K CMOS
256K CMOS
256K CMOS

FEEPROM, 250 ns, 10% VCC
FEE PROM, 300 ns, 5% VCC
FEEPROM, 300 ns, 10% VCC
FEEPROM, 170 ns, 5% VCC

10-3
10-3
10-3
10-3

SMJS256A
SMJS256A
SMJS256A
SMJS256A

TMS29F256-200
TMS29F256-20
TMS29F256-250
TMS29F256-25

256K CMOS
256K CMOS
256K CMOS
256K CMOS

FEE PROM, 200 ns, 5% VCC
FEEPROM, 200 ns, 10% VCC
FEEPROM, 250 ns, 5% VCC
FEEPROM, 250 ns, 10% VCC

10-3
10-3
10-3
10-3

SMJS256A
SMJS256A
SMJS256A
SMJS256A

TMS29F256-300
TMS29F256-30
TMS27C256-120
TMS27C256-12

256K CMOS FEEPROM, 300 ns, 5% VCC
256K CMOS FEEPROM, 300 ns, 10% VCC
256K CMOS EPROM, 120 ns, 5% VCC
256K CMOS EPROM, 120 ns, 10% VCC

10-3
10-3
10-3
10-3

SMJS256A
SMJS256A
SMLS256D
SMLS256D

TMS27C256-15
TMS27C256-1
TMS27C256-2
TMS27C256-17

256K CMOS EPROM,
256K CMOS EPROM,
256K CMOS EPROM,
256K CMOS EPROM,

10-3
10-3
10-3
10-3

SMLS256D
SMLS256D
SMLS256D
SMLS256D

TMS27C256-20
TMS27C256-25
TMS27C256-150
TMS27C256

256K CMOS EPROM, 200 ns,
256K CMOS EPROM, 250 ns,
256K CMOS EPROM, 150 ns,
256K CMOS EPROM, 250 ns,

10% VCC
10% VCC
5% VCC
5% VCC

10-3
10-3
10-3
10-3

SMLS256D
SMLS256D
SMLS256D
SMLS256D

TMS27C512-150
TMS27C512-15
TMS27C512-1
TMS27C512-17

512K CMOS EPROM,
512K CMOS EPROM,
512K CMOS EPROM,
512K CMOS EPROM,

150 ns, 5% VCC
150 ns, 10% VCC
170 ns, 5% VCC
170 ns, 10% VCC

10-3
10-3
10-3
10-3

SMLS512C
SMLS512C
SMLS512C
SMLS512C

TMS27C512-2
TMS27C512-3
TMS27C512-20
TMS27C512-25

512K CMOS EPROM, 200 ns, 5% VCC
512K CMOS EPROM, 300 ns, 5% VCC
512K CMOS EPROM, 200 ns, 10% VCC
512K CMOS EPROM, 250 ns, 10% VCC

10-3
10-3
10-3
10-3

SMLS512C
SMLS512C
SMLS512C
SMLS512C

TMS27C512-30
TMS27C512
TMS27C010-170
TMS27C010-20

512K CMOS EPROM, 300 ns, 10% VCC
512K CMOS EPROM, 250 ns, 5% VCC
1M, 128Kx8 CMOS EPROM, 170 ns, 5% VCC
1M, 128K x 8 CMOS EPROM, 200 ns, 10% VCC

10-3
10-3
10-3
10-3

SMLS512C
SMLS512C
SMLS010B
SMLS010B

TMS27C010-25
TMS27C010-30
TMS27C010-200
TMS27C010-250

1M, 128K x 8 CMOS EPROM, 250 ns, 10% VCC
1M, 128K x 8 CMOS EPROM, 300 ns, 5% VCC
1M, 128K x 8 CMOS EPROM, 200 ns, 5% VCC
1M, 128K x 8 CMOS EPROM, 250 ns, 5% VCC

10-3
10-3
10-3
10-3

SMLS010B
SMLS010B
SMLS010B
SMLS010B

TMS27C010-300
TMS27C21 0-170
TMS27C210-20
TMS27C210-25

1M, 128K x 8 CMOS EPROM, 300 ns, 5% VCC
1M, 64K x 16 CMOS EPROM, 170 ns, 5% VCC
1M, 64Kx 16 CMOS EPROM, 200 ns, 10% VCC
1M, 64K x 16 CMOS EPROM, 250 ns, 10% VCC

10-3
10-3
10-3
10-3

SMLS010B
SMlS210B
SMlS210B
SMLS210B

TMS27C21 0-30
TMS27C21 0-200
TMS27C210-250
TMS27C210-300

1M, 64K x
1M, 64K x
1M, 64K x
1M, 64K x

10-3
10-3
10-3
10-3

SMLS210B
SMlS210B
SMLS210B
SMLS210B

II
»

-6"
:::r
I»
::s

c

...(=r

1-86

150 ns, 10% VCC
170 ns, 5% VCC
200 ns, 5% VCC
170 ns, 10% VCC

16 CMOS EPROM, 300 ns, 10% VCC
16 CMOS EPROM, 200 ns, 5% vce
16 CMOS EPROM, 250 ns, 5% VCC
16 CMOS EPROM, 300 ns, 5% VCC

TMS27PC291-3 - TMS70COOAFN
TIPART
NUMBER

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

TI
DOCUMENT

TMS27PC291·3
TMS27PC291·35
TMS27PC291·45
TMS27PC291·5

16K CMOS PROM, 35 ns, 5% VCC
16K CMOS PROM, 35 ns, 10% VCC
16K CMOS PROM, 45 ns, 10% VCC
16K CMOS PROM, 50 nS,5% VCC

10·3
10·3
10·3
10·3

SMLS291B
SMLS291B
SMLS291B
SMLS291B

TMS27PC291·50
TMS27PC291
TMS27PC32·120
TMS27PC32·150

16K CMOS
16K CMOS
32K CMOS
32K CMOS

PROM, 50 ns, 10% VCC
PROM, 45 ns, 5% VC
PROM, 120 ns, 5% VCC
PROM, 150 ns, 5% VCC

10·3
10·3
10·4
10·4

SMLS291B
SMLS291B
SMLS032
SMLS032

TMS27PC32·2
TMS27PC32
TMS27PC32·12
TMS27PC32·15

32K CMOS
32K CMOS
32K CMOS
32K CMOS

PROM, 200 ns, 5% VCC
PROM, 250 ns, 5% VCC
PROM, 120 ns, 10% VCC
PROM, 150 ns, 10% VCC

10·4
10·4
10·4
10·4

SMLS032
SMLS032
SMLS032
SMLS032

TMS27PC32-20
TMS27PC32·25
TMS27PC49·4
TMS27PC49·45

32K CMOS
32K CMOS
64K CMOS
64K CMOS

PROM, 200 ns, 10% VCC
PROM, 250 ns, 10% VCC
PROM, 45 ns, 5% VCC
PROM, 45 ns, 10% VCC

10·4
10·4
10·4
10·4

SMLS032
SMLS032
SMLS049
SMLS049

TMS27PC49·5
TMS27PC49·55
TMS27PC64·120
TMS27PC64·12

64K CMOS
64K CMOS
64K CMOS
64K CMOS

PROM,
PROM,
PROM,
PROM,

55 ns, 5% VCC
55 ns, 10% vce
120 ns, 5% VCC
120 ns, 10% VCC

10·4
10-4
10·4
10·4

SMLS049
SMLS049
SMLS064A
SMLS064A

TMS27PC64·1
TMS27PC64·15
TMS27PC64·2
TMS27PC·20

64K CMOS
64K CMOS
64K CMOS
64K CMOS

PROM, 150 ns, 5% VCC
PROM, 150 ns, 10% VCC
PROM, 200 ns, 5% VCC
PROM, 200 ns, 10% VCC

10-4
10·4
10·4
10·4

SMLS064A
SMLS064A
SMLS064A
SMLS064A

TMS27PC64
TMS27PC64·25
TMS27PC12B·1
TMS27PC128·2

64K CMOS PROM, 250 ns, 5% VCC
64K CMOS PROM, 250 ns, 10% VCC
12BK CMOS PROM, 150 ns, 5% VCC
128K CMOS PROM, 200 ns, 5% vce

10-4
10·4
10·4
10·4

SMLS064A
SMLS064A
SMLS12BC
SMLS12BC

TMS27PC128·15
TMS27PC128·20
TMS27PC12B·25
TMS27PC128

128K CMOS PROM, 150 ns,10% vee
128K CMOS PROM, 200 ns, 10% vec
12BK CMOS PROM, 250 ns, 10% vec
128K CMOS PROM, 250 ns, 5% VCC

10·4
10·4
10·4
10·4

SMLS12BC
SMLS128C
SMLS12Be
SMLS12BC

TMS27PC256·150
TMS27PC256·1
TMS27PC256·2
TMS27PC256

256K CMOS
256K CMOS
256K CMOS
256K CMOS

10·4
10-4
10·4
10·4

SMLS256D
SMLS256D
SMLS256D
SMLS256D

TMS27PC256·15
TMS27PC256·17
TMS27PC256·20
TMS27PC256·25

256K CMOS PROM, 150 ns, 10% vce
256K CMOS PROM, 170 ns, 10% vce
256K CMOS PROM, 200 ns, 10% VCC
256K CMOS PROM, 250 ns, 10% VCC

10·4
10·4
10·4
10·4

SMLS256D
SMLS256D
SMLS256D
SMLS256D

TMS27PC512·150
TMS27PC512·1
TMS27PC512·2
TMS27PC512

512K CMOS
512K CMOS
512K CMOS
512K CMOS

PROM, 150 ns, 5% VCC
PROM, 170 ns, 5% VCC
PROM, 200 ns, 5% VCC
PROM, 250 ns, 5% VCC

10-4
10·4
10·4
10·4

SMLS512C
SMLS512C
SMLS512C
SMLS512C

TMS27PC512·3
TMS27PC512·15
TMS27PC512·17
TMS27PC512·20

512K CMOS
512K CMOS
512K CMOS
512K CMOS

PROM, 300 ns, 5% VCC
PROM, 150 ns, 10% VCC
PROM, 170 ns, 10% VCC
PROM, 200 ns, 10% VCC

10·4
10·4
10·4
10·4

SMLS512C
SMLS512C
SMLS512C
SMLS512C

TMS27PC512·25
TMS27PC512·30
TMS27PC010·200
TMS27PC010·20

512KCMOS PROM, 250 ns,10% VCC
512K CMOS PROM, 300 ns, 10% VCC
1M, 128K x 8 CMOS PROM, 200 ns, 5% VCC
1M, 128K x 8 CMOS PROM, 200 ns, 10% VCC

10·4
10·4
10·4
10·4

SMLS512C
SMLS512C
SMYD008
SMYDOOB

TMS27PC010·250
TMS27PCO 10·25
TMS27PC010·300
TMS27PC010·30

1M,
1M,
1M,
1M,

128K x 8 CMOS
128K x 8 CMOS
128K x 8 CMOS
128K x 8 CMOS

PROM, 250 ns, 5% VCC
PROM, 250 ns, 10% VCC
PROM, 300 ns, 5% VCC
PROM, 300 ns, 10% VCC

10·4
10·4
10·4
10·4

SMYDOOB
SMYD008
SMYDOOB
SMYDOOB

TMS27PC21 0·200
TMS27PC210·20
TMS27PC210·250
TMS27PC210·25

1M, 64K x 16 CMOS
1M, 64Kx 16 CMOS
1M, 64K x 16 CMOS
1M, 64K x 16 eMOS

PROM, 200 ns, 5% VCC
PROM, 200 nS,10% VCC
PROM, 250 ns, 5% VCC
PROM, 250 ns, 10% VCC

10-4
10·4
10·4
10·4

SMYDOOB
SMYDOOB
SMYDOOB
SMYDOOB

TMS27PC210·300
TMS27PC21 0·30
TMS70A2400ANL
TMS70COOAFN

1M, 64K x 16 CMOS PROM, 300 ns, 5% VCC
1M, 64K x 16 CMOS PROM, 300 ns, 10% VCC
DSP2400 V.22bis Modem Controller
8·Bit CMOS Microcontroller

10·4
10-4
4-10
4-4

SMYDOOB
SMYDOOB
SPRT033A
SPNT020

PROM, 150 ns, 5% vce
PROM, 170 ns, 5% VCC
PROM, 200 ns, 5% vce
PROM, 250 ns, 5% VCC

1-87

II
~

"C

.5
(,)

'i:

Q)

E
:J
C
CO

.c
Q.

c;:

TMS70COOAN - TMS34010FNL-50
TI PART
NUMBER

II

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

TI
DOCUMENT

TMS70COOAN
TMS70C02FN
TMS70C02N
TMS70C20AFN

8-Bit CMOS
8-Bit CMOS
8-Bit CMOS
8-Bit CMOS

Microcontroller
Microcontroller
Microcontroller
Microcontroller

4-4
4-4
4-4
4-4

SPNT020
SPNT020
SPNT020
SPNT020

TMS70C20AN
TMS70C20AN2
TMS70C40AFN
TMS70C40AN

8-Bit CMOS
8-Bit CMOS
8-Bit CMOS
8-Bit CMOS

Microcontroller
Microcontroller
Microcontroller
Microcontroller

4-4
4-4
4-4
4-4

SPNT020
SPNT020
SPNT020
SPNT020

TMS70C40AN2
TMS70C42FN
TMS70C42N
TMS70CT20AN2

8-Bit CMOS
8-Bit CMOS
8-Bit CMOS
8-Bit CMOS

Microcontroller
Microcontroller
Microcontroller
Microcontroller (28 pin PDIP)

4-4
4-4
4-4
4-4

SPNT020
SPNT020
SPNT020
SPND001C

TMS70CT40AN2
TMS320A2400ANL
TMS320Cl0FNL
TMS320Cl0FNL-14

8-Bit CMOS Microcontroller (28 pin PDIP)
DSP2400 V.22bis Modem Chip-Set DSP
CMOS 1st-Generation DSp, 20M Hz
CMOS 1st-Generation DSP, 14 MHz

4-4
4-10
4-7
4-7

SPND001C
SPRT033A
SPRS009B
SPRS009B

TMS320Cl0FNL25
TMS320Cl0NA
TMS320Cl0NL
TMS320Cl0NL-14

CMOS 1st-Generation DSp, 25 MHz
320Cl0NL at Industrial Temp. Range
CMOS 1st-Generation DSp, 20M Hz
CMOS 1st-Generation DSp, 14 MHz

4-7
4-7
4-7
4-7

SPRS009B
SPRS009B
SPRS009B
SPRS009B

TMS320Cl0NL25
TMS320C15FNL
TMS320C15FNL25
TMS320C15NL

CMOS 1st-Generation DSP, 25 MHz
320Cl0 DSP w/more RAM & ROM, 20MHz
320C15 DSP, 25 MHz
320C10 DSPw/more RAM & ROM, 20MHz

4-7
4-7
4-7
4-7

SPRS009B
SPRS009B
SPRS009B
SPRS009B

TMS320C15NL25
TMS320C17FNL
TMS320C17NL
TMS320C25FNA

320C15 DSP, 25 MHz
320C15 DSP w/Serial Port & Coproc.I!F
320C15 DSP w/Serial Port & Coproc.I!F
320C25FNL at Industrial Temp. Range

4-7
4-7
4-7
4-7

SPRS009B
SPRS009B
SPRS009B
SPRS010B

TMS320C25FNL
TMS320C25FNL50
TMS320C25GBA
TMS320C25GBL

CMOS 2nd-Generation DSp, 40 MHz
CMOS 2nd-Generation DSp, 50 MHz
320C25GBL at Industrial Temp. Range
CMOS 2nd-Generation DSP, 40 MHz

4-8
4-8
4-8
4-8

SPRS010B
SPRS010B
SPRS010B
SPRS010B

TMS320C30GBL
TMS320C14FNL
TMS320 Dev Tools
TMS320E14FNL

CMOS 3rd-Generation DSp, 33 MFLOPS
CMOS DSP Microcontrollerw/4K-Words, 25 MHz
TMS320 Development Tools
CMOS DSP Microcontrollerw/4K-Words, 25 MHz

4-8
4-7
4-10
4-7

TMS320E 14FZL
TMS320E15FZL
TMS320E15FZL25
TMS320E15JA

DSP Microcontroller w/4K-Words EPROM, 25 MHz
320C15 DSP w/4K-Words EPROM, 20MHz
320C15 DSPw/4K-Words EPROM, 25 MHz
320C15 DSP w/4K-Words EPROM, 20MHz

4-7
4-7
4-7
4-7

SPRU032
SPRS009B
SPRS009B
SPRS009B

TMS320E15JL
TMS320E15JL-25
TMS320E17FZL
TMS320E17JA

320C15
320C15
320C17
320C17

20M Hz
25 MHz
20MHz
20MHz

4-7
4-7
4-7
4-7

SPRS009B
SPRS009B
SPRS009B
SPRS009B

TMS320E17JL
TMS320E25FZL
TMS320P14FNL
TMS320P15FNL

320C17 DSP w/4K-Words EPROM, 20MHz
320C25 DSP w/4K-Words EPROM, 40 MHz
320E14 DSPw/4K-Words EPROM, 25 MHz
320E15 DSPw/4K-Words EPROM, 20MHz

4-7
4-8
4-7
4-7

SPRS009B
SPRS010B
SPRU032
SPRS009B

TMS320P15FNL25
TMS320P17FNL
TMS320SA32N L
TMS320 Workshops

320E14 DSPw/4K-Words EPROM, 25 MHz
320E17 DSP w/4K-Words EPROM, 20M Hz
32Kbps ADPCM Transcoder (320Cl0-based)
See RTCWS-320DSPl

4-7
4-7
4-10
11-4

SPRS009B
SPRS009B
SPRSOll
SSRC007

TMS320 Workshops
TMS320 Workshops
TMS32020GBL
TMS340DDK-PC

See RTCWS-320DSP2
See RTCWS-320DSP3
NMOS 2nd-Generation DSp, 20 MHz
TIGA-340 Driver Development Kit

11-4
11-4
4-8
4-27

SSRC007
SSRC007
SPRS010B
SPVT089

TMS340SDK-PC
TMS340SPK-PC
TMS340 Workshops
TMS340 Workshops

TIGA-340 Software Development Kit
TIGA-340 Software Porting Kit
See RTCWS-34010
See RTCWS-34061

4-27
4-27
11-4
11-4

SPVT089
SPVT089
SPVU001A
SPVU001A

TMS34010
TMS34010FNL-60
TMS34010FNL-40
TMS34010FNL-50

TMS34010 Graphics System Processor
TMS34010 Graphics System Processor, 60 MHz
Graphics System Processor, 40 MHz
Graphics System Processor, 50 MHz

4-13
4-13
4-13
4-13

SPVU001A
SPVUOOl
SPVUOOl
SPVUOOl

1-88

DSP w/4K-Words
DSPw/4K-Words
DSP w/4K-Words
DSP w/4K-Words

EPROM,
EPROM,
EPROM,
EPROM,

SPRT036
TBA
SPRUOll
TBA

TMS34061- TMS48C121-80
TIPART
NUMBER

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

TI
DOCUMENT

TMS34061
TMS34061FNL
TMS34061FNL-12
TMS34070

TMS34061 Video System Controller
Video System Controller; 10 MHz
Video System Controller, 12.5 MHz
TMS34070 Color Palette

4-24
4-24
4-24
4-26

SPPU014A
SPPU014A
SPPU014A
SPPS016A

TMS34070NL
TMS34070NL-20
TMS34070NL-66
TMS34020GBL

Color Palette, 36 MHz
Color Palette, 20 MHz
Color Palette, 66 Mhz
TMS34020 Graphics System Processor

4-26
4-26
4-26
4-15

SPPU016A
SPPU016A
SPPU016A
SPVT065

TMS34082GBL
TMS370 Workshop
TMS370C010FNA
TMS370C010NA

TMS34082 Floating Point Processor
See RTCWS-370MICRO
a-Bit Configurable Microcontroller
a-Bit Configurable Microcontroller

4-17
11-4
4-29
4-29

SPVT065
SPNS014A
SPNS012A
SPNS012A

TMS370C050FNA
TMS370C052FNA
TMS370C056FNA
TMS370C150FNA

a-Bit Configurable
8-Bit Configurable
8-Bit Configurable
a-Bit Configurable

Microcontroller
Microcontroller
Microcontroller
Microcontroller

4-29
4-29
4-29
4-29

SPNS010A
SPNS010A
SPNS010A
SPNS010A

TMS370C156FNA
TMS370C250FNA
TMS370C256FNA
TMS370C310FNA

a-Bit Configurable
8-Bit Configurable
a-Bit Configurable
a-Bit Configurable

Microcontroller
Microcontroller
Microcontroller
Microcontroller

4-29
4-29
4-29
4-29

SPNS010A
SPNS010A
SPNS010A
SPNS012A

TMS370C310NA
TMS370C350FNA
TMS370C352FNA
TMS370C356FNA

a-Bit Configurable Microcontroller
8-Bit Configurable Microcontroller
8-Bit Configurable Microcontroller
8-Bit Configurable Microcontroller

4-29
4-29
4-29
4-29

SPNS012A
SPNS010A
SPNS010A
SPNS010A

TMS370C756FNA
TMS370ca10FNA
TMS370C810NA
TMS370C850FNA

a-Bit Configurable Microcontroller
a-Bit Configurable Microcontroller
a-Bit Configurable Microcontroller
8-Bit Configurable Microcontroller

4-29
4-29
4-29
4-29

SPNS010A
SPNS012A
SPNS012A
SPNS010A

TMS3aOC16POL
TMS380 Workshops
TMS380 Workshops
TMS38010JDL

16/4 Mbps Token-Ring LAN COMMprocessor
See RTCWS-380LAN 1
See RTCWS-380LAN3
Token-Ring LAN Communications Processor

4-32
11-4
11-4
4-32

SPWV001
SSRC007
SPWT084
SPWX005

TMS3a010NL
TMS38020JDL
TMS38021NL
TMS38030GBL

Token-Ring
Token-Ring
Token-Ring
Token-Ring

4-32
4-32
4-32
4-32

SPWX005
SPWX005
SPWX005
SPWX005

TMS3a030POL
TMS38051NL
TMS38052NL
TMS38053FNL

TOken-Ring LAN System Interface
Token-Ring LAN Interface Transceiver
Token-Ring LAN Interface Controller
2nd Gen.Token-Ring LAN Interface

4-32
4-32
4-32
4-33

SPWX005
SPWX005
SPWX005
SPWV001

TMS4461-12
TMS4461-15
TMS44C251-1
TMS44C251-10

64K x 4 Multiport Video RAM, 120 ns
64K x 4 Multiport Video RAM, 150 ns
256K x 4 Multiport Video RAM, 100 ns
256K x 4 Multiport Video RAM, 100 ns

10-5
10-5
10-5
10-5

SMVS011B
SMVS011B
SMVS251E
SMVS251E

TMS44C251-12
TMS4Cl024-80
TMS4Cl024-10
TMS4Cl024-12

256K x 4 Multiport Video RAM. 120 ns
1M x 1 DRAM, 80 ns, Page Mode
1M x 1 DRAM, 100 ns, Page Mode
1M x 1 DRAM, 120 ns, Page Mode

10-5
10-5
10-5
10-5

SMVS251E
SMGS024E
SMGS024E
SMGS024E

TMS4Cl025-aO
TMS4Cl025-10
TMS4Cl025-12
TMS4Cl027-80

1M x 1 DRAM, 80 ns, Nibble Mode
1M x 1 DRAM, 100 ns, Nibble Mode
1M x 1 DRAM, 120 ns, Nibble Mode
1M x 1 DRAM, 80 ns, Static Col. Decode

10-5
10-5
10-5
10-5

SMGS024E
SMGS024E
SMGS024E
SMGS024E

TMS4Cl027-10
TMS4Cl027-12
TMS44C256-80
TMS44C256-10

1M x 1 DRAM, 100 ns, Static Col. Decode
1M x 1 DRAM, 120 ns, Static Col. Decode
256K x 4 DRAM, 80 ns, Page Mode
256K x 4 DRAM, 100 ns, Page Mode

10-5
10-5
10-5
10-5

SMGS024E
SMGS024E
SMGS256B
SMGS256B

TMS44C256-12
TMS44C260-70
TMS44C260-80
TMS44C260-10

256K x 4 DRAM, 120 ns, Page Mode
256K x 4 Parity DRAM, 70 ns, Page Mode
256K x 4 Parity DRAM, 80 ns, Page Mode
256K x 4 Parity DRAM, 100 ns, Page Mode

10-5
10-5
10-5
10-5

SMGS256B
SMGS260
SMGS260
SMGS260

TMS4C1050-30
TMS4Cl050-40
TMS4C1050-60
TMS48C121-80

256K x 4 FRAM, 25 ns, Pseudo-Static
256K x 4 FRAM, 30 ns, Pseudo-Static
256K x 4 FRAM, 50 ns, Pseudo-Static
128K x 8 Multiport Video RAM, 80ns

10-5
10-5
10-5
10-5

SMYD008
SMYDOOa
SMYDOOa
SMVS121

LAN
LAN
LAN
LAN

Communications Processor
Protocol Handler
Protocol Handler
System Interface

1-89

~

"C

.5
u

'C
Q)

E

::s
c
ca

.t:

Q.

Ci

TMS48C121-80 - TM024GAD8-80

TIPART
NUMBER

II

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

TI
DOCUMENT

TMS48C121-80
TMS48C121-10
TMS48C121-12
TMS44100-80

128K x 8 Multipart Video RAM, 80 ns
128K x 8 Multipart Video RAM, 100 ns
128K x 8 Multipart Video RAM, 120 ns
4M x 1 DRAM, 80 ns, Page Mode

10-5
10-5
10-5
10-5

SMVS121
SMVS121
SMVS121
SMHS410

TMS44100-10
TMS44100-12
TMS441 01-80
TMS44101-10

4M x
4M x
4M x
4M x

1 DRAM, 100 ns, Page Mode
1 DRAM, 120 ns, Page Mode
1 DRAM, 80 ns, Nibble Mode
1 DRAM, 100 ns, Nibble Mode

10-5
10-5
10-5
10-5

SMHS410
SMHS410
SMHS410
SMHS410

TMS44101-12
TMS44102-80
TMS44102-10
TMS44102-12

4M
4M
4M
4M

x
x
x
x

1 DRAM, 120 ns, Nibble Mode
1 DRAM, 80 ns, Static Col. Decode
1 DRAM, 100 ns, Static Col. Decode
1 DRAM, 120 ns, Static Col. Decode

10-5
10-5
10-5
10-5

SMHS410
SMHS410
SMHS410
SMHS410

TMS441 03-80
TMS44103-10
TMS44103-12
TMS44400-80

4M
4M
4M
1M

x 1 DRAM,
x 1 DRAM,
x 1 DRAM,
x 4 DRAM,

10-5
10-5
10-5
10-5

SMHS410
SMHS410
SMHS410
SMHS440

TMS44400-10
TM S44400-12
TMS44401-80
TMS44401-10

1M x 4 DRAM, 100 ns, Page Mode
1M x 4 DRAM, 120 ns, Page Mode
1M x 4 DRAM, 80 ns, Nibble Mode
1M x 4 DRAM, 100 ns, Nibble Mode

10-5
10-5
10-5
10-5

SMHS440
SMHS440
SMHS440
SMHS440

TMS44401-12
TMS44402-80
TMS44402-10
TMS44402-12

1M
1M
1M
1M

10-5
10-5
10-5
10-5

SMHS440
SMHS440
SMHS440
SMHS440

TMS44403-80
TMS44403-10
TMS44403-12
TMS4500A

1M x 4 DRAM, 80 ns, Serial Mode
1M x 4 DRAM, 100 ns, Serial Mode
1M x 4 DRAM, 120 ns, Serial Mode
DRAM Controller

10-5
10-5
10-5
4-54

SMHS440
SMHS440
SMHS440
SCCS002A

TMS6787-15
TMS6787-20
TMS6787-25
TMS6787-30

64K xl,
64K xl,
64K xl,
64K xl,

BiCMOS SRAM, 15 ns
BiCMOS SRAM, 20 ns
BiCMOS SRAM, 25 ns
BiCMOS SRAM, 30 ns

10-7
10-7
10-7
10-7

SMSS787A
SMSS787A
SMSS787A
SMSS787A

TMS6788-15
TMS6788-20
TMS6788-25
TMS6788-30

16K x 4,
16K x 4,
16K x 4,
16K x 4,

BiCMOS SRAM,
BiCMOS SRAM,
BiCMOS SRAM,
BiCMOS SRAM,

15 ns
20 ns
25 ns
30 ns

10-7
10-7
10-7
10-7

SMSS788B
SMSS788B
SMSS788B
SMSS788B

TMS6789-15
TMS6789-20
TMS6789-25
TMS6789-30

16K x 4,
16K x 4,
16K x 4,
16K x 4,

BiCMOS SRAM, 15 ns
BiCMOS SRAM, 20 ns
BiCMOS SRAM, 25 ns
BiCMOS SRAM, 30 ns

10-7
10-7
10-7
10-7

SMSS789A
SMSS789A
SMSS789A
SMSS789A

TMS6264L-l0
TMS6264L-12
TMS6264L-15
TMS6707-20

8K x 8, CMOS SRAM, 100 ns
8K x 8, CMOS SRAM, 120 ns
8K x 8, CMOS SRAM, 150 ns
256K xl, BiCMOS SRAM, 20 ns

10-7
10-7
10-7
10-7

SMSS264B
SMSS264B
SMSS264B
SMSS707

TMS6707-25
TMS6708-20
TMS6708-25
TMS6709-20

256K xl, BiCMOS SRAM, 25 ns
64K x 4, BiCMOS SRAM, 20 ns
64K x 4, BiCMOS SRAM, 25 ns
64K x 4, BiCMOS SRAM, 20 ns

10-7
10-7
10-7
10-7

SMSS707
SMSS708
SMSS708
SMSS709

TMS6709-25
TMS62256L-85
TMS62256L-l0
TMS62256L-12

64K x 4, BiCMOS SRAM, 25 ns
32K x 8, CMOS SRAM, 85 ns
32K x 8, CMOS SRAM, 100 ns
32K x 8, CMOS SRAM, 120 ns

10-7
10-7
10-7
10-7

SMSS709
SMSS256B
SMSS256B
SMSS256B

TMS62256L-15
TMS62456-35
TMS62456-45
TMS62457-35

32K x 8, CMOS SRAM, 150 ns
256K x 4, CMOS SRAM, 35 ns
256K x 4, CMOS SRAM, 45 ns
256K x 4, CMOS SRAM, 35 ns

10-7
10-7
10-7
10-7

SMSS256B
SMSS456
SMSS456
SMSS457

TMS62457-45
TM S62828 L-85
TMS62828L-l0
TMS62828L-12

256K x
128K x
128K x
128K x

10-7
10-7
10-7
10-7

SMSS457
SMSS828
SMSS828
SMSS828

TM024HAC4-80
TM024HAC4-10
TM024HAC4-12
TM024GAD8-80

1M
1M
1M
1M

10-6
10-6
10-6
10-6

SMMS104A
SMMS104A
SMMS104A
SMMS108

1-90

80 ns, Serial Mode
100 ns, Serial Mode
120 ns, Serial Mode
80 ns, Page Mode

x 4 DRAM, 120 ns, Nibble Mode
x 4 DRAM, 80 ns, Static Col. Decode
x 4 DRAM, 100 ns, Static Col. Decode
x 4 DRAM, 120 ns, Static Col. Decode

4, CMOS
8, CMOS
8, CMOS
8, CMOS

SRAM, 45 ns
SRAM, 85 ns
SRAM, 100 ns
SRAM, 120 ns

x 4 SIp, 80 ns, Page Mode, Leaded
x 4 SIp, 100 ns, Page Mode, Leaded
x 4 SIp, 120 ns, Page Mode, Leaded
x 8 SIP, 80 ns, Page Mode, Socketable

TM024GAD8-10- uA78L 12

TI PART
NUMBER

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

TI
DOCUMENT

TM024GADS-10
TM024GADS-12
TM024GAD8T-80
TM024GADST-10

1M
1M
1M
1M

x S SIp, 100 ns, Page Mode, Socketable
x S SIp, 120 ns, Page Mode, Socketable
x 8 SIp, SO ns, Page Mode, Socketable
x 8 SIp, 100 ns, Page Mode, Socketable

10-6
10-6
10-6
10-6

SMMS10S
SMMS108
SMMS108
SMMS108

TM024GAD8T-12
TM024EAD9-80
TM024EAD9-10
TM024EAD9-12

1M
1M
1M
1M

x 8 SIp, 120 ns, Page Mode, Socketable
x 9 SIP, 80 ns, Page Mode, Socketable
x 9 SIp, 100 ns, Page Mode, Socketable
x 9 SIp, 120 ns, Page Mode, Socketable

10-6
10-6
10-6
10-6

SMMS10S
SMMS109
SMMS109
SMMS109

TM024EBJ9T-80
TM024EBJ9T-10
TM 024E BJ 9T-12
TM024JAD8-80

1M
1M
1M
2M

x
x
x
x

10-6
10-6
10-6
10-6

SMMS109
SMMS109
SMMS109
SMMS10S

9 SIp, SO ns, Page Mode, Socketable
9 SIp, 100 ns, Page Mode, Socketable
9 SIp, 120 ns, Page Mode, Socketable
8 SIp, 80 ns, Page Mode, Socketable

TM024JAD8-10
TM024JADS-12
TM024JADST-80
TM024JAD8T-10

2M x 8 SIp, 100 ns, Page Mode, Socketable
2M x 8 SIp, 120 ns, Page Mode, Socketable
2M x 8 SIp, 80 ns, Page Mode, Socketable
2M x S SIp, 100 ns, Page Mode, Socketable

10-6
10-6
10-6
10-6

SMMS108
SMMS10S
SMMS108
SMMS108

TM024JAD8T-12
TM024DBJ9T-80
TM024DBJ9T-10
TM024DBJ9T-12

2M
2M
2M
2M

x
x
x
x

S SIp, 120 ns, Page Mode, Socketable
9 SIp, 80 ns, Page Mode, Socketable
9 SIp, 100 ns, Page Mode, Socketable
9 SIp, 120 ns, Page Mode, Socketable

10-6
10-6
10-6
10-6

SMMS108
SMMS109
SMMS109
SMMS109

TM4100GBD8-80
TM4100GBDS-10
TM4100GBDS-12
TM4100EBD9-80

4M
4M
4M
4M

x
x
x
x

8 SIp, 80 ns, Page Mode, Socketable
8 SIp, 100 ns, Page Mode, Socketable
8 SIp, 120 ns, Page Mode, Socketable
9 SIp, SO ns, Page Mode, Socketable

10-6
10-6
10-6
10-6

SMMS40S
SMMS408
SMMS408
SMMS409

TM4100EBD9-10
TM4100EBD9-12
TM4100EBJ9-80
TM4100EBJ9-10

4M x
4M x
4M x
4M x

9 SIp, 100 ns, Page Mode, Socketable
9 SIp, 120 ns, Page Mode, Socketable
9 SIp, 80 ns, Page Mode, Socketable
9 SIp, 100 ns, Page Mode, Socketable

10-6
10-6
10-6
10-6

SMMS409
SMMS409
SMMS409
SMMS409

TM4100EBJ9-12
TM4100EBJ9T-80
TM4100EBJ9T-10
TM4100EBJ9T-12

4M
4M
4M
4M

x
x
x
x

9 SIp,
9 SIp,
9 SIp,
9 SIp,

120 ns, Page Mode, Socketable
80 ns, Page Mode, Socketable
100 ns, Page Mode, Socketable
120 ns, Page Mode, Socketable

10-6
10-6
10-6
10-6

SMMS409
SMMS409
SMMS409
SMMS409

TM4100DBJ9-80
TM4100DBJ9-10
TM4100DBJ9-12
TM4100DBJ9T-80

8M
8M
SM
8M

x
x
x
x

9 SIp, 80 ns, Page Mode, Socketable
9 SIp, 100 ns, Page Mode, Socketable
9 SIp, 120 ns, Page Mode, Socketable
9 SIp, 80 ns, Page Mode, Socketable

10-6
10-6
10-6
10-6

SMMS409
SMMS409
SMMS409
SMMS409

TM41 00DBJ9T-1 0
TM4100DBJ9T-12
TPIC401
TPIC404

8M x 9 SIp, 100 ns, Page Mode, Socketable
8M x 9 SIp, 120 ns, Page Mode, Socketable
Octal Driver
Low Side Switch, Inductive Load

10-6
10-6
6-S
6-8

SMMS409
SMMS409
TBA
TBA

TPIC440
TPIC604
TPIC609
TP3054A

High Side Driver
Quad Driver
Flux-Regulating Actuator
PCM CODEC and Filter Combination

6-8
6-8
6-8
9-3

TBA
TBA
TBA
SCTS026

TSP50C10
TSP50C41
TSP50C42
TSP50C43

Speech
Speech
Speech
Speech

Synthesizer
Synthesizer
Synthesizer
Synthesizer

9-5
9-5
9-5
9-5

TBA
SPSS025
SPSS025
SPSS025

TSP50C44
TSP53C30
TSP60C20
uA7SL02

Speech Synthesizer
Speech Synthesizer
Speech ROM
Voltage Regulator

9-5
9-5
9-5
7-22

SPSS025
TBA
SLYD002
SLYD005

uA7SL02A
uA7SL05
uA7SL05A
uA78L06

Voltage
Voltage
Voltage
Voltage

Regulator
Regulator
Regulator
Regulator

7-22
7-22
7-22
7-22

SLYD005
SLYD005
SLYD005
SLYD005

uA7SL06A
uA78L08
uA7SLOSA
uA78L09

Voltage
Voltage
Voltage
Voltage

Regulator
Regulator
Regulator
Regulator

7-22
7-22
7-22
7-22

SLYD005
SLYD005
SLYD005
SLYD005

uA7SL09A
uA78L 10
uA78L10A
uA7SL12

Voltage
Voltage
Voltage
Voltage

Regulator
Regulator
Regulator
Regulator

7-22
7-22
7-22
7-22

SLYD005
SLYD005
SLYD005
SLYD005

1-91

><
Q)
"'C

.5
(,)

"a:
Q)

E
:s

c
CO
.c

Co

<

Q)

"C

.E
CJ

'i:
Q)

E
::::s

c
.c
CO

c.



-C

:r
Q)

::s
c

3

...

(1)

n'
S"

0.

(1)

><

GENERAL DESCRIPTION

REFERENCE

SECTION/
PAGE

TI
DOCUMENT

3-4
3-5
3-8
3-6

SCAD001A
SCAD001A
SCAS035
TBA

74ACT11030
74ACT11032
74ACT11034
74ACT11051

8-lnput NAND Gate
Quad 2-lnput OR Gate
Hex Non-Inverter
Dual 2-lnput AND-OR Gate

74ACT11064
74ACT11074
74ACTll086
74ACT11109

Quad 4-2-3-2-lnput AND-OR Gate
Dual D-Type Flip-Flop
Quad J-K Flip-Flop
Dual J-K Flip-Flop

3-6
3-15
3-6
3-15

TBA
SCAD001A
TBA
SCAD001A

74ACTlll12
74ACTll132
74ACTll138
74ACTll139

Dual J-K Flip-Flop
Quad 2-lnput NAND Schmitt-Trigger
3-to-8 Decoder/Demultiplexer
2-to-4 Decoder/Demultiplexer

3-15
3-4
3-25
3-25

TBA
TBA
TBA
TBA

74ACTll150
74ACTll151
74ACTll153
74ACTll154

1-of-16 Data Generator/Multiplexer
8-to-l Miltiplexer
Dual 4-to-l Multiplexer
4-to-16 Line Decoder/Demultiplexer

3-24
3-24
3-24
3-25

TBA
TBA
TBA
TBA

74ACTll157
74ACTll158
74ACTll160
74ACTll161

Quad 2-to-l Multiplexer
Quad 2-to-l Multiplexer
4-Bit Synchronous Decade Counter
4-Bit Synchronous Binary Counter

3-24
3-24
3-21
3-21

TBA
TBA
TBA
TBA

74ACTll162
74ACTll163
74ACT11168
74ACT11169

4-Bit Synchronous Decade Counter
4-Bit Synchronous Binary Counter
4-Bit Synchronous Decade Counter
4-Bit Synchronous Binary Counter

3-21
3-21
3-21
3-21

TBA
TBA
TBA
TBA

74ACT11174
74ACTll175
74ACTll181
74ACTll190

Hex D-Type Flip-Flop
Quad D-Type Flip-Flop
ALU Function Generator
4-Bit Synchronous Decade Counter

3-15
3-15
3-30
3-21

TBA
TBA
TBA
TBA

74ACT11191
74ACT11192
74ACT11193
74ACT11194

4-Bit Synchronous Binary Counter
4-Bit Synchronous Decade Counter
4-Bit Synchronous Binary Counter
4-Bit Universal Shift Register

3-21
3-21
3-21
3-19

TBA
TBA
TBA
TBA

74ACTl1204
74ACT11208
74ACTl1238
74ACT11239

Hex Inverting Clock Driver/Buffer
Duall-to-4 Clock Driver
3-to-8 Decoder/Demultiplexer
Dual 2-to-4 Decoder/Demultiplexer

3-31
3-31
3-25
3-25

TBA
TBA
TBA
TBA

74ACT11240
74ACTl1241
74ACT11244
74ACT11245

Octal Buffer/Line Driver
Octal Buffer/Line Driver
Octal Buffer/Line Driver
Octal Bus Transceiver

3-10
3-10
3-10
3-11

SCAD001A
SCAD001A
SCAD001A
SCAS031A

74ACT11250
74ACT11251
74ACT11253
74ACT11257

1-of-16 Data Generator/Multiplexer
8-to-l Multiplexer
DuaI4-to-l Multiplexer
Quad 2-to-1 Multiplexer

3-24
3-24
3-24
3-24

TBA
TBA
SCAS040
TBA

74ACT11258
74ACT11273
74ACT11280
74ACTl1286

Quad 2-to-1 Multiplexer
Octal D-Type Flip-Flop
9-Bit Parity Generator/Checker
9-Bit Parity Generator/Checker

3-24
3-16
3-29
3-29

TBA
TBA
SCAS046
TBA

74ACT11299
74ACTl1323
74ACT11352
74ACT11353

8-Bit Universal Shift/Storage Register
8-Bit Universal Shift/Storage Register
Dual 4-to-l Multiplexer
OuaI4-to-l Multiplexer

3-19
3-19
3-24
3-24

TBA
TBA
TBA
SCAS045

74ACT11373
74ACT11374
74ACT11377
74ACT11378

Octal D-Type Latch
Octal D-Type Flip-Flop
Octal OoType Flip=Flop
Parallel D-Type Register

3-18
3-16
3-16
3-15

SCAD001A
SCAD001A
TBA
TBA

74ACT11379
74ACTl1478
74ACT11520
74ACT11521

Quad Parallel Register
8-Bit Metastable-Resistant Flip-Flop
8-Bit Identity Comparator
8-Bit Identity Comparator

3-15
3-16
3-28
3-28

TBA
TBA
SCAD001A
SCAD001A

74ACT11533
74ACT11534
74ACT11543
74ACT11544

Octal OoType Latch
Octal OoType Flip-Flop
Octal Registered Transceiver
Octal Registered Transceiver

3-18
3-16
3-11
3-11

SCAD001A
SCAD001A
TBA
TBA

1-94

74ACT11568-74AC11034
TI PART
NUMBER

GENERAL DESCRIPTION

REFERENCE

SECTIONI
PAGE

TI
DOCUMENT

74ACTl1568
74ACT11569
74ACTl1579
74ACTl1590

4·Bit Synchronous Decade Counter
4·Bit Synchronous Binary Counter
4·Bit Synchronous Binary Counter
8-Bit Registered Binary Counter

3·21
3·21
3-21
3·22

TBA
TBA
TBA
TBA

74ACT11592
74ACTl1593
74ACT11620
74ACTl1623

8-Bit Registered Binary Counter
8-Bit Registered Binary Counter
Octal Bus Transceiver
Octal Bus Transceiver

3-22
3-22
3-11
3-12

TBA
TBA
SCAOO01A
SCAD001A

74ACT11640
74ACTl1643
74ACTl1646
74ACT11648

Octal
Octal
Octal
Octal

Bus Transceiver
Bus Transceiver
Bus Transceiver
Bus Transceiver

3·11
3-11
3-11
3-11

SCAD001A
SCAD001A
SCAD001A
TBA

74ACTl1651
74ACT11652
74ACT11657
74ACT11677

Octal Bus Transceiver
Octal Bus Transceiver
Octal Bus Transceiver
16·Bit Address Comparator

3-11
3-11
3·11
3-28

TBA
TBA
TBA
TBA

74ACT11678
74ACT11800
74ACT11802
74ACT11819

16-Bit Latched Address Comparator
Triple 4-lnput AND/NANO Driver
Triple 4-lnput OR/NOR Driver
Pipeline Register

3-28
3-31
3-31
3-20

TBA
TBA
TBA
TBA

74ACT11821
74ACT11822
74ACT11823
74ACT11824

10-Bit OoType Flip-Flop
10-Bit OoType Flip-Flop
9-Bit Register
9·Bit Register

3-16
3-16
3-16
3-16

TBA
TBA
TBA
TBA

74ACT11825
74ACT11826
74ACT11827
74ACT11828

8-Bit Register
8-Bit Register
1O-Bit Buffer
10·Bit Buffer

3-16
3-16
3-10
3-10

TBA
TBA
TBA
TBA

74ACT11833
74ACT11834
74ACT11841
74ACT11842

8-Bit Registered Bus Transceiver
8-Bit Registered Bus Transceiver
10-Bit OoType Latch
10-Bit OoType Latch

3-11
3-11
3-18
3-18

TBA
TBA
TBA
TBA

74ACTll843
74ACTl1844
74ACTl1845
74ACT11846

9-Bit Latch
9-Bit Latch
8·Bit Latch
8-Bit Latch

3-18
3-18
3-18
3·18

TBA
TBA
TBA
TBA

74ACT11852
74ACT11853
74ACTl1854
74ACT11861

8-Bit Universal Port Controller
8-Bit Latched Bus Transceiver
8-Bit Latched Bus Transceiver
10-Bit Transceiver

3-31
3-11
3-11
3-12

TBA
TBA
TBA
TBA

74ACT11862
74ACT11863
74ACTl1864
74ACT11867

1O-Bit Transceiver
9·Bit Transceiver
9-Bit Transceiver
8·Bit Synchronous Up/Down Counter

3-12
3-11
3-11
3-21

TBA
TBA
TBA
TBA

74ACT11869
74ACTl1870
74ACT11873
74ACT11874

8-Bit Synchronous Up/Down Counter
Dual Port 16X4 Register File
Dual4-Bit OoType Latch
Dual4-Bit D-Type Flip-Flop

3-21
3-20
3-18
3-15

TBA
TBA
TBA
TBA

74ACT11881
74ACT11882
74AC11000
74AC11002

4·Bit ALU Function Generator
32-Bit Fast Carry Look-Ahead
Quad 2-lnput NAND Gate
Quad 2-lnput NOR Gate

3-30
3·30
3-4
3-5

TBA
TBA
SCAD001A
SCAD001A

74AC11004
74AC11008
74AC11010
74AC11011

Hex Inverter
Quad 2-lnput AND Gate
Triple 3-lnput NAND Gate
Triple 3-lnput AND Gate

3-8
3-5
3-4
3-5

SCAS033
SCAD001A
SCAD001A
SCAS029

74AC11013
74AC11014
74AC11020
74AC11021

Dual4-lnput NAND Schmitt-Trigger
Hex Schmitt-Trigger Inverter
Oual4-lnput NAND Gate
Dual4·lnput AND Gate

3-4
3·8
3-4
3-5

TBA
TBA
SCAD001A
SCAS005A

74AC11027
74AC11030
74AC11032
74AC11034

Triple 3-lnput NOR Gate
8-lnput NAND Gate
Quad 2-lnput OR Gate
Hex Non-Inverter

3·5
3-4
3-5
3-8

SCAD001A
SCAD001A
SCAOO01A
SCAS034

II
~
.5
"C

u

'C
Q)

E
:::s
c
ca

.c
Q.



en

n

The I-J.lm TOCI00 Series consists of six arrays ranging in density from 3200 to 18620 gates with I/O's from 84
n,
to 216. Fabricated in TI's I-J.lm EPIC double-level-metal CMOS process, this family offers typical gate
utilization of 90% and propagation delays of 500 ps (two-input NAND gate, fan-out = 2) and a maximum
toggle rate of 208 MHz.
Specified to operate over the commercial temperature range (0° C to 70° C), Release 2.0 of the TOC 100 Series
library contains 222 macros including SSI, MSI, 1/0, Boolean and "soft macro" functions. In the US, macro
libraries are currently supported on Daisy, Mentor, and Valid engineering workstations. In Europe, the
libraries are supported on Daisy and Mentor workstations and Valid workstation support is planned.

l>

-c::r
Q)

::::s

c

3

...
c:;'
CD

Q)

::::s

A predefined selection of industry standard packaging options ranging from 28-pin dual-in-line packages
(DIP) to 208-pin plastic pin-grid arrays (PPOA) is available for TOC 100 Series designs. In the US, Standard
TOClOO Series designs typically provide a two-week prototype cycle time (from customer approval of postlayout simulation to shipment of five ceramic-packaged prototypes) for critical time-to-market logic consolida-_
tion applications. In Europe, these designs take a few weeks to manufacture from customer approval of postlayout simulation to shipment of 10 ceramic-packaged verification samples.

c.
"'T1
C
::::s

o·~::::s

Contact the nearest TI Field Sales Office or in the US, your ASIC Distributor for a copy of the TOC 100 Family
Data Sheet (US: SROS006A; Europe: SCL115), the TOCIOO Series Product Bulletin (US: SRYT048B;
Europe: SCLI27), and a copy of VISTA Evaluation Software for TOC 100 Series Oate Arrays.

e!.

~

Q)
(')

a
c

BASIC CELLS
2-INPUT GATES
GATE
ARRAY
TYPE

~

s·
cc
UI

TGC103

TOTAL
AVAILABLE
3,200

MAXIMUM
USABLE
2,880

PRODUCTION PACKAGES
TOTAL
BOND
PADS

PLASTIC
LEADED CHIP
CARRIER

PLASTIC
DIP

PLASTICQFP
See Note 1

28

40

28

44

68

84

84

".

".

".

".

".

".

100

120

132

PLASTIC
PIN-GRID ARRAY
160

100

120

132

144

180 208

".

TGC105

5,376

4,838

118

".

".

".

".

".

"..

TGC108

8,896

8,006

142

".

".

".

".

".

"..

TGC112

12,654

11,389

196

".

".

TGC115

15,580

14,022

216

TGC118

18,620

16,758

216

".

".

".

".

".

".

".

".

".

".

".

".

".

".

".

".

".

".

".

".

".

".

".

NOTE 1: For the quad-flat packages (QFP) ". - JEDEC and' - EIAJ

Military Applications
TI also offers gate array designs processed in compliance with MIL-STD-883, Method 5004/5005 or Method
5010. Refer to the Military Products Designer's Reference Ouide (US: SOYZOOlB) for more information
regarding TI Military ASIC.

2-12

Alphanumeric Macro Listing: TGC100 Series 1-lLm CMOS Gate Arrays

ALPHANUMERIC MACRO USTlNG:
TGC100 SERIES 1-fJ.m CMOS GATE ARRAYS (US and Europe)
Technical data is contained in the TGCIOO Series Family Data Sheet (US SRGSOO6A; Europe: SCL115).
MACRO NUMBER

DESCRIPTION

AD100LJ
AN210LJ
AN220LJ
AN310LJ

One Bit Full Adder
2-lnput AND Gate
2-lnput AND Gate, 2X Drive
3-lnput AND Gate

AN320LJ
AN410LJ
AN420LJ
AN510LJ

3-lnput AND Gate, 2X Drive
~ Input AND Gate
4-lnput AND Gate, 2X Drive
5-lnput AND Gate

AN810LJ
A0220LJ
A0221LJ
A0241LJ

8-lnputAND Gate
AND-OR Gate, 2X Drive Y=(A·B)+(C·D)
AND-NOR Gate, 2X Drive Y-(A·B)+(C·D)
2-Wide, 2-lnput AND-OR Gate

A0320LJ
A0421LJ
BFOO1LJ
BFOO6LJ

AND-OR Gate Y=(A1-A2·A3)+(B1·B2·B3)
AND-NOR Gate Y=(A1·A2·A3·A4)+(B1·B2·B3·B4)
AND-NOR Gate Y-AH(B1'B2)
AND-NOR Gate Y-AHA2+(B1·B2)

:::i

BF011LJ
BF022LJ
BF051LJ
BF053LJ

AND-NOR Gate Y -(A1'A2)+(B1'B2)+(C1'C2)
OR-AND-NOR Gate Y -A1·A2+[B1·B2,(CHC2))
OR-NAND Gate Y-A1'(B1+B2)
OR-NAND Gate Y=(AHA2)-(B1+B2)

:E

BF056LJ
BU130LJ
BU150LJ
CK120LJ

OR-NAND Gate Y-A1-A2·(B1+B2)
Delay Buffer, 3X Drive
Delay Buffer, 5X Drive
Dual-Phase, Non-Overlapping Clock Driver

tc

CKD03LJ
CKD05LJ
CKD08LJ
CKD12LJ

Clock Distribution Macro for TGC103 Base Array
Clock Distribution Macro for TGC105 Base Array
Clock Distribution Macro for TGC108 Base Array
Clock Distribution Macro for TGC112 Base Array

CKD15LJ
CKD18LJ
DE210LJ
DLDOOLJ

Clock Distribution Macro for TGC115 Base Array
Clock Distribution Macro for TGC118 Base Array
2-Line to 4-Line Decoder
Delay Driver

DLEOOLJ
DFB20LJ
DTBOOLJ
DTB10LJ

Delay Element
D-Type Flip-Flop with Preset, Clear, 2X Drive
D-Type Flip-Flop with Preset, Clear
D-Type Flip-Flop with Preset, Clear, 1X Drive

DTB20LJ
DTCOOLJ
DTC10LJ
DTC20LJ

D-Type Flip-Flop with Preset, Clear, 2X Drive
D-Type Flip-Flop with Clear
D-Type Flip-Flop with Clear, 1X Drive
D-Type Flip-Flop with Clear, 2X Drive

DTNOOLJ
DTN10LJ
DTN20LJ
DTPOOLJ
DTP10LJ

D-Type Flip-Flop
D-Type Flip-Flop, 1X Drive
D~Type Flip-Flop, 2X Drive
D-Type Flip-Flop with Preset
D-Type Flip-Flop with Preset, 1X Drive

DTP20LJ
EN210LJ
EX210LJ
EX220LJ

D-Type Flip-Flop with Preset, 2X Drive
2-lnput Exclusive-NOR Gate
2-lnput Exclusive-OR Gate
2-lnput Exclusive-OR Gate, 2X Drive

IO#21LJ
10#24LJ
10#41LJ
10#44LJ

2-mA, 3-State 1/0 Buffer with CMOS Input
2-mA, 3-State 1/0 Buffer with TTL Input
4-mA, 3-State 1/0 Buffer with CMOS Input
4-mA, 3-State 1/0 Buffer with TTL Input

10#81LJ
10#84LJ
IO#21LJ
10#24LJ

8-mA. 3-State 1/0 Buffer with CMOS Input
8-mA, 3-State 1/0 Buffer with TTL Input
2-mA, 3-State I/O Buffer with CMOS Input with Pull-Up
2-mA, 3-State 1/0 Buffer with TTL Input with Pull-Up

II
II)

c:n

c

"u
e
Co)

CO

cac
o

~

U.
""0
C
CO
Co)

"C
Q)

E
~

c

CO

.s::.
c..
C(

o

US

«

# Output buffers available with and without dildt control. Output buffers with dildt control reduce electromagnetic interference (EM I) and
transient power requirements. Use of non-di/dt controlled buffers increases the number of power and ground pin requirements and
should be limited to outputs with critical timing specifications.

2-13

Alphanumeric Macro Listing: TGC100 Series 1-l-lm CMOS Gate Arrays

MACRO NUMBER

IJ

DESCRIPTION

10#41LJ
10#44LJ
10#81LJ
10#84LJ

4-mA, 3-State 1/0
4-mA, 3-State 1/0
a-mA, 3-State 1/0
8-mA, 3-State 1/0

Buffer with CMOS Input with Pull-Up
Buffer with TTL Input with Pull-Up
Buffer with CMOS Input with Pull-Up
Buffer with TTL Input with Pull-Up

10#21LJ
10#24LJ
10#41LJ
10#44LJ

2-mA,
2-mA,
4-mA,
4-mA,

10#81LJ
10#84LJ
IPIOOLJ
IPI01LJ

8-mA, 3-State 1/0 Buffer with CMOS Input with Pull-Down
a-mA, 3-State 110 Buffer with TTL Input with Pull-Down
CMOS-Compatible Inverting Input Buffer
CMOS-Compatible Input Buffer

IPI04LJ
IPI06LJ
IPI09LJ
IPL01LJ

TTL-Compatible Input Buffer
CMOS-Compatible Inverting Input Buffer with Hysteresis
TTL-Compatible Input Buffer with Hysteresis
CMOS-Compatible Input Buffer with Pullup Tap

IPL04LJ
IPU01LJ
IPU04LJ
IVll0LJ

TTL-Compatible Input Buffer with Pullup Tap
CMOS-Compatible Input Buffer with Pulldown Tap
TTL-Compatible Input Buffer with Pulldown Tap
Inverter

IV120LJ
IV140LJ
IV211LJ
IV221LJ

Inverter, 2X Drive
Inverter, 4X Drive
Inverting 3-State Buffer with Low Enable
Inverting 3-State Buffer with Low Enable, 2X Drive

IV241LJ
JKB20LJ
JKB21 LJ
LAB20LJ

Inverting 3-State Buffer with Low Enable, 4X Drive
J-K Positive-Edge-Triggered Flip-Flop with Preset, Clear, 2X Drive
J-K Negative-Edge-Triggered Flip-Flop with Preset, Clear, 2X Drive
S-R Latch with 2X Drive

LAH12LJ
LAH13LJ
LAH14LJ
LAH20LJ

D-Type Transparent Latch, 3-State Non-Inverting Output
D-Type Transparent Latch, 3-State Inverting Output
D-Type Transparent Latch, 3-State Complementary Outputs
D-Type Latch with High Enable, 2X Drive

LAH22LJ
LH1'OLJ
LH400LJ
MU'1'LJ

D-Type Latch with Low Enable and Clear, 2X Drive
3-State Bus Holder
4-Bit Latch
2-Line to '-Line Multiplexer

MU220LJ
MU311LJ
NA210LJ
NA220LJ

4-Line to '-Line Multiplexer, 2X Drive
8-Line to l-Line Multiplexer
2-lnput NAND Gate
2-lnput NAND Gate, 2X Drive

NA310LJ
NA31'LJ
NA320LJ
NA410LJ

3-lnput NAND Gate
-3-lnput NAND Gate, Inverted A Input Y=(A)·B·C
3-lnput NAND Gate, 2X Drive
4-lnput NAND Gate

NA420LJ
NA510LJ
NA520LJ
NA810LJ

4-lnput NAND Gate, 2X Drive
5-lnput NAND Gate
5-lnput NAND Gate, 2X Drive
8-lnput NAND Gate

NA820LJ
N0210LJ
N0220LJ
N0310LJ

8-lnput NAND Gate, 2X Drive
2-lnput NOR Gate
2-lnput NOR Gate, 2X Drive
3-lnput NOR Gate

N0320LJ
N0410LJ
N0420LJ
N0510LJ

3-lnput NOR Gate, 2X Drive
4-lnput NOR Gate
4-lnput NOR Gate, 2X Drive
5-lnput NOR Gate

N0520LJ
N0810LJ
N0820LJ
OA220LJ

5-lnput NOR Gate, 2X Drive
8-lnput NOR Gate
8-lnput NOR Gate, 2X Drive
OR-AND Gate Y=(A1+A2)-(B1+B2)

3-State 1/0 Buffer with CMOS Input with Pull-Down
3-State 1/0 Buffer with TTL Input with Pull-Down
3-State 1/0 Buffer with CMOS Input with Pull-Down
3-State 1/0 Buffer with TTL Input with Pull-Down

# Output buffers available with and without dildt control. Output buffers with dildt control reduce electromagnetic interference (EMil and
transient power requirements. Use of non-dildt controlled buffers increases the number of power and ground pin requirements and
should be limited to outputs with critical timing specifications.

2-14

Alphanumeric Macro Listing: TGC100 Series 1-ll-m CMOS Gate Arrays

DESCRIPTION

MACRO NUMBER
OA231LJ
OA241LJ
OP#20LJ
OP#21LJ

OR-NAND Gate Y-(A1+A2HB1+B2)·(C1+C2)
2-Wide, 2-lnput AND-OR Invert Gate
2-mA, Totem-Pole Output Buffer
2-mA, Open-Drain Output Buffer

OP#23LJ
OP#24LJ
OP#40LJ
OP#41LJ

2-mA, 3-State Output Buffer with Low Enable
2-mA, P-Channel Open-Drain Output Buffer
4-mA, Totem-Pole Output Buffer
4-mA, Open-Drain Output Buffer

OP#43LJ
OP#44LJ
OP#80LJ
OP#81LJ

4-mA, 3-State Output Buffer with Low Enable
4-mA, P-Channel Open-Drain Output Buffer
8-mA, Totem-Pole Output Buffer
8-mA, Open-Drain Output Buffer

OP#83LJ
OP#84LJ
OP#AOLJ
OP#HOLJ

8-mA, 3-State Output Buffer with Low Enable
8-mA, P-Channel Open-Drain Output Buffer
16-mA, Sinkl12-mA Source Push-Pull Output Buffer
12-mA, Push-Pull Output Buffer

OP#JOLJ
OR210LJ
OR220LJ
OR310LJ

20-mA, Sinkl12-mA Source Push-Pull Output Buffer
2-lnput OR Gate
2-lnput OR Gate, 2X Drive
3-lnput OR Gate

:::i

OR320LJ
OR410LJ
OR420LJ
OR510LJ

3-lnput OR Gate, 2X Drive
4-lnput OR Gate
4-lnput OR Gate, 2X Drive
5-lnput OR Gate

~

OR810LJ
OSI11LJ
OSI12LJ
OSI13LJ

8-lnput OR Gate
Crystal Oscillator, Frequency Range - 55 to 75 MHz
Crystal Oscillator, Frequency Range - 35 to 55 MHz
Crystal Oscillator, Frequency Range - 20 to 35 MHz

'~

OSI14LJ
R2401LJ
R2402LJ
R2403LJ

Crystal Oscillator, Frequency Range - 1 to 20 MHz
4-Bit Shift Register with Serial In, Asynchronous Clear
4-Bit Shift Register with Serial In, Clear. Complementary Outputs
4-Bit Shift Register with Serial and Parallel Inputs

R2404LJ
R2405LJ
R2406LJ
RF400LJ

4-Bit Shift Register with Serial/Parallel In, Complementary Outputs
4-Bit Flip-Flops with Asynchronous Clear
4-Bit Flip-Flops with Complementary Outputs
16 word by 8 bit, Three-Port Register File

RF402LJ
S085LJ
S138LJ
S139LJ

16 word by 9 bit, Three-Port Register File
4-Bit Magnitude Comparator
3-Line to 8-Line Decoder/Demultiplexer
Dual 2-Line to 4-Line Decoder

S150LJ
S151LJ
S153LJ
S157LJ

16-Line to 1-Line Multiplexer
8-Line to 1-Line Multiplexer
Dual4-Line to 1-Line Multiplexer
Quad 2-Line to 1-Line Multiplexer

S161ALJ
S163ALJ
S164LJ
S165LJ

Synchronous 4-Bit Binary Counter with Clear
Synchronous 4-Bit Binary Counter
8-Bit Parallel-Out Serial Shift Register
Parallel-Load 8-Bit Shift Register

S173LJ
S175LJ
S180XLJ
S181LJ

4-Bit D-Type Register with 3-State Outputs
Quad D-Type Flip-Flop with Complementary Outputs
8-Bit Odd/Even Parity Tree
Arithmetic Logic Unit/Function Generator

S182LJ
A191LJ
S193LJ
S194LJ

4-Bit Look-Ahead Carry Generator
Synchronous Up/Down Bin Counter with Down/Up Mode Control
Synchronous 4-Bit Up/Down Counter (Dual Clock with Clear)
Bidirectional Universal Shift Register

S244LJ
S273LJ
S283LJ
S373LJ

Octal Internal Bus Buffer with 3-State Outputs
Octal D-Type Flip-Flop
4-Bit Binary Full Adder with Fast Carry
8-Bit D-Type Latch with 3-State Outputs

en
C)
C

'~

eu
ra

76
c

o

c
::s
u..
"C
C

ra

u

'i:

Q)

E
::s

c
ra
.c
Q.



C/)

n
l>

'5'

:r
Q)
~

c

3

n>
..,

C:;'
Q)
~

C"T1
C

~

~

0'
~

~

s:
Q)

n

a
c:

!!1.
5'

(Q

en

IV110LJ
IV120LJ
IV130LJ
IV140LJ

Inverter
Inverter, 2X Drive
Inverter, 3X Drive
Inverter, 4X Drive

IV160LJ
IV180LJ
IV211LJ
IV212LJ

Inverter, 6X Drive
Inverter, 8X Drive
Inverting 3-State Buffer with Low Enable
Inverting 3-State Buffer with High Enable

IV221LJ
IV222LJ
IV241LJ
IV242LJ

Inverting 3-State Buffer with Low Enable, 2X Drive
Inverting 3-State Buffer with High Enable, 2X Drive
Inverting 3-State Buffer with Low Enable, 4X Drive
Inverting 3-State Buffer with High Enable, 4X Drive

JKB20LJ
JKB21LJ
LAB10LJ
LAB20LJ

J-K Positive-Edge-Triggered Flip-Flop with Preset, Clear, 2X Drive
J-K Negative-Edge-Triggered Flip-Flop with Preset, Clear, 2X Drive
S-R Latch
S-R Latch, 2X Drive

LAH10LJ
LAH20LJ
LAH23LJ
LAH40LJ

D-Type Latch with
D-Type Latch with
D-Type Latch with
D-Type Latch with

LAL20LJ
LHll0LJ
MU110LJ
MU111LJ

D-Type Latch with Low Enable
3-State Bus Holder
2-Line to 1-Line Multiplexer with 3-State Output
2-Line to 1-Line MUltiplexer

MU210LJ
MU310LJ
MU320LJ
NA210LJ

4-Line to l-Line Multiplexer
8-Line to l-Line Multiplexer with 3-State Output
8-Line to l-Line Multiplexer, 2X Drive
2-lnput NAND Gate

NA220LJ
NA230LJ
NA240LJ
NA260LJ

2-lnput NAND Gate, 2X Drive
2-lnput NAND Gate, 3X Drive
2-lnput NAND Gate, 4X Drive
2-lnput NAND Gate, 6X Drive

NA310LJ
NA320LJ
NA330LJ
NA340LJ

3-lnput NAND Gate
3-lnput NAND Gate, 2X Drive
3-lnput NAND Gate, 3X Drive
3-lnput NAND Gate, 4X Drive

NA410LJ
NA420LJ
NA430LJ
NA510LJ

4-lnput NAND Gate
4-lnput NAND Gate, 2X Drive
4-lnput NAND Gate, 3X Drive
5-lnput NAND Gate

NA520LJ
NA810LJ
NA820LJ
N0210LJ

5-lnput NAND Gate, 2X Drive
8-lnput NAND Gate
8-lnput NAND Gate, 2X Drive
2-lnput NOR Gate

N0220LJ
N0230LJ
N0240LJ
N0310LJ

2-lnput NOR Gate, 2X Drive
2-lnput NOR Gate, 3X Drive
2-!nput NOR Gate, 4X Drive
3-lnput NOR Gate

N0320LJ
N0330LJ
N0410LJ
N0420LJ

3-lnput NOR Gate, 2X Drive
3-lnput NOR Gate, 3X Drive
4-lnput NOR Gate
4-lnput NOR Gate, 2X Drive

N0510LJ
N0520LJ
N0810LJ
N0820LJ

5-lnput NOR Gate
5-lnput NOR Gate, 2X Drive
8-lnput NOR Gate
8-lnput NOR Gate, 2X Drive

OA220LJ
OA230LJ
OA231LJ
OA240LJ

OR-AND Gate Y=(A1+A2HB1+B2)
OR-AND Gate Y=(A1+A2HB1+B2HC1+C2)
OR-NAND Gate Y-(A1+A2HB1+B2HC1+C2)
OR-AND Gate Y=(A1+A2HB1+B2HC1+C2HD1+D2)

OA241LJ
OA320LJ
OP#20LJ
OP#40LJ

OR-NAND Gate Y -(A1+A2HB1+B2HC1+C2HD1+D2)
OR-AND Gate Y=(A1+A2+A3HB1+B2+B3)
2-mA, Push-Pull Output Buffer
4-mA, Push-Pull Output Buffer

High
High
High
High

Enable
Enable, 2X Drive
Enable, Preset and Clear, 2X Drive
Enable, 4X Drive

# Output buffers available with and without dildt control. Output buffers with di/dt control reduce electromagnetic interference (EMI) and
transient power requirements. Use of non-dildt controlled buffers increases the number of power and ground pin requirements and
should be limited to outputs with critical timing specifications.

2-32

Alphanumeric Cell Listing: TSC500 Series 1-llm CMOS Standard Cells
DESCRIPTION

CELL NUMBER
OP#60LJ
OP#OOLJ
OP#AOLJ
OP#BOLJ

6-mA, Push-Pull Output Buffer
10-mA, Push-Pull Output Buffer
16-mA, Push-Pull Output Buffer
16/24-mA, Push-Pull Output Buffer

OP#EOLJ
OP#GOLJ
OP#21LJ
OP#41LJ

16/4B-mA, Push-Pull Output Buffer
16/64-mA, Push-Pull Output Buffer
2-mA, Open-Drain Output Buffer
4-mA, Open-Drain Output Buffer

OP#61LJ
OP#01LJ
OP#A1LJ
OP#B1LJ

6-mA, Open-Drain Output Buffer
10-mA, Open-Drain Output Buffer
16-mA, Open-Drain Output Buffer
24-mA, Open-Drain Output Buffer

OP#E1LJ
OP#G1LJ
OP#23LJ
OP#43LJ

4B-mA, Open-Drain Output Buffer
64-mA, Open-Drain Output Buffer
2-mA, 3-State Output Buffer
4-mA, 3-State O\Jtput Buffer

OP#63LJ
OP#03LJ
OP#A3LJ
OP#B3LJ

6-mA, 3-State Output Buffer
10-mA, 3-State Output Buffer
16-mA, 3-State Output Buffer
16/24-mA, 3-State Output Buffer

OP#E3LJ
OP#G3LJ
OR210LJ
OR220LJ

16/48-mA, 3-State Output Buffer
16/64-mA, 3-State Output Buffer
2-lnput OR Gate
2-lnput OR Gate, 2X Drive

:E

OR240LJ
OR260LJ
OR310LJ
OR320LJ

2-lnput OR Gate, 4X Drive
2-lnput OR Gate, 6X Drive
3-lnput OR Gate
3-lnput OR Gate, 2X Drive

.~

OR340LJ
OR360LJ
OR410LJ
OR420LJ

3-lnput OR
3-lnput OR
4-lnput OR
4-lnput OR

OR440LJ
OR460LJ
OR510LJ
OR810LJ

4-lnput OR Gate, 4X Drive
4-lnput OR Gate, 6X Drive
5-lnput OR Gate
8-lnput OR Gate

OSI01LJ
OSI02LJ
OSI03LJ
OSI04LJ

75 MHz (MAX)
55 MHz (MAX)
35 MHz (MAX)
20 MHz (MAX)

OSI24LJ
PD095LJ
PROO5LJ
PR095LJ

Crystal Oscillator, Low Frequency with TIL Trip Point
95-flA, Pulldown Active Terminator
5-flA, Pullup Active Terminator
95-flA, Pullup Active Terminator

PR250LJ
PR400LJ
PUCOOLJ
R2401LJ

250-flA, Pullup Active Terminator
5-Il-A, Pullup Active Terminator
Power-Up Clear One-Shot
4-Bit Shift Register with Serial Inputs, Asynchronous Clear

R2402LJ
R2403LJ
R2404LJ
R2405LJ

4-Bit Shift Register with Serial Inputs, Complementary Outputs
4-Bit Shift Register with Serial and Parallel Inputs
4-Bit Shift Register with SeriallParaliellnputs, Complementary Outputs
4-Bit Flip-Flops with Asynchronous Clear

R2406LJ
R2407LJ
R240BLJ
RF400LJ

4-Bit Flip-Flops with Complementary Outputs
4-Bit Flip-Flops with 3-State Outputs
4-Bit Ripple Counter
16-Word by 8-Bit 3-Port Register File with 3-State Outputs

RF401 LJ
RF402LJ
RF600LJ
RF601LJ

16-Word by 8-Bit 4-Port Register File with 3-State Outputs
16-Word by 9-Bit 3-Port Register File with 3-State Outputs
64-Word by 8-Bit 3-Port Register File with 3-State Outputs
64-Word by 8-Bit 4-Port Register File with 3-State Outputs

RF602LJ
RHOOOLJ
RHOO1LJ
RHOO2LJ

64-Word by 9-Bit 3-Port Register File with 3-State Outputs
64-Word by 4-Bit Static RAM with Parallel Module Test
64-Word by B-Bit Static RAM with Parallel Module Test
12B-Word by 4-Bit Static RAM with Parallel Module Test

Ell
f/)

C)

C

~

::i

eu

CO

cac
o

c
:::s
u.

Gate, 4X Drive
Gate, 6X Drive
Gate
Gate, 2X Drive

Crystal-Controlled
Crystal-Controlled
Crystal-Controlled
Crystal-Controlled

"C
C
CO

U

.~

Q)

S
:::s

c
CO
.c

Oscillator
Oscillator
Oscillator
Oscillator

Co



FUNCTIONAL DESCRIPTION
Octal Driver/Receiver, Non-Inv, 3 State
Octal Driver/Receiver, Bidir, Non-Inv, 3 State

Flip-Flops, D-Type

en

CELL NAME

-5'
:r
Q)

SN54n4SC74
SN54n4SCM173
SN54n4SCM174
SN54n4SCM175

D-Type F-F
Quad D F-F, 3-State
Hex 0 F-F
Quad D F-F, Q and 0: Output

SN54n4SCM273
SN54n4SCM374
SN54n4SC2362
SN54n4SC2405

Octal 0 F-F
Octal 0 F-F, 3-State
Design for Test, Scan D F-F**
4-Bit D-FF, Async Clr, Q Output

SN54n4SC2406
SN54n4SC2407

4-Bit D-FF, Async Clr, Q, QZ Output
4-Bit D-FF, Async Clr, Q Output with 3-State

(=)
l>
~

c

3

CD

~"
n
Q)
~

c.

"c

Flip-Flops, JK-Type

~

~

0"
~

e.
3:
Q)

CELL NAME
SN54n4SC109
SN54n4SC210a

FUNCTIONAL DESCRIPTION
JK F-F
JK F-F, Neg Edge Trig.

Flip-Flops, Toggle Type
CELL NAME

n

a

FUNCTIONAL DESCRIPTION

SN54n4SC2102

FUNCTIONAL DESCRIPTION
Toggle F-F, Ungated

r-

!"
:r
cc
en

Inverters
CELL NAME
SN54n4SC04
SN54n4SC2310
SN54n4SC2311

FUNCTIONAL DESCRIPTION
Inverter, Always Active
Inverter, 3-S, Active Low
Inverter, 3-S, Active High

Latches
CELL NAME

FUNCTIONAL DESCRIPTION

SN54n4SC75
SN54n4SC279
SN54n4SCM259
SN54n4SCM373

Bistable Latch, Active-High, Enable
Bistable Latch, Set-Reset
a-Bit Addressable Latches
Octal Latch, 3-State

SN54n4SCM375
SN54n4SC2361

Quad Latch
Design for Test, Shift Register Latch**

Memory and PLA
CELL NAME
SN54n4SC3000
SN54n4SC3001
SN54n4SC3002

FUNCTIONAL DESCRIPTION
RAM (Procedural Cell)*
ROM (Procedural Cell)*
PLA (Procedural Cell)*

* Available in restricted configurations.
** These functions are under development.

2-64

Functional Cell Listing: CircuitCell Series 3-l-lm CMOS Standard Cells

Multiplexers
CELL NAME

FUNCTIONAL DESCRIPTION

SN54n4SCM151
SN54n4SCM153
SN54n4SCM157
SN54n4SCM158

8:1 MUltiplexer
Dual 4: 1 Multiplexer
Quad 2:1 Multiplexer, Non-Inv
Dua12:1 Multiplexer, Inv

SN54n4SCM251
SN54n4SCM257
SN54n4SCM258
SN54n4SCM298

8:1 Multiplexer, 3 State
Quad 2:1 MUltiplexer, Non-Inv, 3-State
Quad 2:1 Multiplexer, Inv, 3-State
Quad 2:1 Multiplexerwith FF. Clk HL

SN54n4SCM398
SN54n4SCM399
SN54n4SC2340
SN54n4SC234l

Quad 2: 1 Multiplexer with FF. Q,
Clk LH
Quad 2: 1 Multiplexer with FF. Clk LH
2: 1 Line Multiplexer
4: 1 Line Multiplexer

SN54n4SC2342
SN54n4SC2360
SN54n4SC2363
SN54n4SC2364

8: 1 Line Multiplexer
Design for Test, XOR-Multiplexer**
Design for Test, Data Multiplexer**
Design for Test, Clk Multiplexer**

cr,

II
I/)
C')

C

t;

:l

eu

NAND Gates
CELL NAME
SN54n4SCOO
SN54n4SC10
SN54n4SC20
SN54n4SC30
SN54n4SC2012

co

FUNCTIONAL DESCRIPTION

~

2-lnput NAND Gate
3-lnput NAND Gate
4-lnput NAND Gate
8-lnput NAND Gate
5-lnput NAND Gate

cac
o

~
c

.!

Shift Registers
CELL NAME

"C

FUNCTIONAL DESCRIPTION

C

co

SN54n4SCM164
SN54n4SCM165
SN54n4SCM166
SN54n4SCM194A

8-Bit Shift Register,
8-Bit Shift Register,
8-Bit Shift Register,
4-Bit Shift Register,

Ser-ln/Par-Out, Async Clr
Par-ln/Ser-Out, Async Clr
Par-ln/Ser-Out, Sync Clr
Par-ln/Par-Out, UR Shift

SN54n4SCM195A
SN54n4SCM299
SN54n4SCM299X
SN54n4SCM595

4-Bit Shift Register, Par-ln/Par-Out, R Shift
8-Bit Shift Register, UR Shift, Bidir, 3-State
8-Bit Shift Register, UR Shift, Bidir, 110 Separated
8-Bit Shift Register wi Output Latches

c
co
.c

SN54n4SCM598X
SN54n4SC2361
SN54n4SC240l
SN54n4SC2402

8-Bit Shift Register wi Input Latches
Design for Test, Shift Register Latch**
4-Bit Shift Ser-ln/Par-Out, Async Clr, Q Output
4-Bit Shift Ser-ln/Par-Out, Async Clr, Q, QZ Output



"C

«
"C
C
CO
"C

...

CO

"C
C
CO

en

•
•

•
•
•
•

•
•

•

•

(,)

C1)

•

A

•

o
c..

"C

A

•

'11854
'29854

A

•

'11853

Noninverting 9-Bit Transceivers

•

~

...

A

•

II
::l
"C

A

•

'11834

•

A

•
•
•
•

'659

•

•

A

'658

'29853

•

•

'11657

'29834

•

•

'11652

'29833

•

A

'11651

8-/9-Bit Bus Transceivers with Parity Checker/Generator

•

•

'11648

8-/9-Bit Bus Transceivers with Parity Checker/Generator

•

•

A

'11646

'652

•

BCT

•

'11544

'651

•

A

'544

'648

ACT

A

'11543

'646

AC

•

NOTES:
• Product available in technology indicated
A New Product planned in technology indicated

3-11

Drivers and Bus Transceivers

Bus Transceivers with 3-State Outputs (Continued)
DESCRIPTION

TYPE

Noninverting 10-Bit Transceivers

TECHNOLOGY
LS

S

ALS

AS

F

HC

HCT

'11861

•

'29861
Inverting 10-Bit Transceivers

'11862

•

•
•

•

•

•
•

•

'29862
'623

12 rnAl24 rnAl48 rnA Sink, True Output Transceivers

•

•

•

•

•

'11623
'645
'654

II

•

'1645
'852
'856

(J)

'877

Dr
::::s
c.

ACT

•
•

•
•

BCT

•
•

•

•

'1640
Universal Transceivers/Port Controllers

.. .
.. .

AC

•
•
•

a Line Drivers/Bus Transceivers/MaS Drivers
D)

::::s

DESCRIPTION

c.

s:

Bus Transceivers

LS

S

ALS

•

'2242
'2623

C")
(1)

'2645

•

'2240

Line

CQ

AS

F

HC

HCT

AC

ACT

•
•
•

•
•

'2241

C:;'

'2540

•

'2541

c

•

•
•

'2244

~
c.

BCT

•

'2640

c.

a

TECHNOLOGY
STDTTL

'2620

~

::::s

b

TYPE

Line Drivers
DESCRIPTION
Octal Buffers and Line
Drivers with Input
Pull-up Resistors

TYPE

TECHNOLOGY
STDTTL

LS

'746
'747

NOTES:
• Product available in technology indicated
.. New Product planned in technology indicated

3-12

S

ALS

•
•

AS

F

HC

HCT

AC

ACT

BCT

Drivers and Bus Transceivers

SO-Ohm/7S-0hm Line Drivers
DESCRIPTION

TYPE

Quad 2-lnput PositiveNOR

'128

Dual4-lnput PositiveNAND

'140

Hex 2-lnput PositiveNAND

'804

Hex 2-lnput PositiveNOR

'805

Hex 2-lnput PositiveAND
Hex 2-lnput PositiveOR

TECHNOLOGY
LS

STDTTL

S

ALS

AS

•
•

•

HC

F

HCT

AC

ACT

BCT

•
•

'1804

•

'808
'1808
'832
'1832

•

•

•

•

•

•

•

•

•
•
•
•
•

'1805

•

•
•

II
~::s

Multifunction Drivers
DESCRIPTION
Dual Pulse
Synchronizers/Drivers

TYPE
'120

"'C

e

TECHNOLOGY
STDTTLI

•

LS

I

I

I

5

I

I

ALS

I

I

AS

I

I

F

I

I

HC

I

I

HCT

I

I

AC

I

I

ACT

Q.

I

BCT

(.)

's,

I

.9

NOTES:
• Product available in technology indicated
& New Product planned in technology indicated

"'C

CJ)
(.)

C

co

>

~

"'C
C

co

'Eco
"'C
C

co

Q)

3-13

Testability Bus Interface Circuits

TESTABILITY BUS INTERFACE CIRCUITS
SCOPETM Testability Circuits (3-State Output)
DESCRIPTION

TYPE

TECHNOLOGY
STDTTL

LS

S

ALS

AS

F

HC

HCT

AC

ACT

BCT

Octal Buffers/Drivers

'8244

A

Octal Transceivers

'8245

A

Octal Transparent
Latches

'8373

A

Octal Flip-Flops

'8374

A

NOTES:
• Product available in technology indicated
A New Product planned in technology indicated

3-14

Flip-Flop

FLIP-FLOPS
Dual and Single Flip-Flops
DESCRIPTION

Dual J-K Edge
Triggered

TYPE

'73
'76

TECHNOLOGY
STDTTL

LS

•
•

•
•
•

'78
'107
'109

•

•

S

ALS

AS

F

HC

HCT

•

•

•

•

•
•

•

•

•

•
•

•
•

•

•

•

•

•

•
•

•
•

•
•

•

•

•

'11112
'113
'114
Dual J-K Master-Slave

'111

Single J-K Edge
Triggered

'70

DualD-Type

'74

•
•
•

•

•

'11074
Dual D-Type with,
2-lnput NAND/NOR
Gates

•
•
•

'7074
'7075
'7076

Dual 4-Bit D-Type
Edge-Triggered

ACT

•

•

•

•

•

•

A

A

AC

ACT

•

•

A

A

A

A

A

A

BCT

•

•

'11109
'112

AC

•
•

•

'874

•

'11874

•
•
•

•

S

ALS

AS

F

HC

•

•

•

•

•

•

•

A

•

A

•

'876
'878
'879

II

•
•

Quad and Hex Flip-Flops
DESCRIPTION

Quad
D-Type

OUTPUTS

TYPE

Q,Q

'171
'175

TECHNOLOGY
STOTn

•

LS

•
•

'11175

•

'379
'11379
Hex
D-Type

Q

'174

•

•

•

•

'11174

•

'378
'11378
Quad J-K

•

Q

'276
'279
'376

•

•

•

HCT

BCT

•

NOTES:
• Product available in technology indicated
A New Product planned in technology indicated

3-15

Flip-Flop

Octal, 9-Bit, and 10-Bit 0-Type Flip-Flops
DESCRIPTION
True Data

TECHNOLOGY

NO. OF
OUTPUTS
BITS

TYPE

Octal

'374

3-State

STD
TTL

LS

S

ALS

AS

F

HC

HCT

•

•

•

•

•

•

•

•
•

•

•

•
•

'11374
'574
True Data with Clear

Octal

2-State

'273

True Data with Clear

Octal

3-State

'575

•

•

A

•

'878

II

True Data with Enable

Octal

2-State

'377

•

•
•
•

•

Octal

3-State

'534

•

•

•

•

•

•

•

•

•

•

•

'11534
'564
'576

•

•

'826

•
•

'11826
'29826
True Data, Metastable
Hardened

Octal

3-State

A

'4374

•

'11478
Octal

3-State

Inverting with Preset

Octal

3-State

'876

True

Octal

3-State

'825

'577
'879

•
•
•

True

9-Bit

3-State

•

'823

Inverting

9-Bit

3-State

3-State

•

10-Bit

3-State

'822
'11822

NOTES:
• Product available in technology indicated
A New Product planned in technology indicated

3-16

A

•

•

•
A

A

A

A

A

A

A

•
A
A

•
A

•

A

•

'11821
Inverting

A

A

•

'821
'29821

A

A

'11824
True

A

A

'824
'29824

A

•

'11823
'29823

•

•
•
•
•

'11825
'29825

•

•

'3374

Inverting with Clear

•

BCT

•

'11377
Inverting

ACT

A

'11273
'874

AC

•

A

•
•

•

Latches and Multivibrators

LATCHES AND MULTIVIBRATORS
Quad Latches With 2-State Outputs
DESCRIPTION

TYPE

TECHNOLOGY
STDTTL

LS

•

•

•

•

'75

Bistable

S

ALS

AS

HC

F

'77
'375
S-R

'279

HCT

AC

ACT

BCT

HCT

AC

ACT

BCT

•
•
•

•

Monostable Multivibrators
DESCRIPTION
Single

TYPE

TECHNOLOGY
STDTTL

'121
'122

Dual

'123
'221

•
•

•
•

'423

LS

S

ALS

AS

F

HC

Ell
....en

•
•
•
•

(,)

:::I
"C

e

c.

(,)

'0,

o

...J
"C

0-Type Octal, 9-Bit, and 10-Bit Read-Back Latches
TYPE

Edge-Triggered Inverting and
Noninverting

Octal

'996

Transparent True

Octal

'990

Transparent True

9-Bit

'992

DESCRIPTION

Q)
(,)

TECHNOLOGY

NO. OF
BITS

Transparent True

la-Bit

'994

Transparent Inverting

Octal

'991

Transparent Inverting

9-Bit

'993

Transparent Inverting

la-Bit

'995

Transparent with Clear True Inputs

Octal

'666

Transparent with Clear Inverting Outputs

Octal

'667

STD
TTL

LS

S

ALS

AS

F

HC

HCT

AC

ACT

BCT

•

c
co
>

~

"C
C

•
•

co

•

'Eco

•
•

en

"C
C

•
•

co

•

NOTES:
• Product available in technology indicated
A New Product planned in technology indicated

3-17

Latches and Multivibrators

Octal, 9-Bit, and 10-Bit Latches
DESCRIPTION

Transparent

NO. OF
BITS

Octal

TECHNOLOGY
OUTPUTS

TYPE

3-State

'373

STD
TTL

LS

S

ALS

AS

F

•

•

•

•

•

..

•

•

•

•

•

•

•

•

•

•

•

•

•
•
•

•
•

•

•

•

HC

HCT

•

'11373
'573
Dual 4-Bit Transparent

Octal

2-State

'116

Dual 4-Bit Transparent

Octal

3-State

'873

•

'11873
Inverting Transparent

Octal

3-State

'533
'11533

II

'563
'580
Dual 4-Bit Inverting
Transparent

Octal

3-State

'880

2-lnput Multiplexed

Octal

3-State

'604

2-lnput Multiplexed

Octal

OC

'607

Addressable

Octal

2-State

'259

Addressable

Octal

QOnly

'4724

True

10-Bit

3-State

'841

•

•

•
•

'1841

•
•

'11841
'29841
True

9-Bit

3-State

'843

•
•

'1843

True

Octal

3-State

'845

•

•
•

•

'11845
'29845
Inverting

10-Bit

3-State

'842

•

•

•

•
•

•

'11842
'29842
Inverting

9-Bit

3-State

'844
'11844
'29844

Inverting

Octal

3-State

'846

•
•

'11846
'29846
NOTES:
• Product available in technology indicated
... New Product planned in technology indicated

3-18

•

•

...

...

•

•

...

...

BCT

•

...

...

...

•

•
•
•

•

'11843
'29843

ACT

•

•

•

AC

•

...

...
•

...

...

...

...

...

...

...

...

...
...
...
•

Registers

REGISTERS
Shift Registers
DESCRIPTION

NO. OF
BITS

Sign Protected
Parallel-In
Parallel-Out
Bidirection

8

Parallel-In
Parallel-Out

TYPE
S-

4

L

H

X

X

X

X

X

'194

X

X

X

X

'11194

X

X

X

X

'198

X

X

X

X

'299

X

X

X

X

'11299

X

X

X

X

'323

X

X

X

X

'11323

X

X

'95

X

X

'178

X

X

'179

X

X

'195

X

X

'295

X

X

'395

5

X

X

'96

X

8

X

8

X

Parallel-In
Serial-Out

8

X

X

'199
'164

X

STD
TTL

LS

S

•

•
•

•

'322

X

Serial-In
Parallel-Out

Serial-In
Serial Out

S

X

4

TECHNOLOGY

MODES

X

'165

X

X

X

'166

16

X

X

X

'674

8

X

•

•

•

•
•
•
•
•
•
•
•
•
•

ALS

F

•

HC

HCT

AC

ACT

A

A

A

A

A

A

BCT

•

•

•

•

•

•

II

•

•
•
•
•
•

AS

....CJ
tJ)

•

•

::J

"0

•

~
CJ

'0,

o

...J

•

•

•

."0

•
•

C

Q)

CJ

•

•
•

•
•

~

"0
~
"0
C

•

'91

«S

"E«S
"0
C

Shift Registers with Latches
DESCRIPTION
Parallel-In Parallel-Out with
Output Latches

TYPE

4

'671

3-State

'672
Serial-In Parallel-Out with
Output Latches

8

«S

en

TECHNOLOGY

NO. OF
OUTPUT
BITS

Buffered

'594

3-State

'595

OC

'596
'599

16

2-State

'673

Parallel-In Serial-Out with
Input Latches

8

2-State

'597

Parallel 1/0 Ports with Input
Latches Multiplexed Serial
Inputs

8

3-State

'598

STD
TTL

LS

•
•

•
•
•
•
•

S

ALS

AS

F

HC

HCT

AC

ACT

BCT

•
•

•
•

NOTES:
• Product available in technology indicated
A New Product planned in technology indicated
Modes: S- = S-R, S = Sol, L = Load, H = Hold

3-19

Registers

Sign-Protected Registers
NO. OF
BITS

DESCRIPTION

Sign-Protected
Registers

8

MODES

TECHNOLOGY
TYPE

S-

L

H

X

X

S

X

STD
TTL

S

LS

ALS

AS

F

HC

HCT

AC

ACT

BCT

•

'322

Register Files
DESCRIPTION

II
~

0)

::::s

c.
0)

a
~

c.

Dual 16
Words x
4 Bits

3-State

TYPE

4 words x
4 Bits

3-State

'170

8 Words x
2 Bits

3-State

'172

DESCRIPTION

TYPE

c:;'

Quadruple Bus Buffer
Register

'173

a"c.

Data Selector
Multiplex/Register

'356

8-Bit Diagnostic
Pipeline Register

'819

c

~

ALS

AS

•

•

F

HC

HCT

•

•

•

ALS

AS

AC

ACT

A

A

AC

ACT

A

A

A

A

BCT

•

Other Registers

'299

c.

b
cc

•

'670

8-bit Universal Shift
Register

::::s

S

'11870

'298

CD

LS

'870

Quadruple
Multiplexers with
Storage

(')

TECHNOLOGY
STDTTL

'871

~
~

OUTPUT

C/I

TECHNOLOGY
STDTTL

LS

•

•
•

F

•
•

•

HC

•

•
•
•

•
•

•

'11819

8-Bit Storage Register

'396

Dual Rank 8-Bit Shift
Register with 3-State
Outputs

'692

HCT

•

'11299

'29818

•

•

NOTES:
• Product available in technology indicated
A New Product planned in technology indicated
Modes: S- = S-R, S = Sol, L = Load, H = Hold

3-20

S

•

BCT

Counters

COUNTERS
Synchronous Counters - Positive-Edge Triggered
DESCRIP· PARALLEL
nON
LOAD

TYPE

Decade

'68

Sync

'160

TECHNOLOGY
STDTn

LS

S

ALS

AS

F

HC

•

•

•

•

•

•

•

•

•

•

•
•

•

•

•

•

•

'11160
'162
'11162
'560
Decade
Up/Down

Sync

'168

•

•

•
•

•

A

•

•

•

A

'696

Sync

'69
'161

•

•

•

•

•

•

•

•

•

•

•

•

•

Async

•

•

•

A

•

•

•

A

'11193
Sync

'169

•

•

•

•

•

'569

•
•

'8169
4-Bit
Binary
Up/Down
with
Latch

Sync

8-Bit Up/
Down

AsyncCLR

'690
'693

•
•

A

0-

u
'0,

A

A

..9

'867

'869
'11869

NOTES:
• Product available in technology indicated
A New Product planned in technology indicated

e

"'C
C1)

u
A

A

A

A

C

~

"'C

«

•

•

A

A

A

A

A

A

A

A

A

A

A

A

CO
"'C
C
CO

Q)

•

•

•

•

•

•

'11867
SyncCLR

A

;:,

•

'11569
'699

"'C

•

'11169

'697

A

•

'11191
'193

A

II
t

'E

'11579
'191

A

"'C
C
CO

•
•

'8161
'8163

4-Bit
Binary
Up/Down

A

•

'561
'669

Sync

A

•

'11163

8-Bit
Binary

•

•

'11161
'163

•

•

•

'568
'11568

4·Bit
Binary

•

•

'11192
Sync

BCT

•

'11190
'192

ACT

•

'668
'190

AC

•

'11168
Async

HCT

3-21

Counters

Synchronous Counters - Positive-Edge Triggered (Continued)
DESCRIP- PARALLEL
nON
LOAD

11

TYPE

TECHNOLOGY
STDTTL

LS

S

ALS

AS

F

HC

Divideby-10
Johnson
Counter

Sync

'4017

•

Divideby-S
Johnson
Counter

Sync

'7022

•

HCT

AC

ACT

BCT

Asynchronous Counters (Ripple Clock) ....:: Negative-Edge Triggered
DESCRIP- PARALLEL
LOAD
nON

TYPE

Decade

Set-to-9

'90

Yes

'176

4-Bit
Binary

Yes

'196

Set-to-9

'290

TECHNOLOGY
STDTTL

LS

•
•
•
•

•
•
•

None

'93

•

•

Yes

'177

Yes

'197

None

'293

•
•
•
•

•
•
•

None
Divideby-12 Dual
Decade
None

'92

'390

•

•
•

S

ALS

AS

F

HC

HCT

AC

ACT

BCT

HCT

AC

ACT

BeT

A-

A-

A-

A-

A

A-

•

•
•

•
•
•

•
•

Set-to-9

'490

Dual4-Bit
Binary

None

'393

7-Bit
Binary

Sync

'4024

•

12-Bit
Binary

Sync

'4040

14-Bit
Binary

Sync

•
•
•

'4020
'4060

•

'4061

8-Bit Binary Counters with Registers
DESCRIP- PARALLEL
nON
LOAD
Parallel
Register
Outputs

3-State

TYPE
'590

TECHNOLOGY
STDTTL

LS

•

'11590

oe

'591

Parallel
Register
Inputs

2-State

'592

Parallel
I/O

3-State

AS

F

HC

•

•

'11593

NOTES:
• Product available in technology indicated
A- New Product planned in technology indicated

3-22

ALS

•
•

'11592
'593

S

Counters

Frequency Dividers, Rate Multipliers
DESCRIPTION

TYPE

TECHNOLOGY
STDTTL

LS

60-Bit Binary Rate
Multiplier

'97

•

Decade Multiplier

'167

•

Programmable
Frequency Dividersl
Digital Timers

'292
'294

•

Frequency Dividers
50:1

'56

•

Frequency Dividers
60:1

'57

•

S

ALS

AS

F

He

HCT

AC

ACT

BCT

•

II

NOTES:
• Product available in technology indicated
~ New Product planned in technology indicated

3-23

Decoders, Encoders, Data Selectors/Multiplexers and Shifters

DECODERS, ENCODERS, DATA SELECTORS/MULTIPLEXERS
AND SHIFTERS
Encoders/Data Selectors/Multiplexers
DESCRIPTION
Quad 2-to-1

OUTPUT

TYPE

2-State

'157

TECHNOLOGY
STDTTL

LS

S

ALS

AS

F

HC

HCT

•

•

•

•

•

•

•

A

•

•

•

•

•

•

'11157
'158
'11158
'298

II

•

'399
3-State

'257

•
•

•

•

•

•

•

•

•

•

•

•

•

•

'11258
Octal 2-to-1
with
Storage
DuaI4-to-1

3-State

'604

3-State

'606

OC

'607

2-State

'153

•
•

•
•

•

•

•

•

•

•

•

•

•

•

•

•

•

•

•

•

•

•

•

•

•

•

•

•

•

•

•

•

•

'11153
3-State

'253

2-State

'352

3-State

'353

'11253
'11352
'11353
Hex 2-to-1
Universal
Multiplexer

3-State

'857

8-to-1

2-State

'151

•

•

•

'11151
'152
3-State

'251

•

•

•

•

•

•

2-State

'150

•

•

'11150
3-State

'11250
'850
'851
2-State

'147

Cascadable
Octal

2-State

'148

3-State

'348

•
•

•

•
•

NOTES:
• Product available in technology indicated
A New Product planned in technology indicated

3-24

A

A

•

•

•

•

A

A

•

•

A

A

•

•

•

•

A

A

A

A

A

A

•

'250

Full BCD

A

•

•

'355
16-to-1

A

•

'11251
'354

ACT

•

•

'11257
'258

AC

•
•

•
•

BCT

Decoders, Encoders, Data Selectors/Multiplexers and Shifters

Decoders/Demultiplexers
DESCRIPTION
DuaI2-to-4

OUTPUT

TYPE

2-State

'239

TECHNOLOGY
STDTTL

LS

ALS

S

AS

HCT

HC

F

•

'11239
2-State

•

'139

•

•

•

•
•
•

A

•

•

•

•

•

•

•
•

•

•

'11139
'155
3-to-8

OC

'156

2-State

'138

•

•

•

•
•

•

•

'11138
3-to-8

2-State

'237
'238
'11238

3-to-8with
Address
Registers

2-State

4-to-10
BCD-toDecimal

2-State

4-to-16

3-State

•

'131

•

'137
'42

•

'154

•

•

•

•

'159

2-State

'4514

•

2-State

•

•

•

•

•

•

•

•

BCT

II
~
(,)

::s

"C

~

•

(,)

•

'0,

A

A

o

...J

•

"C
Q)

•

'4515
DuaI2-to-4
for Battery
Backed-Up
Memories

ACT

•

•

'11154
OC

AC

(,)

•

'2414

C

~

"C

«
"C
C

CQ

'ECQ

Shifters
DESCRIPTION

OUTPUT

4-Bit Shifter 3-State

TYPE
'350

"C
C

TECHNOLOGY
STDTTLI

LS

I

I
I

5

I
I

ALS

I
I

AS

I

F

I

I

•

I

HC

I
I

HCT

I
I

AC

I
I

ACT

I

BCT

I

NOTES:
• Product available in technology indicated
A New Product planned in technology indicated

3-25

t3

Decoders, Encoders, Data Selectors/Multiplexers and Shifters

Open-Collector Display Decoders/Drivers
DESCRIP·
TION
BCD-toDecimal

BCDto-7Segment

TECHNOLOGY

OFF-STATE
OUTPUT
VOLTAGE

TYPE

30V

'45

15V

'145

7V

'445

STDTTL

30V

'46

15V

'47

•

•
•
•

'247

II
~
0)
::s

c.
0)

LS

S

ALS

AS

F

HC

HCT

AC

ACT

BCT

ACT

BCT

•
•
•
•

Open Collector Display Decoder/Drivers with Counters/Latch
DESCRIPTION
BCD Counter!4-Bit
Latch BCD-to-7Segment Decoder!
LAD Driver

TYPE
'143

TECHNOLOGY
STDTTL

LS

S

ALS

AS

F

HC

HCT

AC

•

a
0)

::s

c.

6:<
0)

Voltage-Controlled Oscillators
NO.OFVCOs

TYPE

COMP'L
ZOUT

ENABLE

RANGE
OUTPUT

•

Yes

No

20

Yes

Yes

20

•
•

Yes

Yes

No

60

No

No

No

20

•

Yes

No

No

20

Yes

Yes

No

20

•
•

'321

No

No

No

I'D

Single

'624

Yes

Yes

ro

Single

'628

Yes

Yes

Dual

'124

No

Dual

'625

Yes

Dual

'626

Yes

Dual

'629

No

(Q

C;'
"C

ac.
c

a

NOTES:
• Product available in technology indicated
A New Product planned in technology indicated

3-26

TECHNOLOGY
LS

70

Single

C.

fMAX
MHz

No

::s

n

REXT

S

•

Decoders, Encoders, Data Selectors/Multiplexers and Shifters

Digital Loops
DESCRIPTION
Digital Phase-Lock
Loop

TYPE
'297

TECHNOLOGY
STOTTLI

I

LS

•

I

I

5

I

I

ALS

I

I

AS

I

I

F

I

I

HC

I

I

HCT

I

I

AC

I

I

ACT

I

BCT

I

NOTES:

• Product available in technology indicated
... New Product planned in technology indicated

II
t
=
e
c.
"'C
CJ

's,
o

...J
"'C
Q)
Co)

C

~

"'C

«
"'C
C

CO

"ECO
"'C
C

hS

3-27

Comparators and Error Detection Circuits

COMPARATORS AND ERROR DETECTION CIRCUITS
4-Bit Comparators
DESCRIPTION
P=Q
Yes

I
I

P>Q
Yes

I
I

I

P>Q

I

No

I

OUTPUT

I

2-State

TECHNOLOGY

TYPE

ENABLE
No

STDTTL

J

LS

•

I

•

'85

I
I

I
I

S

•

HC

•

8-Bit Identity Comparators
DESCRIPTION

TECHNOLOGY
TYPE

INPUT
20 kOhm
Pull-up

Yes

No

No

No

No

OC

Yes

'518

No

Yes

No

No

No

2-State

Yes

'520

~

:::l
C.
0)

No

Yes

No

No

No

No

Yes

No

Yes

No

Yes

No

Yes

OC

Yes

'522

No

2-State

No

'682

No

OC

No

'683

Yes

No

No

No

No

OC

Yes

'519

No

Yes

No

No

No

2-State

Yes

'521

6:

No

Yes

No

Yes

No

2-State

No

'684

No

Yes

No

Yes

No

OC

No

'685

:::l

No

Yes

No

Yes

No

2-State

Yes

'686

n

No

Yes

No

Yes

No

OC

Yes

'687

C.

No

Yes

No

No

No

2-State

Yes

'688

b

No

Yes

No

No

No

OC

Yes

'689

Latched P No

No

Yes

No

Yes

2-State

Yes

'885

Latched P Yes
andQ

No

Yes

No

Yes

Latch

Yes

'866

Standard

0)

:::l
C.

(t)

(;'
."

a

S

ALS

AS

•

F

HC

HCT

•
•

•

•

•
•

•

•
•

•

•

•

•

•
•

AC

ACT BCT

•

•

•

•

•

'11521

~

(,Q

LS

'11520

0)

a.

P=Q P=Q P>Q P>Q P

"C

Clock Driver Families
DESCRIPTION

TYPE

«
TECHNOLOGY

STDTTL

LS

S

ALS

AS

F

HC

HCT

AC

ACT

BCT

"C
C

ca

'Eca

Hex Inverting Clock
Drivers/Buffers

'11204

•

•

DuaI1-to-4 Clock
Drivers/Buffers

'11208

•

•

"C
C

Triple 4-lnput AND/
NAND Clock Drivers

'11800

•

•

en

Triple 4-lnput OR/NOR
Clock Drivers

'11802

•

•

Octal Divide-by-2
Clock Drivers (6 Invert,
9 Noninvert)

'303

Octal Divide-by-2
Clock Drivers
(8 Noninvert)

'304

Octal Divide-by-2
Clock Drivers (4 Invert,
4 Noninvert)

'305

ca

•

•
•

NOTES:
• Product available in technology indicated
• New Product planned in technology indicated

3-31

Bipolar Memory

ECl-to-TTL or TTl-to-ECl Translators
DEVICE

II
~
::::s

.

c.
Q)
c.

FUNCTION

AVAILABILITY

SN10KHT5538

EeL-to-TTL Octal Bus Driver, Inverting (o.e.)

A

SN100KT5538

EeL-to-TTL Octal Bus Driver, Inverting (o.e.)

A

SN10KHT5539

EeL-to-TTL Octal Bus Driver (O.e.)

A

SN 1OOKT5539

EeL-to-TTL Octal Bus Driver (o.e.)

A

SN10KHT5540

EeL-to-TTL Octal Bus Driver, Inverting (3-State)

•

SN100KT5540

EeL-to-TTL Octal Bus Driver, Inverting (3-State)

SN10KHT5541

EeL-to-TTL Octal Bus Driver (3-State)

SN100KT5541

EeL-to-TTL Octal Bus Driver (3-State)

SN10KHT5542

TTL-to-EeL Octal Bus Driver, Inverting (Output Enable)

•
•

•
•
•
•
•

SN100KT5542

TTL-to-EeL Octal Bus Driver, Inverting (Output Enable)

SN10KHT5543

TTL-to-EeL Octal Bus Driver (Output Enable)

SN 1OOKT5543

TTL-to-EeL Octal Bus Driver (Output Enable)

SN10KHT5562

EeL-to-TTL Oct~1 Bus Transceiver (Output Enable)

A

SN100KT5562

EeL-to-TTL Octal Bus Transceiver (Output Enable)

A

SN10KHT5563

EeL-to-TTL Octal Bus Transceiver, Inverting (Output Enable)

A

SN100KT5563

EeL-to-TTL Octal Bus Transceiver, Inverting (Output Enable)

A

SN10KHT5564

EeL-to-TTL Octal Bus Transceiver

A

Q)

SN100KT5564

EeL-to-TTL Octal Bus Transceiver

A

::::s

SN10KHT5565

EeL-to-TTL Octal Bus Transceiver

A

~

SN100KT5565

EeL-to-TTL Octal Bus Transceiver

A

SN100KT5573

EeL-to-TTL Octal D-Type Latch (3-State)

A

c.
Q)

SN100KT5573

EeL-to-TTL Octal D-Type Latch (3-State)

A

SN10KHT5574

EeL-to-TTL Octal D-Type Flip-Flop (3-State)

A

c.

SN100KT5574

EeL-to-TTL Octal D-Type Flip-Flop (3-State)

A

b

SN10KHT5575

EeL-to-TTL Octal D-Type Latch, Inverting (3-State)

A

SN100KT5575

EeL-to-TTL Octal D-Type Latch, Inverting (3-State)

A

c;'

SN10KHT5576

EeL-to-TTL Octal D-Type Flip-Flop, Inverting (3-State)

A

"'C

SN100KT5576

EeL-to-TTL Octal D-Type Flip-Flop, Inverting (3-State)

A

SN10KHT5577

TTL-to-EeL Octal D-Type Latch (Output Enable)

A

SN100KT5577

TTL-to-EeL Octal D-Type Latch (Output Enable)

A

SN10KHT5578

TTL-to-EeL Octal D-Type Flip-Flop (Output Enable)

A

SN100KT5578

TTL-to-EeL Octal D-Type Flip-Flop (Output Enable)

A

SN10KHT5579

TTL-to-EeL Octal D-Type Latch, Inverting (Output Enable)

A

SN100KT5579

TTL-to-EeL Octal D-Type Latch, Inverting (Output Enable)

A

SN10KHT5580

TTL-to-EeL Octal D-Type Flip-Flop, Inverting (Output Enable)

A

SN100KT5580

TTL-to-EeL Octal D-Type Flip-Flop, Inverting (Output Enable)

A

SN10KHT5590

EeL-to-TTL Octal Registered Transceiver (Output Enable)

A

SN100KT5590

EeL-to-TTL Octal Registered Transceiver (Output Enable)

A

SN10KHT5591

EeL-to-TTL Octal Registered Transceiver, Inverting (Output Enable)

A

SN100KT5591

EeL-to-TTL Octal Registered Transceiver, Inverting (Output Enable)

A

SN10KHT5592

EeL-to-TTL Octal Registered Bus Transceiver (Output Enable)

A

SN100KT5592

EeL-to-TTL Octal Registered Bus Transceiver (Output Enable)

A

SN10KHT5593

EeL-to-TTL Octal Registered Bus Transceiver, Inverting (Output Enable)

A

SN100KT5593

EeL-to-TTL Octal Registered Bus Transceiver, Inverting (Output Enable)

A

SN10KHT5646

EeL-to-TTL Octal Registered Bus Transceiver (Output Enable)

A

SN100KT5646

EeL-to-TTL Octal Registered Bus Transceiver (Output Enable)

A

SN10KHT5648

EeL-to-TTL Octal Registered Bus Transceiver, Inverting (Output Enable)

A

SN100KT5648

EeL-to-TTL Octal Registered Bus Transceiver, Inverting (Output Enable)

A

::::s

CO)

CD

c.a

a
c.
c

a

NOTES:
• Product available in technology indicated
A New Product planned in technology indicated

3-32

LOGIC PRODUCTS

Part Number Identification

PART NUMBER IDENTIFICATION
Factory orders for circuits described in this guide should include a four-part type number as explained in
the following example.
EXAMPLE

SN

74LS02

J

00

1) Prefix: _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _---JI
CONTAINS TWO TO FOUR LETTERS
SN

Standard Prefix

Blank

With AC and ACT

II

2) Unique Circuit Description - - - - - - - - - - - - - - - - - - - - - - '

CONTAINS FOUR TO THIRTEEN CHARACTERS
Examples:
5430
74LS02
54S02
54ALS29864

J!JCJ

74AS02
54HC4078A
74HCT241
74HCU04

:::s

"C

E
c..
CJ

'0,

3)Package----------------------------------------------~

.9

CONTAINS ONE OR TWO LETTERS

"C
Q,)

CJ
C

J, JO, JT, JW, N, NT, NW, P (Oual-in-line packages)t

~

FE, FK, FN (Chip carriers)

~

0, OW (Small outline packages)t

"C
C

co

OL (Shrink small outline packages)

'Eco

W (Ceramic flat pack)

"C

4) Instructions - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - '
CONTAINS ONE OR TWO CHARACTERS
- R Tape and Reel (0 and OW packages only)
t These circuits in dual-in-line and small outline packages are shipped in one ofthe carriers shown below. Unless a specific method of
shipment is specified by the customer (with possible additional costs), circuits will be shipped in the most practical carrier. Please
contact your TI sales representative for the method that will best suit your particular needs.

Oual-in-line (J, JO, JT, JW, N, NT, NW, P)
Small outline (0, OW)
Chip Carrier (FK, FN)
-

Slide Magazines
A-Channel Plastic Tubing
Barnes Carrier (N only)
Sectioned Cardboard Box
Individual Plastic Box
Tape and Reel (0 and OW packages only)

3-33

C

co

en

11

3-34

Introduction

MICROPROCESSORS AND
MICROCONTROLLERS
TI's microprocessors and microcontrollers are designed to meet a wide range of applications. For digital signal
processing requirements there is the TMS320 family; for graphics, the TMS340 family; and for local area
networking, the TMS380 family. For control in telecommunications, automotive and computer peripherals, TI
offers its 8-bit TMS370 family. When high performance is called for, designers can turn to Texas Instruments
'ACT88XX 32-bit processor chip set. The TMS7000 family is also available for general purpose microprocessor requirements.
Along with this variety of microprocessors and microcontrollers, TI offers a range of memory and bus
interface support. The memory management products include the SN74ACT2150 family of cache address
comparators, error detection and correction circuits, and DRAM controllers. Bus interface products consist of
transceivers, controllers and address generators.
TI's microprocessors and controllers utilize processing benefits gained from TI's Dynamic Random-Access
Memory (DRAM) technology. For instance, the TMS320C250DSp, TMS34010 Graphics Processor and
TMS380 LAN family, all draw from technology derived from 256K and one-megabit DRAM processing. All
TI processors and controllers also undergo rigorous quality and reliability testing.
Readers should refer to the Alphanumeric Index and to the Customer Support section of the Master Selection
Guide for additional information on technical documentation.

Contents

Page

General Purpose Processors ............................................................ 4-3
TMS7000 Family - 8-Bit Microcomputers ............................................ 4-3
TMS7000 Family ............................................................ 4-4
TMS7000 Development Systems ................................................ 4-5
TMS7000 Assembler/Linker Package ............................................ 4-6
TMS7000 Prototyping Devices, SE77C42 EPROM Devices ........................... 4-6
SE70CP160 and SE70CP162 Piggyback Devices ................................... 4-6
Application Processors ................................................................ 4-7
TMS320 Family of Digital Signal Processors (DSP) ..................................... 4-7
TMS320 Family ............................................................. 4-9
TMS320 Development Support Tools ............................................ 4-11
TMS340 Graphics Family ........................................................ 4-12
TMS340 Family Processors ................................................... 4-13
TMS34010 Graphics System Processor ...................................... 4-13
TMS34020 Graphics System Processor ...................................... 4-15
TMS34082 Floating-Point Processor ........................................ 4-17
TMS340 Family Hardware and Software Support .................................. 4-19
TMS34010 Tools ....................................................... 4-19
TMS34010 XDS122 Emulator ............................................. 4-20
TMS34010 Software Development Board .................................... 4-21
TMS34010 Development Software ......................................... 4-22
4-1

II

Introduction

Contents

II
'J

Page

TMS340 Peripherals ................................... : .................... 4-24
TMS34061 Video System Controller ........................................ 4-24
TMS34070 Color Palette ................................................. 4-26
TIGA-340 Graphics Software Interface .......................................... 4-27
TMS370 Family-High Performance 8-Bit MiCrocontrollers ............ , ..... " .. '" .... 4-29
TMS370 Family ............................................................ 4-29
TMS370 Development Support System .......................................... 4-31
TMS380 LAN Adapter Chipset .................................................... 4-32
Standard Token-Ring LAN Adapter Architecture .....,............................. 4-32
TMS380C16 Enhancements ..... ~ ............................................ 4-32
TMS380C16 Features ....................................................... 4-33
TMS380 Customer Support, Documentation, Token-Ring Training .................... 4-34
TMS380 Development Support Products ......................................... 4-35
TMS380 Applications Engineering Support ................... '.................... 4-35
Building Block ~roces~ors ............................................................. 4-36
VLSI 32-Blt FamIly ............................................................. 4-36
Introduction and Technology .................................................. 4-36
SN74ACT8818 Microsequencer ............................................... 4-38
SN74ACT8832 Registered ALU ............................................... 4-40
SN74ACT8836 Integer Multiplier .............................................. 4-42
SN74AS8838 32-Bit Barrel Shifter ............................................. 4-44
SN74ACT8841 Digital Crossbar Switch ......................................... 4-45
SN74ACT8847 Floating Point Processor ......................................... 4-47
SN74ACT8867 Vector Processor Unit ........................................... 4-49
8800 Software Development Board ............................................. 4-51
Memory Management Products ........................................................ 4-52
Dynamic RAM Controllers ....................................................... 4-52
SN74ALS6301 and 'ALS6302 Dynamic RAM Controllers ........................... 4-52
TMS4500A 64K Dynamic RAM Controller ...................................... 4-54
THCT4502B 256K Dynamic RAM Controller .......................... ~ ......... 4-56
SN74ACT4503 1M Dynamic RAM Controller .................... ',' ....... '" .... 4-58
SN74ALS631O and 'ALS6311 Static Column and Page Mode Detectors .................... 4-60
Cache Address Comparators ...................................................... 4-62
SN74ACT2150A 512 X 8 Cache Address Comparator .............................. 4-62
SN74ACT2151 and 'ACT2153 1K X 12 Cache Address Comparator ................... 4-64
SN74ACT2152A and 'ACT2154A 2K X 8 Cache Address Comparator ................. 4-66
SN74ACT2155 2K X 8 Cache Address Comparator/Data RAM ... " ....... " ......... 4-68
SN74ACT2163 16K X 5 Cache Address Comparator ........... " .................. 4-70
SN74AS632 and i\LS632 Error Detection and Correction ............................... 4-72
Memory Mappers ......................... " ................ " .................. 4-74
Bus Interface ...................................................................... 4-75
General ....................................................................... 4-75
SN74BCT2423 and 'BCT2424 Bus Transceivers .................................. 4-75
NuBus (TM) Interface ........................................................... 4-76
SN74BCT2420 Address/Data Transceiver and Register ............................. 4-76
SN74ACT2440 Interface Controller ............................................ 4-77
SN74ALS2442 Block Slave Address Generator ................................... 4-78
4-2

8-Bit Microcontrollers- TMS7000 Family

GENERAL PURPOSE PROCESSORS
a-BIT MICROCONTROLLERS - TMS7000 FAMILY
DESCRIPTION
The TMS7000 is a family of 8-bit single-chip microcontrollers. These microcontrollers incorporate a CPU,
memory (RAM, ROM, EPROM), bit 110, serial communication port, timers, interrupts, and external bus
interface logic, all on a single chip. The products are available in varying complexity of functions, process
technology, performance, and packaging to meet end equipment cost goals and application requirements.

FUNCTIONAL BLOCK DIAGRAM

r--------------------------1 __- - - - ,

II

a.------INT4-----+

:=:...-'-----i~ INTERRUPT a.------INT5 - - - - ,
CONTROL

~

14-----INT2 -----,

~

e
....c

RAM

o

u

e
u

~

"'C
C
a·BIT
CPU

co

PERIPHERAUMEMORY
CONTROL

~

o

~

Q)

u

eCo
eu

PORTA

ROM

~
32 1/0 LINES,
SERIAL PORT
& TIMER OUTPUT
FUNCTIONS

PORT B
PORT C

XTAL
MODE
CONTROL

PORT D

L _____________________________

~

t Timer 3, serial port, and timer/event counter 2 available for
TMS70Cx2 devices only

4-3

8-Bit Microcontrollers- TMS7000 Family

TMS7000 FAMILY
TMS7000 FAMILY
TMS70COO
IC20/C40

DESCRIPTION
Max. Oscillator
Frequency (5V+-10%)

5MHz

On-Chip ROM (K-Bytes)

0/2/4

0/4

128

256

2
4

2
6

On-Chip RAM (Bytes)
Interrupt Levels:
External
Total
Timers/Event Counters
21-Bit
13-Bit
10-Bit

II
3:
C:;'

-

Additional Features

-

1

24
8

Serial Port

DEVELOPMENT SUPPORT

a

Prototyping
EPROM
Piggyback

CO)

CD

en
en

o

XDSR
EVM

Cil
Q)
::s
Q.
c:;'

2

-

1

16
8
8

o
""

6MHz

-

I/O Lines:
Bidirectional
Output only
Input only

'C

3:

TMS70C02
IC42

Voltage Range
Temperature Range
*In the US, SE77C42 is available; in Europe, TMS77C82.

o
CO)

o

::s
r+

2CD
Cil

4-4

SE70CP160

SE77C42*
SE70CP162

Yes
Yes

Yes
Yes

2.5Vto 6.0V
-40° to 85°C

2.5Vto 6.0V
-40° to 85°C

8-Bit Microcontrollers - TMS7000 Family

DEVELOPMENT SYSTEMS

There are two development systems available for the TMS7000 family of microcomputers, the XDS® and the
EVM. The XDS is a Host independent real time in-circuit emulator with extensive on-board debug
capabilities. The EVM is a low-cost Host independent real-time in-circuit emulator with debug capabilities and
EPROM programming utilities.
XDS - Extended Development System (TMDS 7062220)

•
•
•
•

•
•
•
•
•

Full TMS7000 Family Development System
Host IndependentlRS-232-C Interface
Full Speed In-Circuit Emulation
Extensive Breakpoint and Trace Functions
- Detailed Timing Analysis
- 2K-Byte Trace Samples
- Breakpoint Sequencing Ability
Command/Default Storage
Removable Target Connector
External Probe for BreakpointlTrace Qualifiers
On-Board Assembler and Reverse Assembler
Multiprocessing Capabilities

EVM - Evaluation Module (RTC/EVM 7000 C-1)

• TMS7000 Family Low Cost Development System
• Single-Chip Mode Emulation Only
• On-Board Assembler/Line Text Editor
• On-Board Hardware/Software Debugger
• Multiple Breakpoints
• Trace Display Function
• EPROM Programmer Utilities

rnm
D~~~~~~~~~~~~

4-5

8-Bit Microcontrollers - TMS7000 Family

ASSEMBLER/LINKER PACKAGE
Crossware® assembler/linker packages are available through Texas Instruments for the following operating
systems:

Operating System

TI Part Number

TI and IBM PC
DEC VAX VMS

TMDS7040810-02
TMDS704021O-08

PROTOTYPING DEVICES
The TMS7000 family contains a wide range of prototyping devices for preproduction and development needs.

SE77C42 EPROM DEVICE:
This 8-bit EPROM device is designed for prototyping purposes. The SE77C42 contains 4K bytes of on-chip
EPROM and is completely software and pin compatible with the TMS70C42.

II
s:

C:;'

~

SE70CP160 AND SE70CP162 PIGGYBACK DEVICES

.

The Piggyback parts are prototyping devices for the TMS7000 family of microcomputers. These devices are
packaged so that a standard '27C64, or '27C128 EPROM can be plugged into the socket on top (piggyback). It
is designed to be used in the prototyping environment and support for that purpose. Texas Instruments does not
support or warrant the use of the piggyback parts for production purposes.

an
CD

en
en

o

ii1
Q)

::l
C.

s:

C:;'

a

8

a
2-

tD
ii1

4-6

TMS320 Family of Digital Signal Processors

APPLICATION PROCESSORS
TMS320 FAMILY OF DIGITAL SIGNAL PROCESSORS
TMS320 FAMILY ROAD MAP

D-

D-

w

«

en
a..
~

ou..

o...J

U

z

::2:
a:

a:
w
a..

FLOATING-POINT DSP
FLOATING-POINT DSP

ena..

TMS320C50
TMS320C5X

u..

::2:

TMS32010
TMS320C10-14
TMS320C10-25
TMS320C15/E15
TM S320C 15-25
TMS320C17/E17
TMS320C14/E14

II

TMS32020
TMS320C25
TMS320E25
TMS320C25-50
TMS320C26

~

~

e
~

c
o
(,)

e
(,)

~

GENERATION

"C
C
C'a

TMS 320 Family Roadmap

~

o

(/)
(/)

The TMS320 family of 16/32-bit single-chip Digital Signal Processors (DSP) utilizes a Harvard-type
architecture for increased parallelism and higher throughput. These economical, programmable, general
purpose DSPs combine the flexibility of a high-speed controller with the numeric capability of an array
processor, offering an inexpensive alternative to custom VLSI and multi chip bit-slice processors.
The TMS320 digital signal processor family provides a wide range of devices to satisfy every cost!
performance need. Five generations of compatible devices offer DSP solutions for application requirements
ranging from very low-cost ($4) to very high performance (33 MFLOPS).
The five generations of the TMS320 family are:
• TMS320CIX (1st-gen.)

- TMS320ClO, TMS320ClO-14, TMS320ClO-25
TMS320C14
TMS320E14 (EPROM on-chip)
TMS320P14 (OTP EPROM on-chip)
TMS320C15, TMS320C15-25
TMS320E15, TMS320E15-25 (EPROM on-chip)
TMS320P15, TMS320P15-25 (OTP EPROM on-chip)
TMS320C17
TMS320E17 (EPROM on-chip)
TMS320P17 (OTP EPROM on-chip)
4-7

CI,)
(,)

e
Co
e
(,)

~

TMS320 Family of Digital Signal Processors

• TMS320C2X (2nd-gen.) - TMS32020
TMS320C25, TMS320C25-50
TMS320E25 (EPROM on-chip)
TMS320C26
• TMS320C3X (3rd-gen.) - TMS320C30
• TMS320C4X (4th-gen.) - TMS320C40*
• TMS320C5X (5th-gen.) - TMS320C50*

* In development. Contact nearest Texas Instruments Field Sales Office for more information and availability.
TMS320 Family Benefits

II

DEVICE

PERFORMANCE

TMS320C1X

• 14-,20- and 25-MHz versions
(6.25 MIPS max)
• Harvard Architecture
• Expanded 256-word on-chip RAM
• Secure ROM/EPROM
• HIW multiplier & barrel shifter

• Expanded 4K-word on-chip ROM
• Serial port and co-processor
interface

SYSTEM BENEFIT

• 4K-word EPROM versions
• 4K-word OTP EPROM versions
• Flexible, general-purpose
instruction set and addressing
modes
• Complete line of support tools

EASE OF USE

TMS320C2X

• 800/100ns single-cycle execution
time (12.5 MIPS max)
• 160K-words total memory
addressable off-chip at full speed
• Separate program & data spaces
with mutli-bus configuration
• HIW multiplier & extended
precision ALU & accumulator
• Pre and post scaling barrel shifters
• Harvard architecture

• 4K-words on-chip ROM 544 words
prog/data RAM on-chip
• Full duplex, synchronous serial
port and interval timer on-chip
• Plastic leaded chip carrier package

• 133 general purpose and DSP
specific instructions
• 4K-word EPROM version
• C-compilerfor high-level
language support
• Complete line of hardware and
software support tools

TMS320C3X

• 60-ns single-cycle execution time
(more than 33 MFLOPS)
• 2K x 32-bit dual-access RAM
• 4K x 32-bit dual-access ROM
• 64 x 32-bit instruction cache
• single-cycle floating point
multiply/accumulative/data move
• On-chip DMA controller
• Zero-overhead loops and singlecycle branches

• On-chip serial ports and timers
• Large on-chip memories,
instruction cache, and DMA
controller
• Peripheral bus for customization

• Foating-point, integer and logical
32/40-bit ALU
• 16-megaword memory space
• Register-based CPU
• Flexible, general-purpose
instruction set and addressing
modes
• Complete line of support tools
• High-level language support for:
C, FORTRAN, & ADA
• DSP operating system support:
SPOX

TMS320C5X

• 50ns instruction execution (20
MIPS)
• Buffered accumulator and product
registers
• Zero-overhead context switching
• Block repeats and delayed
branching

• 8.5K words on-chip Program/Data
RAM
• 2K words boot ROM
• S/W waitstate generators for
program, data, & I/O spaces
• Serial port, timer, multiprocessor

• S/W upwardly compatible with
'C1x1'C2X
• Added general pur-and DSP
instructions
• Flexible addressing modes
• High-level language support

I/F

• Modular design with peripherals
attached via TI Bus ,. for quick
spin-offs
• JTAG scan path for system
emulation and testing

APPLICATIONS
The TMS320 DSP applications are as varied as:
• Telecommunications
• Graphics/image processing
• Instrumentation
• Automotive
• Military
4-8

•
•
•
•

Voice/speech processing
Control systems
Consumer goods
Medical

TMS320 Family of Digital Signal Processors

TMS320 DIGITAL SIGNAL PROCESSING FAMILY
The TMS320 DSP family currently consists of twenty-four compatible high-speed digital signal processors.
The devices are designed to increase DSP system performance, while reducing total system cost.
Devices
FIRST GENERATION (TMS320C1XI
'320C10
'Cl0-25
'Cl0-14
16-bit

DEVICE
Data Type:
On-chip (Word)
RAM
ROM
**EPROM
Total Mem Map

144
1.5K

-

4K

1/0
Parallel
Serial
Ext Interrupts
Timer
Coprocessor IF
Aux. Registers
Stack Level
Instructions
Cycle Time (ns)

'320E14
'320P14
**
16-bit
256

-

4K
4K

'320C15
'C15-25
16-bit
256
4K

-

4K

'320E15
'320E15-25
**'320P15
16-bit
256

-

4K
4K

'320C17

'320E17
'320P17

16-bit

16-bit

256
4K
4K

256

6 x 16
2
1
1
Yes
2
4
60
200

6 x 16
2
1
1
Yes
2
4
60
200

**

-

4K
4K

7 x 16
1
2
4
2
4
60
160

8 x 16
0
1
0
2
4
60
200
160

8 x 16
0
1
0

320

400
320

400
320

400

400

25.6

20.5
25.6

20.5
25.6

20.5

20.5

325
225
250
300
200
250
275
200
Technology
CMOS
CMOS
CMOS
CMOS
CMOS
**'320P14, '320P15, '320P15-25, and '320P17 have 4K-words of One Time Programmable (OTP) EPROM on chip.

325

8 x 16
0
1
0

-

MultiplylAccumulate (ns)
External Clock Freq. (MHz)
Typical Power Dissipation (mW)
@5Volts

2
4
60
200
160
280
400
320
560
20.5
25.6
14.4
165

2
4
60
200
160

SECOND GENERATION (TMS320C2X)

II

CMOS

THIRD GEN.
(TMS320C3X)

FIFTH. GEN.
(TMS320C5X)

'320C30

*'320C50

DEVICE

'32020

'320C25
'320C25-50

DATA TYPE:
Integer
Floating Point

16-bit

16-bit

16-bit

16-bit

32-bit
32-bit

16-bit

544

544
4K
-

544

1.5K
256
-

2K
4K

8.5K
2K
-

0'R~~ (Word)
ROM
EPROM
CACHE
Total Mem Map

1/0
Parallel
Serial
DMA
Ext Interrupts
Timer
Multiprocessor Interface
Aux. Registers
Stack Level
Shadow Regs
Instructions
Repeat Counter
Cycle Time (ns)

-

-

'320E25

'320C26

-

160K

160K

4K
160K

16 x 16
1
Ext.
3
1
Yes
5
4

16 x 16
1
Ext.
3
1
Yes
8
8

16 x 16
1
Ext.
3
1
Yes
8
8

109
Yes
200

-

-

-

133
133
Yes
Yes
100
100
80
Multiply/Accumulate (ns)
200
100
100
80
20.5
40.96
40.96
External Clock Freq. (MHz)
51.2
TypPwr (mW)
1250
500
500
500
CMOS
CMOS
Technology
NMOS
..
*In development. Contact nearest TI sales office for more information and availability.

-

-

-

160K

64
16M

16 x 16
1
Ext.
3
1
Yes
8
8

8K x 32
2
Int.!Ext.
4
2
Yes
8
unlimited

133
Yes
100

112
block rpt
60

-

160K
16 x 16
1
Ext.
3
1
Yes
8
8
13
181
rpt, block rpt
50

100

60

50

40.96

33.3

40.96

550

1500

500

CMOS

CMOS

CMOS

4-9

TMS320 Family of Digital Signal Processors

Other TMS320 family devices include applications oriented devices such as the TMS320SA32 32-kbitlsec
ADPCM Transcoder (TMS320CIX-based), and the DSP2400 V.22 bis Modem Chip-set (also TMS320CIXbased). The DSP2400 Modem Chip-set consists of two devices, the TMS320A2400 DSP and the
TMS70A2400 controller devices.
TMS320 FAMILY DEVELOPMENT SUPPORT
Texas Instruments offers an extensive line of support products and documentation to assist the user in all
aspects ofTMS320 design and development. TMS320 S/W development products include:
• C compilers
• Simulators
• Assemblerllinkers
• Application software library

II

• PC-resident S/W development system (SWDS) for realtime S/W simulation.
Many of these software development tools are available to operate in the fullowing environments:
• PC/MS-DOS

3:

• VAX (VMS)

n'

a
an

• VAX (ULTRIX)

"C

• SUN-3 (UNIX)

CD

C/I

~

TMS320 hardware development products include:
• Evaluation modules (EVM)

Cil
Q)

:::s

• Analog interface board (AlB)

c.
3:

• Full speed in-circuit emulators (XDS).

n'

a
a

n

o

2CD
Cil

A prototype kit is available for evaluation of the DSP2400 modem chip set, with development support also
available from over 100 third-parties for the entire TMS320 family.
Extensive documentation, including over 1000 pages of application reports, newsletters, product bulletins,
user's guides and textbooks, is available to support DSP design, research, and education. In the US, the
TMS320 University program offers assistance to universities in setting up DSP courses and research facilities.
TMS320 application assistance is available in the US through the TMS320 Hotline (713-274-2320), TMS320
Hotline facsimile terminal (713-274-2324), and the TMS320 Bulletin Board service (713-274-2323).
TI's Regional Technology Centers (RTC) offer half-day seminars and hands-on workshops on the TMS320
DSPs and development support tools. Three-day hands-on workshops assist users in the development of
TMS320-based designs. A half-day seminar provides a quick overview of the TMS320 family.
The following table lists TI's TMS320 development tools. Consult the TMS320 Family Development Support
Reference Guide (SPRUOllA) for more detailed information on TMS320 support. Call the nearest TI Field
Sales Office for information on price and availability.

4-10

TMS320 Family of Digital Signal Processors

TMS320 Development Support Tools
HARDWARE

TMS320C1X
1st-GENERATION

Evaluation Module (EVMl

RTC/EVM320A-03

Emulators:
XDS/22 TMS320C1 0/C15
TMS320C14
TMS320C17
TMS320C25
XDS/500
XDS/1 000 Development
Environment
XDS/22 Upgrades:
TMS32010 User Upgrade
TMS32020 User Upgrade
Analog Interface
Board (AIBI
AlB Adapter for AIB-1

TMS320C2X
2nd-GENERATION

TMS320C1 X/C2X
FAMILY MEMBERS

TMS320C3X
3rd-GENERATION

TMDS3262211
TMDX3262214
TMDX3262217
TMDS3262221
TMDX3260131
TMDX3261 030

TMDX3282216
TMDX3282226
RTC/EVM320C-06
(AIB-ll

EPROM Programmer Adapter Sockets:
320E 15/17 40 to 28-Pi n
320E14
68to 28-Pin
320E25
68 to 28-Pin

RTC/PG M320A-06
TMDX3270110

TMS320E15 Starter Kit

RTC/EVM320E-15

RTC/AIB320A-06
(AIB-21
RTC/ADP320A-06

II

TMDX3270120

~

DSP2400 Prototype Kit

.9:!

e
.....

TMDSP2400PK

SO FlWAR E
Assembler/Linker:
PC/MS-DOS
VAX (VMSI
VAX (ULTRIXI
SUN-3 (UNIXI
Simulator:
PC/MS-DOS
VAX (VMSI
VAX (ULTRIX)

c

o

(See column 31

TMDS3240811-02
TMDS3240211-08

CCompiler:
PC/MS-DOS
VAX (VMSI
VAX (ULTRIXI
SUN-3 (UNIX)

(See column 31

TM DS3242850-02
TM DS3242250-08
TMDS3242260-08
TMDS3242550-08

TMDS3242851-02
TM DS3242251-08

TM DX3243850-02
TMDX3243250-08
TM DX3243260-08
TM DX3243550-08
TMDX3243851-02
TMDX3243251-08
TMDX3243261-08

TMDX3242855-02
TMDX3242255-08
TMDX3242265-08
TMDX3242555-08

TMDX3243855-02
TM DX3243255-08
TM DX3243265-08
TMDX3253555-08

sm Development System
(SWDS) for PC/MS-DOS
Digital Filter Design Package (DFDP)
PC/MS-DOS
DSP Software Library
PC/MS-DOS
VAX VMS

u

e
u

~
"C
C

CO

~
en
en

o

Q)

u

e
c.

eu

~

TMDX3268821
(See column 31

(See column 3)

DFDP-IBMOO2

DFDP-IBMOO2

(See column 3)

(See column 3)

TMDC3240812-12
TMDC3240212-18

TMDC3240812-12
TMDC3240212-18

SPOX- DSP Operating System - Packaged with TMS320C3X XDS1000 Development Environment.
Note: Contact your local TI Field Sales Office for further information on TMS320 Third-generation tools and the part numbers and
availability of TMS320 Fifth-generation development tools.
MS-DOS is a registered trademark of Microsoft Corporation.
VAX and VMS are registered trademarks of Digital Equipment Corporation.
IBM PC is a registered trademark of International Business Machines Corporation.
SPOX is a registered trademark of Spectron Microsystems Incorporated.

4-11

TMS340 Graphics Family

TMS340 GRAPHICS FAMILY
INTRODUCTION
The TMS340 Graphics Product Family offers the broadest range of Graphics-Specific ICs in the industry.
TMS340 products satisfy the needs of a wide range of graphic applications with the TMS34010 Graphics
System Processor, the TMS34020 second generation Graphics System Processor, and the TMS34082 Floating
Point Processor. The availability of peripherals like the TMS34061 Video System Controller, TMS34070 Color
Palette, and Video RAMs make TI the undisputed leader in graphics.

II

TMS340 Product Family

4-12

TMS340 Graphics Family

TMS34010 Graphics System Processor
DESCRIPTION
The TMS34010 Graphics System Processor (GSP) is an advanced high-performance CMOS32-bit microprocessor optimized for graphics display systems. With a built-in instruction cache, the ability to make
simultaneous access to memory and registers, and an instruction set designed specifically for raster graphics
operation, the TMS34010 provides user-programmable control of the CRT interface as well as the memory
interface (both standard DRAM and Multiport Video RAM). The I-gigabit address space is completely bitaddressable on bit boundaries using variable width data fields (1- to 32-bits). Additional graphics addressing
modes support up to 32-bit wide pixels. The TMS34010 is exceptionally well-supported by graphics software
interface standards such as TIGA ™ and a full line of hardware and software support tools.

KEY FEATURES
• 160-ns Instruction Cycle Time

II

• Fully Programmable 32-Bit General Purpose Processor with 128-Megabyte Address Range
• Pixel Processing, X-Y Addressing and Window Clip/Pick Built into the Instruction Set
• Programmable Pixel Size with 16 Boolean and 6 Arithmetic Pixel Processing Options (Raster-Ops)
• 31 General Purpose 32-Bit Registers
• 256-Byte LRU On-Chip Instruction Cache
• Direct Interfacing to Both Conventional DRAM and Multiport Video RAM
• Dedicated 8-116-Bit Host Processor Interface and HOLD/HLDA Interface
• Programmable CRT Control (HSYNC, VSYNC, BLANK)
• High-Level Language Support
• Full Line of Hardware and Software Development Tools including a "C" Compiler
• 68-Leaded Packaging (PLCC)
• 5-Volt CMOS Technology

HOST

'--_ _ _ _ _ _ _ _..... (COLOR MAP)
&D/A

MONITOR

Typical TMS34010 System Block Diagram

The TMS34010 is a CMOS 32-bit processor with hardware support for graphics operations such as PixBlts
(raster ops) and curve-drawing algorithms. Also included is a complete set of general purpose instructions
with addressing tuned to support high-level languages. In addition to its ability to address a large external

4-13

TMS340 Graphics Family

memory, the TMS34010 contains 30 general purpose 32-bit registers, a hardware stack pointer and a 256-byte
instruction cache. On-chip functions include 28 programmable registers that contain CRT control, input/
output control, and instruction parameters. The TMS34010 directly interfaces to dynamic RAMs and Video
RAMs and generates video monitor control signals. It also accommodates a conventional HOLD/HLDA
shared access as well as a separate, generalized interface for communicating with any standard host processor.
The TMS34010 has been constructed to provide single-cycle execution of most common integer arithmetic and
Boolean operations from its instruction cache. Additionally, the TMS34010 incorporates a hardware barrel
shifter that provides a single-cycle bidirectional shift and rotate function for 1- to 32-bits.
A microcoded local memory controller supports pipelined memory write operations of variable-size fields that
may be executed in parallel with subsequent instruction execution.

II

TMS34010 graphics processing hardware supports pixel and pixel-array processing capability for both
monochrome and color systems of variable pixel sizes. The hardware incorporates two-operand raster
operations with Boolean and arithmetic operations, X-Y addressing, window clipping, window "pick"
operations, one to n bits per pixel transforms, transparency, and plane "masking?' The architecture further
supports operations on single pixels (PixT instructions) or on two-dimensional pixel arrays of arbitrary size
(PixBlts).
The TMS34010's flexible graphics processing capability allows software-based graphics algorithms without
sacrificing performance. These algorithms include: arbitrary window shapes, custom DDAs (Digital Difference Analyzers), and three operand raster operations.

r----------------------------------------,

1
EXTERNAL
INTERRUPT
REQUESTS
RESET

HOST
INTERFACE
BUS

1/0 REGISTERS

1 r-----------I

~

'
*

~

.1

~--'\J

INTERRUPT
REGISTERS

11""1

1 1

1

1I

1

#,1
:
1 1

!
C

HOST
INTERFACE
REGISTERS·

11

1

1 1

1

11

SYNC AND
BLANKING

9

I

I!
Ir'-

VIDEO TIMING
REGISTERS

r'-:-

II

1

L __________ J

INSTRUCTION
CACHE

--.I

INSTRUCTION
DECODE

~--------~

r---t----1
.----~'-----.

1

PROGRAM
...j..._ _- - I
1
COUNTER
1 1--------1
STATUS
I

1 I---.;.;.RE::.;G:.;,IS;;,.T;.,;;E..;.;,R_-I

Ll

ALU

r

GPR FILE A

1
1

GPR FILE B

MICROCONTROL
ROM

1t-------1
LOCAL MEMORY
CONTROL
REGISTERS

1 t-------1
1
ST ACK POINTER 1-+_--1
IL-_
_ _ _---'
l _________ _

EXECUTION UNIT

~

LOCAL MEMORY
CONTROL LOGIC
AND BUFFERS

I

L____________ {} _________________________
LOCAL MEMORY
INTERFACE BUS

TMS34010 Internal Architecture
4-14

CLOCK
OUTPUTS

INTERNAL CLOCK
CIRCUITRY

I

CLOCK
INPUTS

TMS340 Graphics Family

TMS34020 Graphics System Processor
OVERVIEW
The TMS34020 Graphics System Processor (GSP) is a programmable 32-bit general-purpose microprocessor
optimized for graphics. This second-generation device offers performance that is up to 50 times faster than
that ofTI's first-generation GSp, the industry-standard TMS34010.
Upwardly object-code compatible with the 34010, the 34020 preserves the user's 34010 software investment.
The 34020 also receives full TMS340 Family software and hardware development support.
A closely coupled floating-point processor, TI's TMS34082, has been designed for use with the 34020. The
34082 can perform floating-point operations 10 times faster than coprocessors currently used in PCs.
The TMS44C251 I-Mb Video RAM was jointly defined with the 34020 so that systems using the 34020 can
take advantage of new VRAM features.
The TMS34020 is the latest in a series of graphics components, software and support tools developed by TI.
All are part of TI's integrated system-solution approach to graphics.

FEATURES
The 34020's high performance results from a number of unique graphics management functions:
• 3-operand PixBlts - provides pixel-by-pixel control over which destination pixels are over-written. Used for
windowing, pattern fills and half-tone (laser printer) text.
• Enhanced page mode control- enables 142 megabits per second PixBlt operation.
• TMS44C251 Video RAM block write support enables 1.34 billion bits per second fill.
• XY addressing with no pitch restrictions - provides complete programmer control of graphics.
• Faster line draw speed (5 million pixels per second).
• Host port supports random or indexed host access at 20 megabytes/sec.
• 512-byte instruction cache gives 10-MIPS (million instructions per second) peak performance for iterative
instruction loops.

4-15

II

TMS340 Graphics Family

HA(5-31)

~

HBS(O-3)

~

HCSHREADHWRITHINTHRDY
HDST
HOEGIRLRHSCIN
SCOUT
ECO
EC1

II

CLKIN
LCLK1
LCLK2

Host~

l':'i~h

D

8
EJ

~~

0S!

~
~

......
~
~

~

~
~

IF

B

B

jf1SEJ
U

~
~~ ~

.....
~II-@J 0=>
.....
L
..
Regs

CACHE

LRU

REG

A

REG
B

DRAM
and
VRAM
IF

Bus
IF

ALU
Barrel
Shift

Reset and
Interrupt

Video
Timing
and
Control

......
......
...

......
...
......

.......

LAD (0-31)
RCA (0-12)
DDIN
DDOUTRASCASO-CAS3WETR-/QEALTCHSF
PGMDSIZE16LRDY
BUSFLT
CAMD
VSYNCHSYNCCSYNC-, HBLNKCBLNK-, VBLNKVCLK
SCLK

:J

RESET-, L1NT1-, L1NT2-

34020 Block Diagram

FEATURES

BENEFITS

• 32-bit data paths

• Greater throughput and higher performance

• General-purpose instructions

• Flexibility to process and graphics algorithm

• 100- or 125-nanosecond instruction cycle

• High performance with standard DRAM/VRAM

• Multiprocessor interface

• Enables multiple 34020s and other processors to
share the same bus

• 2 and 3 op PixBlt instructions

• Additional support for Windows and laser
printer text

• Upwardly object-code compatible with the 34010

• Preserves graphics software investment

• 512-megabyte, bit-addressable linear address
range

• Easy to program and use

• Supports block write, write per bit, enhanced
page mode and split register reload
• Flexible coprocessor interface

4-16

R

Higher performance and lower system cost,
taking advantage of 44C251 megabit VRAM
advanced capabilities

• Enables 40-MFLOP 34082 Floating-Point
Coprocessor to be used

TMS340 Graphics Family

TMS34082 Floating-Point Processor
Many TMS34020 applications require the performance of floating-point operations. The TMS34082 FloatingPoint Processor interfaces directly with the address and data buses of the 34020. It operates at up to 40 million
floating-point operations per second (MFLOPS).
The TMS34082,one of the industry's most advanced floating-point units (FPUs), allows the 34020 to perform
computation-intensive functions more than 100 times faster than a software implementation. Floating-point
techniques provide greater accuracy and can handle a wider range of numeric values than traditional integer
arithmetic processing.
In the TMS34082, the floating-point expertise TI developed with single-chip FPUs is combined with special
graphics capabilities to provide a unique graphics floating-point processor. It performs single- and doubleprecision floating-point operations with full IEEE STD-754 compatibility and handles integer arithmetic and
logical operations.
In addition to normal FPU operations, the TMS34082 performs complex 2-D and 3-D graphics math
operations such as 3 X 3 convolution, 4 x 4 matrix operations and cubic spline. An external high-speed
memory interface for user-defined microcode functions and a coprocessor interface for direct connection to
the 34020 are also included.

INSTRUCTION
REGISTER

I

CONFIG

1

-

SEQUENCER
MEMORY

I
REGISTER
C
(2 x 64)

fr

I
I

I

~

I
1/0 CONTROL

1
-

-

e
CJ

LAD

~

C'tI

I

1
-

J.

~~ ¥~
1

CJ

32
... / ~

c:

I
REGISTER
B
(10 X 64)

PRODUCT

e...c:
"C

REGISTER
A
(10 X 64)

MULTIPLIER

f

~

o

STATUS

-

II
o
t/)

~

CJ

e
e

Q.

32
LOCAL
... / ~ MEMORY
DATA

...

ALU

SUM

f

12
... / ~ CNTL

...

)

3/

~

LOCAL
MEMORY
CONTROL

t. ~

LOCAL
ADDRESS

16
)

CJ

~

I

-

.L

The 34082 is supplied ill a 144-pil1 ceramic pill grid array (PGA) package.

34082 Floating-Point Processor
4-17

TMS340 Graphics Family

The direct coprocessor interface of the 34082 makes for simple system design that does not require extra logic.
This means that systems can be designed to optionally add the 34082 at a later time by just leaving a socket.
The TMS34082 is fully supported by the 34020 development tools, making it easy to integrate floating point
into graphics systems.
The TMS34082 operates in host-independent mode when used as a stand-alone processor or with other
processors. In this mode, the TMS34082 is fully programmable by the user and can interface to other
processors or floating-point subsystems through its two 32-bit bidirectional buses.

FEATURES

BENEFITS

• Closely coupled with 34020

• Eliminates the need for external logic interface

• Master mode for stand-alone operation

• Adds computing muscle to any graphics system

• Sustained 40-MFLOP performance

• Brings workstation performance to the PC

·
II
A

Full IEEE, single- and double-precision floatingpoint operations, 32-bit integer and logical
operation

• Standardized approach to the floating point for
full system compatibility

~

• Single instruction divide/square root operations

• Eliminates multiple-cycle software
implementati on

m

• More than 30 complex instructions targeted at
graphics math

• Superior performance for 2-D and 3-D graphics
applications

• External memory interface for defining
additional high-level instructions

• Enables user-tailored high-performance
instructions

• Supported by 34020 software and hardware
development tools

• Easy to accelerate floating point in graphics
systems

a
'C
an
en
en

o

Ci1
Q)

::::s

Co

s:
Ci'

an
o

....::::s

2-

CD
Ci1

4-18

TMS340 Graphics Family

TMS340 FAMILY HARDWARE AND SOFTWARE SUPPORT
A full range of hardware and software development tools is available for TI's new TMS34010 graphics
processor. Key tools are based on IBM PC®, TI Professional, or VAX™ computers. TI's Regional Technology
Centers can provide additional support.
TMS34010 PC Software Tools
TMS34010 PC SOFTWARE TOOLS
• Assembler Package: Assembler, Linker, Archiver,
ROM Utility, Simulator

COMPUTER

OPERATING SYS.

PART NUMBER

IBMfTI PC

MS-OOSTM 2.11 +

TM OS3440808002

VAXTM

VMSTM

TM OS3440200059

VAX

Ultrix'·

TMOS3440200069

Sun

UNIX BS04.2

TMDS3440550088

Macintosh ,.

MPWTM

TM OS3440560021

IBM PC

MS-DOS 2.11 +

TM OS3440805002

VAX

VMS

TMOS3440205059

VAX

Ultrix

TM OS3440205069

Sun

UNIX BS04.2

TMDS3440555088

Macintosh

MPWTM

TM OS3440565021

Apollo

UNIX System V

TMOS3440575088

• PC Assembler-Compiler "Combo-Pack"

IBM PC

MS-DOS 2.11 +

TM OS3440804003

• PC Debugger Development Package (Internal Use)

IBM PC

MS-DOS 2.11 +

TMOS3440806002

• PC Debugger Development Package (Resale)

IBM PC

MS-DOS 2.11 +

TMDS3440806003

COMPUTER

OPERATING SYS.

IBM PC

MS-DOS 2.11 +

TM OS3440802202

VAX

ALL

TMOS3440202208

IBM PC

MS-DOS 2.11+

TM OS3440802302

VAX

ALL

TM OS3440202308

IBM PC

MS-DOS 2.11+

TMOS3440802102

VAX

ALL

TM OS34402021 08

IBM PC

MS-DOS 2.11+

TMDS3440802002

NOTE: Only the PC version includes a Simulator

• "C" Compiler Package

TMS34010 PC SOFTWARE LIBRARIES
• Math/Graphics Function Library

• Bit-Map Font Library

TMDS3440805002
• CCITT Data Compression Library
TMDS3440805002
• 8514/A Emulation Library

PART NUMBER

COMPUTER

OPERATING SYS.

TIGA-340TM Driver Developer's Kit

IBM PC

MS-DOS 2.11 +

TMS3400DK-PC

TIGA-340 Software Developer's Kit

IBM PC

MS-DOS 2.11 +

TMS340SDK-PC

TIGA-340 Software Porting Kit

IBM PC

MS-DOS 2.11+

TMS340SPK-PC

TMS34010 PC SOFTWARE TOOL KITS

PART NUMBER

IBM PC is a registered trademark of International Business Machines Corp.
MS-DOS is a trademark of Microsoft Corp.
MPW and Macintosh are trademarks of Apple Computer Inc.
VAX, VMS, and ULTRIX are trademarks of ~igital Equipment Corporation.
UNIX is a registered trademark of AT&T.
TIGA-340 is a trademark of Texas Instruments, Inc.

4-19

II

TMS340 Graphics Family

TMS34010 XDS/22 Emulator
KEY FEATURES
Stand-Alone Terminal
• Full-speed in-circuit emulation
• Host independent
• Full-speed hardware trace
• Multimode hardware single step/breakpoint

PC Debugger Software
• Powerful debug environment
Run/stop/single step
Set breakpoint/trace
Environment save/restore
Machine state manipulation
Reverse assembly

II

• Screen-oriented display/single image of machine
• Line-oriented input
Complete and self-contained, TI's TMS34010 XDS/22 Emulator is a user-friendly system for realtime, incircuit emulation. The emulator may be used in a stand-alone mode through a standard terminal or through a
host computer with a powerful debugger interface. The emulator has 128K x 16 words of DRAM (zero wait
states) for program memory.
COMMUNICATIONS
ADAPTER

CAAD~Ir=======~~

[~~ll..==.I

"7

DC

TAAGET

SYSTEM

4-20

:;JOO

TMS340 Graphics Family

TMS34010 Software Development Board
An easy way to evaluate the power and flexibility of TI's new TMS34010 Graphics System Processor is
provided by the TMS34010 Software Development Board (SDB). It is a single-slot, plug-in board populated
with TI's GSp, Color Palette, and VRAMs. Large program memory allows execution of graphics software
standards as well as user-supplied programs.

KEY FEATURES
• Plugs into IBM PC-compatible and TI professional computers
• 256K bytes of display memory organized as 1,024 x 512 x 4 using TMS4161 VRAMs
• 4,096 colors available per screen, 16 colors per line, using TMS304070 Color Palette
• Analog or digital RGB output
• 512K bytes of TMS34010 program memory using TMS4256 256K-bit DRAM
• Mouse interface/serial port supported on board
• Debugger interface software
~

~

e...c

IBM pc
BUS

o(.)

e
(.)

pc

TMS34070
COLOR
PALETTE

BUS
INTERFACE

~
"'0
C

co

l!!

TMS34010
GSP

o
en
en
Q)

lK BYTE
PROM
(OPTIONAL)

(.)

eCo
e

DATA
ADDRESS
CONTROL

(.)

~

512K BYTES
DRAM
GSP
PROGRAM
RAM

UART

RS232
CONNECTOR

TMS34010 Software Development Board

4-21

TMS340 Graphics Family

TMS34010 Development Software
Immediately available to speed graphics system design and development is this exceptionally broad software
family supporting TI's TMS34010 Graphics System Processor. Included is a Kernighan and Ritchie standard
"C" Compiler Package, Assembler Package, Graphics/Math Function Library, Bit-Map Font Library, and PC
Debugger Development Package.

KEY FEATURES
Compiler Package

• Full Kernighan and Ritchie "C" with extensions (in-line assembly code, enumerated data type)
• 64-bit IEEE (without implied 1) floating point
• Three-pass optimizing preprocessor, parser, code generator generating TMS34010 assembly source

IIs:
~;'

a
'C
an

• Floating-point and memory-management run-time support
• Supports symbolic/statement-level debug
• Assembly linkable
Assembler Package

• COFF (Common Object File Format) section-oriented object code

CD

• Macro assembler/linker supporting packed pit fields, cache alignment, symbol cross-referencing, and output
control

til

Q)

• Screen-oriented software debugger (GSPSIM) with breakpoint/trace. instruction execution. input redirection, environment save/restore, on-line help utility

::::J
C.

• Source/object archiver

en
en
o

s:c;'

a
n

• ROM utility for ROM/PROMIEPROM programming

o

Graphics/Math Function Library

r+

• Graphics primitives

::::J

2CD

til

• Transcendental functions (double-precision floating point)
• Matrix operations (3D transforms)
• Text and font functions
• View port support
• Palette management
Bit-Map Font Library

• 19 different font styles
• Over 100 total fonts
• Pixel heights from 7 to 82
PC Debugger Development Package

• Main program is executable object code
• Driver code is customizable source
4-22

TMS340 Graphics Family

.. c..
COMPILER
PACKAGE

sou~~

'-----

RTS
FLOATING
POINT

}

PREPROCESSOR
PARSER
CODE GENERATOR

II
MACROASSEMBLER

~

~

ARCHIVER

"0
...
+"

C
0

CJ

e

CJ

~

"C
C
CO

...
U)

0

U)
U)
Q)

CJ

ec.
e

LINKER
ASSEMBLER
PACKAGE

CJ

~
ROM
UTILITY

TMS34010 Software Development Tools

4-23

TMS340 Graphics Family

TMS340 PERIPHERALS
TMS34061 Video System Controller
The TMS34061 Video System Controller (VSC) is a high-performance NMOS device that controls the video
display and the dynamic memory of bit-mapped graphics system. Although primarily designed to provide
control of Multiport Video RAMs (VRAMs), such as the TMS4161 and TMS4461 (see Section 10). The VSC
is also compatible with conventional 64K and 256K DRAMs and easily configures to a variety of CPUs. The
sync and blanking signals necessary to interface to a raster-scan CRT display are generated by the VSC, which
is the only controller currently available that combines VRAM, DRAM, and CRT control on a single chip.

II

The principal role of the VSC is to provide an external processor with virtually unlimited access to video
memory, eliminating delays caused by conflicts with display update functions. Using the VSC, the system CPU
is relieved of the burden of controlling the system memory, refreshing video memory, and reloading VRAM
internal shift registers for bit-mapped displays. The VSC supports a broad range of raster-scan display systems
with various resolutions and scan rates.

KEY FUNCTIONS
• Generates all control signals necessary to control VRAM devices, as well as those necessary to control
conventional 64K and 256K DRAMs.
• Generates the video synchronization and blanking signals necessary to control a CRT monitor.
• Accommodates processor date paths of arbitrary width. The VSC works equally well with 8-, 16-,20-, and
32-bit processors.
• Supports both interlaced and non-interlaced displays of essentially any display resolution (from 256 to greater
than 4,096 pixels per line).
• Automatically generates the special display-update cycles required by VRAM memories to maintain the
CRT display.
• X-Y indirect addressing improves the performance of graphics primitives as well as supporting host
processors with limited addressing range.
• Automatically performs periodic DRAM refresh cycles necessary to maintain data stored in the VRAMs, as
well as in conventional 64K and 256K DRAMs.
• Universally programmable interface and READY/WAIT logic provides for efficient communication with all
leading microprocessors as well as high-speed bit-slice processors.

4-24

TMS340 Graphics Family

J - - - - - - _ + _ CRT CONTROL SIGNALS

HOST
PROCESSOR

II
~

~

VIDEO

E
~

c

o
(.)

e
(.)

~
"C
C
C'CS

~

o
(/)

Typical TMS34061 System Block Diagram

(/)

Q)
(.)

e
c.

e
(.)

~

4-25

TMS340 Graphics Family

TMS34070 Color Palette
The TMS34070 Color Palette is a monolithic integrated circuit containing a color lookup table and providing
three channels of analog output for RGB-type CRT monitors operating at frequencies up to 66 MHz. This
corresponds to a display resolution of about 1,024 by 760 pixels, assuming a non-interlaced display refreshed at
60 Hz. The TMS34070 supports graphics systems having up to four color planes and allows 16 of 4,096
different colors per line to be displayed simultaneously on the CRT monitor.

KEY FEATURES
• Three 4-bit Video-DAC outputs are capable of directly driving 75-ohm monitor cables.
• Sixteen 14-bit color lookup registers support the simultaneous display of a palette of 16 colors selected from
an available 4,096 colors.
• Color lookup table can be automatically loaded with a new set of colors prior to the start of each horizontal
scan line without processor intervention.

II ·

Device is versatile and can be used with a variety of controllers and memory types.

• Real-time animation is supported by the REP attribute bit, which facilitates rapid rendering of scenes
composed of polygons of various solid colors.
• Video overlay capability is supported by the EXT attribute bit, which is used to control external circuitry.
• Internal pipelining makes possible shorter setup and hold times at the digital data inputs and faster transitions
at the analog outputs.
• Worst-case transition time for the Video-DAC outputs is 10 ns.
• Internal multiplexing permits digital data to be input at half the rate at which analog data is output at the
Video-DAC pins allowing inexpensive TTL shift registers to be used.
• Analog RGB video data is output from theNideo-DAC pins at dot frequencies up to 66 MHz.
• Digital input and output logic levels are TTL-compatible.
• Separate 5-volt power supply pins are provided for digital and analog functions.
• Device is available in a 22-pin, 400-mil plastic dual-in-line package.
DIGIT AL

ANALOG

,......-/'-..

,......-/'-..

VCCl VSSl

VCC2 VSS2

r--------l-~---t-0"--------------------.,
COLOR LO~KUP TABLE

I
I

;

I

0

i

~
4

0

o

,
A TTO
ATTl

REDO
REDl

GRNO
GRNl

BLUO
BLUl

16

"\:" REPEAT BIT IREPI
.......'\.
DAC
14

RED

E
R
16-BY-14
REGISTER FILE

DB3-DBO 4

GRN

BLU

t---=---+-XAT

MODE

TMS34070 Block Diagram
4-26

TMS340 Graphics Family

TIGA-340 GRAPHICS SOFTWARE INTERFACE
The TIGA-340 (Texas Instruments Graphics Architecture) interface specification is a software interface
standard for the TMS340 family of graphics system processors (GSPs). Its architecture is designed for DOSbased personal computer systems which contain a 8088/86 or 80286/386 host microprocessor and a TI340
graphics processor. As a standard interface, it optimizes the communications between the 340 processor and
the host processor. Thus, programs which write to the TIGA interface will run significantly faster on any
TIGA-compatible 340XO based graphics board/system.
The result is and operating environment that enables true mUltiprocessing. The enhanced performance is
achieved because the TIGA interface allows the execution of the application to be shared between the host
processor and the 340XO graphics processor.
The TIGA software interface is the ideal standard for high-performance PC displays. It provides a platform for
developing applications that significantly outperform other industry solutions, including VGA and 8514/A. For
example, TIGA-based 34010 systems demonstrate an 16X increase in AutoCAD redraw speed.

In addition, TIGA-340 provides graphics software developers with a common target to which to write. The
result is programs capable of running on multiple platforms. Hardware OEMs who incorporate the TIGA
firmware into their systems or add-in boards will be able to run any TIGA-based application package.

II
~

~

e
....
c

The TIGA-340 interface consists of these key elements:

o

(,)

e

• High-speed command processor

(,)

• Standard hostiGSP communications functions

~

• GSP memory management

"C
C

co

• Graphics and text primitives

~

o
en
en
Q)

• Board configuration primitives
• Custom function download capability

(,)

The Driver Developer's Kit (TMS340DDK-PC) helps software developers make their existing software run on
TIGA-compatible 34010 boards. The Software Developers's Kit is for those who want to develop direct 34010
code or custom, downloadable extensions to TIGA. A Software Porting Kit is also available for hardware
developers to use in order to make their 34010-based systems TIGA-compatible.
The synergistic combination of the 34010 and the TIGA-340 interface accelerates PC applications
performance.

4-27

e
e
Q.
(,)

~

TMS340 Graphics Family

APPLICATION

- TIciA ORNER -

0101

II

L:JB
TIGA provides an interface to today's high performance 34010- and 34020-based hardware
as well as tomorrow's TMS340 processors currently in development. TIGA consists of a core
set of graphics primitives and standard functions for commonly used graphics operations.
Application developers can extend TIGA with custom functions that can either be used in
addition to or instead of the standard functions. Higher level application interfaces like
MS-Windows, PM, OGlS, and HALO can also take the place of the standard functions.

TMS340-Based PC System

FEATURES

BENEFITS

• Facilitates mUltiprocessing using high-speed
communications

• Applications run faster

• Efficient partitioning of tasks with minimum
command overhead
• Simple set of graphics primitives

• Easy to use

• Can use familiar Microsoft C development tools
• Can develop downloadable 340 primitives using
C, GSP assembly language or mixture

• Extensible

• Provides software-compatible migration path for
34020, 34082 and future TMS340 processors

• Hardware-independent

• 340 pixel depth and screen resolution
independence

4-28

TMS370 Family - High-Performance 8-Bit Microcontrollers

TMS370 FAMILY - HIGH-PERFORMANCE 8-BIT MICROCONTROLLERS
The TMS370 family of configurable microcontrollers are high performance, highly integrated eight-bit
devices. Fabricated in CMOS technology, the TMS370 family is the first to include a device with advanced onchip functions including program EEPROM, data EEPROM, program EPROM and an eight-bitleight-channel
analog to digital converter. Plus all tools you'll need to use for either standard devices or client-specified
configurations.
The TMS370 family was developed with a modular design methodology which supports the efficient
development and qualification of new devices comprising different function modules. The TMS370 standard
configurations meet the requirements of many systems. If your application requires a specially configured
device, you can specify the desired function modules and begin software and hardware development
immediately using a configured TMS370 XDS in-circuit emulator. Far faster than going with a custom design,
this means you can remain with a single microcontroller family -TMS370- for your evolving applications.
TMS370 FAMILY OF 8-BIT MICROCONTROLLERS

Type

ROM

ROM-Iess**

Data Memory
(Bytes)

Serial
Interface
Modules

Timer
Modules*

SRAM

Off-Chip
Memory
Expansion
(Bytes)

128
256
256
512

None
112K
112K
112K

SPI
SPI,SCI
SPI,SCI
SPI.SCI

T1
T1/T2
T1/T2
T1/T1

-

128
256
256
512

None
112K
112K
112K

SPI
SPI,SCI
SPI,SCI
SPI.SCI

T1
Tl/T2
T1/T2
T1/T2

-

512

256
256
512
512

112K
112K
112K
112K

SPI,SCI
SPI,SCI
SPI,SCI
SPI,SCI

T11T2
T1/T2
T1/T2
T1/T2

Program
Memory
(Bytes)

EEPROM

TMS370C010
TMS370C050
TMS370C052
TMS370C056

4K
4K
8K
16K

256
256
256
512

TMS370C310
TMS370C350
TMS370C352
TMS370C356

4K
4K
8K
16K

Device

TMS370C150
TMS370C250
TMS370C156
TMS370C256

256

FFE
EEPROM

TMS370C810
TMS370C850

4K
4K

256
256

128
256

None
112K

SPI
SPI,SCI

T1
T1/T2

FFE
EPROM

TMS370C756

16K

512

512

112K

SPI,SCI

T1/T2

AID
Channels

1/0
Pins

Pinsl
Package
28
68
68
68

DIP/PLCC
PLCC
PLCC
PLCC

e
....

8
8
8

22
55
55
55

28
68
68
68

DIP/PLCC
PLCC
PLCC
PLCC

e
CJ

8
8
8
8

23
23
23
23

68
68
68
68

PLCC
PLCC
PLCC
PLCC

8

22
55

28 DIP/PLCC
68 PLCC

8

55

68 CLCC

8
8
8

-

ARCHITECTURE:
• 8-bit CPU

c

o

CJ

~
"C
C

CO

~

**In ROM-less (microprocessor) mode all Address, Data, and Control lines are fixed in their function.

Vee: 5 ± 10%
lee: Active, Halt and Standby Modes
Freq: 2 - 20 MHz
Temp: -40 to +85°C

~

~

22
55
55
55

*Timer 1 module includes a Watchdog timer which can be programmed as a general purpose 16-bittimer. PACTtimer includes a Watchdog
timer.

GENERAL:

II

• 73 user instructions
• 14 addressing modes
• Register-to-Register architecture avoids
bottlenecks
• All peripheral pins are software configurable
for digital I/O
• Voltage generator for EEPROM programming
contained on-chip
4-29

o
II)
II)
(1)

CJ

eCo
e

CJ

~

TMS370 Family - High-Performance 8-Bit Microcontrollers

MEMORY EXPANSION:
• No glue logic needed for external memory
support
• Non-multiplexed bus
• Pre-coded chip selects
• Wait state generation and wait pin

SERIAL INTERFACE:
SCI: Serial Communications Interface (UART)
• Asynch

= 156 kbits/s

• Capture and compare registers
Timer 1: 16 bit with 8 bit pre-scale
Timer 2: 16 bit (not included in TMS370Cx 10
devices)
Watchdog Timer: 24 bit, 10 bit overflow rate

INTERRUPTS:
• 2 priority levels - s/w programmable

• Selectable baud rate and data format
SPI: Serial Peripheral Interface
• Synch

• Auto PWM generation

• 10 hardware interrupts, incl. 3 external

• Isosynch = 2.5 Mbits/s

II

TIMERS:

= 2.5 Mbits/s

• Selectable baud rate, data format, and clock
polarity

• Edge detection - s/w programmable
Technical Support Hotline (in the US):
713-274-2370
Bulletin Board (in the US): 713-274-3700

XTAL2/
XTAL 1 MC/EPVpp RESET

ANO

AN7

A-TO-D
CONVERTER

VCC3

SPI SERIAL
PERIPHERAL
INTERFACE

SPISOMI
SPISIMO
SPICLK

SCI SERIAL
COMMUNICATIONS
INTERFACE

SCIRXD
SCITXD
SCICLK

VSS3

TIMER 2

T21Cl/CR
T2EVT
T21C2/PWM

TIMER 1

T1IC/CR
T1EVT
T1PWM

WATCHDOG

L - . . VCCl
J

-,- - V S S l

Function Block Diagram - TMS370CX5X
4-30

TMS370 Family- High-Performance 8-Bit Microcontrollers

DEVELOPMENT SYSTEM SUPPORT

The TMS370 family development support tools include an Assembler, a Linker, an In-Circuit emulator
(XDS - eXtended Development Support), and an EEPROM/UVEPROM programmer that supports standard
EPROM and all TMS370 devices. All ofthe tools work closely together using any MS TM-DOS-based Personal
Computer (PC) as the host and central control element. This allows selection of the host computer and the text
management and editing tools based on user preference.
• Assembler/Linker
MS DOS version:
TMDS3740810-02
DEC VAX version:
TMDS3740210-08
• Extensive macro capability
• High-speed operation
• Format conversion utilities available for popular
formats
• XDS (eXtended Development Support)
In-Circuit Emulator: TMDS3761110
TMDS3761111
TMDS3762210
• PC-based, window/function-key oriented user interface for ease of use and a rapid learning environment
• Sophisticated breakpoint and trace support for software debugging and software/hardware integration. Provides 2047 qualified trace samples, up to
32 breakpoints, sequential breakpoint triggering,
and counters.
• Symbolic Debugging
• Provides a realtime testbed for software development before target circuitry is available.
• Timing analysis to evaluate and enhance system performance. Provides identification of critical routines
and instructions with two timers controlled by the full capabilities of the breakpoint qualification support.
• Disassembly of code and trace history, including symbols.
• Eight-line logic probe to expand the breakpoint and trace visibility
• Logic Analyzer output interface to support circuit-level debugging
• EEPROM/UVEPROM Programmer (TMDS3760510)
• PCO-based, window/function-key oriented user interface for ease of use and a rapid learning environment.
• Supports all TMS370 series devices to provide rapid target prototyping capability.
• Also programs TMS2732, TMS2764, TMS27128, and TMS27256 NMOS and CMOS EPROMs.
• Plug-in personality boards and loadable parameters to support future packages and devices.
• TMS370 Design Kit (TMDS3770110)
• Includes TMS370 Application Board and TMS370 Assembler diskette and documentation
• Supports quick evaluation of TMS370 functionality.
• Capability to upload and download code
• Capability to execute programs and software routines, and to single-step executable instructions.
• Software breakpoints to halt program execution at selected addresses
• Wire-wrap prototype area
• Reverse assembler
4-31

TMS380 Token-Ring LAN Adapter Chipset

TMS380 TOKEN-RING LAN ADAPTER CHIPSET
TI's first generation TMS380 Token-Ring LAN adapter chipset was developed and tested jointly with IBM ™to
ensure IBM compatibility. The single-chip, second generation TMS380 COMMprocessor (communications
network processor) maintains the same compatibility while improving performance up to 4 times that of the
first generation. The chipset provides manufacturers of computer, peripheral, and telecommunications
equipment with a verified connection to the IBM Token-Ring Network.

OVERVIEW
The TMS380 chip sets use a token-passing access technology compatible with the IEEE 802.5 standard. They
provide 16-megabit-per-second (Mbps) or 4-megabit-per-second data expressways using various media. The
second generation TMS380 COMMprocessor operates on the IBM cabling system, as well as fiber optic and data
grade media, while the first generation can utilize telephone twisted pair in addition to the above listed media.

II
A

!:
Ci'

o
on

"C
CD

(II
(II

o

Cil
Q)

:::s

c.

!:
Ci'

o
n
o

:::s

r+

2-

(6"
Cil

The integrated LAN adapter architecture of the TMS380 ensures connectivity to the IBM Token-Ring
Network by providing all the functions needed to connect an attaching product's host processor bus to the
physical media. High reliability of the network is provided via dedicated error checker circuits, on-chip
diagnostic and error monitoring software, and other network-management features.

STANDARD TOKEN-RING LAN ADAPTER ARCHITECTURE
The first generation chipset uses advanced VLSI NMOS and bipolar processes. The new second generation
TMS380 COMMprocessor is l.O-micron EPIC™ CMOS technology. The companion ring-interface device is
fabricated in Advanced Low-Power Schottky technology.
The second generation TMS380C 16 COMMprocessor integrates functions previously achieved by the fivedevice chipset, and provides a reduction in board area and cost. Incorporated into the TMS380C16 are the
TMS38010 communications processor, the TMS38020121 protocol handler and the TMS38030 system
interface chips, along with two VLSI standard cell functions: the bus interface unit and memory expansion
unit, which are available in TI's ASIC-LAN Tool Kit. The TMS380C16 performs all the functions of the firstgeneration devices and maintains complete IBM compatibility while operating at 16 Mbps. For additional
information about the functions performed by each of the devices, refer to the TMS380 Adapter Chipset User's
Guide (SPWX005) or the Master Selection Guide.

TMS380C16 ENHANCEMENTS
Although the TMS380C 16 COMMprocessor is similar in many ways to the first generation TMS380 chipset, a
number of enhancements have been made. Enhancements over the origina\ TMS380 chipset include:
• Adapter address space expanded to 2 Megabytes to support expanded link station tables with LLC, expanded
data buffers and use of downloadable standard protocols.
• System Interface host address extended to 32 bits to support full address space of new high performance 32bit microprocessor and system buses.
• Choice of internal adapter bus speeds: 3 MHz for lower memory costs or 4 MHz for increased performance
at 16 Mbps ring speed.
• Optional parity on adapter bus can be engaged for adapter memory integrity or disengaged for lower memory
cost (one less memory chip).
• Glueless DRAM interface supports 256K, 1M byte, and 4M byte DRAMs directly with no additional
decode logic or DRAM control required.
4-32

TMS380 Token-Ring LAN Adapter Chipset

• Adapter Control Register (ACTL) added in the System Interface Block that allows the host to exert greater
control over the adapter which offers more system flexibility.
The TMS380C16 COMMprocessor is packaged in a plastic, surface-mountable, 132-pin JEDEC quad flat
pack. The 16 Mbps ring interface chip (TMS38053) is housed in a 44-lead plastic leaded chip carrier.
The first generation chipset is available in the following packages:
TMS38010
Communications Processor

48-Pin DIP

Plastic

TMS38021
Protocol Handler

48-Pin DIP

Plastic

TMS38030
System Interface

132-Pin QFP

Plastic

TMS38051
Ring Interface Transceiver

22-Pin DIP

Plastic

TMS38052
Ring Interface Controller

20-Pin DIP

Plastic

II
i!!

..9:!

TMS380C16 FEATURES

The TMS380C 16 enjoys the same IBM compatibility as the first generation chipset, while increasing overall
system performance. Complete IBM compatibility is maintained through rigorous verification testing similar
to that endured by the first generation chipset.
The TMS380C16 also pro\'ides~the-same industry standard software services as the first generation TMS380
chipset. Compatibility with IBM is provided at the physical layer, Medium Access Control (MAC) layer, and the
Logical Link Control (LLC) layer of the OSI reference model. All adapters utilizing TI's TMS380 family of
products should be able to interoperate with IBM and other vendors because the MAC and LLC functions are
implemented in the chipset. As in the first generation TMS380 chipset, an extensive array of MAC-based
network management services are built into the TMS380C 16 COMMprocessor. These management services
differentiate Token-Ring from other networks like Ethernet or StarLan, which do not offer capabilities
comparable to Token-Ring's network management.
TI has reduced the overall cost to develop and produce a Token-Ring product by providing a high degree of
system integration on a single chip. The TMS380C 16 incorporates many of the external functions previously
requiring TTL, PALs, or ASICs. Additional manufacturing cost savings can occur because of reduced board
size (less expensive blanks) and reduced number of drilled holes in the board for IC pins or circuit routing.
For a low-cost, 4 Mbps solution, the first generation TMS380 five-chip set in plastic will be the price leader
into the early 1990's. If your Token-Ring design need only fit in 20 square inches, with a 4 Mbps data rate, the
first generation TMS380 will meet your requirements.
When the TMS380C16 is selected, a complete Token-Ring LAN adapter can be designed by using a minimal
number of parts. All that is needed to build an adapter is the TMS380C16 Token-Ring COMMprocessor, the
Pulse Engineering Token-Ring Optimized Line Interface (TROLI™), on-board memory, and minimal bus
interface logic. The area requirement for a full-function TMS380C16-based IBM compatible Token-Ring
adapter for a PC/XT™ will be less than 10 square inches.
4-33

e....c
o
(,)

e

(,)

~
"C
C

co

i!!

o
II)
II)

Q)
(,)

e
c.

e
(,)

~

TMS380 Token-Ring LAN Adapter Chipset

Since the TMS380C 16 is manufactured in advanced I-micron CMOS technology, its power consumption is
reduced to less than half that of the first generation chipset. This translates to lower equipment operating
temperatures.
The TMS380C16 supports the new IEEE 802.5 and IBM 16 million-bit-per-second (Mbps) Token-Ring
standard, providing a 400% increase in data rate. This gives Token-Ring a data rate 60% faster than Ethernet,
while providing users with the reliable technology of Token-Ring.
In addition to the 16 Mbps data rate, the TMS380C 16 will support up to 255 active stations on a single ring.
The TMS380C16 outperforms the first generation chipset by providing increased small packet performance at
both 4 and 16 Mbps. Small packet performance has been increased an average of 70% versus the first
generation TMS380, even at 4 Mbps. This increases network throughput when connection-oriented protocols
are used.

~

The maximum frame size has been expanded to 18,000 bytes (versus Ethernet's 1,500 byte maximum), for
efficient transfer of large database and graphics files. A new option called "early token release" is also
available to allow multiple frames to circulate around the ring simultaneously, further improving network
efficiency.

~'

TMS380 CUSTOMER SUPPORT

IIs:
'C

a
(')

m
en
en
o

i1
C\)
:s

Q.

s:

n'

a
(')

Texas Instruments, the leader in Token-Ring chipsets, is committed to helping you achieve effective product
design in a quick, efficient manner. Consequently, the TMS380 Token-Ring chipset is fully supported with
documentation, technical training, development tools, and applications engineering available through Texas
Instruments sales offices.
DOCUMENTATION

The TMS380 Token-Ring chip sets offer you extensive information at the introductory/overview level, as well
as detailed technical data specifications. The introductory information provides concise information to quickly
build your awareness of the TMS380 chipsets and Token-Ring networks. Available documents include:

o

:sr+

• Texas Instruments- The Token-Ring Connection (SPWMOll)

CD
i1

• Texas Instruments First Generation Token-Ring LAN Adapter Family Product Bulletin (SPWT018A)

2-

• Texas Instruments Second Generation Token-Ring LAN Adapter Family Product Bulletin (SPWT084)
Thorough technical data sheets and applications information on the TMS380 Token-Ring LAN adapter chipset
leave no details unspecified. Available documents include:
• TMS380 Second Generation Token-Ring User's Guide (SPWU005)
• First Generation TMS380 LAN Adapter Chipset User's Guide and User's Guide Supplement
(SPWX005)
• TMS380 Development Products Family User's Guide
TOKEN-RING TRAINING

To quickly familiarize your decision makers and ramp your design team with state of the art information on
Token-Ring, Texas Instruments offers training including:
A three-day technical workshop providing instruction and hands-on equipment with the second generation, 16
Mbps Token-Ring.
4-34

TMS380 Token-Ring LAN Adapter Chipset

DEVELOPMENT SUPPORT PRODUCTS
Texas Instruments provides leadership development tools to accelerate your Token-Ring product design,
including: TMS380 16 Mbps Design-In Accelerator Kit (TMDS380C16LDK) - The Second Generation
design kit provides hardware and MAC/LLC software necessary to develop a 16 Mbps Token-Ring product.
Included in the kit are one TMS380C16 COMMprocessor, one 16 Mbps TROLl Module (Pulse Engineering's
Token-Ring Optimized Line Interface), and a TMS380 Second Generation User's Guide.
TMS380 16 Mbps PC Family Development Card (TMDX380CI6PC)- This 16-bit compatible product is
aimed at the software engineer who wants to prototype a Token-Ring and begin software development and
system evaluation. It operates in a PC/XT(TM), PCIAT(TM), PS/2 Models 25 and 30. Hardware included is
one TMDX380C16PC adapter card, IEEE 802.5 and 802.2 protocols and a type 2 ring cable. The following
software is also provided in the kit: an example of a card driver, together with an IBM-compatible adapter
handler, and an IBM LAN Support Program.
TMS380 ASIC-LAN Toolkit (TMDP380ASIC) - This package will assist you in designing an Application
Specific IC (ASIC) tailored specifically for the 1st Generation TMS380 LAN chipset. Included in the kit is the
ASIC-LAN manual, a set of cartridge tapes containing software macros, detailed data sheets covering more
than 30 cell types, and several ASIC-LAN design examples of host system bus interfaces, as well as memory
expansion examples. This kit also contains two preconfigured ASIC samples.

APPLICATIONS ENGINEERING SUPPORT
The commitment of Texas Instruments to customer support goes even further by providing you extensive
Token-Ring application engineering support, including:
• Regional Technology Centers (RTCs) and ASIC Design Centers with trained engineers for ASIC development and support.
• Factory Applications Engineering with industry-leading expertise in all phases of Token-Ring network and
TMS380 applications.
• In the US, use TMS380 Token-Ring Hotline (713) 274-2380 or FAX (713) 274-4027 as a direct line to TI's
factory Token-Ring applications engineering for quick answers to any TMS380 application question.

4-35

II

VLSI 32·Bit Family

BUILDING BLOCK PROCESSORS
VLSI32-BIT FAMILY
INTRODUCTION
A new generation of 32-bit VLSI logic devices from Texas Instruments reflects the high degree of system
integration made possible by continuing improvements in bipolar and CMOS process technologies. TI will
offer the following integrated circuits:
• 74ACT8818
• 74ACT8832
• 74ACT8836
• 74AS8838
• 74ACT8841
• 74ACT8847

II

16-bit microsequencer
32-bit registered ALU
32x32 bit multiplier/accumulator
32-bit barrel shifter
Digital crossbar switch
64-bit floating point unit

All the devices except the barrel shifter are designed to support parity checking and generation, as well as
master/slave error checking. Pin grid array package sizes range from 84- to 208-pins.

TECHNOLOGY
Fabricated in I-j.1m EPIC CMOS or IMPACT Bipolar technology, this chip set offers a combination of
maximum integration and superior performance to the designer. Logic functions fabricated in EPIC technology can operate at clock frequencies up to 150 MHz. EPIC devices combine the performance associated with
advanced bipolar process with the low power consumption typical of CMOS.
In the past, the use of bipolar technology to achieve the complexities required by today's superminicomputer
manufacturers was limited by the power dissipation required for high-speed operation.
TI has solved this problem, for the most part, by using a proprietary internal circuitry known as Schottky
Transistor Logic (STL) which requires a 2-volt internal Vee' Using two types of contact metallization on the
same substrate to achieve a 300 mV noise margin, STL technology has allowed TI to offer VLSI bipolar
products that operate at very low power levels.

CHIP SET DEFINITION
TI's new 32-bit family has been designed to meet the following design criteria:
• 50-75 ns worst case cycle time
• 4 watts maximum power dissipation per package
• scientific accuracy (double precision floating point)
• no elaborate heat sinking required
• no glue logic required
• support 32-bit bus widths (address and data)

TYPICAL APPLICATIONS
These design criteria result in a chip set that is well-suited to the following applications:
• Superminicomputers
• High resolution graphics
• Digital signal processing
• Array processors
4-36

• High end engineering workstations
• Artificial intelligence
• Fault tolerant computers

VLSI 32-Bit Family

L BUS (32 DATA BITS

+ 4 PARITY BITS)

36

MAIN
MEMORY

36

'ACT8847
FLOATING
POINT
UNIT

'ACT8836
MULTIPIER/
ACCUMULATOR

'ACT8832
RALU

36

36

Y BUS (32 DATA BITS

II

+ 4 PARITY BITS)

CONTROL

'ACT8818
MICRO·
SEQUENCER

PROM

REGISTER

16

VLSI32-Bit Family

The 74ACT8832 is a 32-bit
registered ALU that can be
'ACT8832
configured to operate as four 8-bit
RALU
ALU's, two 16-bit ALU's, or a
single 32-bit ALU. The device is
100% upwardly compatible with
its predecessor the 74AS888 bit-slice processor and
includes an on chip 64-word by 36-bit register file
and 3 port 110.

The 74ACT8847 combines
floating point and integer
capabilities on one chip. It can be
configured for pipe lined or flowthrough operations and can
perform up to 33 MFLOPS for
both single and double precision operations.
'ACT8847
FLOATING
POINT
UNIT

The 74ACT8818 16-bit
microsequencer can address 64K
MICRO·
r- of microcode memory. A 65-word
SEQUENCER
by 16-bit push down stock
permits address and status
information to be stored during
subroutine calls and interrupts.
'ACT8818

The 74ACT8836, a 32-bit by
32-bit integer multiplier/
accumulator, can perform two's
complement, unsigned and mux
data arithmetic on integer and
fractioned numbers, and support
Newton-Raphson division. It can be configured for
pipelined or flow-through operation.
'ACT8836
MULTIPLIER/
ACCUMULATOR

4-37

VLSI 32-Bit Family

SN74ACT8818 16-Bit Microsequencer
The 'ACT8818 microsequencer is designed to control execution of microcode in a microprogrammed system.
Basic architecture of such a system usually incorporates at least the microsequencer, one or more processing
elements such as the 'ACT8847 FPU or the SN74ACT8832 Registered ALU, microprogram memory,
microinstruction register, and status logic to monitor system states and provide status inputs to the microsequencer.
The 'ACT8818 combines flexibility and high speed in a micro sequencer that performs multi way branching,
conditional subroutine calls, nested loops, and a variety of other microprogrammable operations. The
'ACT8818 can also be cascaded for providing additional register/counters or addressing capability for more
complex microcoded control functions.

II

In this microsequencer, several sources are available for microprogram address selection. The primary source
is the 16-bit microprogram counter (MPC), although branch addresses may be input on the two 16-bit address
buses, DRA and ORB. An address input on the DRA bus can be pushed on the stack for later selection.
Register/counters RCA and RCB can store either branch addresses or loop counts as needed, either for branch
operations or for looping on the stack.
The selection of address source can be based on external status from the device being controlled, so that threeway or multi way branching is supported. Once selected, the address which is output on the Y bus passes to the
microprogram memory, and the microinstruction from the selected location is clocked into the pipeline register
at the beginning of the next cycle.
It is also possible to interrupt the ~CT8818 by placing the Y output bus in a high-impedance state and forcing
an interrupt vector on the Y bus. External logic is required to place the bus in high impedance and load the
interrupt vector. The first microinstruction of the interrupt handler subroutine can push the address from the
interrupt return register on the stack so that the proper linkage is preserved for the return from subroutine.

• Addresses up to 64K locations of microcode memory
• CLK-to-Y

= 30ns (tpd) max

• Selects addresses from eight different sources
• Performs multiway branching, conditional subroutine calls, and nested loops
• 65-word by 16-bit stack
• Cascadable
• Three-port I/O architecture
• Low-power EPIC CMOS

4-38

VLSI 32-Bit Family

DRA1S-DRAO

DRB1S-DRBO

SELDR

16

16

~----------------4-RBOE

RAOE -t-----<~

- - - - -....'-CLK

OSEL

II
REGISTER
CONTROL

STACK POINTER

RC2-RCO
ZEROIN
ZEROUT

READ POINTER

5TKWRN/RER

ZERO
STACK

cc

~-----+-+-+--------------.

52-SO

SPLOAD

INT-...----~

RE --+---t-----'
INC

COUT

V1S-VO

VOE

SN74ACT8818 16-Bit Microsequencer
4-39

VLSI 32-Bit Family

,ACT8832 Registered ALU
The SN74ACT8832 is a 32-bit registered ALU that can be configured to operate as four 8-bit ALU's, two 16-bit
ALU's, or a single 32-bit ALU. the processor instruction set is 100 percent upwardly compatible with the
'AS888 and includes 13 arithmetic and logical functions with 8 conditional shifts, multiplication, division,
normalization, add and subtract immediate, bit and byte operations, and data conversions such as BCD,
excess-3, and sign magnitude. New instructions permit internal flip-flops controlling BCD and divide
operations to be loaded or read.
Additional functions added to the 'ACT8832 include byte parity and master/slave operation. Parity is checked
at the three data input ports and generated at the Y output port. The 64-word register file is 36 bits wide to
permit storage of the parity bits. Master/slave comparator circuitry is provided at the Y port.

II
~

~
Ci'

a
a

'C
(')

CD
VI
VI

The DA and DB ports can simultaneously input data to the ALU and the 64- word by 32-bit register file. Data
and parity from the register file can be output on the DA and DB ports. Results of the ALU and shift operations
are output at the bidirectional Y port. The Y port can also be used in an input mode to furnish external data to
the register file or during master/slave operation as an input to the master/slave comparator.
Three 6-bit address ports allow a two-operand fetch and an operand write to be performed at the register file
simultaneously. An MQ shifter and MQ register can also be configured to function independently to
implement double-precision 8-bit, 16-bit, and 32-bit shift operations. An internal ALU bypass path increases
the speeds of multiply, divide and normalize instructions. The path is also used by 'ACT8832 instructions that
permit bits and bytes to be manipulated.

o

• 37-ns (tpd) max

Ci1

• 64-word by 36-bit register file

D)

::J

Q.

• Simultaneous ALU and register operations

~

• Configurable as quad 8-bit or dual 16-bit single-instruction, multiple-data machine

Ci'

a

• Two shifters to support double-precision arithmetic

(')

o

• Three-port lIO architecture

::J
....

2-

• Low-power EPIC CMOS

(6"

Ci1

4-40

VLSI 32-Bit Family

SELRF1·
SELRFO

36
WE3.WEO
C5·CO
REGISTER
FILE
64 x 36

jl.5·jl.0

36

32

B5·BO
RFCLK

36

32

PB3·PBO

Pjl.3·PAO
32

PERRA

PERRB

II

OEB

OEA
CAll·CAO

OB31·0BO

32

EB1·EBO

EA

I
Cn

/8
~3

1/2

I

~4

IllB
7£10

I

SI03·SIOO

~

~
III

CF2·CFO

4

TP1·TPO

III

IESI03·IESIOO

,.

(5

......

17·10

,.

C

0

CJ

...0

GNC

CJ

VCC

~

SSF

"t:S
C
CO

...0
C/)

CLK
36

C/)
C/)

Cl)

CJ

e

c.

...0

32
SELMa

CJ

~

[Of""
~

U
S

PERRY

PY3·PYO

OEY3·0EYO

Y31·YO

4

Z,C,OVR,N

4

BYOF3·
BYOFO

MSERR

SN74ACT8832 32-Bit RALU
4-41

VLSI 32-Bit Family

SN74ACT8836 32 .. Bit by 32.. Bit Multiplier
The 'ACT8836 is a 32-bit by 32-bit parallel multiplier/accumulator suitable for low-power, high-speed
operations in applications such as digital signal processing, array processing, and numeric data processing.
High speed is achieved through the use of a Booth and Wallace Tree architecture.
Data is input to the chip through two registered 32-bit DA and DB input ports and output through a registered
32-bit Y output port. These registers have independent clock enable signals and can be made transparent for
flowthrough operations.
The device can perform two's complement, unsigned, and mixed-data arithmetic. It can also operate as a 64-bit
by 64-bit multiplier. Five clock cycles are required to perform a 64-bit by 64-bit multiplication and multiplex
the 128-bit result. Division is supported using Newton-Raphson approximation.

IIs:
A

o(;'

"C

o
n

A multiply/accumulate mode is provided to add or subtract the accumulator from the product or the
complement of the product. The accumulator is 67 bits wide to accommodate possible overflow. A warning
flag (ETERR) indicates whether overflow has occurred.
A rounding feature in the 'ACT8836 allows the result to be truncated or rounded to the nearest 32-bits. To
ensure data integrity, byte parity checking is provided at the input ports, and a parity generator and master/
slave error detection comparator are provided at the output port.

• Performs full 32- x 32-bit integer MAC in 48 ns flowthrough, 30 ns pipelined
• Can perform 64- x 64-bit operations

C»

~

o

CiJ

• Signed, unsigned, or mixed operands; integer or fractional operands

~

• Overflow status; three extended precision bits

s:c.
on(;'
o
a

· Supports division using Newton-Raphson algorithm
• Low-power EPIC CMOS

2-

CD
CiJ

4-42

VLSI 32-Bit Family

FUNCTIONAL BLOCK DIAGRAM (POSITIVE LOGIC)

SGNEXT
SELO

4

PA3-PAO

4

PB3-PBO

2
SFT1-SFTO

PERRA
PERRB
2

WEMS
WELS

32
32

DA31-DAO

SELREG

32
32

CKEA

DB31-DBO

II

CKEB

CKEI

EA
~---------H--~EB

32
DASGN
MULTIPLIER/ADDER STAGE 1

RND1-RNDO

PIPELINE REGISTER

COMPL
ACC1-ACCO

2

MULTIPLIER/ADDER STAGE 2

~------------------~CKEY

FTl-FTO~
TP1-TPO~
ClK+--

VCC~
GND~

,.-.-----------------1- SEL Y
PERRY

OEY~------------+--e__+----~e__+-----~~

ETPERR YETP2-YETPO

Y31-YO

MSERR

PY3-PYO

SN74ACT8836 32-Bit by 32-Bit Multiplier
4-43

VLSI 32-Bit Family

SN74AS8838 32-Bit Barrel Shifter
The SN74AS8838 is a high-speed 32-bit barrel shifter in an 85-pin ceramic pin grid array. The devices can
shift up to 32 bits in a single instruction cycle of under 25 ns. Five basic shifts can be programmed: circular left
and right; logical left and right; and arithmetic right.
Unlike conventional shift registeres, whose shift operations are controlled by the number of input clock pulses
applied, the number of positions to be shifted by the 74AS8838 is determined by an input decoder. This form
of implementation does not require an input clock; thus the shift operation is restricted only by internal
propagation delays. The delay is the same regardless of the number of positions to be shifted, resulting in a
high-speed "flash" shift.

II

Input to the chip is through the 32-bit D data port; output is through two 16-bit Y data ports. Two 3-state output
controls enable the Y data ports. A shift control block decodes the instruction inputs and the shift position
controls and transmits the resulting control signals to the shifter. MUXI-MUXO control shift instruction
selection, while SFf4-SFfO specify the number of bit positions to be shifted.
• High-speed "flash" shift operations
• Shifts up to 32 positions in less than 25 ns typical
• Performs logical, circular and arithmetic shifts
• 3-state outputs allow 32-bit and 16-bit bus interface
• 85-pin package
• Uses less than 1.5 W (max)

MUX1-MUXO
SFT4-SFTO

2
5

SHIFT
CONTROL
BLOCK

YOEM
16
031-00

32

SHIFTER
(WITH END FILL}

16

Y31-Y16

Y15-YO
YaEL

32-Bit Barrel Shifter

4-44

VLSI 32-Bit Family

SN74ACT8841 Digital Crossbar Switch
DESCRIPTION
The SN74ACT8841 is a flexible, high-speed digital crossbar switch. It is easily microprogrammable to
support user-definable interconnection patterns. This crossbar switch is especially suited to mUltiprocessor
interconnects that are dynamically reconfigurable or even reprogrammable after each system clock. The
'ACT8841 is built in Texas Instruments advanced I-Jim EPIC CMOS process to enhance performance and
reduce power consumption. The switch requires only a 5-V power supply.
Because the 'ACT8841 is a 16-port device, system architectures based on the 'ACT8841 can include up to 16
switching nodes, which may be processors, data memories, or bus interfaces. Larger processor arrays can be
built with multistage interconnection schemes. Most applications will use the crossbar switch as a broadband
bus interface controller, for example, between closely coupled processors which must exchange data with very
low propagation delays.
The 'ACT8841 has ten selectable control sources, including eight banks of programmable control flip-flops
and two hard-wired control circuits. The device can switch from 1 to 16 nibbles (4 to 64 bits) of data in a single
cycle.
The 64 I/O pins ofthe 'ACT8841 are arranged in 16 switch able nibbles (see Figure 1). A single input nibble can
be broadcast to any combination of 15 output nibbles, or even to 16 nibbles (including itself) if operating off
registered data. Multiple input nibbles can be switched to multiple outputs, depending on the programmed
configurations available in the control flip-flops.
The digital crossbar switch is intended primarily for multiprocessor interconnection and parallel processing
applications. The device can be used to select and transfer data from multiple sources to multiple destinations.
Since it can be dynamically reprogrammed, it is suitable for use in reconfigurable networks for fault-tolerant
routing.
The 'ACT8841 and its predecessor the bipolar SN74AS8840 share the same architecture. Microcode written
for the 'AS8840 can be run on the 'ACT8841 if the additional control inputs to the 'ACT8841 are properly
terminated. However, because the 'ACT8841 is a CMOS device with six additional control inputs, the 'AS8840
and the 'ACT8841 are not socket-compatible and cannot be used interchangeably. A summary of the
differences between the SN74AS8840 and the SN74ACT8841 is provided in the 'AS8840 and 'ACT8841
FUNCTIONAL COMPARISON at the end of the data sheet.
• 50-MHz programmable switch for parallel processing
• 64 data I/Os configurable as 16 four-bit ports, 8 eight-bit ports, or four 16-bit ports
• I/O selection programmable by nibble
• Eight banks of control flip-flops for storing configurations
• Two selectable hard-wired or real-time inputs
• Selectable stored-data or real-time inputs

4-45

II

VLSI 32-Bit Family

FUNCTION BLOCK DIAGRAM
SHOLS

SELOMS

lSClK

MSCLK

03·00

035·032

orno

orn8

07·04

039·036

lmi1

l5ED9

011·08

II

043·040

0ED2

s:
C:;'

OE010

015·012

..,

047·044

lmi3

OE011

0
'C

an

019·016

051·048

(I)

CII
CII

lmi4

0..,

OE012

CII

Q)

023·020

:::l

055·052

Q,

s:

0ED5

0ED13

c:;'

an

027·024

..,....

OE06

CII

031·028

059·056

0

:::l

2CD
..,

OE014

063·060

OED7

OEC

OEDIS

----+---i

SN74ACT8841 Digital Crossbar Switch
4-46

VLSI 32-Bit Family

SN74ACT8847 Floating Point Unit
The SN74ACT8847 is a high-speed floating point unit implemented in TI's advanced 1-,...,m CMOS technology.
The device is fully compatible with IEEE Standard 754-1985 for addition, subtraction, multiplication,
division, square root, and comparison.
The 'ACT8847 FPU also performs integer arithmetic, logic operations, and logical shifts. Absolute value
conversions, floating point to integer conversions, and integer to floating point conversions are available. The
ALU and multiplier are both included in the same device and can be operated in parallel to perform sums of
products and products of sums (see figure).
IEEE formatted denormal numbers are directly handled by the ALU. Denormal numbers must be wrapped by
the ALU before being used in multiplication, division, or square root operations. A fast mode in which all
denormals are forced to zero is provided for applications not requiring gradual underflow.
The 'ACT8847 input buses can be configured to operate as two 32-bit data buses or as a single 64-bit bus,
providing a number of system interface options. Registers are provided at the inputs, outputs, and inside the
ALU and multiplier to support multilevel pipelining. These registers can be bypassed for nonpipelined
operation.
A clock mode control allows the temporary input register to be clocked on the rising edge or the falling edge of
the clock to support double-precision ALU operations at the same rate as single-precision operations. A
feedback register (C register) with a separate clock is provided for temporary internal storage of a multiplier
result, ALU result or constant.
To ensure data integrity, parity checking is performed on input data, and parity is generated for output data. A
master/slave comparator supports fault-tolerant system design. Two test pin control inputs allow all 1I0s and
outputs to be forced high, low, or placed in a high-impedance state to facilitate system testing.
• Multiplier and ALU on one chip
• Pipe lined or flowthrough operation
• 30-ns, 40-ns, and 50-ns pipelined performance
• IEEE-standard for single- and double-precision operations
• Floating point and integer add, subtract, multiply, divide, square root, and compare
• 64-bit divide in 11 cycles, 64-bit square root in 14 cycles; both implemented via hardwired control

4-47

II

VLSI32-Bit Family

PA

PERRA

OA31·0AO

OB31·0BO

PB

PPERRB

ENRA

II
SRCC

--..--t------t---_\.

ClKC

--..--+-------+---t>----''----,

_FlOWC

_HArT
_BYTEP
_CLK

~--~------t_~~~~

_PlPESO
_CLKMOOE

_Iilm

--r2--- TPHPO

FlOWC ....- f - - - - - - - t - - - " " " " "

~vcc

~GNO
SELST1·
SElSTO

SElMS/fS - - - - , . - - - - - - - - " " " " "
FROM
INSTRUCTION - -

11

-

~--+----+- ~

PY3·PYO

lilY

Y31·YO

MSERR

IVAL
IN EX
OVER
UNDER
DENORM

SN74ACT8847 64-Bit Floating Point Unit

4-48·

SRCEX
CHEX
STEX 1·STEXO
NEG
INr

VLSI 32-Bit Family

SN74ACT8867 32-Bit Vector Processor Unit
• Fast Numeric Processor Optimized for Graphics
• Simultaneous Independent Operation of Multiplier and ALU
• Onboard Six-Port Register File, 46 Words x 40 Bits
• Operates in Pipelined or Flowthrough Mode
• 62-ns Cycle Time in Fully Pipelined Mode
• Onboard Floating Point Seed ROM for Newton-Raphson Division and Square Root
• Three 32-Bit Data Ports support High Data Bandwidth
• Selectable Format Conversion from Fixed Point to Floating Point at Input Ports and Floating Point to
Fixed Point at Output Port
• Low-Power EPIC CMOS Technology
On a single chip the SN74ACT8867 Vector Processor Unit (VPU) combines a multiplier, ALU and six-port
register file for floating point and integer arithmetic. The VPU performs single-precision floating point
operations and fixed point operations in single or double precision.

II
~

~

Applications such as graphics workstations and high-end digital signal processors can make use of the speed
and flexibility offered by the independent multiplier and ALU, which are separately programmable and
operate concurrently. The register file can be double-pumped to input four operands within one clock period,
matching the input bandwidth of the register file to the data bandwidth through the multiplier and ALU in
concurrent operation.
The 'ACT8867 accepts operands from its two 32-bit input buses as 2 's complement integers, 2 's complement
fractions, or floating point numbers in Digital Equipment Corporation (DEC) 'F' format. Internally, the VPU
supports 40-bit floating point arithmetic and 32-bit or 64-bit fixed point arithmetic.

e...c
o
(.)

e
:E
(.)

"C
C

co
~

o
CI)
CI)

Format conversion logic is available to translate fixed point inputs to floating point format without tying up the
ALU. Similarly, floating point results can be translated to fixed point outputs by using the logic elements
provided for float-to-fixed conversion and binary point adjustment.
When all the main functional blocks are active simultaneously, the VPU can perform a multiply, an ALU
operation, and three format conversions (two fixed point to floating point and one floating point to fixed point)
within a single clock cycle.

EPIC is a trademark of Texas Instruments Incorporated.

4-49

Q)
(.)

e
Q.

e
(.)

:E

VLSI 32-Bit Family

OA31-0AO

RFCLK ____

0831-080

32

WEA ____

32

DATA REGISTER

DATA REGISTER

WE8 ____
FIXED TO FLOAT

FIXED TO FLOAT

~

ENOAT

~

FMAT

~

FFEN

~

FTOAT

SELRFA

SELRF8
40

II

OIV/SQRT

SELM3
SELM2

2

SELM1
SELMO

2

~

ENA

~

ENM

~

ENP

~

ENS

~

EN8PA
SELA1
SELAO

A14-A10
CIN

MI8-MIS

CLK
~

FTM/A

~FTI
~

FTP/S

~

FT8PA

SELY
~RNO

BPA4-BPAO

~

S

~VCC

ROUNDER

~GNO

AS-AO ~
8S-80 ~

3

CS-CO ~
OS-~O ~

ES-EO ~

SELST1-/
SELSTO
FTST
OES

FS-FO ~

PY

OEY

Y31-YO

ERROR, COUT/Z,
N,Z,OVER,STEX

ACT8867 Functional Block Diagram
4-50

TP1-TPO

FLOAT TO FIXED

VLSI 32-Bit Family

8800 Software Development Board (SOB)
Texas Instruments '8800 Software Development Board (8800 SDB) is offered as an evaluation and training
tool. The 8800 SOB allows users to evaluate performance and write microprograms for several 8800 devices
using a range of software development tools. In addition, user microprograms are executed and verified on the
actual VLSI devices contained on the SDB.
The SOB is designed to complement other design support tools, such as functional evaluation models and
behavioral simulation models. Using the SOB microcode can be developed earlier in the system's design cycle, so
that code development parallels, rather than follows, prototype design. The 8800 SOB makes device evaluation and
initial microcode development fast and easy since prebuilt microcode definition files are provided.
Implemented on a PCIAT card occupying a single slot, the 8800 SDB includes an 'ACT8818 microsequencer,
'ACT8832 registered ALU, and an 'ACT8847 floating point unit, along with 32K by 128 bits of microcode
memory, and 32K by 32 bits of local data memory. Interface software is provided so that microcode and local
data memory may be loaded and read from the PCIAT bus.
The 8800 SOB Design Kit includes:

~

• User's Guide (includes printed PLO equations and schematics)
• Microcode definition files for HILEVEL, STEP Engineering, and Texas Instruments microcode
development tools
• MS-OOS (version 3.2) software tools written in Microsoft C (version 5.1). Software source code is
included

e
....
c

o

u

eu

~
"'C

• PLO equation files written in ABEL (version 3.0)

C

• Schematic files in a Schema (version 2.0) format are available upon request. The Schema package is
offered from Omation at (214) 231-5167.
• Photo-plotted artwork is available upon request

co

t!!
o
~

G,)

u

For ordering and pricing information, please call your local TI sales representative. The part number for the
8800 SOB Oesign Kit is 'SN74S0B8800'. The intent of the 8800 SDB Design Kit is to let users begin
developing microprograms within hours of receiving the kit instead of weeks or months.
The MetaStep or TI Meta Assembler may be purchased through Texas Instruments directly. For additional
technical information, please contact the VLSI Systems Engineering Group at (214) 997-3970.
Users may write microcode source with the HILEVEL C Compiler, HILEVEL HALE Macro Meta
Assembler, the MetaStep Assembler from STEP Engineering, TI Meta Assembler (TIM), or TI's Reconfigurable Compiler System (RCS) for C and Fortran 77. Both HILEVEL's and TI's are retargetable, meaning that
the same C source code can be reused for different system microprogrammed architectures. As prebuilt 8800
SDB definition files are available for each of these compilers, users can begin developing microcode quickly.
Information on TI microcode development tools may be obtained through your local TI Field Sales ~epresen­
tative. Company addresses for HILEVEL Technology and STEP Engineering are listed below:
HILEVEL Technology, Inc.
31 Technology Drive
Irvine, CA 92718
(800) 445-3825

II
t!!

• 8800 SOB board assembly

STEP Engineering
661 East Arques Avenue
P'Q. Box 61166
Sunnyvale, CA 94088
(800) 538-1750
4-51

e
Co

eu

~

Memory Management Products

MEMORY MANAGEMENT PRODUCTS
DYNAMIC RAM CONTROLLERS
SN74ALS6301 and SN74ALS6302 Dynamic RAM Controller
FEATURES
• Provides Control for 16K, 64K, 256K, and 1M Dynamic RAMs
• Highest-Order Two-Address Bits Select One of Four Banks of RAMs
• Supports Scrubbing Operations and Nibble-Mode Access
• Separate Output Enable for Multi-Channel Access to Memory
• 52-Pin Dual-In-Line Package

III
~

:s:

n'

a

"C

a
2
(I)
(I)

o

DESCRIPTION
The 'ALS6301 and 'ALS6302 dynamic memory controllers (DMCs) are designed for use in today's highperformance memory systems. The DMC acts as the address controller between any processor and dynamic
memory array.
Two versions are provided that help simplify interfacing to the system dynamic timing controller. The
'ALS6301 offers active-low Row Address Strobe Input (RAS!) and Column Address Strobe Input (CAS I),
while the 'ALS6302 offers active-high Row Address Strobe Input (RASI) and Column Address Strobe Input
(CASI) inputs.

Ci1
Q)

::::s

Q.

:s:

an'

Using two lO-bit address latches, the DMC will hold the row and column addresses for any DRAM up to 1M.
These latches and the two row/column refresh address counters feed into a lO-bit, 4-input MUX for output to
the dynamic RAM address lines. A 2-bit bank select latch is provided to select one of the four RAS and CAS
outputs. The two bits are normally obtained from the two highest-order address bits.

(')

o

::::s

~

2-

CD
Ci1

'ALS6301 and J\LS6302 have two basic modes of operation, read/write and refresh. During normal read/write
operations, the row and column addresses are multiplexed to the dynamic RAM, with the corresponding RAS
and CAS signals activated to strobe the addresses into the RAM. In the refresh mode, the two counters cycle
through the refresh addresses. If memory scrubbing is not being implemented, only the row counter is used.
When memory scrubbing is being performed, both the row and column counters are used to perform readmodify-write cycles. In this mode all RAS outputs will be active (low) while only one CAS output is active at
a time.
The SN74ALS6301 and SN74ALS6302 are characterized for operation from O°C to 70°C.

4-52

Memory Management Products

LOGIC DIAGRAM (POSITIVE LOGIC)
MUX

OE
MCO
MC1
MSEl

CS

140)

-~}

12S)
127)

1

152)

0
G3

146)
145)

G4

.,

111

~
~

~ G5

143)
142)

r
10X

COUNTER
~

-

10

CTR22

o

H~

~

~

9

I

,

1.4

IROW)

09

V~

204.5

-,...

~

G1

J!!)~~

10
11
12
13
14
15

OMUX

-

,.. EN

I~

1
2

0
1

V4

3

V4

SCO

roo-

AO
A1
A2
A3
A4
AS
A6
A7
AS
A9
A10
A11
A12
A13
A14
A15
A16
A17
A1S
A19
SElO
SEl1

SC1

r---

r--

CASI

c

10A.5V ~

150)

11A.5V ~

14S)

P
P

133)

12.4.5V

-

13A.5V

131)

RASO
JiAS2

"C
C

JiAS3

CJ

.
O

10

CAS DECODE

10

CJ

~

OMUX
~ EN

--xiY

10X

0

(COLUMN)
1

10

10

2

10

1

G4
G5

2

G6

3

G4

I---O} 5Z 10
1
13
(BANK)

0}6G~
23

10

~ R

'-C
-

-

10
R

~~6~~~Y_ 'AlS6302 ONLY

~
0
en
en

e
C-

10

126)

ca

Q)

U-O S

U----T
I

-------------------~

G7

-

-'"

P
111/21.4)7V P
112/22.4)7V P
113/23.4)7 V p110/20.4)7V

149)
147)
132)
130)

SN74ALS6301 and SN74ALS6302
4-53

Memory Management Products

TMS4500A 64K Dynamic RAM Controller
FEATURES
• Provides Control for 8K, 16K, 32K, and 64K Dynamic RAMs
• One Package Contains Address Multiplexer, Refresh Control. and Timing Control
• Operates from Microprocessor Clock
- No Crystals, Delay Lines or RC Networks
- Eliminates Arbitration Delays
• Refresh May Be Internally or Externally Initiated

DESCRIPTION

IIs:
~

c:r

a
a

-0
C")

(I)

~

o
ii1
Q)

::s

c.

s:

The TMS4500A is a monolithic DRAM system controller designed to provide address multiplexing, timing,
control and refresh/access arbitration functions to simplify the interface of dynamic RAMs to microprocessor
systems.
The controller contains a 16-bit multiplexer that generates the address lines for the memory device from the 16
system address bits and provides the strobe signals required by the memory to decode the address. An 8-bit
refresh counter generates the 256-row addresses required for refresh.
A refresh timer is provided that generates the necessary timing to refresh the dynamic memories and assure
data retention.
The TMS4500A also contains refresh/access arbitration circuitry to resolve conflicts between memory access
requests and memory refresh cycles. The TMS4500A is offered in a 40-pin, 600-mil dual-in-line plastic
package and 44-pin, 650-mil square plastic chip carrier package. It is characterized for operation from O°C
to 70°C.

an'
8

a

2-

CD

.ii1

4-54

Memory Management Products

,

8

RAO·RA7

I ,

,

8

ROW
ADDRESS
LATCH

)

,)
MULTIPLEXER

-

....

,

8

CAO·CA7

I ,)

8

,
,)

8

COLUMN
ADDRESS
LATCH

'V

'"
,)

I MAO·MA7

4

8

REFRESH
COUNTER

ALE

I

.....

CS

.

RENl
ACR
ACW
REFREQ

TWST
FSO
FSl

elK

....

....

-

",.

,

.....

I

.....
-~~

""

'V

-..

..

...

r

ARBITER

tt
REFRESH
RATE
GENER·
ATOR

..
.-

.....

r

...

·

c
0

RASO

.
CJ

0

~
TIMING
AND
CONTROL

'V

..

·

"C
C
(0

RASl

l!!
0

en
en

CU

.
.
CJ

'V

.......
--

e
CJ

.....
...

~

~
~

..

'--

en

f+

..

SELECT
LATCH

II
.

"-

,)

··

0

CAS

Q.

0

CJ

~

.

·

ROY

TMS4500A

4-55

Memory Management Products

THCT4502B 256K Dynamic RAM Controller
FEATURES
• Provides Control for 64K and 256K Dynamic RAMs
• Contains Address Multiplexer, Refresh Control, and Timing Control
• Operates from Microprocessor Clock
• 3-State Outputs Allows Multiport Memory Configuration

DESCRIPTION
The THCT4502B is a monolithic DRAM system controller providing address multiplexing, timing, control and
refresh/access arbitration functions to simplify the interface of dynamic RAMs to microprocessor systems.

III

The controller contains an 18-bit multiplexer that generates the address lines for the memory device from the 18
system address bits and provides the strobe signals required by the memory to decode the address. A 9-bit
refresh counter generates up to 512 row addresses required to refresh.
A refresh timer is provided to generate the necessary timing to refresh the dynamic memories and ensure data
retention.
The THCT4502B also contains refresh/access arbitration circuitry to resolve conflicts between access
requests and memory-refresh cycles.
The THCT4502B is characterized for operation from O°C to 70°C.

4-56

Memory Management Products

RAO
RAl
RA2
RA3
RA4
RA5
RA6
RA7
RAB

(3)
(8)
(9)

..

(15)
(18)
(21)

•

J

ADDRESS
LATCH

(22)

CAl
CA2
CA3
CA4
CAS
CA6
CA7
CAB

ALE

CS
RENO
RENl

(32)

(7)
(10)

..

(17)

(20)
(23)
(26)
(33)

·..

(11 )

(13)

\}

(16)

\}

(43)
(36)

(19)

\}

J

COLUMN
ADDRESS
LATCH

-

·

REFRESH
COUNTER

·

h>

r

I

I

~

(44)

(1)

t

'V

--...

\}

--

\}

r

.~

(24)

(25)

(34)

MAl

MA2

MA3
MA4

..-

MAS

MA6

en

MA7

MA8

(,)

"'C

LATCH

(47)

'V

RAsO

RASl

~

1

<::€]----

ARBITER

.... 0

.
..
.

(30)
(29)
(28)

(41)

(39)
~

TIMING

f--+--

1

.

RESET (FK and FN packages only)

AND 'V

t f r--+--

REFRESH
RATE
GENER·
ATDR

+

1

(40)

~ CONTROL
'V

j

RAS2

s::

.
CO

en
0
en
en

(1)
(,)

e

.

~

RAS3

0

(,)

(2)
~

(38)

'V

~

....

"0
s::

~

'V

\}

~

.

t

SELECT

II
.
0
(,)
0

(46)

(31) ......

CLK

--...

MUL TI·
PLEXER

(45)

ACW

FSl

\J

..

(14)

(48)

FSO

r

(4)

AcR

TWST

(6)

\}

MAO

(27)

I
CAO

(5)

\}

ROW

--

(42)

CASO

CASl
ROY

t

THCT4502B

4-57

~

Memory Management Products

SN74ACT45031M Dynamic RAM Controller
FEATURES
• Provides Control for 64K, 256K, and 1M Dynamic RAMs
• Contains Address Multiplexer, Refresh Control, and Timing Control
• Directly Addresses and Drives Up to 4 Banks of Memory
• Refresh May Be Internally or Externally Initiated

DESCRIPTION
The 'ACT4503 is a monolithic DRAM system controller providing address multiplexing, timing, control, and
refresh/access arbitration functions to simplify the interface of dynamic RAMs to microprocessor systems.

II
~

The controller contains a 20-bit multiplexer that generates the address lines for the memory device from the 20
system address bits and provides the strobe signals required by the memory to decode the address. A 10-bit
refresh counter generates up to 1,024 row addresses required to refresh.
A refresh timer is provided to generate the necessary timing to refresh the dynamic memories and ensure data
retention.
The 'ACT4503 also contains refresh/access arbitration circuitry to resolve conflicts between access requests
and memory-refresh cycles. In order to guarantee correct refresh/access arbitration, the falling edge of ALE
must not occur within a specified time period of either the rising or falling edge of CLK. The selection of the
arbitration CLK edge is determined during reset. Knowing the processor's ALE to eLK timing relationship
allows the designer to select the proper CLK edge to guarantee refresh/access arbitration on the l\CT4503.
The SN74ACT4503 is characterized for operation from O°C to 70°C.

4-58

Memory Management Products

RAO ..;.12_0"';')_~_~~--I
RA1 1221
..
1241
~
RA2 ----011.._--<
RA3 1261
~
RA4 12S1
1501
R A 5 - - -.....- - i
RA6 1521

MULTIPLEXER
'i] 1-_ _.....:. 11.:. ,:S:.,:.1 MAO

ROW
ADDRESS
LATCH

'i] 1-_ _.......:.1.;,.;1S;..:.1 MA 1

RA7 _1_21_ _......_~
41_ _.......-~
RAS_I_
16
RAS__ 1_ _.....
:-~

'i]

-

'i]

I
1211
CAO-----.. CA1 1231
__

1-_ _~...:.11.:..:7:.:.1 MA2

'i]

......

1161 MA3

1-_ _~.:..11.:..,:5:.,:.) MA4

II

'i] 1--_ _ _.:..
11.:. ,:2:.,:.1 MAS

CA2 _12_5_1_--t~~--I
1271
COLUMN
C A 3 - - -.....- - i
12S1
ADDRESS I - " - - - - - - - - - J1\,\
C A 4 - - -.....-~
LATCH
CA5 1511
111

.

'i]

1-_ _ _.:..11.:....1:.,:.) MAS

'i] 1--_ _ _..;.11-0..;.1 MA7

.;

CA6---~~~__I

CA7 _13_1_ _......
~~--I

'i]

CAS_15_1_ _~--I

REFRESH
COUNTER

CAS _17_)_ _~:~---l

~

~

132)
----1---"1------.

..

.

'i]

_ _ _ _ _ _ _ _ _ _ _ _ _ _ _ __oII~-~

REFREQ 1401 .............-a

lL..-----<:.c:<8--

ARBITER

r+~

FS1~14_S~I_ _ _ _ _ _ _ _~~~

REFRESH
RATE
GENERATOR

•

1371 RAS1

'i]

•

1361 RAS2

r

1-_ _.......:.13
:;.;5;..:.1 RAS3

'i] 1--_--1......,..14..:.;;2:;.:...1 CASO

'i]

~--L~~
C~~
T ------I

1-_--I~13.:....S:..:..1 RASO

'i]

'i]

1 t

FSO 14S1

MAS

~-,--,

CS 1311
RENO _14_6_1_--I~_---a SELECT
LATCH
(45)
REN1----~..
~---l~______~
ACR_13_3_1_ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _~.~~
AaW~13_4_1

~-. .;,.IS. ;.I

Q I--_ _

....
~Itt

~~I----'
ALE

I-_ _....---:.:IS:..:..I MAS

14.....;3..;.1 CAS1
1-_ _......:...

TIMING 1--_ _ _ _...;.144-1 ROY
AND
CONTROL

t

'-----T----'

RESET_14_7_1_ _ _ _ _ _ _ _ _ _ _ _~1~------~

SN74ACT4503

4-59

Memory Management Products

SN74ALS6310 AND SN74ALS6311 STATIC COLUMN AND PAGE
MODE DETECTORS
• Detects Present Row Equal to Last Row Address
• High-Performance Compare:
'ALS6310 CLK to HSA = 18 ns
'ALS6311 Address to HSA = 14 ns
• Compatible with 16K to 1M DRAMs
• Easily Interfaced with Microprocessor and Memory Timing Controller
• Dependable Texas Instruments Quality and Reliability

DESCRIPTION

II

The 'ALS631O and 'ALS6311 are high performance address comparators designed for implementing static
column and page-mode access cycles.
When interfaced with the memory timing controller, these devices will detect if the present row being accessed
is the same as the last row accessed. This is the fundamental requirement for implementing static column
decode or page-mode access cycles.
The 'ALS6310 features two 14-bit registers and a high-speed address comparator. The first register is used to
save the present row address while the second register is used to save the previous row address. On the high-tolow transition of CLK, the first register loads the new row address present on AO-A9. At the same time, the
second register loads the address previously saved in the first register. The two row addresses are then
compared. The High-Speed Access outputs (HSA and HSA) will signal if the two addresses are equal.
The BO-B1 inputs are provided to monitor access cycles to different banks of memory. When used in
conjunction with the 'ALS2968 and 'ALS6302 series DRAM controllers, the 'ALS6310 and 'ALS6311 can
monitor up to 16 banks of memory. The CLK input on the 'ALS6310 can typically be interfaced with the
microprocessor's Address Latch Enable (ALE) or Address Strobe (AS) outputs. This configuration simplifies
the memory timing controller interface. Refer to the typical application diagram for further information.
The 'ALS6311 features on 14-bit register feeding a high-speed address comparator. This architecture offers a
faster address match time, but does require the memory timing controller to generate the CLK input. Typically,
the 14-bit register would only be updated if there was a change in row or bank address. Refer to the application
diagram for further information.
The SN74ALS631O and SN74ALS6311 are characterized for operation from O°C to 70°C.

4-60

Memory Management Products

'AlS6310A
CLKEN
ClK
PRESENT ADDRESS

. PREVIOUS ADDRESS

REGISTER

L:tN1

yEN1
...J
1C2

REGISTER
COMP

1C2

5

10X

10X
10
AO-A9

I

)

10

(ROW)

,2-

20

(ROW)
20

4X
4
BO-B3

I

)

4X
4

(BANK)
20

d

-

)

(BANK)
20

~
10

)
4

)

J.

P-Q

-

P-Q

::::::--

HSA

II

~}Q

'AlS6311A
PREVIOUS ADDRESS
REGISTER
COMP

CLKEN
ClK

10
AO-A9

4X
[BANK)
BO-B3

J.

P-Q

HSA

P-Q

HSA

~}Q

SN14ALS6310 and SN14ALS6311
4-61

Memory Management Products

CACHE ADDRESS COMPARATORS
SN74ACT2150A 512 X 8 Cache Address Comparator
KEY FEATURES

II
~

~

• Fast Address to Match Valid Data
• Two Speed Ranges: 20 ns, 30 ns
• 512 x 9 Internal RAM
• 300-Mil 24-Pin Ceramic Side-Brazed or Plastic Dual-in-Line or Small Outline Packages
• 53 rnA Typical Supply Current
• On-Chip Parity Generation and Checking
• Parity Error Output/Force Parity Error Input
• On-Chip AddresslData Comparator
• Asynchronous, Single-Cycle Reset
Easily Expandable
• Fully Static
• Reliable Advanced CMOS Technology
• Fully TTL Compatible

·

c:r

(;
"C

(;

n

(D

~

o
U1
D)

:::s

DESCRIPTION
This 8-bit slice cache address comparator consists of a high-speed 512 x 9 static RAM array, parity generator,
parity checker, and 9-bit high-speed comparator. It is fabricated using advanced CMOS technology for highspeed, low-power interface with bipolar TTL circuits. The cache address comparator is easily cascadable for
wider tag addresses or deeper tag memories. Significant reductions in cache memory component count, board
area, and power dissipation can be achieved with this device.

c.
~

n'

o
n
o

a
2CD

When 5 is low and W is high, the cache address comparator compares the contents of the memory location
addressed by AO-A8 with the data on DO-D7 plus generated parity. An equality is indicated by the high level on
the MATCH output. A low-level output from PE signifies a parity error in the internal RAM data. PE is an
N-channel open-drain output for easy OR-tying. During a write cycle (5 and W low), data on DO-D7 plus
generated even parity are written in the 9-bit memory location addressed by AO-A8. Also during write, a
parity error may be forced by holding PE low.

U1

A RESET input is provided for initialization. When RESET goes low, all 512 x 9 RAM locations are cleared
to zero (with valid parity) and the MATCH output is forced high. If an input data word of zero is compared to
any memory location that has not been written into since reset, MATCH will be high indicating that input data,
plus generated parity, is equal to the reset memory location. PE will be high for every addressed memory
location after reset indicating no parity error in the RAM data. By tying a single data input pin high, this
bit will function as a valid bit and a match will not occur unless data has been written into the addressed
memory location. When cascading in the width direction, only one bit needs to be tied high regardless of the
address width.
The 'ACT2150A operates from a single 5 V supply and is offered in a 24-pin 300-mil ceramic side-brazed and
plastic" Small Outline" packages. The device is fully TTL compatible and is characterized for operation for
O°C to 70°C.

4-62

Memory Management Products

FUNCTION BLOCK DIAGRAM

L

ET

AO
Al

A2
A3

A4
AS
AS
A7
Aa

DO
01
02
03
04

05
OS
07

(22)

COMP

:AM512X'

a

0-

(23)

}

~

(S)
(4)

A....£...
511

(2)

,

(20)

(a)
(S)
(S)
117}

(la)
(lS)
(lS)

113}

(10)

.,

a

INPUT
BUFFERS

--;-

-----

,.

r - - Cl

f.-.../

""

~
~

-......"'"

f.-.../
f.-.../

"""

"""

-v-p-

~

-

~

Hl EN

Ill}
r

PEII
i!!

nf

~

10

--""""

PARITY
CHECKER

MA TCH

1

2k

•

(21)

114}

r;::L/

a

(lS)

(7)

}o

~

(3)

P P=O

~

e
+I

C

o
(,)

e
(,)

~
"'C
C

~

-~PARITY

ca

r---

GENERATOR
8
2k

~

i!!
o

IA
IA

~

CI)
(,)

eCo
e
(,)

~

SN74ACT2150A
4-63

Memory Management Products

SN74ACT2151 and SN74ACT2153 1K x 12 Cache Address Comparator
KEY FEATURES
• Fast Address to Match Times of 22 ns and 25 ns Max
• 1K x 12 Internal RAM
• On-Chip Parity Generation, Storage, and Checking
• Easily Expandable
• On-Chip Address/Data Comparator

4
s:Ci'

a
a

'tS
(")

CD

C/)
C/)

o
Ci1

The 'ACT2151 and 'ACT2153 cache address comparators consist of a high-speed lK x 12 static RAM array,
parity generator, parity checker, and 12-bit high-speed comparator. They are fabricated using advanced
silicon-gate CMOS technology for high speed and simple interface with bipolar TTL circuits. These cache
address comparators are easily cascadable for wider tag address or deeper tag memories. Significant
reductions in cache memory component count, board area, and power dissipation can be achieved with these
devices. The 'ACT2151 has a totem-pole MATCH output while the 'ACT2153 has an open-drain MATCH
output for easy AND-tying.
If S is low and W is high, the cache address comparator compares the contents of the memory location
addressed by AO-A9 with the data DO-D1O plus generated parity. An equality is indicated by a high level on the
MATCH output. A low-level output on PE signifies a parity error in the internal RAM data. PE is an N-channel
open-drain for easy OR-tying. During a write cycle (S and W low), data on DO-D 10 plus generated odd parity
are written in the 12-bit memory location addressed by AO-A1O. Also during write, a parity error may be
forced by holding PE low.

I»

:::s

c.

s:Ci'
a
(")

o

:::s
r+

2-

CD
Ci1

A reset input is provided for initialization. When RESET is taken low, alllK x 12 RAM locations are cleared
to zero (with valid parity) and the MATCH output is forced high. If an input data word of zero is compared to
any memory location that has not been written into since reset, MATCH will be high indicating that input data,
plus generated parity, is equal to the reset memory location. PE will be high after reset for every addressed
memory location, indicating no parity error in the RAM data. By tying a single data input pin high, this bit will
function as a valid bit and a match will not occur unless data has been written into the addressed memory
location. When cascading in the width direction, only one bit must be tied high regardless of the address width.
The 'ACT2151 and 'ACT2153 are characterized for operation from O°C to 70°C.

4-64

Memory Management Products

LOGIC DIAGRAM (POSITIVE LOGIC)

RESET":"(1~1_ _-Q

R

AO ..:..(6~1_ _ _ _ _ _ _ _----I0

Al~(5~1-------_--i
A2..:..(4~1_ _ _ _ _ _ _ _----I

A3..:..(3~1_ _ _ _ _ _ _ _----I

A4~(2~1~_ _ _ _ _ _ _~

COMP

RAM
1 K )( 12
11

}

,-----i

11

P P _ a I-----i

}a

~_ _ _
(1_6_1 MATCH

(271

A5---------~

A6 (261
A7 (251

(131

II

PE

AB (241
A9 (231

DO (71
01 (BI
02 (91
03 (101
04 (211
05 (201
06 (191
07 (1BI

DB (171
09 (111
010 (221

SN74ACT2151 and SN74ACT2153

4-65

Memory Management Products

SN74ACT2152A and SN74ACT2154A 2K x 8 Cache Address
Comparators
KEY FEATURES
• Fast Address to Match Delay 20 or 25 ns Max
• Common 110 with Read Feature
• On-Chip Address/Data Comparator
• Parity Error Output, Force Parity Error Input
• Easily Expandable
• Choice of Open-Drain or Totem-Pole MATCH Output
• EPIC (Enhanced Performance Implanted CMOS) I-lJ..m Process
• Fully TTL-Compatible

II

The 'ACT2152 and 'ACT2154 cache address comparators consist of a high-speed 2K x 9 static RAM array,
parity generator, parity checker, and 9-bit high-speed comparator. They are fabricated using advanced silicongate CMOS technology for high speed and simple interface with bipolar TTL circuits. These cache address
comparators are easily cascadable for wider tag addresses or deeper tag memories. Significant reductions in
cache memory component count, board area, and power dissipation can be achieved with these devices. The
'ACT2152 has a totem-pole MATCH output while the 'ACT2154 has an open-drain MATCH output for easy
AND-tying.
If S is low and Wand R are high, the cache address comparator compares the contents of the memory location
address by AO-AIO with the data 00-07 plus generated parity. An equality is indicated by a high level on the
MATCH output. A low-level output on PE signifies a parity error in the internal RAM data. PE is an N-channel
open-drain output for easy OR-tying. During a write cycle (S and W low), data on DO-D7 plus generated odd
parity are written in the 9-bit memory location addressed by AO-AIO. Also during write, a parity error may be
forced by holding PE low.
A read mode is provided with the 'ACT2152 and j\CT2154, which allows the contents of RAM to be read at the
DO-D7 pins. The read mode is selected when Rand S are low, and W is high.
A reset input is provided for initialization. When RESET is taken low, a1l2K x 9 RAM locations are cleared to
zero (with valid parity) and the MATCH output is forced high. If an input data word of zero is compared to any
memory location that has not been written into since reset, MATCH will be high indicating that input data, plus
generated parity, is equal to the reset memory location. PE will be high after reset for every addressed memory
location, indicating no parity error in the RAM data. By tying a single data input pin high, this bit will function
as a valid bit and a match will not occur unless data has been written into the addressed memory location.
When cascading in the width direction, only one bit must be tied high regardless of the address width.

4-66

Memory Management Products

FUNCTIONAL BLOCK DIAGRAM (POSITIVE LOGIC)

(1)
(13)

,.

~

~

-

""'EN

J

8x

,Q



(16)

(14)

L..-

2K

Cl

f"'.-/

10

~
~

;:-"\,

l- I-~

PARITY
CHECKER

_ _ ""

EN

~

2'
""-

f----

""\

I---

r-

}---

~GENERATOR
PARITV
8

2K

I

~

~~

SN74ACT2152A and SN74ACT2154A

4-67

II

Memory Management Products

SN74ACT2155 2K X 8 Cache Address Comparator/Data RAM
KEY FEATURES
• Supports Motorola MC68030 Cache burst fill with No Added Wait States
• Upward compatibility for 68030 speed upgrades
• Cache Data RAM with parity and internal burst counter
• Dirty bit storage capability for use in copy-back caches
• Separate I/O supports copy-back
• Easily Expandable in depth and width

DESCRIPTION

4
~

a

The 'ACT2155 burst cache address comparator/data RAM consists of a high- speed 2K x 9 static RAM array,
2-bit burst counter and control circuitry, parity generator, parity checker, and 9-bit high-speed comparator. The
'ACT2155 is fabricated using advanced silicon gate CMOS technology for high speed and simple interface with
bipolar TTL circuits. The 'ACT2155 provides a valuable building block for building fast efficient caches. By
combining this device with programmable logic a cache can be constructed that specifically addresses the
individual system requirements. Significant reductions in cache memory component count, board area, and
power dissipation can be achieved by using this device.

"C

a
C')

CD

~

o
i1
Q)

::::I

The 'ACT2155 was designed to be used as the tag comparator and data RAM necessary to provide a cache that
meets the MC68030 internal cache burst fill requirement by supplying 4 long words to the processor in 4 or 5
clock cycles. In addition the 'ACT2155 provides two match outputs that can be tied directly to the BERR and
HALT inputs of the 68030. Even though the 'ACT2155 is designed for use with the 68030 processor it can also
be used with other processors to implement write-through or copy-back class caches.

Q.

s:

C:;'

a
C')

o::::I

r+

2-

CD
ii1

4-68

5

G)

(=)

FMHB

c
:;

COMP7

G)

:c

»

RESET

s:

BCR

.~

CBACK

R

MUX

C8REO

:>Cl

STERM

61
BAl

1

~

CJ)

.L..H
-L-

Ml [COUNT)

.,

r

r---i2.3D

§....
U1
U1

r-f-- 1

;} A

7

,.

~1

~

~

2 3D
•

2~'

10

M2 [LOAD)

r

...,Z

f-H~

r--

CTRDIV4
1 +/C3

0

R
BAO

lS

PCLK

-=0

RAM 2K x 9

....-

~:O-- }p

D,- }a ~[!h
-

lD

.--

lD

r-'

lD

---

DO-D6
D7

7)(1
I

1

~

7

'1

...

I

2'

~

,,,,"

PARITY
GENERATOR

s

-

8

EN

QH

PE

"---

8
8xl

'V

.,

00-07

~

(!)

3

--

J

~

~I-- 1----1

9

,
,

MAT8E

~

2k

Al

7

G)

(=)

'"'---

7

PARITY
CHECKER

INPUT
BUFFERS

<:

m
r
0

P-O

AO

A2-Al0

MATHA

7

7X

~

en
=i

"C"i5'M'P

o

-<

'\

~

II)

:J
II)

w

co

;::q~'--

(!)

3
(!)
~

~

f-

OE

"oc.

~_ _J"'\

0>

co

Microprocessors and Microcontrollers

II

c:
!l
1/1

Memory Management Products

SN74ACT2163 and SN74ACT2164 16K x 5 Cache Address Comparator
KEY FEATURES
• Fast Address to Match Delay 20 ns and 25 ns MAX
• Common I/O with Read Feature
• On-Chip Address/Data Comparator
• Easily Expanded in Depth and Width

DESCRIPTION

II
'.4

The 'ACT2163 and f\CT2164 cache address comparators consist of a high-speed 16K x 5 static RAM array
and a 5-bit high-speed comparator. They are fabricated using advanced silicon gate CMOS technology for high
speed and simple interface with bipolar TTL circuits. These cache address comparators are easily cascadable
for wider tag addresses or deeper tag memories. -Significant reductions in cache memory component count,
board area, and power dissipation can be achieved with these devices. The 'ACT2163 has a totem-pole
MATCH output while the 'ACT2164 has an open-drain MATCH output for easy AND-tying.
When S is low and Wand R is high, the cache address comparator compares the contents of the memory
location addressed by AO-A13 with the data DO-D4. An equality is indicated by a high level on the MATCH
output. During a write cycle (S and W low), data on DO-D4 is written in the 5-bit memory addressed by
AO-Al3.
A read mode is provided with the 'ACT2163 and 'ACT2164 which allows the contents of RAM to be read at the
DO-D4 pins. The read mode is selected when Rand S is low, and W is high.
A reset input is provided for initialization. When RESET is taken low, all 16K x 5 RAM locations are cleared
to zero and the MATCH output is forced high. If an input data word of zero is compared to any memory location
that has not been written into since reset, MATCH will be high indicating that input data is equal to reset
memory location. By tying a single data input pin high this bit will function as a valid bit and a match will not
occur unless data has been written into the addressed memory location.

4-70

Memory Management Products

FUNCTIONAL BLOCK DIAGRAM (POSITIVE LOGIC)

RST_3_2________~----_a

R

2

II

5

RAM
16K x 5

5
AO·A13

R

_5._8_._10_-_12_._1_4._2_0-H_+-_---.;1;....;4~----_I

o}
13

~

COMP

~

E
+-'

A __0_
16383

C

o

r------lC1

(,)

e
(,)

31
DO
30
01
28
02
27
03
26
04

~
"C
C
CO

~

o
~
Q)

5

5
W

4

(,)

ec.
e

3

(,)

~

SN74ACT2163
4-71

Memory Management Products

SN74AS632 and SN74ALS632B Error Detection and Correction Circuits
KEY FEATURES
• Detects and Corrects Single-Bit Errors
• Detects and Flags Dual-Bit Errors
• Built-In Diagnostic Capability
• Fast Write and Read Cycle Processing Times
• Byte-Write Capability
• Dependable Texas Instruments Quality and Reliability

DESCRIPTION

II

The 'AS632 and 'ALS632B devices are 32-bit parallel error detection and correction circuits (EDACs). The
.
'ALS632B is a low power version of the 'AS632.

~

The EDACs use a modified Hamming code to generate a 7-bit check word from a 32-bit data word. This check
word is stored along with the data word during the memory cycle. During the memory read cycle, the 39-bit
words from memory are processed by the EDACs to determine if errors have occurred in memory.

'C

a

Single-bit errors in the 32-bit data word are flagged and corrected.

CD

Single-bit errors in the 7-bit check word are flagged, and the CPU sends the EDAC through the correction cycle
even though the 32-bit data word is not in error. The correction cycle will simply pass along the original 32-bit
data word in this case and produce error syndrome bits to pinpoint the error-generating location.

n

a
n
In
In

o
iil
Q)

:;:,

c.

s:C:;'
a
n
o

Dual-bit errors are flagged but not corrected. These errors may occur in any two bits of the 39-bit data word
from memory (two errors in the 32-bit data word, two errors in the 7-bit check word, or one error in each word).
The gross-error condition of all lows or all highs from memory will be detected. Otherwise, errors in three or
more bits of the 39-bit word are beyond the capabilities of these devices to detect.

:;:,

r+

2-

m

Read-modify-write (byte-control) operations can be performed with the 'AS632 and 'ALS632B by using
output latch enable, LEDBO, and the individual OEBO through OEB 3 byte.

iil

4-72

Memory Management Products

,ALS632 AND' AS632 LOGIC DIAGRAM (POSITIVE LOGIC)

ceo

II
~

.!!

e
....
c

ou

e

u

~

'ALS634 AND 'AS634 LOGIC DIAGRAM (POSITIVE LOGIC)

"'C

C
CO

~

o

In
In

(1)

U

e

c.

eu

~

4-73

Memory Management Products

MEMORY MAPPERS
FUNCTION
• Expand 4 Address Lines to
12 Address Lines
• Designed for Paged Memory
Mapping

II

4-74

DESCRIPTION

OUTPUT

TYPE

DOCUMENT

Memory Mappers

3-State

SN74LS612

SDLDOO1A

Memory Mappers
with Output
Latches

3-State

SN74LS610

SDLDOO1A

Bus Interface

BUS INTERFACE
GENERAL
SN74BCT2423 and SN74BCT2424 Bus Transceivers
FEATURES
• Multiplexed Real-Time and Latched Data
• Byte Control for Byte-Write Applications
• Useful in NuBus ™ Interface Applications
• Useful in Memory Interleave Applications
• BiCMOS Design Substantially Reduces Standby Current
• Operating Temperature Range: O°C to 70°C

II

• Dependable Texas Instruments Quality and Reliability

DESCRIPTION
The 'BCT2423 and 'BCT2423 are general-purpose 16-bit bidirectional transceivers with data storage latches
and byte control circuitry arranged for use in applications where two separate data paths must be multiplexed
onto, or demultiplexed from, a single data path. Typical applications includes multiplexing and/or demultiplexing of address and data information in microprocessor- or bus-interface applications. These devices are
particularly useful for memory interleaving applications. The 'BCT2423 and 'BCT2424 offer inverted and
noninverted data paths, respectively.
The 'BCT2423 and 'BCT2424 were designed by using Texas Instruments BiCMOS process, which features
bipolar drive characteristics, but also greatly reduces the standby power of the device when disabled. This is
valuable when the device is not performing an address or data transfer.
Three 16-bit I/O ports are available for address and/or data transfer. Control signals ~hrough these ports allow
byte-control of the most significant byte and least significant byte for each bus.

NuBus is a trademark of Texas Instruments Incorporated.

4-75

Bus Interface

NuBus INTERFACE
SN74BCT2420 NuBus Address/Data Transceivers and Registers
FEATURES
• Designed for NuBus Interface Applications
• Conforms to ANSI/IEEE Std 1196-1987
• On-Chip Comparator Provides Slot Identification
• Multiplexed Real-Time and Latched Address/Data
• Designed to Operate with SN74ACT2440 NuBus Controller
• BiCMOS Design Substantially Reduces Standby Current
• Operating Temperature Range: O°C to 70°C

II
~

Ci'

a
an

'C
CD

(/)

(/)

o
Cil

Q)

DESCRIPTION
The 'BCT2420 consists of bus transceiver circuits, D-type flip-flops, latches, and control circuitry arranged
for multiplexed transmission of address and data information in NuBus applications. An on-chip comparator
has been included to detect when a NuBus transfer cycle is requesting the local board. The device is easily
configured around ASIC or other PAL®-based controllers.
The 'BCT2420 was designed by using Texas Instruments BiCMOS process, which features bipolar drive
characteristics and also greatly reduces the standby power of the device when disabled. This is valuable when
the device is not performing a NuBus transaction. In typical NuBus applications, two devices are required in
order to provide the full 32-bit address/data path.

::J
Co

~

Ci'

an
o

::J

r+

9..

m
Cil

4-76

Bus Interface

SN74ACT2440 NuBus Interface Controller
FEATURES
• Designed for NuB us Interface Applications
• Conforms to ANSI/IEEE Std 1196-1987
• Designed to Operate with SN74ACT2420 NuBus Data/Address Interface Devices
• Supports Master, Slave, and Master/Slave Applications
• EPIC™ (Enhanced Performance Implanted CMOS I-f.1m Process
• Fully TIL-Co'mpatible
• Operating Temperature Range: O°C to 70°C

DESCRIPTION
The 'ACT2440 NuBus Controller handles NuB us signaling protocol in compliance with ANSI/IEEE Std
1196-1987. The device allows a simple connection to the NuBus; typical configurations include master-only,
slave-only, and master/slave. Additionally, it provides extra status and control lines to facilitate more
sophisticated approaches. While NuBus block transfers are not directly supported by this device, this controller
may be used in applications where block transfers are controlled by external logic.
Data and address buffering is handled via two 'BCT2420s. The 'BCT2420s are BiCMOS buffers designed
specifically for supporting NuBus interfacing. The 'ACT2440 provides the buffer control signals needed to
directly drive the 'BCT2420s; however, in simpler applications, standard SSI and MSI buffers may be used in
place of the 'BCT2420s.
The 'ACT2440 includes five major signal groups: byte decode signals, data/address interface-control signals,
master/slave input signals, NuBus card-slot signals, and NuBus status signals. Byte decode determines which
type of NuBus cycle is being performed. Data/address interface control provides the buffering signals required
to multiplex and de-multiplex the NuBus data/address lines. The master/slave inputs control the master- and
slave-state machines. The NuBus card-slot signals provide for interface with the NuBus. The NuBus status
signals indicate the status of the master/slave-state machines and provide buffered NuBus signals.

II
~

e.c
..9:!
o

u

e
u

~

"C
C

co
~

oIn
In

Q)

U

e
c.

e

u

~

4-77

Bus Interface

SN74ALS2442 NuBus Block Slave Address Generator
FEATURES
• Designed to Support NuBus Block Slave Address Generation as Defined by ANSI/IEEE Std 1196-1987
• Interfaces Directly with Texas Instruments 'ACT2440 (NuBus Controller) and 'BCT2420 (NuBus
Transceivers)
• Operating Temperature Range: O°C to 70°C

DESCRIPTION
A common method for improving NuBus transfer performance is through block transfers. A block transfer is a
read or write transaction in which multiple data values are transferred during each Nubus ownership sequence.
The IEEE specification for NuBus allows block transfers in lengths of 2,4, 8, or 16 words. For additional
details, consult the Application Report on NuBus block slave transfers.

II

4-78

Introduction

MILITARY PRODUCTS
The Texas Instruments Military program offers high-reliability integrated circuits covering a.wide product
spectrum. It is designed to meet and support semiconductor requirements of the military end-equipment
manufacturers and users who require high-reliability integrated circuits.
Processing per the requirements of MIL-M-38510 and specified methods in MIL-STD-883 provides the user
with a broad selection of high-quality, high reliability, standard products. Standard process flows include
JM38510, DESC Military Drawings, and JEDEC Publication 101 Class B (SNJ, JBP, SMJ, B). These flows are
backed by a comprehensive Quality Conformance program summarized annually in the Military Products
Reliability report.
Texas Instruments is in full support of government and industry standardization programs. To this end, a wide
range of products is available processed per the above standard flows. Particular emphasis is being placed on
both JM38510 and DESC Military Drawings.
In Europe, Texas Instruments manufactures and supplies high reliability integrated circuits for space and
strategic military applications under the European Space Agency (ESA)/Space Component Committee (SCC)
specification system.
Contents

Page

Overview .......................................................................... 5-2
Military Product Flows ................................................................ 5-2
Logic Nomenclature .................................................................. 5-2
Programmable Array Logic Nomenclature ................................................. 5-3
Linear Control Circuits Nomenclature .................................................... 5-4
LinCMOS Op Amp Nomenclature ....................................................... 5-4
Interface Circuits Nomenclature ........................................................ 5-5
Bipolar PROM Nomenclature ........................................................... 5-6
MOS DRAM Nomenclature ............................................................ 5-7
Digital Signal Processor Nomenclature ................................................... 5-7
CMOS EPROM Nomenclature .......................................................... 5-8
CMOS SRAM Nomenclature ........................................................... 5-8
Packages ........................................................................... 5-9
JM38510 Part Numbers .............................................................. 5-10
JM38510 Integrated Circuits and Generic Type Cross Reference .............................. 5-11
Generic Type and JM38510 Device Cross-Reference Guide .................................. 5-30
DESC Standard Drawings ............................................................ 5-46
DESC Cross-Reference Guide ......................................................... 5-47
European Military and Space Specifications .............................................. 5-69
European Military Specifications ................................................... 5-69
European Space Products ......................................................... 5-80

5-1

Introduction

OVERVIEW
PRODUCT LINE

PROCESSING FLOWS

Logic

SN, SNJ, JM38510

TYPES

B

PAL!!Il

Linear

SN, SNJ, B, JM38510

Interface, Control, Data Acquisition

MOS Memory

SMJ

EPROM, DRAM, SRAM

Bipolar Memory

JBP, SNJ

PROM, FIFO

Microprocessor

SMJ

32010, 320XXX, 340XXX

54TTL
54S
54LS
54ALS
54AS

54HC
54HCT
54AC
54ACT
54BCT

54F

PAL!!Il is a registered trademark of Monolithic Memories, Inc.

MILITARY PRODUCT FLOWS

II
~

;:;:
D)

-<"'C

PROCESS LEVEL

PREFIX

JM38510 Class B

JM385101
XXXXXBXA
JM38510/XXX

Qualified per MIL-M-38510 Class B. Produced in DESC certified production facilities.

JM38510 Class S

JM385101
XXXXXSXA

Qualified per MIL-M-38510 Class S. Produced in DESC certified production facilities.

DESC

DESC Part
Number

Certified and symbolized to the DESC Military Drawing where TI is an approved source.

Class B

SNJ,B,
SMJ,JBP

Screened per requirements of MIL-STD-883 Class B Method 5004. Conforms to the requirements
of JEDEC Publication 101. (For detailed screening information, see Military Products Designers
Reference Guide (SGYZOOl B).)

Military
Temperature
Range

SN54

Standard Commercial Processing

ac.

LOGIC NOMENCLATURE

Iri

Prefix

cC")

DESCRIPTION

Example:
SN
SNJ
JANB

SNJ

------------------------------~/
= Standard Prefix, Commercial Processing

= Class B Process Option
= JM38510 Qualified

Military Temperature Range - - - - - - - - - - - - - - - - - '
54

= - 55°C to 125°C

Circuit Designator _______________________J
Blank
LS
S
ALS
AS
HC
HCT
AC
ACT
BCT
F

= Standard TTL
= Low-Power Schottky TTL

= Schottky TTL

= Advanced Low-Power Schottky TTL
= Advanced Schottky TTL
= High-Speed CMOS
= TTL-Compatible HCMOS
= Advanced High-Speed CMOS

= TTL-Compatible AC

= BiCMOS
= Fast

Package
J
JT

5-2

= C-DIP
= 24-Pin, 300-mil C-DIP

FK
W

= LCCC
= Flatpack

54

LSOO

J

Programmable Array Logic Nomenclature

PROGRAMMABLE ARRAY LOGIC NOMENCLATURE
PAL® Nomenclature (Industry Leadership Part Types)
TIB

PAL

R

R

16

-20

8

M

J

B

I

I

[ Processing
Class B Processing Flow

STD TI Bipolar LeadershiP]
PAL® Circuit Designator
Product Family Designator

Package
J
= C-DIP
JT
= 24-pin, 300-mil C-DIP
FK
= LCCC
W
= Flatpack

Input Register Type - - - - -.....
Blank
= No Input Register
R
= D-Type Register
= Transparent Latch
T
Number of Array Inputs

Temperature Range
M
= -55°C to 125°C

-------I

Output Configuration Designator
L
= Active Low
R
= Registered
= Exclusive OR
X

' - - - - Performance Designator
Access Time in ns

------I

&...----- Number of Outputs in
Designated Configuration

PAL - Registered trademark of Monolithic Memories Inc.

PAL® Nomenclature (Industry Standard Part Types)
PAL

PAL Circuit

Des~gnator

J

16

]

Number of Array Inputs

R

8

Output Type Designator
R
= Registered
L
= Active Low
Number of Outputs _ _ _ _ _ _...J
In Designated
Configuration

A·2

M

J

B

I
[ Processing
Class B Processing Flow
Package
J
JT
FK

= C-DIP

= 300-mil C-DIP

= LCCC

Temperature Range
M
= -55°C to 125°C
'---Speed/Power Option
A
= STD Power Fast Speed
= Half Power Fast Speed
A-2

5-3

II

Linear Control Circuits and LinCMOS Op Amp Nomenclature

LINEAR CONTROL CIRCUITS NOMENCLATURE
TL

Example:

M

074

--JI

J

B

Prefix _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _
TL
TLC

= Linear
= LinCMOS

Second Source Prefix
= National
LM
MC
= Motorola
RM
= Raytheon

SE

= Signetics
= Silicon General
= Fairchild

SG
~

------------1

Unique Device Designation
Possibly with A or B in Last Position

Military Temperature Range _ _ _ _ _ _ _ _ _ _ _ _ _ _---1
- 55°C to 125°C
Package Designation _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _- - - 1
FK

IJt

G

3:_.

s:

= LCCC
= 14/16-Pin C-DIP

LD

= 8-Pin C-DIP

W

= T046 Metal Can
= 10-Lead Flatpack
= 14-Lead Flatpack

U

= T0991T0100 Metal Can

Processing

= Class B
No Letter = STD
B

-<."

a
g.

a

LinCMOS OP AMP NOMENCLATURE

::~P~UC

M

4

A

J

M

27

[
TLC

B

proceSSin~

B

= Class B
No Letter = STD

= LinCMOS

Circuit Designation
Package Designation
FK
= LCCC
J
= 14/16-Pin C-DIP
JG
= 8-Pin C-DIP
L
= T0991T0100 Metal
Can
LD
= T046 Metal Can

Bias Current _ _ _ _ _ _ _ _---J
L
= Low
M
= Medium
No Letter = High
Device Complexity - - - - - - - - - - - - '
1
= Single
2
= Dual
= Quad
4

Military Temperature Range
-55°C to 125°C
L--_ _ _ _

Input Offset Voltage
= 5mV
B
= 2mV
No Letter = 10 mV

A

5-4

Interface Circuits Nomenclature

INTERFACE CIRCUITS NOMENCLATURE
Example:

SNJ

55

109A

J

---JI

Prefix _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _
SN
SNJ

= Standard

= Class B Processing

Second Source Prefix
AM
= AMD
OS
= National
MC
= Motorola
Operating Temperature Range _ _ _ _ _ _ _- - J
55
= Military: -55°C to 125°C
95
= Limited Military - Check Data Sheet For Temperature Range

-----------------1

Unique Device Designation
Possibly with A or B in Last Position

Package Designation - - - - - - - - - - - - - - - - - - - - - '
FK
= LCCC
J
= 14/16-Pin C-DIP
JG
= 8-Pin C-DIP
W
= 14-Lead Flatpack

5-5

Bipolar PROM Nomenclature

BIPOLAR PROM NOMENCLATURE
These two digits comprise the series designation
,.-A-..

Example:

JBP

2 8

5

4

prefiX~

JBP

M

2

[paCkage

= Class B Processing,

Generic Programming Family

lEI

L...-_ _

= Single Level
=
=

Double Level
Oxide Isolated
= Other

I
= Ceramic Dual-in-Line

J/JT
FKlFG

JEDEC Publication 101

1
2
3
4

J

= Ceramic Chip Carrier

Temperature Range
M

1---_ _ _

=

-55°C to 125°C

Package Size*
Row Spacing in
Inches (mm)

Output Word Width
40r8

No. of
Pins

0.300
(7,62)

16
18
20
22
24
28
40

0
1
2
3
5

0.400
(10,16)

0.600
(15,24)

Output Type - - - - - - - - - - - - '
S
L
R
SA

= Standard Three-State

= Low-Power Three-State

= Registered Three-State
= Standard Open-Collector

Bit Complexity - - - - - - - - - - - - 1
03
l'
2
4
8
16
32

5-6

= 256 Bits
= 1,024 Bits
= 2,048 Bits

= 4,096 Bits
= 8,192 Bits
= 16,384 Bits
= 32,768 Bits

4
6
7

8

*Package-size designation is not applicable
with chip carrier (FK or FG) and should be
replaced with the letter X for this package
type.

MOS DRAM and Digital Signal Processor Nomenclature

MOS DRAM MEMORY NOMENCLATURE

Example:
Prefix
SM
SMJ

SMJ

-15

4164

S

JD

--------------------~/
=

Standard Prefix, Commercial Processing

= Class B Processing, JEDEC Publication 101

Circuit Designator
Must contain four characters
Access Time _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _- J
Device types are available with various speeds
Package - - - - - - - - - - - - - -____________- - J
Must contain one or two letters: J, JO, FG, HJ, FO, HK
Temperature Range _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _- - J
Must contain one letter only
M
= -55° to 125°C
S
= -55° to 100°C (except 4164 & 4256 which are -55°C to 110°C)
E
= -40° to 85°C
L
= 0° to 70°C

III

DIGITAL SIGNAL PROCESSOR NOMENCLATURE

SMJ

320

10

JD

S

Prefix - - - - - - - - - - - - - - - - - - - - - - ' /
·SM
= Standard Prefix, Commercial Processing
= Class B Processing
SMJ
Family Designator _______________..J
320
340

=
=

DSP
Graphics System Processor

Family Member/Generation Designator --------------'
1X
= First Generation
2X
= Second Generation
3X
= Third Generation
Package _________________________- J
JO
FO
FJ

=

Side-Brazed DIP

= LCCC

=

"J" Formed LOCC

Temperature Range - - - - - - - - - - - - - - - - - - - - - - - - '
L
= O°C to 70°C
S
= -55°C to 110°C
M
= -55°C to 125°C
5-7

CMOS EPROM and CMOS SRAM Nomenclature

CMOS EPROM NOMENCLATURE

j

SMJ
Prefix
/
Denotes an 883
Class B
Processed Device

27

20

512

C

J

M

EPROM Designator
CMOS _ _ _ _ _ _- - J
Density _ _ _ _ _ _ _ _ _..J
Speed _ _ _ _ _ _ _ _ _ _ _ _---J
Package Designator _ _ _ _ _ _ _ _ _ _- J
J = C-DIP
Temperature Range of Operation _ _ _ _ _ _ _- - J
M = -55°C to 125°C

III

CMOS SRAM NOMENCLATURE

~

j

SMJ

~

~

Prefix
Denotes an 883
Class B
Processed Device

"g

a

ag.

6

8

C

E

16

SRAM Designator
Organization - - - - - '
CMOS Designator _ _ _- - . I
Option Designator _ _ _ _ _..J
D = Separate liD
E = Output Enable
Density _ _ _ _ _ _ _ _ _- - J
Power Designator - - - - - - - - - - '
L or LA = Low Power
S or SA = Standard Power
Speed _ _ _ _ _ _ _ _ _ _ _ _ _ _- J
Package - - - - - - - - - - - - - - - - '
JD = Side Brazed C-Dip
FG = Rectangular LCCC: JEDEC Pinouts
FE = Rectangular LCCC: Non-JEDEC Pinouts
FD = Square LCCC: Non-JEDEC Pinouts
Temperature Range _ _ _ _ _ _ _ _ _ _ _ _- . 1
M = -55°C to 125°C

5-8

L

25

JD

M

Packages

PACKAGES
The packages offered by Military products are designed to provide the most efficient and cost-effective method
of meeting systems requirements. Products are offered in ceramic dual-in-line packages, flatpacks, and
leadless ceramic chip carriers.

PACKAGES AVAILABLE
DESCRIPTION

PACKAGE
FD

Three-Layer Square Chip Carrier: Non-JEDEC Pinouts

FE

Three-Layer Rectangular Chip Carrier: Non-JEDEC Pinouts

FG,FV

Three-Layer Rectangular Chip Carrier: JEDEC Pinouts

FJ

J Formed Ceramic Leaded Chip Carrier

FK

Three-Layer Square Chip Carrier: JEDEP Pinouts

FQ

LCCC Version of the HJ Package

GB

Pin Grid Array

HJ

J Formed Ceramic SO Package

HK

Flatpack Version of the HJ Package

J,JG,JT

Ceramic DIP

JD

Side Braze Ceramic DIP

L

T099/T0100 Metal Can

LD

T046 Metal Can

W,WA,WC,U

Ceramic Flatpack (Note 1)

STANDARD PACKAGES BY PRODUCT LINE
PACKAGE

LOGIC

LINEAR

BIPOLAR
MEMORY

•

FD

•

•

FJ

•

ALS, AS, HC, HCT, LS, S
AC, ACT, BCT, F

•

•

ALL

•

•

•
•

GB

•

HJ, FQ, HK
J
JD

JT

ALS, AS, HC, HCT, LS,
AC, ACT, BCT, F

W

ALL

U,WC

•
•

•
•

•

JG

L, LD

MICROPROCESSOR

•

FE, FG, FV

FK

MOS
MEMORY

•
•
•

•

NOTE 1: The 24-pin flatpack (WC) dimensions may vary from F-6 outline in Appendix C of MIL-M-38510F. Refer to appropriate TI data book.
NOTE 2: AC, ACT, BCT, and F are all new logic families.

5-9

JM38510 Integrated Circuits and Generic Type Cross-Reference

JM38510
Texas Instruments devices marked JM38510, and presented in Bold type, are qualified and
have government endorsement under MIL-M-3851 O. These products are in full compliance with
the military detail specifications and are listed on the Qualified Products List (QPl). They are
produced in DESC certified production facilities. This section contains cross references for both
Class B and Class S qualifications.

PART NUMBER CROSS-REFERENCE GUIDE
Example: 5400 TTL NAND gate in ceramic dual-in-line package to JM3851 0 Class B with
standard solder dipped leads.

M ILITARY PART NO.1
oRDER AS:

JM385101

III

0-2
F-5

G
H

A-1
F-4

I
J

A-2
0-3

DEVICE
CLASS

DEVICE
PACKAGE

DEVICE t
LEAD
FINISH

I

I

I

I

00104

I

B

I

S

I

I

I

C

I

kl:

I

CASE OUTLINE
TI
JAN 38510
CODE CODE APP/C
DESCRIPTION
K
F-6
24-pin F/P
NA
3/8" x 5/8"
L
0-9 24-pin C DIP
1300 mil)
WA
J
M
A-3 12-pin can (TO-101)
0-4 8-pin C-DIP
P
Q
1/4"x3/8"
W
0-5 40-pin C-DIP
16-pin C-DIP
J
R
0-8 20-pin C-OIP
16-pin F/P
S
F-9
20-pin F/P
1/4" x 3/8"
1/4" x 1/2"
W
a-pin can (TO-99)
NA
V
0-6 18-pin C-OIP
10-pin F/P
0-7 22-pin C-OIP
W
1/4" x 1/4"
NA
2
C-2 20-pad Sq
10-pin can (TO-100) NA
Chip Carrier
C-4 28-pad Sq
24-pin C-DIP
J
3
Chip Carrier

JAN 38510
CODE APP/C
DESCRIPTION
F-1
14-pin F/P
A
1/4" x 1/4"
B
F-3
14-pin F/P
3/16" x 1/4"
0-1
14-pin C-DIP
C
0
F-2
14-pin F/P
E
F

DEVICE
TYPE

TI
CODE
W(1)
JT
NA
JG
NA
J
W
NA
NA
FD/FK
FD/FK

t Solder dip lead finish normally supplied by TI.
+Lead finish designators: A = solder tip, B = tin plate, C ~ gold plate.
NOTE 1: 24-pin flatpack (w, we, RA) dimensions may vary from F-6 outline in Appendix
MIL-M-38510H. Refer to appropriate TI data book.

5-10

e

of

JM38510 Integrated Circuits and Generic Type Cross-Reference

JM38510 INTEGRATED CIRCUITS AND GENERIC TYPE
CROSS-REFERENCE
Military
Device Type
JM38510/

Generic/
Industry no.

Military
Device Type
JM38510/

Generic/
Industry no.

00101
00102
00103
00104
00105
00106
00107
00108
00109

5430
5420
5410
5400
5404
5412
5401
5405
5403

00905
00906

54194
54195

00201
00202
00203
00204
00205
00206
00207

5472
5473
54107
5476
5474
5470
5479

01001
01002
01003
01004
01005
01006
01007
01008
01009

5442
5443
5444
5445
54145
5446
5447
5448
5449

01101
01101
01102
01102

54181
9341
54182
9342

00301
00302
00303

5440
5437
5438

00401
00402
00403
00404

5402
5423
5425
5427

01201
01202
01203
01204
01205

54121
54122
54123
9601
9602

00501
00502
00503
00504

5450
5451
5453
5454

00601
00602
00603
00604

5482
5483
9304
5480

01301
01302
01303
01304
01305
01306
01307
01308
01309

5492
5493
54160
54163
54162
54161
5490
54192
54193

00701

5486

00801
00802
00803
00804
00805

5406
5416
5407
5417
5426

01401
01402
01403
01404
01405
01405
01406

54150
9312
54153
9309
9322
54157
54151

00901
00902
00903
00904

5495
5496
54164
54165

01501
01502
01503
01503
01504

5475
5477
9308
54116
9314

01601

5408

II
....CJ
f/)

:s

.
.

"'C
0
C.

>

co
~

~

5-11

JM38510 Integrated Circuits and Generic Type Cross-Reference

JM38510 INTEGRATED CIRCUITS AND GENERIC TYPE
CROSS-REFERENCE
Military
Device Type
JM38510!

II
~

;:;
Q)

-<."

ac.
c
n
...en

Generic!
Industry no.

01602

5409

01701
01702

54174
54175

01801

54170

01901

54180

02001
02002
02003
02004
02005
02006
02006

54L30
54L20
54L10
54LOO
54L04
54L03
54L01

02101
02102
02103
02104
02105

54L71
54L72
54L73
54L78
54L74

02201
02202

54H72
54H73

02203
02204
02205
02206

54H74
54H76
54H101
54H103

02301
02302
02303
02304
02305
02306
02307

54H30
54H20
54H10
54HOO
54H04
54H01
54H22

02401

54H40

02501
02502
02503
02504
02505

54L90
54L93
54L193
93L10
93L16

02601

54L86

02701

. 54L02

5-12 .

Military
Device Type
JM38510!

Generic!
Industry no.

02801
02802
02803
02804
02805

54L95
54L164
93L28
93LOO
76L70

02901
02902
02903
02904
02905
02906
02907

54L42
54L43
54L44
54L46
54L47
76L42A
93L01

03001
03002
03002
03003
03004
03005

930
940
935
936
946
962

03101
03102
03103
03104
03105

932
944
957
958
933

03201

951

03301
03302
03303
03304

945
948
950
9093

03501

MH0026

04001
04002
04003
04004
04005

54H50
54H51
54H53
54H54
54H55

04101
04102
04103

54L51
54L54
54L55

04201
04202

54L121
54L122

JM38510 Integrated Circuits and Generic Type Cross-Reference

JM38510 INTEGRATED CIRCUITS AND GENERIC TYPE
CROSS-REFERENCE
Military
Device Type
JM38510/

Generic/
Industry no.

04301

93L18

04401

93L24

04501
04502

93L14
93L08

04601
04602
04603

93L09
93L12
93L22

05001
05002
05003
05051
05052
05053

4011A
4012A
4023A
4011B
4012B
4023B

05101
05102
05103
05151
05152
05153

4013A
4027A
4043A
4013B
4027B
4043B

05201
05202
05203
05204
05251
05252
05253
05254

4000A
4001A
4002A
4025A
4000B
4001B
4002B
4025B

05301
05302
05303
05304
05351
05352
05353
05354

4007A
4019A
4030A
4048A
4007UB
4019B
4030B
4048B

05401
05451

4008A
4008B

05501
05502

4009A
4010A

Military
Device Type
JM38510/

Generic/
Industry no.

05503
05504
05505
05551
05552
05553
05554
05555

4049A
4050A
4041A
4009UB
4010B
4049UB
4050B
4041 UB

05601
05602
05603
05604
05605
05651
05652
05653
05654
05655

4017A
4018A
4020A
4022A
4024A
4017B
4018B
4020B
4022B
4024B

II
....tn

(,)

:J

"C

05701
05702
05703
05704
05705
05706
05751
05752
05753
05754
05755
05756

4006A
4014A
4015A
4021A
4031A
4034A
4006B
4014B
4015B
4021B
4031B
4034B

05801
05802
05851
05852

4016A
4066A
4016B
4066B

05901
05951

4028A
4028B

06001
06002
06003
06004
06005
06006

10501
10502
10505
10506
10507
10509

e

0-

...>

co
~

~

5-13

JM38510 Integrated Circuits and Generic Type Cross-Reference

JM38510 INTEGRATED CIRCUITS AND GENERIC TYPE
CROSS·REFERENCE
Military
Device Type
JM38510/

Generic/
Industry no.

Military
Device Type
JM38510/

Generic/
Industry no.

06101
06102
06103
06104

10531
10631
10576
10535

07904
07905
07906
07907
07908

545158
545251
545257
545258
545253

06201
06202

10504
10597

08001
08003

54511
54508

06301
06302

10524
10525

08101

545140

07001
07002
07003
07004
07005
07006
07007
07008
07009

54500
54503
54504
54505
54510
54520
54522
54530
545133

08201

54585

10101
10102
10103
10104
10105
10106
10107
10108

741A
747A
LM101A
LM108A
LH2101A
LH2108A
LM118
1558

07101
07102
07103
07104
07105
07106

54574
545112
545113
545114
545174
545175

10201

LM723

10301
10302
10303
10304
10305

710
711
LM106
LM111
LH2111

07201

54540

07301

54502

07401
07402

54551
54564

07501
07502

54586
545135

07601
07602

545194
545195

10401
10402
10403
10403
10404
10404
10405
10406
10407

55107A
55108A
55114
9614
55115
9615
55113
7831
7832

07701
07702

545138
545139

07801
07802

545181
545182

07901
07902
07903

545151
545153
545157

10501
10502
10503
10504
10505
10506
10507
10508

5040
5041
5042
5043
5044
5045
5046
5047

10601

LM102

II
~

s:
.,
0)

<

."

ac.
cC")

....

(Jl

5-14

JM38510 Integrated Circuits and Generic Type Cross-Reference

JM38510 INTEGRATED CIRCUITS AND GENERIC TYPE
CROSS-REFERENCE
Military
Device Type
JM38510/

Generic/
Industry no.

Military
Device Type
JM38510/

Generic/
Industry no.

10602
10603

LM110
LH2110

11405
11406

LF156A
LF157A

10701
10702
10703
10704
10705
10706
10706
10707
10707
10708
10708
10709
10709

LM109
78M05
78M12
78M15
78M24
LM140K-05
7805
LM140K-12
7812
LM140K-15
7815
LM140K-24
7824

10801
10802

3018A
3045

11501
11501
11502
11502
11503
11503
11504
11504
11505
11505
11506
11506
11507
11507
11508
11508

LM120H-05
79M05
LM120H-12
79M12
LM120H-15
79M15
LM120H-24
79M24
LM120K-05
7905
LM120K-12
7912
LM120K-15
7915
LM120K-24
7924

10901
10902
10903

555
556
557 (I SOURCE = 60 rnA)

11601
11602
11603
11604
11605
11606
11607
11608

300
301
302
303
304
305
306
307

11701
11702
11703
11704
11705
11706

78MG
78G
LM117H
LM117K
LM150K
LM138K

11801
11802
11803
11804

79MG
79G
LM137H
LM137K

11901
11902
11903
11904
11904
11904

061
062
064
071
771
LF151

11001
11002
11003
11004
11005

LM148
LM149
4741,4156
4136
LM124

11101
11102
11103
11104
11105
11106
11107
11108

DG181A
DG182A
DG184A
DG185A
DG187A
DG188A
DG190A
DG191A

11201
11202

LM139
LM193

11301
11302

DAC-08
DAC-08A

11401
11402
11403
11404

LF155
LF156
LF157
LF155A

II
UJ

+I

(,)

:::J
"C

e

c..

>

C'CJ

~

~

5-15

JM38510 Integrated Circuits and Generic Type Cross-Reference

JM38510 INTEGRATED CIRCUITS AND GENERIC TYPE
CROSS·REFERENCE
Military
Device Type
JM38510!

II
~

a:
C»

-<"U

a
c
n
a
Q.

5-16

Generic!
Industry no.

Military
Device Type
JM38510!

Generic!
Industry no.

12502

5537

12601

1524

12701
12702
12703
12704
12705
12706
12707

7523
7520
7521
7541
1020
1220
1218

12801
12802

584S
584T

12901
12902
12903
12904
12905
12906
12907
12908
12909
12910

55450
55451
55452
55453
55454
55460
55461
55462
55463
55464

13001
13002
13003

55325
55326
55327

2700
2600
2620

13101
13102

5534A
5532A

13301

561

12204
12205
12206

2500
2510
2520

13401

ADC571

12301
12302

200
201

12401
12402
12403
12404
12405
12406

LM199A
LM129A
REF10
LM199A
LM129A
REF10

13501
13502
13503
13504
13505

OP-07A
OP-07,714
OP-27A
OP-227A
OP-37A

13601
13601
13602
13602

2700
R675B-4
2702
R675B-3

12501

198

13701
13702

DAC87 (Hybrid)
DAC87 (Monolithic)

11905
11905
11905
11906
11906
11906

072
772
LF153
074
774
LF147

12001
12002
12003
12004
12005
12006
12007
12008
12009
12010
12011
12012
12013
12014
12015
12016

5200
5203
5201
5204
5202
5205
5206
5207
5210
5213
5211
5214
5212
5215
5216
5217

12101
12102
12103
12104

562
563
565
566

12201
12202
12203

JM38510

Integrated Circuits and Generic Type Cross-Reference

JM38510 INTEGRATED CIRCUITS AND GENERIC TYPE
CROSS-REFERENCE
Military
Device Type
JM38510/

Generic/
Industry no.

Military
Device Type
JM38510/

Generic/
Industry no.

13703

DAC87 (Hybrid)

13801
13802
13803

VFC32
VFC320
AD 650

15602
15603

54148
9318

15701

9338

15801
15802

9321
9317

13901
13902
13903

534T
534S
532S

15901
15902

9300
9328

14001
14002
14003
14004
14005
14006

574AU monolithic
574AT monolithic
574AU hybrid
574AT hybrid
674AU monolithic
674AT monolithic

16001

9334

16101

5432

16201

5428

14103

2003

16301
16302
16303
16304

54365
54366
54367
54368

14301

524S
AD558T

14801

TL431

40818
40828
40738

"C

14401

17001
17002
17003

15001
15002

5485
9324

17101
17102
17103

40718
40728
40758

is
~

15101
15102
15103

5413
5414
54132

15201
15201
15202
15203
15204
15205
15206
15206

54154
9311
54155
54156
8250
8251
8252
9301

17201
17202
17203
17204

40858
40868
40708
40778

17301
17302
17303
17304
17305

45148
45158
45328
45558
45568

15301
15302

54125
54126

17401
17402
17403
17404

4069U8
401078
45028
401098

15501
15502
15503
15504

54H08
54H11
54H21
54H08

15601

54147

17501
17502
17503
17504
17505

40768
40958
40968
40988
401748

II
....
I/)

Co)

::s

e

c..

c:co

5-17

JM38510 Integrated Circuits and Generic Type Cross-Reference

JM38510 INTEGRATED CIRCUITS AND GENERIC TYPE
CROSS·REFERENCE
Military
Device Type
JM38510/

II
~

s:

Q)

-<

a"
Q.

c(")

"'

(I)

5-18

Generic/
Industry no.

17601
17602

40998
45088

17701
17702

40938
401068

17801
17802
17803

40678
40978
402578

19001
19001
19002
19003
19003
19004
19005
19006
19007
19007
19008
19008

506
6116
506A
507
6216
507A
508A
509A
508
6108
509
6208

20101
20101

HPROM-0512
MCM5303

Military
Device Type
JM38510/

Generic/
Industry no.

20102

MCM5304

20201
20202

IM5603A
IM5623

20301
20301
20301
20301
20302
20302
20302
20302
20302
20401
20401
20401
20401
20402
20402
20402
20402

7610
5300-1
82S126
93417
7611
5301-1
54S287
82S129
93427
7620
5303-1
82S130
93436
7621
5306-1
82S131
93446

20601

7642

JM38510 Integrated Circuits and Generic Type Cross-Reference

JM38510 INTEGRATED CIRCUITS AND GENERIC TYPE
CROSS-REFERENCE
Military
Device Type
JM38510/

Generic/
Industry no.

20601
20601
20601
20602
20602
20602
20602
20603

5352-1
825136
93452
7643
5353-1
825137
93453
7644

20701
20701
20701
20702
20702
20702
20903
20904
20904
20904
20904
20904
20904
20904

7602
5330
82523
7603
5331
825123
93450
275181
775181
29631
7681
5381-2
825181
93451

Military
Device Type
JM38510/

Generic/
Industry no.

20905
20905
20906
20907
20908
20908

8252708
93461
93460
535840
535841
29651

21001
21001
21001
21001
21002
21002
21002
21002
21002
21002
21002
20801
20801
20801
20801
20802

775190
76160
5351680
825190
775191
93Z511
275191
28S166A
76161
5351681
825191
7640
5340-1
825140
93438
5341-1

II
....u

t/)

;j

"'C

e
...>
co

Q.

:!:

:E

5-19

JM38510 Integrated Circuits and Generic Type Cross-Reference

JM38510 INTEGRATED CIRCUITS AND GENERIC TYPE
CROSS·REFERENCE
Military
Device Type
JM38510!

Generic!
Industry no.

Military
Device Type*
JM38510!

20802
20802
20802
20802
20803
20804
20805

54S474
7641
82S141
93448
82S115
5348-1
5349-1

21003
21004
21004
21005

93Z510
93Z511
28S166A
76165

20901
20901
20901
20901
20902
20902
20902
20902
20902
20903
20903
20903
20903
20903
21002
21002

53S480
7684
77S184
82S184
29651
53S841
7685
77S185
82S185
27S180
77S180
7680
5380-2
82S180
3636
29681

21101
21102
21102
21102
21102

82HS321A
82HS321A
76321
53S3281
29671

21201
21201
21201
21202
21202
21202
21203
21203
21204
21204
21204
21901
22001

82HS641A-70
93Z665-70
93Z667-70
82HS641A-55
93Z665-55
93Z667-55
93Z665-50
93Z667-50
82HS641-45
93Z665-45
93Z667-45
6654
2708

II
~

s:

Q)

~

"a

..

Q.

c

C')

en

5-20

Generic!
Industry no.

JM38510 Integrated Circuits and Generic Type Cross-Reference

JM38510 INTEGRATED CIRCUITS AND GENERIC TYPE
CROSS-REFERENCE
Military
Device Type
JM385101

Genericl
Industry no.

22101

2716

22201

2532

22401
22402
22403

27256-250
27256-200
27256-170

22601

NMC2816

22701
22702
22703
22704

X2816A-45
X2816A-35
X2816A-30
X2816A-25

22801
22802
22803
22804
22805
22806
22807
22808
22809
22810
22811

X2864A-45
X2864A-35
X2864A-30
X2864A-25
X2864A-35
X2864A-25
2864-350
2864-250
2864H-250
5564-250
5564-250

23001
23002
23003
23004

93410
93421
93411
93L420

23101

93415
60 ns (tWHAC =
tAVWL = 15 ns)
93425
60 ns (tWHAC =
tAVWL = 15 ns)
93L415 70 ns
93L425 70 ns
93415
60 ns (tWHAC =
tAVWL = 10 ns)
93425
60 ns (tWHAC =
tAVWL = 10 ns)
9341545 ns
8251045 ns

23102

23103
23104
23105

23106

23107
23107

5 ns,

5 ns,

10 ns,

10 ns,

Military
Device Type*
JM38510!

Generic!
Industry no.

23108
23108
23109
23110
23111
23112
23113
23114
23115

93425A 45 ns
82511 45 ns
9341260 ns
9342260 ns
93L412 75 ns
93L422 75 ns
93L425A 50 ns
93422A 45 ns
93L422A 55 ns

23201

93419

23301
23302

93470
93471

23501
23502
23503
23504
23505
23506

TM54060 (85°C MAX)
TM54050 (85°C MAX)
TM54060 (100°C MAX)
TM54050 (100°C MAX)
MM5280 (85°C MAX)
MM5280 (100°C MAX)

23601
23602
23602
23603
23604
23604

MCM6605 (85°C MAX)
MCM6604A (85°C MAX)
MKB4096 (85°C MAX)
MCM6605 (100°C MAX)
MCM6604A (100°C MAX)
MKB4096 (100°C MAX)

23701
23702
23703
23703
23704
23704
23705
23706
23707
23707
23708
23708
23709
23710
23711
23711
23712
23712

AM9130CFC
AM9130AFC
AM9130CFM
AM9130CDM
AM9130ADM
AM9130AFM
AM91L30CF
AM91L30AF
AM91L30CFM
AM91L30CDM
AM91L30AFM
AM91L30ADM
AM9140CFC
AM9140AFC
AM9140CFM
AM9140CDM
AM9140AFM
AM9140ADM

II
....
U)

Co)
~

"C

e

5-21

Q.

~
co

:!:
~

JM38510 Integrated Circuits and Generic Type Cross-Reference

JM38510 INTEGRATED CIRCUITS AND GENERIC TYPE
CROSS-REFERENCE
Military
Device Type
JM38510/

Generic/
Industry no.

23713
23714
23715
23715
23716
23716

AM91L40CFC
AM91L40AFC
AM91L40CFM
AM91L40CDM
AM91L40AFM
AM91L40ADM

23801
23802
23803
23804
23805
23806
23807

2147
2114
2147H
2114A
2147H-3
2148H
2147H-2

23901
23901
23902
23902

6508
54C929
6518
54C930

<

24001

"...c.
0

24001

(")

24002

2117
(200 ns access time)
4116
(200 ns access time)
2117
(250 ns access time)
4116
(250 ns access time)
2117
(200 ns page mode
operation guaranteed)
4116
(200 ns page mode
operation guaranteed)

II
~
~

Q)

C

r+

en

24002
24003

24003

24401
24401
24401
24401
24402
24402
24402
24402
24403
24403
24403
24403
5-22

2164
4564
6665
8264
2164
4564
6665
8264
6665
4564
2164
8264

(1
(1
(1
(1
(2
(2
(2
(2
(2
(2
(2
(2

ms
ms
ms
ms
ms
ms
ms
ms
ms
ms
ms
ms

refresh)
refresh)
refresh)
refresh)
refresh)
refresh)
refresh)
refresh)
refresh)
refresh)
refresh)
refresh)

Military
Device Type
JM38510/

Generic/
Industry no.

24501
24502

6504
6514

24601
24601
24602
24602
24603
24603
24604
24604

M41256P-12
MT1257-12
M41256P-15
MT1257-15
M41256N-12
MT1259-12
M41256N-15
MT1259-15

25001
25001
25002
25002
25003

MKB4501-81
7C412-12
MKB4501-80
7C412-10
MKB4501-88

28901
28902
28903
28904

7C147
7C148
2147
2148

29101
29102
29103
29104
29105
29106
29106

6116,65162
6516
65262
6116,65162
6116,65162
51C67
61CD16

29201
29201
29202
29202
29203
29203
29204
29204
29205
29206

7187S55
99C641-55
7187S45
99C641-45
7187L55
99CL641-55
7187L70
99CL641-70
65642
65643

30001t
30002t
30003t
30004
30005t
30006
t JM38510 Class S qualified in addition to Class B.

54LSOOt
54LS03t
54LS04t
54LS05
54LS10t
54LS12

JM38510 Integrated Circuits and Generic Type Cross-Reference

JM38510 INTEGRATED CIRCUITS AND GENERIC TYPE
CROSS-REFERENCE
Military
Device Type
JM38510/

Generic/
Industry no.

Military
Device Type
JM38510/

Generic/
Industry no.

30007t
30008
30009t

54LS20t
54LS22
54LS30t

30101t
30102t
30103t
30104
30105
30106t
30107t
30108
30109t
30110t

54LS73At
54LS74At
54LS112At
54LS113A
54LSl14A
54LS174t
54LS175t
54LS107A
54LS109At
54LS76At

30901t
30902t
30903t
30904t
30905t
30906t
30907
30908t
30909

54LS151t
54LS153t
54LS157t
54LS158t
54LS251t
54LS257Bt
54LS258B
54LS253t
54LS298

30201t
30202t
30203t
30204

54LS40t
54LS37t
54LS38t
54LS28

31001t
31002
31003
31004t
31005

54LSllt
54LS15
54LS21
54LS08t
54LS09

31101t

54LS85t

31201
31202t

54LS83A
54LS283t

31301
31302
31303

54LS13
54LS14
54LS132

31401t
31402t
31403

54LS123t
54LS221t
54LS122

31501
31502t
31503t
31504t
31505
31506
31507t
31508t
31509t
31510
31511
31512t
31513t

54LS90
54LS93t
54LS160At
54LS161At
54LS168
54LS169A
54LS192t
54LS193t
54LS191t
54LS92
54LS162A
54LS163At
54LS190t

31601
31602
31603
31604
31605t

54LS75
54LS279A
54lS259
54LS375
54LS259Bt

30301t
30302t
30303

54LS02t
54LS27t
54LS266

30401t
30401
30402t
30402

54LS51t
9LS51
54LS54t
9LS54

30501t
30502t

54LS32t
54LS86At

30601t
30602t
30603
30604
30605t
30606
30607
30608t
30609

54LS194At
54LS195At
54LS95B
54LS96
54LS164t
54LS295B
54LS395A
54LS165At
54LS166A

30701t
30702
30703
30704

54LS138t
54LS139
54LS42
54LS47

30801
54LS181
t JM38510 Class S qualified in addition to Class B.

II
...
tn

(,)

:::J

"C

e

Q.

~

co

~

~

5-23

JM38510 Integrated Circuits and Generic Type Cross-Reference

JM38510 INTEGRATED CIRCUITS AND GENERIC TYPE
CROSS·REFERENCE
Military
Device Type
JM38510/

II
~

:+
Q)

-<"'C

ac..
c

,...
tn
C')

Generic/
Industry no.

31801

54LS261

31901
31902

54LS670
54LS170

32001
32002
32003
32004

54LS196
54LS197
54LS290
54LS293

32102t

54LS26t

32201
32202t
32203t
32204t

54LS365A
54LS366At
54LS367At
54LS368At

32301
32302

54LS125A
54LS126A

32401t
32402
32403t
32404
32405

54LS240t
54LS241
54LS244t
54LS540
54LS541

32501t
32502t
32503t
32504t

54LS273t
54LS373t
54LS374t
54LS377t

32601t
32602

54LS155At
54LS156

32701
32702t
32703

54LS390
54LS393t
54LS490

32801
32802
32803t
32804
32805

54LS242
54LS243
54LS245t
54LS646
54LS648

32901t

54LS280t

54FOO
33001
54F04
33002
54F10
33003
54F20
33004
t JM38510 Class S qualified in addition to Class B.
5-24

Military
Device Type
JM38510/

Generic/
Industry no.

33106
33107

25LS174
25LS175

33201t
33202t
33203

54F240t
54F241t
54F244 .

33301

54F02

33401

54F64

33501

54F32

33601

54F194

33701
33702

54F138
54F139

33801
33802
33803
33804

54F181
54F182
54F381
54F382

33901
33902
33903
33904
33905
33906
33907
33908
33909
33910

54F151
54F153
54F157
54F158
54F251
54F257
54F258
54F253
54F352
54F353

34001
34002

54F08
54Fl1

34101
34102
34103
34104
34105t
34106
34107

54F74
54F109
54F112
54F175
54F374t
54F534
54F174

34201

54F283

34301
34302
34303

54F161A
54F163A
54F191

JM38510 Integrated Circuits and Generic Type Cross-Reference

JM38510 INTEGRATED CIRCUITS AND GENERIC TYPE
CROSS-REFERENCE
Military
Device Type
JM38510/

Generic/
Industry no.

34304

54F193

34401
34402
34403
34404

54F160A
54F162A
54190
54F192

34501

54F86

34601
34602
34603
34604

54F373
54F533
54F563
54F573

34701

54F521

34801
34802
34803t
34901

Military
Device Type
JM385101

Generic/
Industry no.

37201
37202
37203
37204

54ALS174
54ALS175
.54ALS373
54ALS374

37301
37302

54ALS02
54ALS27

37401
37402

54ALS08
54ALS11A

37501

54ALS32

37601
37602

54ALS299
54ALS323

54F242
54F243
54F245t

37701

54ALS138

37901

54ALS857

54F280

38001
38002
38003
38004
38005

54ALS161B
54ALS163B
54ALS169B
54ALS561
54ALS569

35001
35002

54F398
54F399

35101

54F365

35201
35202
35203

54F37
54F38
54F40

36001t
36002

54LS148t
54LS348

36101t

54LS173At

37001
37002
37003
37004
37005
37006

54ALSOOA
54ALS10A
54ALS20A
54ALS30A
54ALS133
54ALS04B

37101
54ALS74A
54ALS109A
37102
54ALS112A
37103
37104
54ALS574A
37105
54ALS576
54ALS874
37106
37107
54ALS876
t JM38510 Class S qualified in addition to Class B.

II
...
(I)

38101
38102
38103
38104
38105

54ALS160
54ALS162
54ALS168
54ALS560
54ALS568

38201
38202
38203
38204

54ALS573B
54ALS580
54ALS873
54ALS880

38301
38302
38303

54ALS240A
54ALS241A
54ALS244A

38401
38402
38403
38404
38405
38406
38407
38408
38409

54ALS1000A/54ALS37
54ALS1002A/54ALS28
54ALS 1003/54ALS38
54ALS1008
54ALS1010
54ALS1011
54ALS1020A/54ALS40
54ALS1032
54ALS1004

(,)
~

"C

e

c..

~
co

:!:.
~

5-25

JM38510 Integrated Circuits and Generic Type Cross-Reference

JM38510 INTEGRATED CIRCUITS AND GENERIC TYPE
CROSS·REFERENCE
Military
Device Type
JM38510/

Generic/
Industry no.

Military
Device Type
JM38510/

38410
38411
38412

54AL51005
54ALS1034
54AL51 035

47101
47102

1821
1822

47201

1832

38501
38502
38503
38504
38505
38506
38507

54AL5640B
54AL5641
54AL5642
54AL5643
54AL5645
54AL5242
54AL5243

47301

1852

47401

1853

47601
47602

1856
1857

40001

6800

40101

MC6821

48001
48002
48003

Z-80A CPU
Z-80 CPU
Z-80B CPU

40201

6810

48101
48102

Z-80A 510/2
Z-80 510/2

40301
40301
40301
40301
40301
40301
40301
40301

2316E
2616
3516E
9218
56831 B
MK34000
52116
68A316E

48201
48202

Z-80A DMA
2-80DMA

48301
48302

Z80A CTC
Z80 CTC

48401
48402

Z80A Pl0
Z80 Pl0

42001

8080A

49001
49002

8048
8035L

42101
42101

8212
545412

42201

8224

42301

8228

44001

2901B

50001
50002
50003
5Q004
50005
50006

TDC1008JM
TDC1009JM
TDC1010JM
MPY-8HJM
MPY-12HJM
MPY-16HJM

44101
44102
44103
44104
44105
44106

2905
2906
2907
2915
2916
2917

50201
50201
50202
50202

825101
93458
825100
93459

44201

2918

46001

9900A

46501

5BP9989

47001

18020

50301
50302
50303
50304
50305
50306
50307
50308

PAL 10H8
PAL 12H6
PAL 14H4
PAL 16H2
PAL 16Cl
PAL 10L8
PAL 12L6
PAL 14L4

II
~
;:;:
Q)

-<"'C
...
0
Q.

cC")

r+

VI

5-26

Generic/
Industry no.

JM38510 Integrated Circuits and Generic Type Cross-Reference

JM38510 INTEGRATED CIRCUITS AND GENERIC TYPE
CROSS·REFERENCE
Military
Device Type
JM3S510!

Generic!
Industry no.

50309

PAL 16L2

50401
50402
50403
50404
50405
50406
50407
5040S
50409
50410

PAL16LSA
PAL16RSA
PAL16R6A
PAL16R4A
PAL 16X4
PAL 16A4
PAL16LSA-2
PAL16RSA-2
PAL16R6A-2
PAL16R4A-2

50501
50502
50503
50504

PAL20LSA
PAL20R8A
PAL20R6A
PAL20R4A

50601
50602
50603
50604
50605
50606
50607
50608
50609
50610
50611
50612

PAL 16LS-20
PAL16RS-20
PAL16R6-20
PAL16R4-20
PAL 16LS-30
PAL16RS-30
PAL16R6-30
PAL 16R4-30
PAL 16L8-15
PAL16RS-15
PAL 16R6-15
PAL16R4-15

52001
52002
52003
52004

Z8001CPU
Z8002CPU
Z8001ACPU
Z8002ACPU

53001

8086

54001
54002

MC68000-6
MC68000-8

55501

UT1553BRT1

60001
60002
60003

Gate Array
Gate Array
Gate Array

60501
60502

Gate Array
Gate Array

t JM38510 Class S qualified in addition to Class B.

Military
Device Type
JM3S5101

Generic!
Industry no.

60503
60504
60505
60506

Gate
Gate
Gate
Gate

Array
Array
Array
Array

61001
61002
61003

6564-1
6564-2
6564-3

61501
61502
61503

1HC3425
1HC3435
1HC3450

61601

1HC38

63001

BFRP10

65001t
65002t
65003t
65004t
65005

54HCOOt
54HC10t
54HC20t
54HC30t
54HC132

65101t
65102t
65103t
65104t
65105

54HC02t
54HC27t
54HC266t
54HC4002t
54HC7266

65201t
65202t
65203t
65204t

54HC32t
54HCS6t
54HCOSt
54HC11t

65301
65302t
65303
65304t
65305t
65306t
65307t
6530St
65352t

54HC73
54HC74t
54HC107
54HC109t
54HC112t
54HC173t
54HC174t
54HC115t
54HCT74t

65401
65402t
65403t
65404
65405

54HC75
54HC259t
54HC373t
54HC533
54HC563

II
....CJ
t/)

=

"C

e
c.
~

co

:!:
~

5-27

JM38510 Integrated Circuits and Generic Type Cross-Reference

JM38510 INTEGRATED CIRCUITS AND GENERIC TYPE
CROSS·REFERENCE
Military
Device Type
JM38510/

Generic!
Industry no.

65406t
65453t

54HC573t
54HCT373t

65501
65502
65503t
65506
65507
65508
65509
65553t

54HC242
54HC243
54HC245t
54HC640
54HC643
54HC646
54HC648
54HCT245t

65601t
65602t
65603
65604t
65605
65606
65652

54HC273t
54HC374t
54HC377
54HC574t
54HC534
54HC564
54HCT374 '

65701t
65702
65703t
65704t
65705t
65706t
65707
65708t
65709t
65710t
65711t
65712
65713
65751
65752
65753
65754
65755t
65760
65761

54HC04t
54HC14
54HC240t
54HC241t
54HC244t
54HC365t
54HC366
54HC367t
54HC368t
54HC540t
54HC541t
54HC4049
54HC4050
54HCT04
54HCT14
54HCT240
54HCT241
54HCT244t
54HCT540
54HCT541

65801
65802t
65803t
65804
65805
65852t

54HC42
54HC138t
54HC139t
54HC154
54HC238
54HCT138t.

II
~

~

-<"'a

aa.
cC')

ut

Military
Device Type
JM38510/

Generic!
Industry no.

65853

54HCT139

66301
66302t
66303
66304t
66305
66306
66307
66308
66309t
66310
66311
66312
66313

54HC160
54HC161t
54HC162
54HC163t
54HC191
54HC192
54HC193
54HC390
54HC393t
54HC4017
54HC4020
54HC4024
54HC4040

t JM38510 Class S qualified in addition to Class B.
5-28

JM38510 Integrated Circuits and Generic Type Cross-Reference

JM38510 INTEGRATED CIRCUITS AND GENERIC TYPE
CROSS-REFERENCE
Military
Device Type
JM38510/

Generic/
Industry no.

65504
65505

54HC620
54HC623

65901
65902

54HC123
54HC221

66001
66002

54HC147
54HC148

66101
66102
66103
66104
66105

54HC85
54HC682
54HC684
54HC686
54HC688

66201
66202
66203
66204
66205
66206
66207
66208
66209

54HC151
54HC153
54HC157
54HC158
54HC251
54HC253
54HC257
54HC354
54HC356

66314

54HC4520

66401
66402
66403

54HC590
54HC592
54HC593

66501
66502
66503
66504
66505
66506
66507
66508

54HC164
54HC165
54HC166
54HC194
54HC195
54HC299
54HC595
54HC597

66601

54HC670

66701

54HC283

66801

54HC280

III
t/)

1)
::::s

~

e

C.

~
co

is
~

*Tentative Assignments

5-29

Generic Type and JM38510 Device Cross-Reference Guide

GENERIC TYPE AND JM38510 DEVICE CROSS·REFERENCE GUIDE

II
~
~

Q)

<"0
a
Q.

c(')

r+
0

5-30

Generic!
Industry no.

Military
Device Type
JM38510!

Generic/
Industry no.

Military
Device Type
JM385101

061
062
064
071
072
074
198
1020
1218
1220
1524
1558
18020
1821
1822
1832
1852
1853
1856
1857
10501
10502
10504
10505
10506
10507
10509
10524
10525
10531
10535
10576
10597
10631
1HC3425
1HC3435
1HC3450
1HC38
200
200
201
201
2003
2114
2114A
2117
2117

11901
11902
11903
11904
11905
11906
12501
12705
12707
12706
12601
10108
47001
47101
47102
47201
47301
47401
47601
47602
06001
06002
06201
06003
06004
06005
06006
06301
06302
06101
06104
06103
06202
06102
61501
61502
61503
61601
12301
12303
12302
12304
14103
23802
23804
24001
24002

2117
2147
2147
2147H
2147H-2
2147H-3
2148
2148H
2164
2164
2164 (2 ms refresh)
2316E
2500
2510
2520
2532
25LS174
25L5175
2600
2616
2700
2702
2708
2716
27256-170
27256-200
27256-250
2620
275180
275181
27S191

24003
23801
28903
23803
23807
23805
28904
23806
24401
24402
24403
40301
12204
12205
12206
22201
33106
33107
12202
40301
12201
13602
22001
22101
22403
22402
22401
12203
20903
20904
21002
22808
22807
22809
21002
21004
44001
44101
44102
44103
44104
44105
44106
44201
20904
20902
20908

286~-250

2864-350
2864H~250

285166A
285166A
29018
2905
2906
2907
2915
2916
2917
2918
29631
29651
29651

Generic Type and JM38510 Device Cross-Reference Guide

GENERIC TYPE AND JM38510 DEVICE CROSS·REFERENCE GUIDE
Generic!
Industry no.

Military
Device Type
JM38510!

Generic!
Industry no.

Military
Device Type
JM38510!

29671
29681
300
301
302
303
304
305
306
307
3018A
3045
3516E
3636
4000A
40008
4001A
40018
4002A
40028
4006A
40068
4007A
4007U8
4008A
40088
4009A
4009U8
4010A
40108
4011A
40118
4012A
40128
4013A
40138
4014A
40148
4015A
40158
4016A
40168
4017A
40178
4018A
40188
4019A

21102
21002
11601
11602
11603
11604
11605
11606
11607
11608
10801
10802
40301
21002
05201
05251
05202
05252
05203
05253
05701
05751
05301
05351
05401
05451
05501
05551
05502
05552
05001
05051
05002
05052
05101
05151
05702
05752
05703
05753
05801
05851
05601
05651
05602
05652
05302

40198
4020A
40208
4021A
40218
4022A
40228
4023A
40238
4024A
40248
4025A
40258
4027A
40278
4028A
40288
4030A
40308
4031A
40318
4034A
40348
4041A
4041U8
4043A
40438
4048A
40488
4049A
4049U8
4050A
40508
4066A
40668
40678
4069U8
40708
40718
40728
40738
40758
40768
40778
40818
40828
40858

05352
05603
05653
05704
05754
05604
05654
05003
05053
05605
05655
05204
05254
05102
05152
05901
05951
05303
05353
05705
05755
05706
05756
05505
05555
05103
05153
05304
05354
05503
05553
05504
05554
05802
05852
17801
17401
17203
17101
17102
17003
17103
17501
17204
17001
17002
17201

II
....uen

=

"C

e
c..
~

co

:s
~

5-31

Generic Type and JM38510 Device Cross-Reference Guide

GENERIC TYPE AND JM38510 DEVICE CROSS·REFERENCE GUIDE

II
~

s:
C»

-<

a"c.
c

n

r+

UI

5-32

Generic!
Industry no.

Military
Device Type
JM38510!

40868
40938
40958
40968
40978
40988
40998
4116
4116
4116
4136
4156
45028
45088
45148
45158
45328
45558
45568
4564
4564
4564 (2 ms refresh)
4741
401068
401078
401098
401748
402578
506
506A
507
507A
508
508A
509
509A
51C67
524S
532S
534S
534T
555
*555 (Isource = 60 rnA)
556
561
562
563

17202
17701
17502
17503
17802
17504
17601
24001
24002
24003
11004
11003
17403
17602
17301
17302
17303
17304
17305
24401
24402
24403
11003
17702
17402
17404
17505
17803
19001
19002
19003
19004
19007
19005
19008
19006
29106
14301
13903
13902
13901
10901
10903
10902
13301
12101
12102

Generic!
Industry no.
565
566
584S
584T
5040
5041
5042
5043
5044
5045
5046
5047
5200
5201
5202
5203
5204
5205
5206
5207
5210
5211
52116
5212
5213
5214
5215
5216
5217
5300-1
5301-1
5303-1
5306-1
5330
5331
5340-1
5341-1
5348-1
5349-1
5352-1
5353-1
5380-2
5381-2
53S480
53S840
53S841
53S841

Military
Device Type
JM38510!
12103
12104
12801
12802
10501
10502
10503
10504
10505
10506
10507
10508
12001
12003
12005
12002
12004
12006
12007
12008
12009
12011
40301
12013
12010
12012
12014
12015
12016
20301
20302
20401
20402
20701
20702
20801
20802
20804
20805
20601
20602
20903
20904
20901
20907
20902
20908

Generic Type and JM38510 Device Cross-Reference Guide

GENERIC TYPE AND JM38510 DEVICE CROSS-REFERENCE GUIDE
Generic!
Industry no.

Military
Device Type
JM38510/

Generic/
Industry no.

Military
Device Type
JM38510/

5351680
5351681
5353281
5400
5401
5402
5403
5404
5405
5406
5407
5408
5409
5410
5412
5413
5414
5416
5417
5420
5423
5425
5426
5427
5428
5430
5432
5437
5438
5440
5442
5443
5444
5445
5446
5447
5448
5449
5450
5451
)5453
5454
5470
5472
5473
5474
5475

21001
21002
21102
00104
00107
00401
00109
00105
00108
00801
00803
01601
01602
00103
00106
15101
15102
00802
00804
00102
00402
00403
00805
00404
16201
00101
16101
00302
00303
00301
01001
01002
01003
01004
01006
01007
01008
01009
00501
00502
00503
00504
00206
00201
00202
00205
01501

5476
5477
5479
5480
5482
5483
5485
5486
5490
5492
5493
5495
5496
54107
54116
54121
54122
54123
54125
54126
54132
54145
54147
54148
54150
54151
54153
54154
54155
54156
54157
54160
54161
54162
54163
54164
54165
54170
54174
54175
54180
54181
54182
54190
54192
54193
54194

00204
01502
00207
00604
00601
00602
15001
00701
01307
01301
01302
00901
00902
00203
01503
01201
01202
01203
15301
15302
15103
01005
15601
15602
01401
01406
01403
15201
15202
15203
01405
01303
01306
01305
01304
00903
00904
01801
01701
01702
01901
01101
01102
34403
01308
01309
00905

II
J!iCJ

=

"C

e
c..
~
co

::s
~

5-33

Generic Type and JM38510 Device Cross-Reference Guide

GENERIC TYPE AND JM38510 DEVICE CROSS·REFERENCE GUIDE

II
~

s:

m
~

""D

a
Q..

c(')

r+
0

5-34

Generic/
Industry no.

Military
Device Type

54195
54365
54366
54367
54368
54ALSOOA
54ALS02
54ALS04B
54ALS08
54ALS10A
54ALS11A
54ALS20A
54ALS27
54ALS28
54ALS30A
54ALS32
54ALS37
54ALS38
54ALS40
54ALS74A
54ALS109A
54ALS112A
54ALS133
54ALS138
54ALS160
54ALS161B
54ALS162
54ALS163B
54ALS168
54ALS169B
54ALS174
54ALS175
54ALS240A
54ALS241A
54ALS242
54ALS243
54ALS244A
54ALS299
54ALS323
54ALS373
54ALS374
54ALS560
54ALS561
54ALS568
54ALS569
54ALS573B
54ALS574A

00906
16301
16302
16303
16304
37001
37301
37006
37401
37002
37402
37003
37302
38402
37004
37501
38401
38403
38407
37101
37102
37103
37005
37701
38101
38001
38102
38002
38103
38003
37201
37202
38301
38302
38506
38507
38303
37601
37602
37203
37204
38104
38004
38105
38005
38201
37104

JM385101

Generic/
Industry no.

Military
Device Type
JM38510/

54ALS576
54ALS580
54ALS640B
54ALS641
54ALS642
54ALS643
54ALS645
54ALS857
54ALS873
54ALS874
54ALS876
54ALS880
54ALS1000A
54ALS1002A
54ALS1003
54ALS1004
54ALS1005
54ALS1008
54ALS1010
54ALS1011
54ALS1020A
54ALS1032
54ALS1034
54ALS1035
54C929
54C930
54FOO
54F02
54F04
54F08
54F10
54F11
54F20
54F32
54F37
54F38
54F40
54F64
54F74
54F86
54F109
54F112
54F138
54F139
54F151
54F153
54F157

37105
38202
38501
38502
38503
38504
38505
37901
38203
37106
37107
38204
38401
38402
38403
38409
38410
38404
38405
38406
38407
38408
38411
38412
23901
23902
33001
33301
33002
34001
33003
34002
33004
33501
35201
35202
35203
33401
34101
34501
34102
34103
33701
33702
33901
33902
33903

Generic Type and JM38510 Device Cross-Reference Guide

GENERIC TYPE AND JM38510 DEVICE CROSS·REFERENCE GUIDE
Generic/
Industry no.

Military
Device Type
JM38510/

33904
54F158
34401
54F160A
34301
54F161A
34402
54F162A
34302
54F163A
34303
54F191
34404
54F192
34304
54F193
34107
54F174
34104
54F175
33801
54F181
33802
54F182
33601
54F194
33201t
54F240t
33202
54F241
34801
54F242
34802
54F243
34203
54F244
54F245t
34803t
33905
54F251
33908
54F253
54F257
33906
33907
54F258
34901
54F280
34201
54F283
33909
54F352
33910
54F353
35101
54F365
34601
54F373
34105t
54F374t
33803
54F381
33804
54F382
35001
54F398
35002
54F399
34701
54F521
34602
54F533
34106
54F534
34603
54F563
34604
54F573
02304
54HOO
02306
54H01
02305
54H04
15501
54H08
15504
54H08
02303
54H10
15502
54H11
02302
54H20
t JM38510 Class S qualified in addition to Class B.

Generic/
Industry no.

Military
Device Type
JM38510/

54H21
54H22
54H30
54H40
54H50
54H51
54H53
54H54
54H55
54H72
54H73
54H74
54H76
54H101
54H103
54HCOOt
54HC02t
54HC04t
54HC08t
54HC10t
54HC11t
54HC14
54HC20t
54HC27t
54HC30t
54HC32t
54HC42
54HC73
54HC74t
54HC75
54HC86t
54HC107
54HC109t
54HC112t
54HC132
54HC138t
54HC139t
54HC154
54HC160
54HC161
54HC162
54HC163
54HC173t
54HC174t
54HC175t
54HC191
54HC192

15503
02307
02301
02401
04001
04002
04003
04004
04005
02201
02202
02203
02204
02205
02206
65001t
65101t
65701t
65203t
65002t
65204t
65702
65003t
65102t
65004t
65201t
65801
64301
65302t
65401
65202t
65303
65304t
65305t
65005
65802t
65803t
65804
66301
66302
66303
66304
65306t
65307t
65308t
66305
66306
5-35

II
U)
+I
(,)

=

"C
0

...
0-

~
co
~

~

Generic Type and JM38510 Device Cross-Reference Guide

GENERIC TYPE AND JM38510 DEVICE CROSS-REFERENCE GUIDE
Generic/
Industry no.

Military
Device Type
JM38510/

54HC193
66307
54HC238
65805
65703t
54HC240t
54HC241t
65704t
54HC242
65501
54HC243
65502
54HC244t
65705t
54HC245t
65503t
54HC259t
65402t
54HC266t
65103t
54HC273t
65601t
54HC365t
65706t
54HC366
65707
54HC367t
65708t
54HC368t
65709t
54HC373t
65403t
54HC374t
65602t
54HC377
65603
54HC390
66308
54HC393
66309
54HC533
65404
54HC534
65605
54HC540t
65710t
54HC541t
65711t
54HC563
65405
54HC564
£5606
54HC573t
65406t
54HC574t
65604t
54HC640
66506
54HC643
66507
54HC646
66508
54HC648
66509
54HC4002t
65104t
54HC4017
66310
54HC4020
66311
54HC4024
66312
54HC4040
66313
54HC4049
65712
54HC4050
65713
54HC7266
65105
54HCT04
65751
54HCT14
65752
54HCT74t
65352t
54HCT138t
65852t
54HCT139
65853
54HCT240
65753
65754
54HCT241
t JM38510 Class S qualified in addition to Class B.

II
~

~

-<"tJ

ac.
c

n

a

5-36

Generic/
Industry no.

Military
Device Type
JM38510/

54HCT244t
54HCT245t
54HCT373t
54HCT374
54HCT540
54HCT541
54LOO
54L01
54L02
54L03
54L04
54L10
54L20
54L30
54L42
54L43
54L44
54L46
54L47
54L51
54L54
54L55
54L71
54L72
54L73
54L74
54L78
54L86
54L90
54L93
54L95
54L121
54L122
54L164
54L193
54LSOOt
54LS02t
54LS03t
54LS04t
54LS05
54LS08t
54LS09
54LS10t
54LS11t
54LS12
54LS13
54LS14

65755t
65553t
65453t
65652
65760
65761
02004
02006
02701
02006
02005
02003
02002
02001
02901
02902
02903
02904
02905
04101
04102
04103
02101
02102
02103
02105
02104
02601
02501
02502
02801
04201
04202
02802
02503
30001t
30301t
30002t
30003t
30004
31004t
31005
30005t
31001t
30006
31301
31302

Generic Type and JM38510 Device Cross-Reference Guide

GENERIC TYPE AND JM38510 DEVICE CROSS-REFERENCE GUIDE
Generic/
Industry no.

Military
Device Type
JM38510/

54LS15
31002
54LS20t
30007t
54LS21
31003
54LS22
30008
54LS26t
32102t
54LS27t
30302t
54LS28
30204
54LS30t
30009t
54LS32t
30501t
54LS37t
30202t
54LS38t
30203t
54LS40t
30201t
54LS42
30703
54LS47
30704
54LS51t
30401t
54LS54t
30402t
54LS73At
30101t
54LS74At
30102t
54LS75
31601
54LS76At
30110t
54LS83A
31201
54LS85t
31101t
54LS86At
30502t
54LS90t
31501t
54LS92
31510
54LS93t
31502t
54LS95B
30603
54LS96
30604
54LS107A
30108
54LS109At
30109t
54LS112At
30103t
54LS113A
30104
54LS114A
30105
54LS122
31403
54LS123t
31401t
54LS125A
32301
54LS126A
32302
54LS132
31303
54LS138t
30701t
54LS139
30702
54LS148t
36001t
54LS151t
30901t
54LS153t
30902t
54LS155At
32601t
54LS156
32602
54LS157t
30903t
54LS158t
30904t
t JM38510 Class S qualified in addition to Class B.

Generic/
Industry no.

Military
Device Type
JM38510/

54LS160At
54LS161At
54LS162A
54LS163At
54LS164t
54LS165At
54LS166At
54LS168
54LS169A
54LS170
54LS173At
54LS174t
54LS175t
54LS181
54LS190t
54LS191t
54LS192
54LS193t
54LS194At
54LS195A
54LS196
54LS197
54LS221t
54LS240t
54LS241
54LS242
54LS243
54LS244t
54LS245t
54LS251t
54LS253t
54LS257Bt
54LS258B
54LS259
54LS259Bt
54LS261
54LS266
54LS273t
54LS279A
54LS280t
54LS283t
54LS290
54LS293
54LS295B
54LS298
54LS348
54LS365A

31503t
31504t
31511
31512t
30605t
30608t
30609t
31505
31506
31902
36101t
30106t
30107t
30801
31513t
31509t
31507
31508t
30601t
30602
32001
32002
31402t
32401t
32402
32801
32802
32403t
32803t
30905t
30908t
30906t
30907
31603
31605t
31801
30303
32501t
31602
32901t
31202t
32003
32004
30606
30909
36002
32201
5-37

II
....uen
:J

"C

e

c..

~

co

is
~

Generic Type and JM38510 Device Cross-Reference Guide

GENERIC TYPE AND JM38510 DEVICE CROSS·REFERENCE GUIDE
Generic!
Industry no.

II
~

s:
Q)

-<

"a
Q.

c(')

r+
CI)

5-38

Military
Device Type
JM38510!

Generic!
Industry no.

32202t
545151
54L5366At
545153
32203t
54L5367At
32204t
545157
54L5368At
32502t
545158
54L5373t
32503t
545174
54L5374t
31604
545175
54L5375
32504t
54S181
54L5377t
32701
545182
54L5390
32702t
545194
54L5393t
30607
54S195
54L5395A
42201
54S251
54LS424
42301
54S253
54LS428
32703
545257
54L5490
32404
54S258
54L5540
32405
54S287
54L5541
32804
54S288
54LS646
32805
54S412
54LS648
31901
54S472
54LS670
07001
54S473
54500
54S474
07301
54502
07002
54S475
54503
07003
54S570
54504
07004
54S571
54505
54S572
08003
54S08
08004
54S573
54S09
55107A
07005
54510
08001
55108A
54511
08002
55113
54S15
55114
07006
54520
07007
55115
54522
55325
07008
54530
07201
55326
54S40
07401
55327
54551
07402
5532A
54564
07403
5534A
54S65
07101
5537
54574
08201
55450
54585
07501
554518
54586
07102
554528
545112
07103
554538
54S113
07104
554548
54S114
07009
55460
54S133
07010
55461
54S134
07502
55462
54S135
07701
55463
545138
07702
55464
54S139
08101
574AT hybrid
54S140
t JM38510 Class S qualified in addition to Class B.

Military
Device Type
JM38510!
07901
07902
07903
07904
07105
07106
07801
07802
07601
07602
07905
07908
07906
07907
20302
20702
42101
20805
20804
20802
20801
20401
20402
20601
20603
10401
10402
10405
10403
10404
13001
13002
13003
13102
13101
12502
12901
12902
12903
12904
12905
12906
12907
12908
12909
12910
14004

Generic Type and JM38510 Device Cross-Reference Guide

GENERIC TYPE AND JM38510 DEVICE CROSS·REFERENCE GUIDE
Generic/
Industry no.

Military
Device Type
JM385101

Generic/
Industry no.

Military
Device Type

574AT monolithic
574AU hybrid
574AU monolothic

14002
14003
14001

5564-250
5564-250
61C016
6108
6116
6116
6116
6116
6208
6216
6504
6508
6514
6516
65162
65162
65162
6518
65262
6564-1
6564-2
6564-3
65642
65643
6654
6665
6665
6665
6665 (2 ms refresh)
6665 (2 ms refresh)
674AU monolothic
674AT monolithic
6800
6810
68A316E
710
711
714
7187L55
7187L70
7187545
7187555
723

22810
22811
29106
19007
19001
29101
29104
29105
19008
19003
24501
23901
24502
29102
29101
29104
29105
23902
29103
61001
61002
61003
29205
29206
21901
24401
24402
24403
24401
24403
14005
14006
40001
402
40301
10301
10302
13502
29203
29204
29202
29201
10201

741A
747A
771
772
774
7181
7413
7414
7520
7521
7523
7541
7602
7603
7610
7611
76160
76161
7620
7621
76321
7640
7641
7642
7643
7644
7680
7681
7684
7685
76L42A
76L70
76165
775180
775181
775184
775185
775190
775191
7805
7812
7815
7824
7831
7832
78G
78MG

10101
10102
11904
11905
11906
01101
15101
15102
12702
12703
12701
12704
20701
20702
20301
20302
21001
21002
20401
20402
21102
20801
20802
20601
20602
20603
20903
20904
20901
20902
02906
02805
21005
20903
20904
20901
20902
21001
21002
10706
10707
10708
10709
10406
10407
11702
11701

JM385101

II
J!!u
~

"C

e
a..
~
co

:!:
~

5-39

Generic Type and JM38510 Device Cross-Reference Guide

GENERIC TYPE AND JM38510 DEVICE CROSS-REFERENCE GUIDE

13
~

a=
-<"'C
CI,)

a
Co

c

n

....
en

5-40

Generic/
Industry no.

Military
Device Type
JM38510/

Generic/
Industry no.

Military
Device Type
JM38510/

78M05
78M12
78M15
78M24
7905
7912
7915
7924
79G
79MG
79M05
79M12
79M15
79M24
7C147
7C148
7C412-10
7C412-12
8035L
8048
8080A
8086
8212
8224
8228
8250
8251
8252
8264
8264
8264 (2 ms refresh)
82H5321A
82H5321A
82H5641A
82H5641A-55
82H5641-45
82510
82511
82523
825100
825101
825115
825123
825126
825129
835130
835131

10702
10703
10704
10705
11505
11506
11507
11508
11802
11801
11501
11502
11503
11504
28901
28902
25002
25001
49002
49001
42001
53001
42101
42201
42301
15204
15205
15206
24401
24402
24403
21101
21102
21201
21202
21204
23107
23108
20701
50202
50201
20803
20702
20301
20302
20401
20402

825136
825137
825140
825141
825180
825181
825184
825185
825190
825191
8252708
930
932
933
935
936
940
944
945
946
948
950
951
957
958
962
9093
9218
9300
9301
9304
9308
9309
9311
9312
9314
9317
9318
9321
9322
9324
9328
9334
9338
9341
93410
93411

20601
20602
20801
20802
20903
20904
20901
20902
21001
21002
20905
03001
03101
03105
03002
03003
03002
03102
03301
03004
03302
03303
03201
03103
03104
03005
03304
40301
15901
15206
00603
01503
01404
15201
01402
01504
15802
15603
15801
01405
15002
15902
16001
15701
01101
23001
23003

Generic Type and JM38510 Device Cross-Reference Guide

GENERIC TYPE AND JM38510 DEVICE CROSS·REFERENCE GUIDE
Generic/
Industry no.

93412
93415
93415
93415
93417
93419
9342
93421
93422
93422A
93425
93425
93425A
93427
93436
93438
93446
93448
93450
93451
93452
93453
93458
93459
93460
93461
93470
93471
93510
93511
93LOO
93L01
93L08
93L09
93L10
93L12
93L14
93L16
93L18
93L22
93L24
93L28
93L412
93L415
93L420
93L422
93L422A

Military
Device Type
JM38510/

Generic/
Industry no.

Military
Device Type
JM38510/

23109
23101
23105
23107
20301
23201
01102
23002
23110
23114
23102
23106
23108
20302
20401
20801
20402
20802
20903
20904
20601
20602
50201
50202
20906
20905
23301
23302
21001
21002
02804
02907
04502
04601
02504
04602
04501
02505
04301
04603
04401
02803
23111
23103
23004
23112
23115

93L425
93L425A
93Z510
93Z511
93Z665-45
93Z665-50
93Z665-55
93Z665-70
93Z667-45
93Z667-50
93Z667-55
93Z667-70
9601
9602
9614
9615
9900A
99C641-45
99C641-55
99CL641-55
99CL641-70
9LS51
9LS54
AD558T
AD650
ADC571
AM9130CFC
AM9130AFC
AM9130CFM,AM9130CDM
AM9130AFM,AM9130ADM
AM91L30CF
AM91L30AF
AM91 L30CFM,
AM91L30CDM
AM91 L30AFM,
AM91L30ADM
AM9140CFC
AM9140AFC
AM9140CFM,AM9140CDM
AM9140AFM,AM9140ADM
AM91L40CFC
AM91L40AFC
AM91 L40CFM,
AM91L40CDM
AM91 L40AFM,
AM91L40ADM
BFRP10

23104
23113
21003
21002,21004
21204
21203
21202
21201
21204
21203
21202
21201
01204
01205
10403
10404
46001
29202
29201
29203
29204
30401
30402
14401
13803
13401
23701
23702
23703
23704
23705
23706
23707
23708
23709
23710
23711
23712
23713
23714
23715
23716
63001
5-41

'II
...,en
Co)

=

"C

e
Q.
~
co
~

~

Generic Type and JM38510 Device Cross-Reference Guide

GENERIC TYPE AND JM38510 DEVICE CROSS-REFERENCE GUIDE
Generic!
Industry no.
DAC-08
DAC-08A
DAC87 (hybrid)
DAC87 (monolithic)
DAC87 (hybrid)
DG181A
DG182A
DG184A
DG185A
DG187A
DG188A
DG190A
DG191A
DG200
Gate Array
Gate Array
Gate Array
Gate Array
Gate Array
Gate Array
Gate Array
Gate Array
Gate Array
HPROM-0512
IM5603A
IM5623
LF147
LF151
LF153
LF155
LF155A
LF156
LF156A
LF157
LF157A
LH2101A
LH2108A
LH2110
LH2111
LM101A
LM102
LM106
LM108A
LM109
LM110
LM111
LM117H

II
~

;:+
Dl

-<"'tJ

ac.
c

n
r+

en

5-42

Military
Device Type
JM38510!
11301
11302
13701
13702
13703
11101
11102
11103
11104
11105
11106
11107
11108
12301
60001
60002
60003
60501
60502
60503
60504
60505
60506
20101
20201
20202
11906
11904
11905
11401
11404
11402
1.1405
11403
11406
10105
10106
10603
10305
10103
10601
10303
10104
10701
10602
10304
11703

Generic!
Industry no.
LM117K
LM118
LM120H-05
LM120H-12
LM120H-15
LM120H-24
LM120K-05
LM120K-12
LM120K-15
LM120K-24
LM124
LM129A
LM1298
LM137H
LM137K
LM138K
LM139
LM140H-05
LM140H-12
LM140H-15
LM140H-24
LM140K-05
LM140K-12
LM140K-15
LM140K-24
LM148
LM149
LM150K
LM193
LM199
LM199A
LM199A-20
LM723
M41256N-12
M41256N-15
M41256P-12
M41256P-15
MC6821
MC68000-6
MC68000-8
MCM4096 (85°C Max)
MCM4096 (100°C Max)
MCM5303
MCM5304
MCM6604A (85°C Max)
MCM6604A (100°C Max)
MCM6605 (85°C Max)

Military
Device Type
JM38510!

~.

11704
10107
11501
11502
11503
11504
11505
11506
11507
11508
11005
12402
12406
11803
11804
11706
11201
10702
10703
10704
10705
10706
10707
10708
10709
11001
11002
11705
11202
12404
12401
12405
10201
24603
24604
24601
24602
40101
54001
54002
23602
23604
20101
20102
23602
23604
23601

Generic Type and JM38510 Device Cross-Reference Guide

GENERIC TYPE AND JM38510 DEVICE CROSS-REFERENCE GUIDE
Generic/
Industry no.

Military
Device Type
JM38510/

Generic/
Industry no.

Military
Device Type
JM38510/

MCM6605 (100°C Max)
MH0026
MK34000
MKB4096 (85°C max)
MKB4096 (100°C max)
MKB4501-80
MKB4501-81
MKB4501-88
MM5280 (85°C max)
MM5280 (100°C max)
MPY-8HJM
MPY-12HJM
MPY-16HJM
MT1257-12
MT1257-15
MT1259-12
MT1259-15
NMC2816
OP-07
OP-07A
OP-27A
OP-37A
OP-227A
PAL 10H8
PAL 10L8
PAL 12H6
PAL 12L6
PAL 14H4
PAL 14L4
PAL16A4
PAL16C1
PAL 16H2
PAL 16L2
PAL 16L8-15
PAL16L8-20
PAL16L8-30
PAL16L8A
PAL 16L8A-2
PAL16R4A
PAL 16R8-15
PAL 16R6-15
PAL 16R4-15
PAL16R8-20
PAL16R6-20
PAL16R4-20
PAL16R8-30
PAL16R6-30

23603
03501
40301
23602
23604
25002
25001
25003
23505
23506
50004
50005
50006
24601
24602
24603
24604
22601
13502
13501
13503
13505
13504
50301
50306
50302
50307
50303
50308
50406
50305
50304
50309
50609
50601
50605
50401
50407
50404
50610
50611
50612
50602
50603
50604
50606
50607

PAL16R4-30
PAL16R4A-2
PAL16R6A
PAL16R6A-2
PAL16R8A
PAL16R8A-2
PAL16X4
PAL20L8A
PAL20R4A
PAL20R6A
PAL20R8A
R675B-3
R675B-4
REF10
S6831B
SBP9989
TDC1008JM
TDC1009JM
TDC1010JM
TL431
TMS4050 (85°C max)
TMS4050 (100°C max)
TMS4060 (85°C max)
TMS4060 (100°C max)
UT1553BRT1
VFC32
VFC320
X2816A-25
X2816A-30
X2816A-35
X2816A-45
X2864A-25
X2864A-25
X2864A-30
X2864A-35
X2864A-35
X2864A-45
Z-80 CPU
Z80 CTC
Z80-DMA
Z80-P10
Z80-S102
Z-80A CPU
Z80A CTC
Z80A-DMA
Z80A PIO
Z80A-S10/2

50608
50410
50403
50409
50402
50408
50405
50501
50504
50503
50502
13602
13601
12403
40301
46501
50001
50002
50003
14801
23502
23504
23501
23503
55501
13801
13802
22704
22703
22702
22701
22804
22806
22803
22802
22805
22801
48002
48302
48202
48402
48102
48001
48301
48201
48401
48101

II
...,en
(,)

:s

"C

e

Q.

...>

co
~

~

5-43

Generic Type and JM38510 Device Cross-Reference Guide

GENERIC TYPE AND JM38510 DEVICE CROSS-REFERENCE GUIDE
Generic/
Industry no.
Z-808 CPU
Z8001 CPU
Z8001A CPU
Z8002 CPU
Z8002A CPU

II
~

s:

Dl

-<."

ac.
c

~

(II

5-44

Military
Device Type
JM38510/
48003
52001
52003
52002
52004

Generic Type and JM38510 Device Cross-Reference Guide

GENERIC TYPE AND JM38510 DEVICE CROSS·REFERENCE GUIDE
Genericl
Industry no.

Military
Device Type*

JM385101

54HC85
54HC123
54HC147
54HC148
54HC151
54HC153
54HC157
54HC158
54HC164
54HC165
54HC166
54HC194
54HC195
54HC221
54HC238
54HC251
54HC253
54HC257
54HC280
54HC283
54HC299
54HC354
54HC356
54HC590
54HC592
54HC593
54HC595
54HC597
54HC620
54HC623
54HC670
54HC682
54HC684
54HC686
54HC688
54HC4520

66101
65901
66001
66002
66201
66202
66203
66204
66501
66502
66503
66504
66505
65902
65805
66205
66206
66207
66801
66701
66506
66208
66209
66401
66402
66403
66507
66508
65504
65505
66601
66102
66103
66104
66105
66314

II

*Tentative Assignments

5-45

DESC Standard Drawings

DESC Standard Drawings
The DESe Standard Drawing program provides industry standard specifications in compliance
with Class B requirements for devices that are not JM3851 0 qualified. Texas Instruments fully
supports the DESe Standard Drawing Program. Refer to Logic Product Spectrum for approved
product listing.

DESC STANDARD DRAWING NOMENCLATURE
Example:

5962-85155
or

Drawing Number---------82005

01

Device-------'I

F
/

Package------------------------------------------------------------------~·

A
B

e
D

E
F
G
H
I
J

=
=

=
=

K

=

L
M

=
=
=
=

P
Q

R

S
V
W

2
3
X

=
=

=
=

=
=
=

14-pin flatpack (1/4" x 1/4")
14-pin flatpack (3/16" x 1/4")
14-pin DIP
14-pin flatpack
16-pin DIP
1 6-pin flatpack
8-pin can
10-pin flatpack
10-pin can
24-pin DIP
24-pin flatpack
24-pin DIP (300 mil)
12-pin can
8-pin DIP
40-pin DIP
20-pin DIP
20-pin flatpack
18-pin DIP
22-pin DIP
20-pad Lee
28-pad Lee
other packages

Lead Finish - - - - - - - - - A
B
e

5-46

= Solder Dip

= Tin Plate
= Gold Plate

A

DESC Cross-Reference Guide

DESC CROSS·REFERENCE GUIDE
Generic
Part Number

DESC
Drawing
Part no.

Generic
Part Number

DESC
Drawing
Part no.

26S02
26S10
26S12
2700
2700
2702
2702
27128 (450 ns)
27128 (250 ns)
27128A-11
27128A-15
27128A-20
27128A-25
27128A-30
2716 (350 ns)
27256 (350 ns)
27256 (250 ns)
27256 (200 ns)
27256 (170 ns)
2732
2732A25
2732A45
27512-25
27512-30
27512-35
2751.2-45
2764 (450 ns)
2764 (250 ns)
2764A (350 ns)
2764A (250 ns)
2764A (200 ns)
27C64-20
27C64-25
27C64-35
27C128-20
27C128-25
27C128-30
27C256-20
27C256-25
27C256-30
27C512-20
27C512-25
27LSOO
27LSOOA
27LS01
27LS01A

5962-8766201
5962-8671901
5962-8753401
8503001
8503002
8503003
8503004
8202501
8202502
8282507
8282506
8282503
8282505
8282504
7802202
8411101
8411102
8411103
8411104
8001201
8001203
8001204
5962 -8513501
5962-8513503
5962-8513502
5962-8513504
8200501
8200502
8200503
8200504
8200505
8510203
8510201
8510202
5962-8766105
5962-8766106
5962-8766107
5962-8606301
5962-8606302
5962-8606303
5962-8764802
5962-8764803
8602001
8602002
8602003
8602004

L--.

0056

7800801

10516
10H503
10H506
10H513
10H531
10H536
10H558
10H560
10H561
10H571
10H586
10H609
14584
145xxB
1526
1536
1545
15530-8
1823
1842
1853
1854

7800901
5962-8756501
5962-8756401
5962-8755801
5962-8756101
5962-8700101
5962-8756601
5962-8756201
5962-8756701
5962-8756801
5962-8756301
5962-8756901
8550102
See 45xxB
8551501
7800304
8671201
7802901
7901801
8670401
7901901
7901701

201A
2118
2168-55
2168-70
2169-50
2169-70
2420
2516-45
25LS09
25LS13
25LS299
25LS2518
25LS2519
25LS2521
25LS2569
25S09
25S10
25S240
25S241
26LS30
26LS328
26LS34

7705301
8101501
5962-8608101
5962-8608102
5962-8608103
5962-8608104
8001601
7802201
8670801
5962-8754201
7802402
5962 -867190 1
5962-8670201
5962-8671301
5962-8754401
5962-8672801
5962-8671801
5962-8672401
5962-8672501
5962-8672101
5962-8761601
5962-8759601

5-47

II
....uen
~

"C

e

~

~
co
~

~

DESC Cross-Reference Guide

DESC CROSS·REFERENCE GUIDE

II
~

a=
DI
-<."

ac.
c

n

r+

en

5-48

Generic
Part Number

DESC
Drawing
Part no.

27LS02
27LS03
27LS07
27PS191
27PS191A
27PS291
27PS291A
27S02
27S02A
27503
27503A
27507
27507A
27519
27519A
27529
27S35
27535A
27537
27S37A
27545
27545A
27547
27S47A
27549
27S49A
2864
28HC16-55
2901A,8
2901C
2904
2909A
2910
2910A
291A
2911A
29116
2917A
2918
2919
2930
2940
2942
2947
2960
29633

8605103
8605107
7801504
5962-8552601
5962-8552602
5962-8552603
5962-8552604
8605101
8605102
8605104
8605106
7801503
7801501
5962-8670301
5962-8670302
5962-8769001
5962-8670601
5962-8670602
5962-8670603
5962-8670604
5962-8552701
5962-8552702
5962-8552703
5962-8552704
8200901
8200902
5962-8683001
5962-8867601
7700701
8405701
8601701
8602701
7801701
7801702
8602901
8602801
5962-8686301
5962-8671401
5962-8671501
5962-8670201
5962-8757301
8603001
5962-8680101
5962-8672301
5962-8760201
7902401

Generic
Part Number
29648
2965
2966
29705A
29C82X
29C86X
29C116
29FCT520
29PL141
3130

DESD

Drawing
Part no.

5962-8757401
5962-8670701
5962-8670702
8602501
5962-8766701
5962-8770401
5962 -8861201
5962-8873601
5962-8872001
7703301

32010
320C10

8405301
5962-8763301

40138
401748
40218
40238
40298
40358
40408
40428
40448
40478
4049U8
40528
40538
4060A
40698
40728
40738
40788
40818
40828
40938
40948
40988

7901101
8202201
7901201
7901301
8101601
8101701
7705801
8101901
7702601
8102001
7901401
7901501
8101801
7703101
7702701
7706001
7705101
7704401
7702401
7705901
7704601
7702501
7705501

4164 (120 ns)
4164 (150 ns)
4164 (200ns)
4167 (100 ns)
4167 (70 ns)
4167 (55 ns)
4256-12
4256-15
4256-20

8201008
8201006
8201007
8200701
8200702
8200703
8515203
8515201
8515202

DESC Cross-Reference Guide

DESC CROSS-REFERENCE GUIDE
Generic
Part Number

DESC
Drawing
Part no.

4344
45028
45148
45158
4516
45208
45288
45558
45568
45578
4564 (150 ns)
4564 (200 ns)
4585
461068

5962-8780301
7702001
7703501
7703201
8101502
7702301
7704501
7704701
7704801
7901601
8201001,02
8201003
7703702
5962 -8550101

506A
507A
508A
508A (O-Vprot.)
509A
5040
5041
5042
5043
5044
5045
5046
5047
51C67
521
529
52833
5341
54185A
54221

5962-8513101
5962-8513102
7705201
7705202
5962-8513103
8100601,09
8100602,10
8100603,11
8100604,12
8100605,13
8100606,14
8100607,l5
8100608,16
8413202
5962-8751601
5962-8757202
5962-8683004
7801601
7703001
5962-8771101

54ACOO
54AC02
54AC04
54AC08
54AC10
54AC11
54AC14
54AC20
54AC32
54AC153
54AC174

5962 -8754901
5962-8761201
5962-8760901
5962-8761501
5962-8761001
5962-8761101
5962-8762401
5962-8761301
5962-8761401
5962-8762501
5962-8762601

l

Generic
Part Number
54AC240
54AC241
54AC244
54AC251
54AC253
54AC273
54AC299
54AC373
54AC541
54AC11000
54AC11004
54AC11020
54AC11240
54ACTOO
54ACT138
54ACT139
54ACT151
54ACT158
54ACT174
54ACT245
54ACT299
54ACT373
54ACT541
54ACT821
54ACT11000
54ACT11008
54ACT11074
54ACT11109
54ACT11240
54ACT11244
54ACT11374
54ALSOOA
54ALS02
54ALS04B
54ALS05A
54ALS08
54ALS09
54ALS10A
54ALS11A
54ALS20A
54ALS21A
54ALS27
54ALS30A
54ALS32
54ALS38A
54ALS74A

DES£]

Drawing
Part no.

5962-8755001
5962-8755101
5962-8755201
5962-8769201
5962-8769301
5962-8775601
5962-8875401
5962 -87 5550 1
5962-8870601
5962-8754902
5962-8760902
5962-8761302
5962-8755002
5962-8769901
5962-8755401
5962-8755301
5962-8875601
5962-8875501
5962-8775701
5962-8766301
5962-8877101
5962-8755601
5962-8870401
5962-8870501
5962-8769902
5962-8994701
5962-8752502
5962-8853402
5962-8775902
5962-8755202
5962-8763102
5962-8683301
5962-8684401
5962-8684301
5962-8854001
5962-8684201
8414201
5962-8686501
5962-8684101
5962-8858901
8414301
5962-8683801
5962-8683701
5962-8683601
5962-8687101
8401101

5-49

II
...
I/)

(,)

:::I
"C

e

Q.

~
m

:s
~

DESC Cross-Reference Guide

DESC CROSS·REFERENCE GUIDE
Generic
Part Number
54ALS86
54ALS109A
54ALS112A
54ALS133
54ALS138
54ALS139
54ALS151
54ALS153
54ALS157
54ALS158
54ALS161B
54ALS162B
54ALS163B
54ALS164
54ALS165
54ALS169B
54ALS174
54ALS175
54ALS191
54ALS193
54ALS240A
54ALS241A
54ALS242B
54ALS243A
54ALS244A
54ALS245A
54ALS251
54ALS253
54ALS257
54ALS258
54ALS259
54ALS273
54ALS299
54ALS323
54ALS373
54ALS374
54ALS520
54ALS534
54ALS541
54ALS561A
54ALS563A
54ALS564A
54ALS569A
54ALS573B
54ALS574A
54ALS576A

II
~

s=

QI

-<""C

ac.
cC")

r+

Ul

5-50

DESC
Drawing
Part no.

5962·8862101
8400001
8400002
5962·8859001
5962·8686601
5962·8768301
8414101
8413401
5962·8686901
5962·8862501
8302201
8407901
8302202
5962-8687001
5962·8957401
8302501
8301901
8301902
5962·8684001
5962·8869801
5962·8859101
5962·8859601
8401301
8401302
5962·8683901
84030D1

8413501
8509601
8509701
5962·8862601
5962·8874101
8413601
8302101
8302102
8302001
8302002
5962-8869101
5962·8866801
5962·8960201
8302203
5962·8870001
5962·8872801
8302502
8401201
8400101
8400102

Generic
Part Number
54ALS580A
54ALS640B
54ALS645A
54ALS652
54ALS688
54ALS804A
54ALS805A
54ALS808A
54ALS832A
54ALS857
54ALS873B
54ALS874B
54ALS876
54ALS880
54ALS996
54ALS1000A
54ALS1004
54ALS1008A
54ALS1010A
54ALS1034
54ALS1035
54ALS1244A
54ALS1245A
54AS21
54AS286
54AS640
54AS646
54AS651
54AS652
54AS804B
54~S805B

54AS808B
54AS823
54AS832B
54AS867
54AS1004A
54AS1032A
54AS1034A

D£J

Drawing
Part no.

8401202
5962·8872701
8403301
5962·8867301
5962·8857801
5962·8869301
5962·8869401
5962·8869501
8414501
5962-8753301
8403201
8401001
8401002
8403202
5962·8994501
8405901
8406101
8409701
8406001
8403101
5962·8874201
5962·8873801
5962·8873701
5962·8780401
5962·8966301
5962·8955301
5962·8759501
5962·8875301
5962·8868701
5962·8776601
5962·8779401
5962·8852201
5962·8952501
5962·8852301
5962·8966801
5962·8872901
5962·8873001
5962·8873101

54C08
54C85
54C906
54C922

7703601
7703701
7705601
5962-8752101

54F09
54F14

5962·8872301
5962-8875201

DESC Cross-Reference Guide

DESC CROSS-REFERENCE GUIDE
---

Generic
Part Number

DESC
Drawing
Part no.

54F21
54F27
54F30
54F36
54F38
54F51
54F85
54F164
54F194
54F241
54F243
54F245
54F350
54F381
54F518
54F519
54F520
54F544
54F776

5962-8955401
5962-8951001
5962·8870801
5962·8870901
5962-8687201
5962-8877401
5962-8606901
5962-8607101
5962-8685001
5962-8687401
5962-8683401
8551101
5962-8607501
5962 -8671001
5962·8871001
5962·8871101
5962·8871201
5962-8955501
5962-8870701

54FCT161
54FCT374A
54FCT823
54FCT841
54FCT863

5962-8864001
5962-8762801
5962-8865601
5962-8857501
5962 -8866101

54HCOO
54HC02
54HC03
54HC04
54HC05
54HC08
54HC09
54HC10
54HC11
54HC14
54HC20
54HC21
54HC27
54HC30
54HC32
54HC42
54HC73
54HC74
54HC75
54HC86
54HC107

8403701
8404101
5962·8764701
8409801
5962·8871801
8404701
5962·8862001
8403801
8404801
8409101
8403901
5962·8857601
8404201
8404001
8404501
5962·8682101
5962 -8515301
8405601
8407001
8404601
5962·8515401

Generic
Part Number

DESC
Drawing
Part no.

54HC109
54HC112
54HC123
54HC125
54HC126
54HC133
54HC138
54HC139
54HC147
54HC151
54HC153
54HC154
54HC157
54HC158
54HC160
54HC161
54HC162
54HC163
54HC164
54HC165
54HC173
54HC174
54HC175
54HC191
54HC192
54HC193
54HC194
54HC195
54HC237
54HC238
54HC240
54HC242
54HC243
54HC244
54HC245
54HC251
54HC253
54HC257
54HC259
54HC266
54HC273
54HC280
54HC299
54HC365
54HC366
54HC367

8415001
8408801
5962-8684701
5962·8772101
5962·8684801
5962-8772301
8406201
8409201
8406401
8412801
8409301
5962-8682201
5962·8606101
5962·8682301
5962·8682401
8407501
8409401
8607601
8416201
8409501
5962·8682501
8407301
8408901
5962·8689101
5962-8780801
5962·8772401
5962·8682601
5962·8680701
5962·8860601
5962·8688401
8407401
5962-8863301
8409001
8409601
8408501
8512501
5962-8868201
8512401
8551901
8404301
8409901
8607701
5962-8780601
8500101
5962·8682801
8500201

5·51

II
....u

I/)

::::J

"C

e

~

~

CO

:!:
~

DESC Cross-Reference Guide

DESC CROSS-REFERENCE GUIDE

II
~

s=
D)

-<."

aC.

..
c

n

VI

5-52

Generic
Part Number

DESC
Drawing
Part no.

54HC368
54HC373
54HC374
54HC377
54HC390
54HC393
54HC4002
54HC4017
54HC4020
54HC4024
54HC4040
54HC4049
54HC4050
54HC4075
54HC4538
54HC533
54HC534
54HC563
54HC564
54HC573
54HC590A
54HC595
54HC597
54HC640
54HC646
54HC688
54HCTOO
54HCT08
54HCT14
54HCT32
54HCT74
54HCT138
54HCT154
54HCT161
54HCT165
54HCT240
54HCT244
54HCT245
54HCT373
54HCT374
54HCT573
54HCT688
54HCU04
54LS09
54LS26

5962-8681201
8407201
8407101
5962-8780701
8600901
8410001
8404401
8601101
8500301
8601201
8500401
5962-8681901
5962-8682001
5962-8772201
5962 -868860 1
5962-8681301
5962-8681401
5962-8606201
5962-8681501
8512801
5962-8960301
5962-8681601
5962-8681701
5962-8780901
5962 -8688501
5962-8681801
5962-8683101
5962-8688301
5962-8689001
5962-8685201
5962-8685301
8550401
5962-8670101
5962 -8685401
5962-8685501
8550501
8513001
8550601
5962-8686701
8550701
5962-8685601
5962-8685701
8601001
8001901
7602001

Generic
Part Number
54LS33
54LS42
54LS47
54LS75
54LS76A
54LS83A
54LS90
54LS93
54LS122
54LS123
54LS124
54LS132
54LS138
54LS139A
54LS145
54LS148
54LS151
54LS153
54LS154
54LS157
54LS158
54LS160A
54LS161A
54LS163A
54LS165A
54LS166A
54LS168
54LS169B
54LS170
54LS190
54LS191
54LS192
54LS193
54LS196
54LS197
54LS221
54LS240
54LS242
54LS243
54LS244
54LS245
54LS251
54LS253
54LS257

DESC
Drawing
Part no.
8512601
7603101
7604501
7601201
7601301
7601401
7603201
7700101
7600301
7603901
7704101
7600401
7600501
7600701
8508401
7802701
7601001
7601101
83017
7600201
7603301
7700901
7600801
7603401
7700601
8001701
8001801
8001802
8002501
7603501
7600901
7603601
7600601
7701001
7601501
7604201
7801201
8002001
8002002
7705701
8002101
7601601
7601701
7603701

DESC Cross-Reference Guide

DESC CROSS-REFERENCE GUIDE
Generic
Part Number

DESC
Drawing
Part no.

54L52588
54L5261
54L5273
54L5279A
54L5283
54L5298
54L5299
54L5353
54LS374
54L5382A
54L5390
54L5399
54L5540
54L5541
54L5590
54L5592
54L5595
54L5629
54L5640
54L5668
54L5670
54L5673
54L5674
54L5682
54L5684
54L5688

7603801
8002601
7801001
7601801
7604301
7601901
7802401
8550801
7801101
5962-8688101
7802601
8415401
8415501
8415601
5962-8751701
5962·8762101
5962·8671701
8102101
8416101
5962·8687601
7704201
5962·8860201
5962·8860701
8415101
8415201
8415301

545138
545139
54S189
545194
545251
545258

7604101
7700401
7801502
7604001
8002201
8002301

5539
55110A
55113
55115
55116
55117
55118
55119
55121
55138
55182
55183
55188

5962-8606401
5962·8754701
5962-8874401
5962-8874501
5962·8851101
5962·8851102
5962·8851103
5962·8851104
5962·8776901
5962-8851201
7900801
7900901
5962·8688901

Generic
Part Number

Des£]

Drawing
Part no.

55189A
554528
55454

5962-8688802
7704901
5962-8871501

55500E
55501E
55AL5160
55AL5161
55ALS192
55AL5195
567
56001

8601801
8601802
5962-8968001
5962-8968101
5962-8871701
5962-8864901
5962-8700301
5962 -8951201

572
574AU
574AT
57C1918-45

5962-8757201
5962-8512701,03
5962-8512702,04
5962·8765001

6N134
6N140A
6108
6164-55
6168
61CD16LA-45
61CD16LA·55
61CD16SA·35
61CD165A·45
6207C
6268
63105
63125
64C16S-35
64C16S·45
64C16L·45
64C16S-55
64C16L·55
64C16S·70
64C16L·70
6504
65112
6514
6516-8
65162C
65162-8
65262
68000 (6MHz)
68000 (8M Hz)

8102801
8302401
7705201
8552505
5962-8670501
8413209
8413208
8413205
8413202
5962-8872501
5962-8670502
5962-8604901
5962-8757901
5962·8670503
5962·8670505
5962·8670506
5962·8670507
5962·8670508
5962-8670509
5962-8670510
8102401
5962-8753501
8102402
8403601
8403603
8403602
8413201
8202101
8202102

II
J!iu
j

"0

e

Q.

~

CO

:l:
~

5-53

DESC Cross-Reference Guide

DESC CROSS-REFERENCE GUIDE

II
~

;:;'
Q)

-<."

ac.
c

n
r+
til

5-54

Generic
Part Number

DESC
Drawing
Part no.

68000 (10M Hz)
68020
685
68881
68CE16S-35
68CE16S-45
68CE16S-55
68CE16L-25
68CE16L-35
69CE72
733
71331
7226
76321
7641
76641
7667
7681
7820A
7831
7832
79M05
79M12
79M15
79M24
7905K
7912K
7915K
7924K

8202103
5962-8603201
8600801
5962-8602101
8403614
8403609
8403611
5962-8874002
5962-8874001
5962-8868301
8418501
5962 -886650 1
5962-8780201
8200801
7801601
8200901
5962-8766001
7902401
7900801
8004101
8004102
7704001
7704003
7704004
7704005
7704001
7704003
7704004
7704005

8001
8001A
8002
80386
8080A
8085A
8097
80C31
80C51
80C86
8155
8156
82188
82288-6
82288-8
8253
8255A

8000301
8000303
8000302
5962 -87 6680 1
7700201
7901001
8506301
8506401
8506402
8405201
5962-8759301
5962 -8759302
5962-8767201
8514901
8514902
5962-8752001
5962-8757001

Generic
Part Number

off]

Drawing
Part no.

8259A
8282
8283
8286
8287
82720
82C52
82C54
82C55A
82C59C
82C82
82C84A
82C86
82C87
82C88
82C89
82S141
82S153A
82S16
82S62
82S105
8397
8751H8
87C51
8X305
8X320
8X3S0
9064-15
9064-20
9128-12
9128-20
.9128-90
9150
93448
93S16
93S48
93Z565
93Z565A
9450
9513A
9517A
9519A

5962-8751801
8417901
8417902
5962-8686801
5962-8686802
5962 -860880 1
8501501
8406501
8406601
5962-8501601
8406701
8406801
5962-8757701
5962-8757702
8406901
8552801
7801601
5962-8768201
8602301
5962-8754301
5962-8670901
8552001
8419001
5962 -87 6840 1
8550201
8550301
5962-8605201
8201009
8201010
8103907
8103908
8103906
5962-8759201
7801601
5962-8672701
5962-8672601
8200901
8200902
8416901
8552301
5962-8757501
5962-8759701

9622
9636A
9637A
9638

5962-8752201
5962 -8752301
5962-8752401
5962-8754601

DESC Cross-Reference Guide

DESC CROSS·REFERENCE GUIDE
Generic
Part Number

DESC
Drawing
Part no.

9914A
99668
99C88-10
99C88-12
99C88-15
99C8870

5962-8751901
5962-8670503
8552503
8552502
8552501
8552504

AD390
AD562
AD563
AD567
AD570
AD578
AD585
AD590
AD7228
AD7245
AD7248
AD7524
ADC0820
AM686
AM687
AM26LS31
AM26LS32
AM26LS33
AM2932
AT28HC256
CA3130B
CMOS PAL 16XX
CMOS PAL 16XX
CT50002
CY7C245
DAC703
DAC87 (HYB)
DAC87 (MONO)
DG129
DG201A
DG508A
DS1631
EP1800
EP310
HA-2640
H1508A
HC221
HC221A
HI-201HS

5962-8850901
8100801
8100802
5962-8780101
5962-8680201
5962-8865801
5962-8754001
5962-8757101
5962-8866301
5962 -887 660 1
5962-8876602
5962-8770001
5962-8865001
7801801
7801901
7802301
7802001
7802002
8605001
5962-8863401
7703301
5962-8867801
5962-8871301
7801301
5962-8752901
5962-8856301
8300201
8300301
7801401
7705301
7705201
5962 -8863101
5962-8854901
5962-8863501
7800302
7705202
5962-8780501
5962-8780502
5962-8671601

]

£J

Generic
Part Number

DESC
Drawing
Part no.

ICM7170
IDT7133
IDT71682
IDT7203S-50
IH5116
IH5208
IH5216
IH6108
LF198H
LHOO02
LH0021
LH0032
LH0033
LH0041
LH0070
LH010l
LH0101A
LM10H
LM103H-l.8
LM103H-2.0
LM103H-2.2
LM103H-2.4
LM103H-2.7
LM103H-3.0
LM103H-3.3
LM103H-3.6
LM103H-3.9
LM103H-4.3
LM103H-4.7
LM103H-5.1
LM103H-5.6
LM104
LM106
LMll0
LMl13
LMl13-1
LM113-2
LMl17K
LM117HV
LM119
LM119A
LM120H-5.0
LM120H-12
LM120H-15
LM120H-24

5962-8765301
5962-8861001
5962-8861101
5962-8866901
5962-8513104
5962-8513105
5962-8513106
7705201
5962-8760801
7801301
8508801
8001301
8001401
8508701
5962-8688201
8508902
8508901
5962-8760401
7702801
7702802
7702803
7702804
7702805
7702806
7702807
7702808
7702809
7702810
7702811
7702812
7702813
5962-8760501
8003701
5962-8760601
5962-8671101
5962-8671102
5962-8671103
7703401
7703402
8601401
8601402
7704001
7704003
7704004
7704005

5-55

II
....en

C,)

:::s

'0

e

~

~

CO

:l:
~

OESC Cross-Reference Guide

DESC CROSS-REFERENCE GUIDE
Generic
Part Number

II
~

s=D.I

-<."

ac.
c

n
r+
VI

LM120K-5.0
LM120K-12
LM120K-15
LM120K-24
lM124
LM131AH
LM136A
LM137
LM137HV
LM139
LM143
LMl44
LM161
LM185
LM709
LM4250
LT1013
LT1016
LT1017
LT1021-5
LT1028
LT1039
LT1085

7704001
7704003
7704004
7704005
7704301
5962-8760701
8418001
7703403
7703404
7700801
7800303
7800301
5962-8757203
5962-8759401
7800701
7703901
5962-8876001
5962-8684501
5962-8950401
5962-8876201
5962-8862201
5962-8875101
5962-8864601

M80186(6 MHz)
M80186(8 MHz)
M80286-6
M80286-8
MC15368
MKB4516
MN3008
MN3009
MUX24

8501002
8501001
5962-8514802
5962-8514801
7800304
8101502
5962-8768801
5962-8768802
5962-8771801

NSC800(2.5 MHz)
NSC800(4 MHz)
OP07
OP07A
OP14
OP37
OP207
OP215
OP270
OP271
OP421
OP-227A

5-56

OESC

Drawing
Part no.

8301301
8301302
8203602
8203601
5962 -8771401
5962-8853701
5962-8771501
5962-8853801
5962-8872101
5962-8872102
5962-8855901
5962-8688701

Generic
Part Number

DES£]

Drawing
Part no.

OPA501

5962-8762001

PAL 10H8
PAL 10L8
PAL 12H6
PAL 12L6
PAL12L10
PAL14H4
PAL14L4
PAL 14L8
PAL16A4
PAL 16Cl
PAL16H2
PAL16L2
PAL16L6
PAL16L8
PAl16l8A
PAl16l8A-2
PAL 16L8A-4
PAL16R4
PAl16R4A
PAl16R4A-2
PAL16R4A-4
PAL16R6
PAl16R6A
PAl16R6A-2
PAL16R6A-4
PAL16R8
PAl16R8A
PAl16R8A-2
PAL16R8A-4
PAL16X4
PAL 18L4
PAL18P8
PAL20Cl
PAL20L2
PAL20L8
PAL20L8A
PAL20L10A
PAL20R4
PAL20R4A
PAL20R6
PAL20R6A
PAL20R8
PAL20R8A
PAL20RA10

8103501
8103506
8103502
8103507
5962-8680402
8103503
8103508
5962-8680403
8103606
8103505
8103504
8103509
5962-8680404
8103601
8103607
8103611
8506501
8103604
8103610
8103614
8106504
8103603
8103609
8103613
8506503
8103602
8103608
8103612
8506502
8103605
5962-8680401
5962-8752801
5962-8680406
5962-8680405
5962-8767101
8412901
8412905
5962-8767104
8412904
5962-8767103
8412903
5962-8767102
8412902
5962-8680301

DESC Cros~·Reference Guide

DESC CROSS-REFERENCE GUIDE
Generic
Part Number

DESC
Drawing
Part no.

PAL20X4A
PAL20X8A
PAL20X10A
PAL22V10A

8412908
8412906
8412907
5962-8605301

REF02A
RM4194
SG1524
SG1626

8551401
7705401
7802801
5962 -8871601

TCM29C13

5962-8873201

TIBPAL 16L8-15
TIBPAL 16L8·20
TIBPAL 16L8·30
TIBPAL 16R4·15
TIBPAL 16R4·20
TIBPAL 16R4·30
TIBPAL 16R6·15
TIBPAL 16R6·20
TIBPAL 16R6·30
TIBPAL 16R8·15
TIBPAL 16R8·20
TIBPAL 16R8·30
TIBPAL20L8·20
TIBPAL20L 10-25
TIBPAL20R4·20
TIBPAL20R6·20
TIBPAL20R8·20
TIBPAL20X4-25
TIBPAL20X8-25
TIBPAL20X10-25

5962-8515509
5962·8515501
5962·8515505
5962·8515512
5962·8515504
5962·8515508
5962·8515511
5962·8515503
5962·8515507
5962·8515510
5962·8515502
5962·8515506
8412901
8412905
8412904
8412903
8412902
8412908
8412906
8412907

TL061
TL062
TL064
TL071
TL072
TL074
TL431
TL514
TL7702A
TL7705A
TLC372
TLC374

8102301
8102302
8102303
8102304
8102305
8102306
8410901
5962-8687801
5962·8868502
5962·8765801
5962·8765901

TMC208KB5V
TP0032

5962-8873901
8001301

Generic
Part Number
TP0033

DESC
Drawing
Part no.
8001401

UC1707
UC1846
UH502
UHC0508
ULS2070

5962-8761901
5962-8680601
5962-8856401
8550001
5962-8753201

XC2018
XR-2211

5962-8863801
7705001

Z0858106
Z0858110
Z8001
Z8001A
Z8001B
Z8002
Z8002B
Z8030
Z8030A
Z8036
Z8036A
Z8420
Z8420A
Z8430
Z8430A
Z8442
Z8442A
Z8530
Z8536
Z8536A

5962-8752602
5962-8752601
8000301
8000303
8000304
8000302
8000305
5962-8551802
5962-8551801
8551702
8551701
8418602
8418601
8301602
8301601
8301502
8301501
5962-8752701
8300101
8300102

5962·~868501

5-57

II
...
U)

u

::::s

"C

e

a..

~
co

is

~

DESC Cross-Reference Guide

DESC CROSS·REFERENCE GUIDE
DESC
Drawing
Part no.

7600201
7600301
7600401
7600501
7600601
7600701
7600801
7600901
7601001
7601101
7601201
7601301
7601401
760150,
7601601
7601701
7601801
7601901
7602001
7603101
7603201
7603301
7603401
7603501
7603601
7603701
7603801
7603901
7604001
7604101
7604201
7604301
7604501
7700101
7700201
7700401
7700601
7700701
7700801
7700901
7701001
7702001
7702301
7702401
7702501
7702601
7702701

II
~

s:I»

-<"0

a
Q.

c

n

r+

til

S-S8

Generic
Part Number

DESC
Drawing
Part no.

Generic
Part Number

54LS157
54LS122
54LS132
54LS138
54LS193
54LS139A
54LS161A
54LS191
54LS151
54LS153
54LS75
S4tS76A
54LS83A
54LS197
54LS251
54LS253
54LS279A
54LS298
54LS26
54LS42
54LS90
54LS158
54LS163A
54LS190
54LS192
54LS257
54LS258B
54LS123
54S194
54S138
54LS221
54LS283
54LS47
54LS93
8080A
54S139
54LS165A
2901A,8
LM139
54LS160A
54LS196
45028
45208
40818
40948
40448
40698

7702801
7702802
7702803
7702804
7702805
7702806
7702807
7702808
7702809
7702810
7702811
7702812
7702813
7703001
7703101
7703201
7703301
7703301
7703401
7703402
7703403
7703404
7703501
7703601
7703701
7703702
7703901
7704001
7704001
7704001
7704001
7704003
7704003
7704003
7704003
7704004
7704004
7704004
7704004
770400S
770400S
770400S
770400S
7704101
7704201
7704301

LM103H-1.8
LM103H-2.0
LM103H-2.2
LM103H-2.4
LM103H-2.7
LM103H-3.0
LM103H-3.3
LM103H-3.6
LM103H-3.9
LM103H-4.3
LM103H-4.7
LM103H-5.1
LM103H-5.6
54185A
4060A
45158
3130
CA31308
LM117K
LM117HV
LM137
LM137HV
45148
54C08
54C85
4585
LM42S0
790SK
79MOS
LM120H-S.0
LM120K-S.0
791"zK
79M12
LM120H-12
LM120K-12
791SK
79M15
LM120H-15
LM120K-1S
7924K
79M24
LM120H-24
LM120K-24
54LS124
54LS670
LM124

DESC Cross-Reference Guide

DESC CROSS·REFERENCE GUIDE

I

DESC
Drawing
Part no.

Generic
Part Number

7704401
7704501
7704601
7704701
7704801
7704901
7705001
7705101
7705201
7705201
7705201
7705201
7705202
7705202
7705301
7705301
7705401
7705501
7705601
7705701
7705801
7705901
7706001
7800301
7800302
7800303
7800304
7800304
7800701
7800801
7800901
7801001
7801101
7801201
7801301
7801301
7801401
7801501
7801502
7801503
7801504
7801601
7801601
7801601
7801601
7801701

40788
45288
40938
45558
45568
55452B
XR-2211
40738
508A
DG508A
IH6108
6108
508A (O-Vprot.)
H1508A
201A
DG201A
RM4194
40988
54C906
54LS244
40408
40828
40728
LM144
HA-2640
LM143
MC15368
1536
LM709
0056
10516
54LS273
54LS374
54LS240
CT50002
LHOO02
DG129
27S07A
54S189
27S07
27LS07
82S141
5341
7641
93448
2910

J

DESC
Drawing
Part no.

7801702
7801801
7801901
7802001
7802002
7802201
7802202
7802301
7802401
7802402
7802601
7802701
7802801
7802901
7900801
7900801
7900901
7901001
7901101
7901201
7901301
7901401
7901501
7901601
7901701
7901801
7901901
7902401
7902401
8000301
8000302
8000303
8000304
8000305
8001201
8001203
8001204
8001301
8001301
8001401
8001401
8001601
8001701
8001801
8001802
8001901

II

Generic
Part Number

2910A
AM686
AM687
AM26LS32
AM26LS33
2516-45
2716 (350 n5)
AM26LS31
54LS299
25LS299
54LS390
54LS148
SG1524
15530-8
55182
7820A
55183
8085A
40138
40218
40238
4049U8
40528
45578
1854
1823
1853
29633
7681
Z8001
Z8002
Z8001
Z80018
Z80028
2732
2732A25
2732A45
LH0032
TP0032
LH0033
TP0033
2420
54LS166A
54LS168
54LS169B
54LS09

III
..,en
Co)

::::J

"C

e

Q.

~

CO

~

~

5-59

DESC Cross-Reference Guide

DESC CROSS·REFERENCE GUIDE

II
~

s:CI

~

.....,

a
Q.

c

n

a

5-60

DESC
Drawing
Part no.

Generic
Part Number

DESC
Drawing
Part no.

Generic
Part Number

8002001
8002002
8002101
8002201
8002301
8002501
8002601
8003701
8004101
8004102
8100601,09
8100602,10
8100603,11
8100604,12
8100605,13
8100606,14
8100607,15
8100608,16
8100609
8100610
8100611
8100612
8100613
8100614
8100615
8100616
8100801
8100802
8101501
8101502
8101601
8101701
8101801
8101901
8102001
8102101
8102301
8102302
8102303
8102304
8102305
8102306
8102401
8102402
8102801
8103501

54LS242
54LS243
54LS245
54S251
54S258
54LS170
54LS261
LM106
7831
7832
5040
5041
5042
5043
5044
5045
5046
5047
5040
5041
5042
5043
5044
5045
5046
5047
AD562
AD563
2118
4516
40298
40358
40538
40428
40478
54LS629
TL061
TL062
TL064
TL071
TL072
TL074
6504
6514
6N134
PAL10H8

8103502
8103503
8103504
8103505
.8103506
8103507
8103508
8103509
8103601
8103602
8103603
8103604
8103605
8103606
8103607
8103608
8103609
8103610
8103611
8103612
8103613
8103614
8103906
8103907
8103908
8106504
8200501
8200502
8200503
8200504
8200505
8200701
8200702
8200703
8200801
8200901
8200901
8200901
8200902
8200902
8201001,02
8201001
8201003
8201006
8201007
8201008

PAL 12H6
PAL 14H4
PAL 16H2
PAL16el
PAL 10L8
PAL 12L6
PAL 14L4
PAL 16L2
PAL 16L8
PAL 16R8
PAL16R6
PAL16R4
PAL 16X4
PAL16A4
PAL16L8A
PAL16R8A
PAL16R6A
PAL16R4A
PAL16L8A-2
PAL 16R8A-2
PAL 16R6A-2
PAL16R4A-2
9128-90
9128-12
9128-20
PAL16R4A-4
2764 (450 nsl
2764 (250 ns)
2764A (350 ns)
2764A (250 ns)
2764A (200 ns)
4167 (100 ns)
4167 (70 ns)
4167 (55 ns)
76321
27S49
93Z565
76641
27S49A
93Z565A
4564 (150 ns)
4164
4564 (200 ns)
4164 (150 ns)
4164 (200 ns)
4164 (120 ns)

DESC Cross-Reference Guide

DESC CROSS·REFERENCE GUIDE
r

DESC
Drawing
Part no.

Generic
Part Number

8201009
8201010
8202101
8202102
8202103
8202201
8202501
8202502
8282503
8282504
8282505
8282506
8282507
8203601
8203602
8300101
8300102
8300201
8300301
8301301
8301302
8301501
8301502
8301601
8301602
8301701
8301901
8301902
8302001
8302002
8302101
8302102
8302201
8302202
8302203
8302401
8302501
8302502
8400001
8400002
8400101
8400102
8401001
8401002
8401101
8401201

9064-15
9064-20
68000 (6 MHz)
68000 (8 MHz)
68000 (10 MHz)
40174B
27128 (450 ns)
27128 (250 ns)
27128A-20
27128A-30
27128A-25
27128A-15
27128A-11
OP07A
OP07
Z8536
Z8536A
DAC87 (HYB)
DAC87 (MONO)
NSC800 (2.5 MHz)
NSC800 (4 MHz)
Z8442A
Z8442
Z8430A
Z8430
54LS154
54ALS174
54ALS175
54ALS373
54ALS374
54ALS299
54ALS323
54ALS161B
54ALS163B
54ALS561A
6N140A
54ALS169B
54ALS569A
54ALS109A
54ALS112A
54ALS574A
54ALS576A
54ALS874B
54ALS876
54ALS74A
54ALS573B

DESC
Drawing
Part no.

8401202
8401301
8401302
8403001
8403101
8403201
8403202
8403301
8403601
8403602
8403603
8403609
8403611
8403614
8403701
8403801
8403901
8404001
8404101
8404201
8404301
8404401
8404501
8404601
8404701
8404801
8405201
8405301
8405601
8405701
8405901
8406001
8406101
8406201
8406401
8406501
8406601
8406701
8406801
8406901
8407001
8407101
8407201
8407301
8407401
8407501

Generic
Part Number

54ALS580A
54ALS242B
54ALS243A
54ALS245A
54ALS1034
54ALS873B
54ALS880
54ALS645A
6516-8
65162-8
65162C
68CE16S-45
68CE16S-55
68CE16S-35
54HCOO
54HC10
54HC20
54HC30
54HC02
54HC27
54HC266
54HC4002
54HC32
54HC86
54HC08
54HC11
80C86
32010
54HC74
2901C
54ALS1000A
54ALS1010A
54ALS1004
54HC138
54HC147
82C54
82C55A
82C82
82C84A
82C88
54HC75
54HC374
54HC373
54HC174
54HC240
54HC161

II
....
f/)

(,)

:::I
"C

e

c.

~

(I:J

:!:
~

5-61

DESC Cross-Reference Guide

DESC CROSS-REFERENCE GUIDE
DESC
Drawing
Part no.
8407901
8408501
8408801
8408901
8409001
8409101
8409201
8409301
8409401
8409501
8409601
8409701
8409801
8409901
8410001
8410901
8411101
8411102
8411103
8411104
8412801
8412901
8412901
8412902
8412902
8412903
8412903
8412904
8412904
8412905
8412905
8412906
8412906
8412907
8412907
8412908
8412908
8413201
8413202
8413202
8413205
8413208
8413209
8413401
8413501
8413601

II
~

s:
-<"'C
C)

.

0

c..

c(')

,...
f/)

5-62

Generic
Part Number

DESC
Drawing
Part no.

Generic
Part Number

54ALS162B
54HC245
54HC112
54HC175
54HC243
54HC14
54HC139
54HC153
54HC162
54HC165
54HC244
54ALS1008A
54HC04
54HC273
54HC393
TL431
27256 (350 ns)
27256 (250 ns)
27256 (200 ns)
27256 (170 ns)
54HC151
PAL20L8A
TIBPAL20L8-20
PAL20R8A
TIBPAL20R8-20
PAL20R6A
TIBPAL20R6-20
PAL20R4A
TIBPAL20R4-20
PAL20L 10A
TIBPAL20L 10-25
PAL20X8A
TI BPAL20X8-25
PAL20X10A
TIBPAL20X10-25
PAL20X4A
TI BPAL20X4-25
65262
51C67
61CD16SA-45
61CD16SA-35
61CD16LA-55
61CD16LA-45
54ALS153
54ALS251
54ALS273

8414101
8414201
8414301
8414501
8415001
8415101
8415201
8415301
8415401
8415501
8415601
8416101
8416201
8416901
8417901
8417902
8418001
8418501
8418601
8418602
8419001
8500101
8500201
8500301
8500401
8501001
8501002
8501501
5962-8501601
8503001
8503002
8503003
8503004
8506301
8506401
8506402
8506501
8506502
8506503
8506504
8508401
8508701
8508801
8508901
8508902
8509601

54ALS151
54ALS09
54ALS21A
54ALS832A
54HC109
54LS682
54LS684
54LS688
54LS399
54LS540
54LS541
54LS640
54HC164
9450
8282
8283
LM136A
733
Z8420A
Z8420
8751 H-8
54HC365
54HC367
54HC4020
54HC4040
M80186 (8 MHz)
M80186 (6 MHz)
82C52
82C59A
2700
2700
2702
2702
8097
80C31
80C51
PAL 16L8A-4
PAL16R8A-4
PAL 16R6A-4
PAL 16R4A-4
54LS145
LH0041
LH0021
LH0101A
LH0101
54ALS253

DESC Cross-Reference Guide

DESC CROSS-REFERENCE GUIDE

--

DESC
Drawing
Part no.

Generic
Part Number

DESC
Drawing
Part no.

Generic
Part Number

8509701
8510201
8510202
8510203
8512401
8512501
8512601
5962-8512701
5962-8512702
5962-8512703
5962-8512704
8512801
8513001
5962-8513101
5962-8513102
5962-8513103
5962-8513104
5962-8513105
5962-8513106
5962-8513301
5962-8513501
5962-8513502
5962-8513503
5962 -8513504
5962 -851480 1
5962-8514802
5962-8514901
5962-8514902
8515201
8515202
8515203
5962-8515301
5962-8515401
5962-8515501
5962-8515502
5962-8515503
5962-8515504
5962-8515505
5962-8515506
5962-8515507
5962-8515508
5962-8515509
5962-8515510
5962-8515511
5962-8515512
8550001

54ALS257
27C64-25
27C64-35
27C64-20
54HC257
54HC251
54LS33
574AU
574AT
574AU
574AT
54HC573
54HCT244
506A
507A
509A
IH5116
IH5216
IH5208
80287
27512-25
27512-35
27512-30
27512-45
M80286-8
M80286-6
82288-6
82288-8
4256-15
4256-20
4256-12
54HC73
54HC107
TIBPAL 16L8-20
TIBPAL 16R8-20
TIBPAL 16R6-20
TIBPAL 16R4-20
TIBPAL 16L8-30
TIBPAL16R8-30
TIBPAL 16R6-30
TIBPAL16R4-30
TIBPAL 16L8-15
TIBPAL 16R8-15
TIBPAL 16R6-15
TIBPAL 164R-15
UHC0508

5962-8550101
8550102
8550201
8550301
8550401
8550501
8550601
8550701
8550801
8551101
8551401
8551501
8551701
8551702
5962-8551801
5962-8551802
8551901
8552001
5962-8552301
5962-8552501
5962-8552502
5962-8552503
5962-8552504
5962-8552505
5962-8552601
5962-8552602
5962-8552603
5962-8552604
5962-8552701
5962-8552702
5962-8552703
5962-8552704
5962-8552801
8600801
8600901
8601001
8601101
8601201
8601401
8601402
8601701
8601801
8601802
8602001
8602002
8602003·
8602004

461068
14584
8X305
8X320
54HCT138
54HCT240
54HCT245
54HCT374
54LS353
54F245
REF02A
1526
Z8036A
Z8036
Z8030A
Z8030
54HC259
8397
9513A
99C88-15
99C88-12
99C88-10
99C88-70
6164-55
27PS191
27PS191A
27PS291
27P5291A
27545
27S45A
27547
27S47A
82C89
685
54HC390
54HCU04
54HC4017
54HC4024
LM119
LM119A
2904
55500E
55501E
27L500
27L500A
27LS01
27LS01A

II
J!1(,)

:::J
"C

e

a..

~

CO

::!:
~

5-63

DESC Cross-Reference Guide

DESC CROSS-REFERENCE GUIDE

II
~

$?

Q)

~
"'C

aCo
cC')

r+
(I)

DESC
Drawing
Part no.

Generic
Part Number

DESC
Drawing
Part no.

Generic
Part Number

5962-8602101
8602301
8602501
8602701
8602801
8602901
8603001
5962-8603201
5962 -860490 1
8605001
8605101
8605102
8605103
8605104
8605106
8605107
5962-8605201
5962-8605301
5962-8605801
5962-8606101
5962-8606201
5962-8606301
5962-8606302
5962-8606303
5962-8606401
5962 -8606901
5962 -86071 01
5962 -8607 50 1
5962-8607601
5962-8607701
5962-8608101
5962-8608102
5962 -86081 03
5962 -8608104
5962-8608801
5962-8670101
5962-8670201
5962-8670201
5962-8670301
5962-8670302
5962-8670401
5962-8670501
5962-8670502
5962-8670503
5962-8670503
5962-8670505
5962-8670506

68881
82516
29705A
2909A
2911A
291A
2940
68020
63105
AM2932
27502
27502A
27L502
27503
27503A
27L503
8X350
PAL22V10A
2803
54HC157
54HC563
27C256-20
27C256-25
27C256-30
5539
54F85
54F164
54F350
54HC163
54HC280
2168-55
2168-70
2169-50
2169-70
82720
54HCT154
2919
25L52519
27519
27S19A
1842
6168
6268
64C16S-35
99C68
64C16S-45
64C16L-45

5962-8670507
5962-8670508
5962-8670509
5962-8670510
5962-8670601
5962-8670602
5962-8670603
5962-8670604
5962-8670701
5962-8670702
5962-8670801
5962-8670901
5962-8671001
5962-8671101
5962-8671102
5962-8671103
5962-8671201
5962-8671301
5962 -8671401
5962-8671501
5962 -8671601
5962-8671701
5962-8671801
5962 -8671901
5962-8672001
5962 -8672101
5962-8672301
5962-8672401
5962-8672501
5962-8672601
5962-8672701
5962-8672801
5962-8680101
5962-8680201
5962-8680301
5962 -8680401
5962-8680402
5962-8680403
5962-8680404
5962-8680405
5962-8680406
5962 -8680601
5962-8680701
5962-8681201
5962-8681301
5962-8681401
5962-8681501

64C16S-55
64C16L-55
64C16S-70
64C16L-70
27535
27535A
27537
27537A
2965
2966
25L509
82S105
54F381
LM113
LM113-1
LM113-2
1545
25L52521
2917A
2918
HI-201H5
54LS595
25510
25L52518
26510
26L530
2947
255240
255241
93548
93516
25S09
2942
AD570
PAL20RA10
PAL 18L4
PAL12L10
PAL 14L8
PAL 16L6
PAL20L2
PAL20C1
UC1846
54HC195
54HC368
54HC533
54HC534
54HC564

5-64

DESC Cross-Reference Guide

DESC CROSS-REFERENCE GUIDE
DESC
Drawing
Part no.

Generic
Part Number

DESC
Drawing
Part no.

Generic
Part Number

5962-8681601
5962-8681701
5962-8681801
5962-8681901
5962 -8682001
5962-8682101
5962-8682201
5962-8682301
5962-8682401
5962-8682501
5962-8682601
5962-8680701
5962-8682801
5962 -8683001
5962-8683004
5962-8683101
5962-8683301
5962 -8683401
5962-8683601
5962-8683701
5962-8683801
5962-8683901
5962-8684001
5962-8684101
5962-8684201
5962-8684301
5962-8684401
5962 -868450 1
5962-8684701
5962-8684801
5962 -8685001
5962-8685201
5962-8685301
5962 -868540 1
5962-8685501
5962-8685601
5962-8685701
5962-8686301
5962-8686501
5962-8686601
5962-8686701
5962-8686801
5962-8686802
5962-8686901
5962-8687001
5962-8687101

54HC595
54HC597
54HC688
54HC4049
54HC4050
54HC42
54HC154
54HC158
54HC160
54HC173
54HC194
54HC195
54HC366
2864
52833
54HCTOO
54ALSOOA
54F243
54ALS32
54ALS30A
54ALS27
54ALS244A
54ALS191
54ALS11A
54ALS08
54ALS04B
54ALS02
LT1016
54HC123
54HC126
54F194
54HCT32
54HCT74
54HCT161
54HCT165
54HCT573
54HCT688
29116
54ALS10A
54ALS138
54HCT373
8286
8287
54ALS157
54ALS164
54ALS38A

5962-8687201
5962-8687401
5962-8687601
5962-8687801
5962-8688101
5962-8688201
5962-8688301
5962-8688401
5962-8688501
5962 -8688601
5962-8688701
5962-8688802
5962-8688901
5962-8689001
5962-8689101
5962-8700101
5962-8700301
5962-8751601
5962-8751701
5962-8751801
5962-8751901
5962-8752001
5962-8752101
5962-8752201
5962-8752301
5962-8752401
5962-8752502
5962-8752601
5962-8752602
5962-8752701
5962-8752801
5962-8752901
5962-8753201
5962-8753301
5962-8753401
5962-8753501
5962-8754001
5962-8754201
5962-8754201
5962-8754301
5962-8754401
5962-8754601
5962-8754701
5962-8754901
5962-8754902
5962-8755001

54F38
54F241
54LS668
TL514
54LS382A
LH0070
54HCT08
54HC238
54HC646
54HC4538
OP-227A
55189A
55188
54HCT14
54HC191
10H536
567
521
54LS590
8259A
9914A
8253
54C922
9622
9636A
9637A
54ACT11074
Z0858110
Z0858106
Z8530
PAL 18P8
CY7C245
ULS2070
54ALS857
26S12
65112
AD585
25LS2513
25LS13
82S62
25LS2569
9638
55110A
54ACOO
54AC11000
54AC240

II
...,en
CJ

::::J

"C

e

c..
>
a..
CO

:!:
~

5-65

DESC Cross-Reference Guide

DESC CROSS·REFERENCE GUIDE
DESC
Drawing
Part no.

11
~
=+
...
-<
Q)

"'0

a
C.

c

n

r+

(I)

5962-8755002
5962-8755101
5962-8755201
5962-8755202
5962-8755301
5962 -87 55401
5962-8755501
5962-8755601
5962-8755801
5962-8756101
5962-8756201
5962-8756301
5962-8756401
5962-8756501
5962-8756601
5962-8756701
5962-8756801
5962-8756901
5962-8757001
5962-8757101
5962-8757201
5962-8757202
5962-8757203
5962-8757301
5962-8757401
5962-8757501
5962-8757701
5962-8757702
5962-8757901
5962-8759201
5962-8759301
5962-8759302
5962-8759401
5962-8759501
5962-8759601
5962-8759701
5962-8760201
5962-8760401
5962-8760501
5962-8760601
5962-8760701
5962-8760801
5962-8760901
5962-8760902
5962-8761001
5962-8761101

5-66

I

Generic
Part Number

DESC
Drawing
Part no.

Generic
Part Number

54AC11240
54AC241
54AC244
54ACT11244
54ACT139
54ACT138
54AC373
54ACT373
10H513
10H531
10H560
10H586
10H506
10H503
10H558
10H561
10H571
10H609
8255A
AD590
572
529
LM161
2930
2964B
9517A
82C86
82C87
63125
9150
8155
8156
LM185
54AS646
26L534
9519A
2960
LM10H
LM104
LM110
LM131AH
LF198H
54AC04
54AC11004
54AC10
54AC11

5962-8761201
5962-8761301
5962-8761302
5962-8761401
5962-8761501
5962-8761601
5962-8761901
5962-8762001
5962 -8762101
5962-8762401
5962-8762501
5962-8762601
5962-8762801
5962-8763102
5962-8763301
5962-8764701
5962-8764802
5962-8764803
5962-8765001
5962-8765301
5962-8765801
5962-8765901
5962-8766001
5962-8766105
5962-8766106
5962-8766107
5962-8766201
5962 -87 6630 1
5962-8766701
5962-8766801
5962-8767101
5962-8767102
5962-8767103
5962 -87 67104
5962-8767201
5962-8768201
5962-8768301
5962-8768401
5962-8768801
5962-8768802
5962-8769001
5962-8769201
5962-8769301
5962-8769901
5962-8769902
5962-8770001

54AC02
54AC20
54AC11020
54AC32
54AC08
26L532B
UC1707
OPA501
54LS592
54AC14
54AC153
54AC174
54FCT374A
54ACT11374
320C10
54HC03
27C512-20
27C512-25
57C191B-45
ICM7170
TLC372
TLC374
7667
27C128-20
27C128-25
27C128-30
26502
54ACT245
29C82X
80386
PAL20L8
PAL20R8
PAL20R6
PAL20R4
82188
825153A
54ALS139
87C51
MN3008
MN3009
27529
54AC251
54AC253
54ACTOO
54ACT11000
AD7524

DESC Cross-Reference Guide

DESC CROSS·REFERENCE GUIDE
DESC
Drawing
Part no.
5962-8770401
5962-8771101
5962-8771401
5962-8771501
5962-8771801
5962-8772101
5962-8772201
5962-8772301
5962-8772401
5962-8775601
5962-8775701
5962-8775902
5962-8776601
5962-8776901
5962-8779401
5962-8780101
5962-8780201
5962-8780301
5962-8780401
5962-8780501
5962-8780502
5962-8780601
5962-8780701
5962-8780801
5962-8780901
5962-8850901
5962-8851101
5962-8851102
5962-8851103
5962-8851104
5962 -8851201
5962-8852201
5962-8852301
5962-8853402
5962-8853701
5962-8853801
5962-8854001
5962-8854901
5962-8855901
5962-8856301
5962 -8856401
5962-8857501
5962-8857601
5962-8857801
5962-8858901
5962-8859001

Generic
Part Number
29C86X
54221
OP14
OP207
MUX24
54HC125
54HC4075
54HC133
54HC193
54AC273
54ACT174
54ACTl1240
54AS804B
55121
54AS805B
AD567
7226
4344
54AS21
HC221
HC221A
54HC299
54HC377
54HC192
54HC640
AD390
55116
55117
55118
55119
55138
54AS808B
54AS832B
54ACT11109
OP37
OP215
54ALS05A
EP1800
OP421
DAC703
UH502
54FCT841
54HC21
54ALS688
54ALS20A
54ALS133

DESC
Drawing
Part no.
5962-8859101
5962 -8859601
5962-8860201
5962-8860601
5962-8860701
5962-8861001
5962-8861101
5962-8861201
5962-8862001
5962-8862101
5962-8862201
5962-8862501
5962-8862601
5962-8863101
5962-8863301
5962-8863401
5962-8863501
5962-8863801
5962-8864001
5962-8864601
5962-8864901
5962-8865001
5962-8865601
5962-8865801
5962-8866101
5962-8866301
5962-8866501
5962-8866801
5962-8866901
5962-8867301
5962-8867601
5962-8867801
5962-8868201
5962-8868301
5962-8868501
5962-8868502
5962-8868701
5962-8869101
5962-8869301
5962-8869401
5962-8869501
5962-8869801
5962-8870001
5962-8870401
5962-8870501
5962-8870601

Generic
Part Number
54ALS240A
54ALS241A
54LS673
54HC237
54LS674
IDT7133
IDT71682
29C116
54HC09
54ALS86
LT1028
54ALS158
54ALS258
DS1631
54HC242
AT28HC256
EP310
XC2018
54FCT161
LT1085
55ALS195
ADC0820
54FCT823
AD578
54FCT863
AD7228
71331
54ALS534
IDT7203S-50
54ALS652
28HC16-55
CMOS PAL 16XX
54HC253
69CE72
TL7705A
TL7702A
54AS652
54ALS520
54ALS804A
54ALS805A
54ALS808A
54ALS193
54ALS563A
54ACT541
54ACT821
54AC541

5-67

III
.
CI)

(,)
~

"C

e

0-

>
...
CO

:!:
~

DESC Cross-Reference Guide

DESC CROSS·REFERENCE GUIDE

III
~

a:r:»

-<"0

ac.
c

(")

a

DESC
Drawing
Part no.

Generic
Part Number

5962 -8870701
5962-8870801
5962-8870901
5962-8871001
5962-8871101
5962-8871201
5962 -8871301
5962-8871501
5962 -887160 1
5962-8871701
5962-8871801
5962-8872001
5962-8872101
5962-8872102
5962-8872301
5962-8872501
5962-8872701
5962-8872801
5962-8872901
5962-8873001
5962-8873101
5962-8873201
5962-8873601
5962-8873701
5962-8873801
5962-8873901
5962-8874001
5962-8874002
5962-8874101
5962-8874201
5962-8874401
5962-8874501
5962-8875101
5962-8875201
5962-8875301
5962-8875401
5962-8875501
5962-8875601
5962-8876001
5962-8876201
5962-8876601
5962-8876602
5962 -88771 01
5962-8877401

54F776
54F30
54F36
54F518
54F519
54F520
CMOS PAL 16XX
55454
SG1626
55ALS192
54HC05
29PL141
OP270
OP271
54F09
6207C
54ALS640B
54ALS564A
54AS1004A
54AS1032A
54AS1034A
TCM29C13
29FCT520
54ALS1245A
54ALS1244A
TMC208KB5V
68CE16L-35
68CE16L-25
54ALS259
54ALS1035
55113
55115
LT1039
54F14
54AS651
54AC299
54ACT158
54ACT151
LT1013
LT1021-5
AD7245
AD7248
54ACT299
54F51

5-68

DESC
Drawing
Part no.

Generic
Part Number

5962 -8950401
5962-8951001
5962-8951201
5962-8952501
5962-8954701
5962-8955301
5922-8955401
5962-8955501
5962-8957401
5962-8960201
5962-8960301
5962-8966301
5962-8966801
5962-8968001
5962-8968101
5962-8994501

LT1017
54F27
56001
54AS823
54ACT11008
54AS640
54F21
54F544
54ALS165
54ALS541
54HC590A
54AS286
54AS867
55ALS160
55ALS161
54ALS996

European Military & Space Specifications

European Military & Space Specifications

EUROPEAN MILITARY SPECIFICATIONS
For over ten years, Texas Instruments in Europe has supported the British and French
National Military Standards which are now included in the Cenelec Electronic Components
Committee (CECC).
CECC is composed of those member countries of the European Committee for
Electrotechnical Standardization (CENELEC) who wish to take part in a harmonized system
for electronic components of assessed quality.
At this time, the member countries of the CECC are: Austria, Belgium, Denmark, Finland,
France, Germany, Ireland, Italy, The Netherlands, Norway, Portugal, Spain, Sweden,
Switzerland and the United Kingdom.

II
r/)

CECC Nomenclature

+'
(,)

:s

"C

e
...>

Logic
SN

Q.

54

xx

xx

J

x

~

B
_ _ _ _ _ _ Screening Class

_ _ _ _ _ _ _ Assessment Level
_ _ _ _ _ _ _ _ Ceramic Package
_ _ _ _ _ _ _ _ _ Function
_ _ _ _ _ _ _ _ Family: HC
LS
S
Prefix

=
=
=

HCMOS
Low Power Schottky
Schottky

_ _ _ _ _ _ _ _ _ _ Military Temperature Range ( - 55/ + 125°C)

5-69

~

European Military Specifications

Advanced Products
8M

4256 - 12 JD

I

Prefix

I
I
I
I

Access Time
-12 = 120 ns

Y

B

I
I
I

I
I

I

I

I

I

I

I
-----) Screening Class

I

I
I
I
I

I

(--------

Product Identification

8

I

I
I

-----) Assessment Level

I
----)

(----

<--------------------

I

I

----->

Temperature Range
S = -55/+100°C

Package Type
JD = Side Brazed

II
CECC SYMBOLIZATION
Type Designation
Inspecting ~~"""""-'-'~joI-'-'-....&..I--""-~"'"
Country
Fr
France

CECC Logo

=

~_ _+--

'-----~

~~~TT~~~~~r-~

E.S.D. Indicator
Country of Assembly

5-70

Lead Finish
Screening Class
Assessment Level

European Military Specifications

CECC Class B Screening Option
Pre-Cap Inspection
Internal Visual Examination
Level B
High Temperature Storage
+ 150°C for 24 Hours
Rapid Change of Temperature
10 Cycles
- 65°C to + 150°C
Acceleration Steady Level
294,000 M/S/S
Direction Y2
Fine and Gross Leak Tests
Electrical Tests at + 25°C
as per Sub-Groups A2, A3

II

Burn-In Screen
160 Hr Min at + 125°C
Final Electrical Tests
per Relevant Detail Specification
as per Sub-Groups A2, A3, A4, A5

I
External Visual Examination

I
Sample Test to Groups A, B, C, D
as Appropriate Detail Specifications

CECC Quality Assurance Tests
Group
A
S

C

D

Description
Electrical DC and AC parameter test at ambient,
hiQh and low temperature, on a sample.
Mechanical and environmental tests on a
sample, burn in.
Solderabilitv, hermeticity...
Die-related tests (1000 hrs life test, elec test,
temp cycling, acceleration, hermeticity... )
Surn in (8000 hrs)

Frequency
Each lot
Every week code
per family
per package
Every quarter
per family
per package
Every year
5-71

European Military Specifications

CECC Low Power Schottky Product Spectrum
The following products are qualified or to be qualified to Class B CECC flow. Texas
Instruments does not guarantee qualification on planned devices.

III
~

;::;:
Q)

-<

".
c
...n
0

Q.

f/I

Generic
Industry No

BS CECC
Spec #

Assessment
Level

UTE CECC
Spec #

Assessment
Level

Qual
Status

54LSOO
54LS01
54LS02
54LS03
54LS04
54LS08
54LS09
54LS10
54LS11
54LS12
54LS13
54LS14
54LS15
54LS20
54LS21
54LS22
54LS26
54LS27
54LS28
54LS30
54LS32
54LS33
54LS37
54LS38
54LS40
54LS42
54LS47
54LS49
54LS51
54LS54
54LS74A
54LS75
54LS76A
54LS83A
54LS85
54LS86A
54LS90
54LS91
54LS92
54LS93
54LS958
54LS107A
54LS109A
54LS122

90103-001
90103-002
90103-003
90103-004
90103-005
90103-007
90103-008
90103-009
90103·010
90103·011
90103·012
90103·013
90103·014
90103·015
90103·016
90103·017
90103·018
90103·019
90103·020
90103·021
90103·022
90103·023
90103·024
90103·025
90103·026
90103·027
90103·028
90103·029
90103·030
90103·031
90103·034
90103·035
90103·036
90103·038
90103·039

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R

90103-183
90103-186
90103-188
90103-186
90103-183
90103-226
90103-227
90103-183
90103·226

Y
Y
Y
Y
Y
Y
Y
Y
Y

90103·225
90103-225

Y
Y

90103-183
90103-226

Y
Y

90103-190
90103·188
90103·218
90103-184
90103·208

Y
Y
Y
Y
Y

90103·185
90103-187

Y
Y

90103·198

Y

90103-189
90103-189
90103·196

Y
Y
Y

90103-199
90103-275

Y
Y

90103·041
90103-042
90103-043
90103-044
90103·135
90103·046
90103·047
90103·050

R
R
R
R
R
R
R
R

90103·243

Y

90103-283
90103·200
90103-237

Y
Y
Y

Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved

5·72

European Military Specifications

CECC Low Power Schottky Product Spectrum
Generic
Industry No
54LS123
54LS125A
54LS126A
54LS132
54LS136
54LS138
54LS139A
54LS145
54LS151
54LS153
54LS156
54LS157
54LS158
54LS160A
54LS161A
54LS162A
54LS163A
54LS164
54LS165A
54LS166A
54LS1698
54LS170
54LS173A
54LSi74
54LS175
54LS183
54LS190
54LS191
54LS192
54LS193
54LS194A
54LS195A
54LS221
54LS240
54LS241
54LS242
54LS243
54LS244
54LS245
54LS247
54LS248
54LS251
54LS253
54LS2578

BS CECC
Spec #

Assessment
Level

90103-051
90103-053
90103-054
90103-055
90103-056
90103-057

R
R
R
R
R
R

90103-059
90103-062
90103-063
90103-064
90103-065
90103-066
90103-067
90103-068
90103-069
90103-070
90103-071

R
R
R
R
R
R
R
R

90103-073
90103-075
90103-076
90103-077
90103-138
90103-079
90103-080
90103-139
90103-081
90103-083
90103-033
90103-084
90103-086
90103-087
90103-088
90103-089
90103-090
90103-091
90103-092
90103-093
90103-094
90103-096
90103-097

R

R
R

UTE CECe
Spec #

Assessment
Level

90103-191
90103-201
90103-285
90103-225

Y
Y
Y
Y

90103-209
90103-209

Y
Y

90103-193
90103-194

Y
Y

90103-195
90103-231
90103-286
90103-210
90103-254
90103-255
90103-207
90103-287

Y
Y
Y
Y
Y
Y
Y
Y

90103-309

Y

Y
R
R
R
R
R
R
R
R
R
R
R
R

R
R
R
R
R
R
R
R
R
R

90103-202
90103-205

Y
Y

90103-266
90103-245
90103-214
90103-206
90103-232
90103-220
90103-217
90103-276

Y
Y
Y
Y
Y
Y
Y
Y

90103-253
90103-216

Y
Y

90103-211
90103-212
90103-213

Y
Y
Y

Qual
Status
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Planned
Planned
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved

5-73

II
....

I/)

Co)

:::s

"C

e

c..

~
co
~

~

European Military Specifications

CECC Low Power Schottky Product Spectrum
Generic
Industry No

II
~

s:
Cl

-<."

ac.
c

~

r+

en

54LS2588
54LS2598
54LS261
54LS266
54LS273
54LS279A
54LS280
54LS283
54LS290
54LS293
54LS2958
54LS298
54LS348
54LS352
54LS353
54LS365A
54LS366A
54LS367A
54LS368A
54LS373
54LS374
54LS375
54LS377
54LS386A
54LS390
54LS393
54LS395A
54LS399
54LS490
54LS540
54LS541
54LS640
54LS645
54LS668
54LS669
54LS670

5-74

BS eEee
Spec #

Assessment
Level

90103-101
90103-182
90103-102

R
R
R

90103-105
90103-106
90103-107
90103-108
90103-171
90103-109
90103-117
90103-118
90103-119
90103-143
90103-120
90103-121
90103-144

R
R
R
R
R
R
R
R
R
R
R
R
R

90103-181
90103-124

R
R

90103-128
90103-129
90103-172
90103-131
90103-180
90103-146
90103-147
90103-163
90103-168
90103-169
90103-173
90103-174

R
R
R
R
R
R
R
Y

R
R
R
R

UTE eEee

Assessment
Level

Qual
Status

90103-257
90103-203

y

90103-249
90103-238
90103-221
90103-204

Y
Y

y

90103-242

Y

90103-239

Y

90103-272

Y

90103-235
90103-240
90103-223
90103-224

y

90103-275
90103-263
90103-264
90103-246

Y
Y
Y
Y

Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved

Spec #

Y

Y

Y
Y
Y

European Military Specifications

CECC Schottky Product Spectrum
The following products are qualified or to be qualified to Class B CECC flow. Texas
Instruments does not guarantee qualification on planned devices.
Generic
Industry No

BS CECC
Spec #

54500
54502
54504
54508
54510
54511
545113
545132
545133
545134
545135
545138
545151
545153
545157
545158
545162
545163
545169
545174
545175
545182
545194
54520
545241
545244
545251
545257
545258
545260
545280
545283
54530
54532
54537
545373
545374
54538
54540
54551
54564
54574
54585
54586

90102-001
90102-045
90102-003
90102-014
90102-004
90102-016
90102-048
90102-010
90102-011
90102-024
90102-049
90102-050
90102-052
90102-053
90102-026
90102-027
90102-054
90102-028
90102-030
90102-031
90102-055
90102-056
90102-033
90102-005
90102-042
90102-059
90102-060
90102-061
90102-036
90102-062
90102-040
90102-007
90102-046
90102-018
90102-038
90102-039
90102-008
90102-009
90102-063
90102-019
90102-021
90102-044
90102-022

Assessment
Level

Qual
Status

R

Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Planned
Approved
Approved
Approved
Approved
Approved
Approved
Approved.
Approved
Approved
Approved
Approved
Planned
Approved
Approved
Approved
Approved
Approved
Approved
Approved

Y

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
Y

R
R
R
R
Y

R
R
Y

R
Y
Y

R
R
R
R
R
R
R

III
t/)

+J
(,)

::;,

"C

e

a..

>

:r..

C'CJ

~

~

5-75

European Military Specifications

CECC HCMOS Product Spectrum
The following products are qualified or to be qualified to Class B CECC flow. Texas
Instruments does not guarantee qualification on planned devices.

II
~

;:;

Q)

-<."

ac.
cC')

...
(I)

5-76

Generic
Industry No

CECC
Spec #

Assessment
Level

Qual
Status

54HCOO
54HC02
54HC03
54HC04
54HC05
54HC08
54HC09
54HC10
54HC11
54HC14
54HC20
54HC21
54HC27
54HC30
54HC32
54HC36
54HC42
54HC51
54HC74
54HC86
54HC107
54HC109
54HC112
54HC113
54HC114
54HC125
54HC126
54HC132
54HC133
54HC137
54HC138
54HC139
54HC151
54HC152
54HC153
54HC157
54HC158
54HC160
54HC161
54HC163
54HC164
54HC165
54HC166
54HC173

90109-601
90109-617
90109-654
90109-633
90109-676
90109-605
90109-677
90109-602
90109-622
90109-640
90109-603
90109-658
90109-618
90109-604
90109-619
90109-659
90109-672
90109-660
90109-606
90109-620
90109-637
90109-627
90109-638
90109-639
90109-679
90109-665
90109-666
90109-623
90109-661
90109-680
90109-608
90109-681
90109-641
90109-732
90109-642
90109-643
90109-644
90109-630
90109-631
90109-646
90109-621
90109-682
90109-799
90109-711

Y

Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved

Y
Y
y
y
Y
y
Y
Y

Y
Y
y
Y
y
Y
y
Y
Y
Y
Y

Y
Y
Y

Y
Y
Y

Y
Y
Y
Y

Y
Y
Y

Y
y

Y
y

Y
Y
Y
y
Y

Y
Y

European Military Specifications

CECC HCMOS Product Spectrum
CECC

Generic
Industry No

Spec #

54HC174
54HC175
54HC180
54HC190
54HC191
54HC192
54HC193
54HC194
54HC195
54HC237
54HC238
54HC240
54HC241
54HC242
54HC243
54HC244
54HC245
54HC251
54HC253
54HC257
54HC258
54HC259
54HC266
54HC273
54HC280
54HC352
54HC353
54HC365
54HC366
54HC367
54HC368
54HC373
54HC374
54HC377
54HC378
54HC379
54HC386
54HC390
54HC393
54HC533
54HC534
54HC540
54HC541
54HC563

90109-609
90109-624
90109-734
90109-752
90109-727
90109-728
90109-729
90109-615
90109-632
90109-738
90109-735
90109-616
90109-647
90109-648
90109-649
90109-610
90109-611
90109-683
90109-724
90109-628
90109-754
90109-684
90109-753
90109-625
90109-612
90109-715
90109-716
90109-668
90109-669
90109-670
90109-671
90109-626
90109-613
90109-750
90109-748
90109-749
90109-717
90109-730
90109-686
90109-675
90109-614
90109-757
90109-758
90109-673

Assessment
Level

Qual
Status

Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y

Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Planned
Approved
Planned
Planned
Planned
Planned
Approved

y

Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y

II
...,en
(,)

::J

"C

e

a.

>
~

nJ

~

~

5-77

European Military Specifications

CECC HCMOS Product Spectrum
Generic
Industry No
54HC573
54HC574
54HC590A
54HC620
54HC623
54HC640
54HC643
54HC645
54HC688
54HC4002
54HC4024
54HC4075
54HC4078
54HCT240
54HCT241
54HCT244
54HCT245
54HCT373
54HCT374

11
~

a=
Do)

-<"'0

a
Co

c

n

r+

f/)

5-78

CECC
Spec #

Assessment
Level

Qual
Status

90109-674
90109-726
90109-TBD
90109-746
90109-747
90109-652
90109-653
90109-611
90109-687
90109-662
90109-655
90109-663
90109-664
90109-688
90109-689
90109-690
90109-691
90109-695
90109-704

Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y

Planned
Approved
Planned
Approved
Approved
Approved
Approved
Approved
Planned
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved
Approved

European Military Specifications

CECC Memory Product Spectrum
The following products are qualified or to be qualified to Class 8 CECC flow. Texas
Instruments does not guarantee qualification on planned devices.
Generic
Industry No

CECC
Spec #

Assessment
Level

Qual
Status

4416 DRAM
4164 DRAM
4256 DRAM

90112-001
90112-002
90112-003

Y
Y
Y

Approved
Approved
Approved

27C256 EPROM
61CD76 SRAM
61CD16 SRAM

90113-001
90111-003

Y
Y

Approved
Planned

CECC Standard TTL Product Spectrum
The following standard TTL devices previously qualified to 8S9000 specifications are
planned to be qualified to the CECC system. Texas Instruments does not guarantee
qualification on planned devices.

5400
5402
5404
5405
5406
5407
5408
5409
5410
5414
5416
5417
5420
5425
5427
5430
5432
5433
5437
5438
5440

5447A
5472
5473
5474
5475
5476
5486
5490A
5492A
5493A
5494
5495A
54120
54121
54122
54123
54128
54132
54136
54150
54151A

54153
54154
54155
54157
54161
54163
54164
54165
54173
54174
54175
54178
54180
54199
54221
54365A
54367A
54368A

5-79

III

European Space Products

EUROPEAN SPACE PRODUCTS
For over 15 years, Texas Instruments in Europe has manufactured and supplied high
reliability integrated circuits for space and strategic military applications under the European
Space Agency (ESA) / Space Component Committee (SCC) specification system.

Space Project History and References
Communication Satellites: OLYMPUS, EUTELSAT, INMARSAT, TELECOM 1, SKYNET IV,
~
DFS, ITALSAT, INSAT II, TDF, TVSAT, TELE X, INTELSAT, ECS,
TELECOM 2.

II
~

-

Q.

ca

Yes
Yes

:!:
~

Yes
Yes
Yes
Yes

Yes

Yes
Yes

Yes
Yes
5-91

European Space Products

HC Family SCC Product Status
Type

II
~

;+

54HC640
54HC643
54HC645
54HC688
54HC74
54HC75
54HC85A
54HC86
54HCT137
54HCT138
54HCT237
54HCT238
54HCT240
54HCT241
54HCT244
54HCT245
54HCT373
54HCT374
54HCT540
54HCT541

Q)

<"'C
ac.
c
n

Gt

5-92

Data
Data
Data
Data
Data
Data
Data
Data
Data
Data
Data
Data
Data
Data
Data
Data
Data
Data
Data
Data

Book
Book
Book
Book
Book
Book
Book
Book
Book
Book
Book
Book
Book
Book
Book
Book
Book
Book
Book
Book

J
J
J
J
J
J
J
J
J
J
J
J
J
J
J
J
J
J
J
J

FK
FK
FK
FK
FK
FK
FK
FK
FK
FK
FK
FK
FK
FK
FK
FK
FK
FK
FK
FK

European Space Products

ALS Family

see Product Status

Type

54ALS1002A
54ALS1004
54ALS1008A
54ALS1010A
54ALS1011A

9201/101
9401/036
9401/021
9401/031
92011102

Draft C
1
3
1
1

Jun
Mar
Jan
Mar
Mar

85
86
87
86
86

06
06
12
06
06

02
02
08
02
02

03
03
09
03
03

Yes
Yes
Yes

II

5-93

~

;

-<."

ac.
c

a

5-94

Introduction

INTERFACE CIRCUITS
TI offers a broad line of linear interface products for applications involving an interface between logic circuitry
and the real world. This includes microprocessor-compatible circuits that can amplify, convert analog signals,
transmit and receive digital signals or drive actuators or displays.
TI's linear interface circuits represent technologies from classic bipolar through BIFET and BIDFET, to
LinCMOS ™ and Advanced LinCMOS ™ processes. LinCMOS and Advanced LinCMOS feature a stepfunction improvement in impedance, power dissipation and threshold stability.
Also available are surface mount packages including plastic and ceramic chip carriers and small outline (SO)
packages. These increase board density with little impact on power handling capability.
Readers should refer to the Alphanumeric Index of the Master Selection Guide for additional information on
technical documentation.

Contents

Page

Line Circuits ....................................................................... 6-2
Line Drivers ................................................................... 6-2
Line Receivers ................................................................. 6-2
Line Transceivers ............................................................... 6-4
Display Drivers ..................................................................... 6-5
Electroluminescent Display Drivers ................................................. 6-5
Vacuum Fluorescent Display Drivers ................................................ 6-6
Plasma and Gas Discharge Display Drivers ........................................... 6-6
AC Plasma Display Drivers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 6-6
Peripheral Drivers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 6-7
General Purpose Drivers and Actuators .............................................. 6-7
Motor Drivers and Power Actuators ................................................. 6-8
Memory Interface: MOS-Memory Sense Amplifiers ............... , ................... , .... 6-9
Ordering Instructions ............................................................... 6-10

6-1

Line Circuits

LINE CIRCUITS
Line Drivers
APPLICATION

OUTPUT

QUANTITY
PER PKG

TYPE

PACKAGE

DOCUMENT

EIA Standard RS-422-A

Differential

2
2
2
2

SN75158
SN75159
SN75ALS191
uA9638

D,JG,P
D,J,N
D,P
D,P

SLYD002
SLYD002
SLLS032
SLYD002

EIA Standard RS-422-A

Differential

4
4
4
4
4
4
4
4

AM26LS31
MC3487
SN75151
SN75153
SN75172
SN75174
SN75ALS192
SN75ALS194

D,FK,J,N
D,J,N
DW,J,N
DW,J,N
DW,J,N
DW,J,N
DW,J,N
DW,J,N

SLYD002
SLYD002
SLYD002
SLYD002
SLYD002
SLYD002
SLYDOO2
SLYDOO2

EIA Standard RS-485

Differential

4
4

SN75172
SN75174

DW,J,N
DW,J,N

SLYD002
SLYDOO2

EIA Standard RS-423-A

Single-Ended

2

uA9636A

D,JG,P

SLYDOO2

EIA Standard RS-232-C

Single-Ended

2
2

SN75150
uA9636A

D,JG,P
D,JG,P

SLYD002
SLYD002

4
4

SN75188
SN75C188

D,J,N
J,N

SLYDOO2
SLLS033

2
2

SN75123
SN75AlS123

D,J,N
J,N

SlYD002
TBD

4
4

SN75AlS126
SN75ALS130

D,N
D,N

SLYD002
SLYD002

IBM 3601370

Single-Ended

General Purpose

Single-Ended

2

SN75121

D,J,N

SlYD002

General'Purpose

Differential

2
2
2
2
2
2
2

SN75ALS121
SN75109A
SN75110A
SN75112
SN75113
SN75114
SN75183

D,N
D,J,N
D,J,N
D,J,N
D,J,N
D,J,N
D,N

SLLS030
SLYDOO2
SLYD002
SlYD002
SLYD002
SLYD002
SlYDOO2

4
4

MC3453
SN75111

D,J,N
D,J,N

SlYDOO2
SlYDOO2

Single-Ended

4

LT1030

D,N

SLLS048A

INPUT

QUANTITY
PERPKG

ANSI/EIA-230-D-1986

Line Receivers
APPLICATION
EIA Standard RS-422-A

Differential

TYPE

PACKAGE

DOCUMENT

2
2
2
2

SN75146
SN75157
uA9637A
uA9639

D,JG,P
D,JG,P
D,JG,P
D,JG,P

SlYDOO2
SLYDOO2
SLYDOO2
SlYDOO2

4
4
4
4
4
4

AM26LS32A
MC3486
SN75173
SN75175
SN75ALS193
SN75ALS195

D,FK,J,N
D,J,N
D,J,N
D,J,N
J
J

SLYDOO2
SLYDOO2
SLYDOO2
SLYDOO2
SLYDOO2
SLYDOO2

EIA Standard RS-485

Differential

4
4

SN75173
SN75175

D,J,N
D,J,N

SLYDOO2
SLYDOO2

CCITIV.l0

Differential

4
4

SN75ALS197
SN75ALS199

D,N
D,N

SLLS045
SLLS046

6-2

Line Circuits

Line Receivers (Continued)
APPLICATION
EIA Standard RS-423-A

INPUT
Single-Ended

CCITTV.11

Single-Ended

EIA Standard RS-232-C

Single-Ended

IBM 360/370

General Purpose

General Purpose

LAN Access Unit Interface
lOS 8802.3:1989
ANSI/IEEE Std. 802.3-1988

Single-Ended

Single-Ended

Differential

QUANTITY
PER PKG

TYPE

PACKAGE

DOCUMENT

2
2
2
2

SN75146
SN75157
uA9637A
uA9639

D,JG,P
D,JG,P
D,JG,P
D,JG,P

SLYD002
SLYD002
SLYD002
SLYD002

4
4
4
4
4
4

AM26LS32A
MC3486
SN75173
SN75175
SN75ALS193
SN75ALS195

D,FK,J,N
D,J,N
D,J,N
D,J,N
J
J

SLYD002
SLYD002
SLYD002
SLYD002
SLYD002
SLYD002

4
4

SN75ALS197
SN75ALS199

D,N
D,N

SLLS045
SLLS046

2

SN75152

D,J,N

SLYD002

4
4
4
4
4

SN75154
SN75189
SN75C189
SN75189A
SN75C189A

D,J,N
D,J,N
D,N
D,J,N
D,N

SLYD002
SLYD002
SLYD002
SLYD002
SLYD002

2

SN75ALS123

D,N

SLLS031

3

SN75124

D,J,N

SLYD002

7
7
7
7

SN75125
SN75ALS125
SN75127
SN75ALS127

D,J,N
D,N
D,J,N
D,N

SLYD002
TBD
SLYD002
SLYD002

8

SN75128

DW,J,N

SLYD002

8

SN75129

DW,J,N

SLYD002

2

SN75122

D,J,N

SLYD002

2
2

SN75140
SN75141

D,JG,P
D,JG,P

SLYD002
SLYD002

2
2
2
2
2
2
2
2

SN75107A
SN75107B
SN75108A
SN75108B
SN75115
SN75182
SN75207
SN75207B

D,J,N
D,J,N
D,J,N
D,J,N
D,J,N
D,N
D,N
D,N

SLYD002
SLYD002
SLYD002
SLYD002
SLYD002
SLYD002
SLYD002
SLYD002

4

AM26LS33A

D,FK,J,N

SLYD002

4
4

MC3450
MC3452

D,J,N
D,J,N

SLYD002
SLYD002

2

SN75ALS085

NT

SLLS054

6-3

line Circuits

Line Transceivers
APPLICATION
EIA Standard RS-232-C

1/0 BUS

QUANTITY
PERPKG

DOCUMENT

SN75AS030

D,N

TBD

SN65176B
SN75176B
SN75ALS176
SN75177B
SN75178B
SN75179B
TL3695

D,JG,P
D,JG,P
D,P
D,JG,P
D,JG,P
D,JG,P
D,P

SLYD002
SLYD002
SLYD002
SLYD002
SLYD002
SLYD002
SLLS044

MC3446
SN75ALS160 .
SN75161B
SN75ALS161
SN75162B
SN75ALS162
SN75l64B
SN75ALS164
SN75ALS165

D,J,N
DW,N
DW,J,N
DW,N
DW,J,N
DW,N
DW,J,N
DW,N
DW,N

SLYD002
SLYD002
SLYD002
SLYD002
SLYD002
SLYD002
SLYD002
SLYD002
SLYD002

1

SN75061

DW,N

SLYD002

4
4
4
4

AM26S10C
AM26SllC
SN75136
SN75138

D,J,N
D,J,N
D,J,N
D,J,N

SLYD002
SLYD002
SLYD002
SLYD002

8
8

SN75163B
SN75ALS163

DW,J,N
DW,N

SLYD002
SLYD002

Differential

1
1
1
1

SN75116
SN75117
SN75118
SN75119

D,J,N
D,JG,P
D,J,N
D,JG,P

SLYD002
SLYD002
SLYD002
SLYD002

Single-Ended

4
8
4

SN75ALS053
SN75ALS056
SN75ALS057

FN,N
DW,N

SLLS028A
SLLS028A
SLLS028A

EIA Standard RS-422A

Differential

2

EIA RS-422-AlRS-485

Differential

1
1
1
1
1
1
1

IEEE 488 GPIB

Single-Ended

4
8
8
8
8
8
8
8
8

IEEE 802.3 lBASE5

Differential

General Purpose

Single-Ended

6-4

PACKAGE

SLYD002
TBD
TBD

1
3
4

IEEE 896.1

TYPE

D,JG,P
D,N
D,N

Single-Ended

SN75155
SN75C1406
SN75Cl154

Display Drivers

DISPLAY DRIVERS
Electroluminescent Display Drivers
PRODUCT FEATURES

POWER SUPPLY

TYPE

PACKAGE DOCUMENT

• Row Driver
• 225-V open-drain DMOS outputs
• Serial-in, parallel-out architecture
• 50-rnA current sink output capability
• Extremely low steady state power consumption
• Left side (SNXX551) and right side (SNXX552) drivers enhance
circuit layout
• Input Compatibility: CMOS
• 32 drivers per package

VCCl (logic) = 10.8 to 15 V

SN65551
SN65552
SN75551
SN75552

FN,N
FN,N
FN,N
FN,N

SLYD002
SLYD002
SLYD002
SLYD002

• Row Driver
• Monolithic BIDFET integrated circuits
• Very low steady-state power consumption
• 300-mA output capability
• High-voltage open-collector N-P-N outputs
• Input Compatibility: CMOS
·32 drivers per package

VCCl (logic) = 10.8 to 15 V

SN75557
SN75558

FN
FN

SLYD002
SLYD002

• Row Driver
• 225-V totem-pole BIDFET output structures
• 70-mA output source/sink capability
• Very low steady-state power consumption
• 3-state capabilities
• Selectable open-source or open-drain output
• Input Compatibility: CMOS
• 34 drivers per package

VCCl (logic) = 10.8 to 15 V

SN65563A
SN65564A
SN75563A
SN75564A

FN
FN
FN
FN

SLYD002
SLYD002
SLYD002
SLYD002

• Column Driver
• 60-V totem-pole BIDFET output structures
• Serial-in, parallel-out architecture
• 15-mA sink or source output capability
• Top (SNXX553) and bottom (SNXX554) drivers enhance
circuit layout
• Input Compatibility: CMOS
• 32 drivers per package

VCCl (logic) = 10.8 to 15 V

SN65553
SN65554
SN75553
SN75554

FN,N
FN,N
FN,N
FN,N

SLYDOO2
SLYD002
SLYD002
SLYD002

• Column Driver
~ 90-V output voltage swing capability
• 15-mA output source and sink current capability
• High-speed serially-shifted data input
• Totem-pole outputs
• Latches on all driver outputs
• Input Compatibility: CMOS
• 32 drivers per package

VCCl (logic) = 10.8 to 15 V

SN65555
SN65556
SN75555
SN75556

FN,N
FN,N
FN,N
FN,N

SLYD002
SLYDOO2
SLYD002
SLYD002

6-5

Display Drivers

Vacuum Fluorescent Display Drivers
PACKAGE

DOCUMENT

• 60-V totem-pole outputs
• Anode and Grid Drivers
• Segment or dot matrix formats
• Serial-in, parallel-out architecture
• 25-mA current source output capability
• On-board latches
• Input Compatibility: TTL
• 12 drivers per package

V CCI (logic) = 5 V to 15 V.
V CC2 (display) = 0 V to 60 V

SN65512B
SN75512B

DW,N
DW,N

SLYD002
SLYD002

• All features same as SN65512B except
• 32 bits for large format displays
• Input Compatibility: TTL, CMOS
·32 drivers per package

V CCI (logic) = 5V to 15 V.
V CC2 (display) = 0 V to 60 V

SN65518
SN75518

FN,N
FN,N

SLYD002
SLYD002

• Anode, Grid Drivers
• Segment or dot matrix formats
• Serial-ln, parallel-out architecture
• 60-V totem-pole outputs
• 40-mA current source output capability
• Improved direct replacement for UCN4810A and TL4810A
• Input Compatibility: CMOS; Oty/Pkg: 10

VCCI (logic) = 5 V to 15 V,
V Ce2 (display) = 0 V to 60 V

TL4810B
TL4810BI

DW,N
DW,N

SLYD002
SLYD002

• Anode, Grid Drivers
• Segment or dot matrix formats
• 70-V output voltage swing capability
• Drives up to 20 lines
• Direct replacement for Sprague UCN5812A
• Input Compatibility: CMOS; Oty/Pkg: 20

V CCI (logic) = 5 Vto 15 V.
V CC2 (display) = 0 V to 60 V

TL5812
TL5B121

FN,N
FN,N

SLYD002
SLYD002

PRODUCT FEATURES.

II

POWER SUPPLY

TYPE

Plasma and Gas Discharge Display Drivers
PACKAGE

DOCUMENT

•
•
•
•

Scan Line Driver VCC
lBO-V open drain parallel outputs
220-mA parallel output sink current
Left side (SN751506) and right side (SN751516) drivers enhance
circuit layout
• Input Compatibility: CMOS; Oty/Pkg: 32

Vec (logic) = 4 Vto 6 V

SN751506
SN751516

FT
FT

SLYD002
SLYD002

• Data Line Driver
• 120-V open collector P-N-P parallel outputs
• Two parallel high-speed 16-bit shift registers
• Latches on all driver outputs Top (SN75150B) and bottom
(SN751518) drivers enhance circuit layout
• Input Compatibility: CMOS; Oty/Pkg: 32

V ce (logic) = 4.5 V to 5.5 V

SN751508
SN751518

FT

SLYD002
SLYD002

PRODUCT FEATURES

POWER SUPPLY

TYPE

FT

AC Plasma Display Drivers
PRODUCT FEATURES
• Axis Drivers
• High-speed serial-in, parallel-out architecture (8 MHz)
• Fast output transitions (150 ns typ)
• 15-mA output current capability
• X-axis driver (SNXX500)
• Y-axis driver (SNXX501)
• Input Compatibility: CMOS
·32 drivers per package (B bits with 1 of 4 selectors)

6-6

POWER SUPPLY
V CCI (logic) = 10.8Vto
13.2 V, Vec2 (display) =
OVto 100V

TYPE
SN65500E
SN75500E
SN65501E
SN75501E

PACKAGE

DOCUMENT

FN,N
FN,N
FN,N
FN,N

SLYD002

Peripheral Drivers

PERIPHERAL DRIVERS
General Purpose Drivers and Actuators
FUNCTION

INPUT CAPABILITY

DELAY
OFF·
SWITCHING
OUTPUT DRIVERS OUTPUT
TIME
STATE
CURRENT
VOLTAGE
PER
CLAMP
TYP
VOLTAGE
(rnA)
MAX (V)
PACKAGE DIODES
(ns)
MAX (V)

AND
NAND
OR
NOR

TTL
TTL
TTL
TTL

20
20
20
20

30
30
30
30

300
300
300
300

2
2
2
2

No
No
No
No

18
25
18
26

MOSDriver
MOSDriver

TTL
TTL

24
24

24
24

500
500

2
4

Yes
Yes

AND
NAND
OR

TTL
TTL
TTL

30
30
30

35
35
35

300
300
300

2
2
2

No
No
No

Invert w/Enable
Invert w/Enable
Invert wlEnable
Invert
Invert
Invert
Invert
Invert
Invert

TTL,CMOS
TTL,CMOS
TTL,CMOS
TTL,5VMOS
TTL,5VMOS
TTL
MOS
TTL,CMOS
TTL,CMOS

35
35
35
35
35
35
35
35
35

70
70
70
50
50
50
50
50
50

500
600
1000
1500
1500
1250
1250
1250
1250

4
4
4
4
4
4
4
4
4

Yes
Yes
Yes
No
No
Yes
Yes
Yes
No

1050
750
1050
500
500
500
500
500
500

AND
NAND
OR
NOR

TTL,CMOS
TTL,CMOS
TTL,CMOS
TTL,CMOS

55
55
55
55

70
70
70
70

350
350
350
350

2
2
2
2

Yes
Yes
Yes
Yes

300
300
300
300

Invert w/Enable
Invert
Invert
Invert
Invert
Invert
Invert
Invert
Invert
Invert

TTL,CMOS
TTL,CMOS,PMOS
25VPMOS
TTL,CMOS
15VMOS
TTL
TTL
TTL
TTL,5VMOS
TTL,5VMOS

50
50
50
50
50
50
50
50
50
50

70
50
50
50
50
50
80
80
80
80

500
350
350
350
350
350
1500
1500
1500
1500

4
7
7
7
7
7
4
4
4
4

Yes
Yes
Yes
Yes
Yes
Yes
Yes
Yes
Yes
No

1050
250
250
250
250
250
500
500
500
500

AND
NAND
OR
AND
NAND
OR
NOR

TTL
TTL
TTL
TTL,CMOS
TTL,CMOS
TTL,CMOS
TTL,CMOS

55
55
55
55
55
55
55

70
70
70
70
70
70
70

300
300
300
300
300
300
300

2
2
2
2
2
2
2

No
No
No
Yes
Yes
Yes
Yes

Telecom Ry Drv
Invert
Invert
Invert
Invert
Invert

TTL,CMOS,MOS
TTL
TTL,CMOS,PMOS
25VPMOS
TTL,CMOS
15VMOS

60
60
60
60
60
60

60
100
100
100
100
100

100
350
350
350
350
350

4
7
7
7
7
7

Yes
Yes
Yes
Yes
Yes
Yes

TYPE

SN75451B
SN75452B
SN75453B
SN75454B

PACKAGE DOCUMENT

D,P
D,P
D,P
D,P

SLYD002
SLYD002
SLYD002
SLYD002

35 SN75372
35 SN75374

D,P
D,N

SLYD002
SLYD002

28 SN75461
38 SN75462
28 SN75463

D,P
D,P
D,P

SLYD002
SLYD002
SLYD002

SN75437A
SN75435
SN75438
UDN2841
UDN2845
ULN2064
ULN2066
ULN2068
ULN2074

NE
NE
NE
NE
NE
NE
NE
NE
NE

SLYD002
SLYD002
SLYD002
SLYD002
SLYD002
SLYD002
SLYD002
SLYD002
SLYD002

SN75446
SN75447
SN75448
SN75449

D,P
D,P
D,P
D,P

SLYD002
SLYD002
SLYD002
SLYD002

SN75436
ULN2001A
ULN2002A
ULN2003A
ULN2004A
ULN2005A
ULN2065
ULN2067
ULN2069
ULN2075

NE
D,N
D,N
D,N
D,N
D,N
NE
NE
NE
NE

SLYD002
SLYD002
SLYD002
SLYD002
SLYD002
SLYD002
SLYD002
SLYD002
SLYD002
SLYD002

28
38
28
200
200
200
200

SN75471
SN75472
SN75473
SN75476
SN75477
SN75478
SN75479

D,P
D,P
D,P
D,P
D,P
D,P
D,P

SLYD002
SLYD002
SLYD002
SLYD002
SLYD002
SLYD002
SLYD002

1000
250
250
250
250
250

DS3680
SN75465
SN75466
SN75467
SN75468
SN75469

D,N
D,N
D,N
D,N
D,N
D,N

SLYD002
SLYD002
SLYD002
SLYD002
SLYD002
SLYD002

6-7

Peripheral Drivers

Motor Drivers and Power Actuators
INPUT
CAPABILITY

OFFSTATE
VOLTAGE
MAX (V)

OUTPUT
CURRENT
(rnA)

DRIVERS
PER
PACKAGE

OUTPUT
CLAMP
DIODES

TYPE

PACKAGE

DOCUMENT

Half-H Driver
Half-H Driver
Half-H Driver
Half-H Driver
Full-H Driver

TIL
TIL
TIL,CMOS
TIL,CMOS
TIL

36
36
36
36
46

600
1000
1000
1000
2000

4
4
4
4
2

Yes
No
Yes
No
No

L293D
L293
SN754410
SN754411
L298

NE
NE
NE
NE
KV

SLYD002
SLYD002
SLYD002
SLYD002
SLRSOll

Octal Driver
Quad Driver
High Side Driver
Actuator
Low Side Driver

TIL,CMOS
TIL,CMOS
TIL,CMOS
TIL,CMOS
TIL,CMOS

32
50
85
50
45

500
1200
6000
2500
1000

8
4
1
2
4

Yes
Yes
Yes
No
Yes

TPIC2801
TPIC2406
TPIC3101
TPIC1209
TPIC2404

KV
NE
KV
KV
HS

TBD
TBD
TBD
TBD
TBD

FUNCTION

6-8

Memory Interface

MEMORY INTERFACE
MOS-Memory Sense Amplifiers
QTY
PER
PACK

THRESHOLD
SENSITIVITY
(mVI

tPDTYP

Totem Pole
Totem Pole
Open Collector

2
2
2

±25
±25
±25

Open Collector
Totem Pole
Open Collector

2
2
2

±25
±10
±10

OUTPUT TYPE

DEVICE
TYPE

PACKAGES

DOCUMENT

17
17
19

SN75107A
SN75107B
SN75108A

D,J,N
D,J,N
D,J,N

SLYDOO2
SLYDOO2
SLYDOO2

19
25
25

SN7510BB
SN75207
SN75208

D,J,N
D,J,N
D,J,N

SLYDOO2
SLYDOO2
SLYDOO2

(nsl

*tPD Propagation Delay Time

6-9

INTERFACE CIRCUITS

ORDERING INSTRUCTIONS

Factory orders for circuits described in this guide should include a four-part type number as explained in the
following example.
EXAMPLE:

TL

-Jt

062M

JG

/883B

1'~~__________________________________
CONTAINS TWO TO FOUR LETTERS
TCM ................ TI Telecommunication Products
TISP ......................... Transient Suppressors
TL ........... TI Linear Products (excluding Interface)
TLC ........... TI Linear Silicon-Gate CMOS Products
TPIC .............................. TI Power Circuits
TSP .............................. Speech Products
SN ......... TI Special Function or Interface Products

STANDARD SECOND-SOURCE PREFIXES
ADC .......... Analog Devices OP ...................... PMI
AM .................... AMD RC, RM or RV ....... Raytheon
OS .................. National SG ........... Silicon General
L. .... LinearTechnology Corp. TP .................. National
LM ................. National uA .................. Fairchild
LT .... Linear Technology Corp. UDN ................ Sprague
MC ................ Motorola ULN ................ Sprague
NE, SA, or SE ....... Signetics
2.

Unique Circuit Designator Including Temperature Range
(If not already specified by the, prefix)

CONTAINS THREE TO SEVEN CHARACTERS
(From Individual Data Sheets)
Examples:

062M
5941
532AI

28867
78L05AC

CONTAINS ONE OR TWO LETTERS

0, OW, FK, FN, J, JD, JG, KC, KH, KV, LP, LU, N, P, U, W
(From Pin-Connection Diagram on Individual Data Sheet)
4.

MIL-STD-883B, Method 5004, Class B

I-------------------o-J

OMIT/8a3B WHEN NOT APPLICABLE
Circuits are shipped in one of the carriers below. Unless a specific method of shipment is specified by the customer
(with possible additional costs), circuits will be shipped on the most practical carrier.
Dual-In-Line (0, OW, J, JD, JG, N, P)
- Slide Magazines
- A-Channel Plastic Tubing
- Barnes Carrier
- Sectioned Cardboard Box
-Individual Cardboard Box

6-10

Plug-In (LP, LU)
- Barnes Carrier
- Sectional Cardboard Box
-Individual Cardboard Box
Chip Carriers (FK, FN)
-Anti-Static Plastic Tubing

Flat(U, W)
- Barnes Carrier
- Milton Ross Carrier
Power Tab (KC, KH, KV)
-Sleeves

Introduction

LINEAR CIRCUITS
The rapid advance in high-tech digital processing creates new demands for microprocessor-compatible circuits
that can sense or amplify analog signals or provide regulated power to a system. This section presents
information on TI's broad line of integrated circuits designed for applications involving analog signal
conditioning.
These circuits span the recent rapid development of integrated circuit technology from classical bipolar
through BIFET and BIDFET to TI's LinCMOS ™ processing that provides a step function improvement in
input impedance, power dissipation and threshold stability. Surface mount packages include plastic and
ceramic chip carriers and small-outline packages that increase board density with little impact· on power
handling capability.
Readers should refer to the Alphanumeric Index and to the Customer Support section of the Master Selection
Guide for additional information on technical documentation.
Contents

Page

Linear Signal Conditioning Circuits ..................................................... 7-2
Operational Amplifiers ........................................................... 7-2
Noncompensated, Single ....................................................... 7-2
Internally Compensated, Single .................................................. 7-3
Internally Compensated, Dual ................................................... 7-8
Internally Compensated, Quad ................................................. 7-11
Differential Video Amplifiers ..................................................... 7-14
Logarithmic Amplifiers, Magnetic-Media Interface Circuits ............................. 7-15
Voltage Comparators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 7-15
Data Acquisition and Conversion Circuits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 7-18
Single-and Dual-Slope AID Converters ........................................... 7-18
Successive-Approximation and Semi-Flash AID Converters ........................... 7-18
Db\. Converters, Analog Interface for Digital Signal Processors, Video Converters ......... 7-19
Analog Switches and Multiplexers, Switched-Capacitor Filter ICs ...................... 7-20
Power Supply Circuits ............................................................... 7-21
Power Supply Supervisor~ ........................................................ 7-21
Shunt Voltage Regulators/References, Adjustable Series Pass Voltage Regulators ............. 7-21
Positive Fixed Output Series-Pass Voltage Regulators .................................. 7-22
Negative Fixed Output Series-Pass Voltage Regulators .................................. 7-23
Switched-Capacitor Voltage Converters ............................................. 7-23
Switching Power Supply Controllers and Regulators .................................... 7-24
Special Functions .................................................................. 7-25
Timers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 7-25
Frequency-to-Voltage Converters .................................................. 7-25
Sonar Ranging Functions, Current Mirrors, Hall-Effect Products ......................... 7-26
Programmable TonelNoise Generators, Sample and Hold Amplifiers ....................... 7-27
Ordering Instructions ............................................................... 7-28
7-1

II

Linear Signal Conditioning Circuits

LINEAR SIGNAL CONDITIONING CIRCUITS
Operational Amplifiers
Operational Amplifiers, Noncompensated, Single
Military Temperature Range
DESCRIPTION

B,
(MHz)
TYP

(V/~s)

TYP

DEVICE
NUMBER

PACKAGES DOCUMENT

±5

±22

2

75

50

1

0.5

LM101A

FK,JG,U,W

SLYD003

High Performance, Low Bias
Current, Bipolar

±2
±2

±20
±20

2
0.5

2
2

50
80

1
1

0.3
0.3

LM108
LM108A

D,JG,L,P
D,JG,L,P

SLOS041
SLOS041

BIFEl; Low Power

±3.5

±18

6

0.2

4

1

3.5

TL060M

JG

SLYD003

BIFEl; General Purpose

±3.5

±18

6

0.2

25

3

13

TL080M

JG

SLYD003

±9

±18

2

200

45Typ

1

0.3

uA709AM

J,JG,U,W

SLYD003

±9
±2

±18
±22

5
5

500
500

45Typ
50

1
1

0.3
0.5

uA709M
uA748M

J,JG,U,W
JG,U

SLYD003
SLYD003

Precisio~

Operational Amplifiers, Noncompensated, Single
Industrial Temperature Range

..
n
Q)

DESCRIPTION

~'

II

Avo
(V/mV)
MIN

lIB

High Peformance, Bipolar

(1)

i:'

SR

(nA)
MAX

MAX

General Purpose, Bipolar

c

VIO
(mV)
MAX

(Values specified for TA = 25°C)

MIN

General Purpose,
Input, Bipolar

5-

SUPPLY
VOLTAGE
(V)

High Performance, Bipolar

SUPPLY
VOLTAGE
(V)
MIN

MAX

VIO
(mV)
MAX

(Values specified for TA = 25°C)

(nA)
MAX

Avo
(V/mV)
MIN

liB

SR
B,
(MHz) (V/~s)
TYP
TYP

DEVICE
NUMBER

PACKAGES DOCUMENT

±5

±22

2

75

50

1

0.5

LM201A

D,JG,P

SLYD003

BIFET, Low Power

±3.5

±18

6

0.2

4

1

3.5

TL0601

D,JG,P

SLYD003

BIFEl; Low Noise

±3.5

±18

6

200

50

3

13

TL0701

D,JG,P

SLYD003

BIFET, Low Power

±3.5

±18

6

400

25

3

13

TL0801

D,JG,P

SLYD003

±2
±2

±20
±20

2
0.5

2
2

50
80

1
1

0.3
0.3

LM208
LM208A

D,JG,L,P
D,JG,L,P

SLOS041
SLOS041

High Performance, Low Bias
Current, Bipolar

Operational Amplifiers, Noncompensated, Single
Commercial Temperature Range
DESCRIPTION

SUPPLY
VOLTAGE
(V)

VIO
(mV)
MAX

liB

(nA)
MAX

(Values specified for TA
SR

Avo
(V/mV)
MIN

B,
(MHz)
TYP

(V/~s)

TYP

DEVICE
NUMBER

= 25°C)

PACKAGES DOCUMENT

MIN

MAX

High Performance

±5
±2
±2

±18
±18
±18

7.5
7.5
0.5

250
7
7

15
25
80

1
1
1

7.5
0.3
0.3

LM301A
LM308
LM308A

D,JG,P
D,JG,L,P
.D,JG,L,P

SLYD003
SLOS041
SLOS041

BIFET, Low Power

±3;5
±3.5
±3.5

±18
±18
±18

6
3
15

0.2
0.2
0.4

4
4
3

1
1
1

3.5
3.5
3.5

TL060AC
TL060BC
TL060C

D,JG,P
D,JG,P
D,JG,P

SLYD003
SLYD003
SLYD003

BIFEl; Low Noise

±3.5
±3.5

±18
±18

6
10

0.2
0.2

50
25

3
3

13
13

TL070AC
TL070C

D,JG,P
D,JG,P

SLYD003
SLYD003

BIFEl; General Purpose

±3.5
±3.5

±18
±18

6
15

0.2
0.4

50
25

3
3

13
13

TL080AC
TL080C

D,JG,P
D,JG,P

SLYD003
SLYD003

±9
±2

±18
±18

7.5
6

1500
500

15
20

1
1

0.3
0.5

uA709C
uA748C

D,JG,P
D,JG,P

SLYD003
SLYD003

General Purpose, Bipolar

7-2

Linear Signal Conditioning Circuits

Operational Amplifiers, Internally Compensated, Single
Military Temperature Range
DESCRIPTION

SUPPLY
VOLTAGE
(V)

VIO
(mV)
MAX

(nA)
MAX

liB

Avo
(V/mV)
MIN

(Values specified for TA = 25°C)

SR
B,
(MHz) (VIlAs)
TYP
TYP

DEVICE
NUMBER

PACKAGES DOCUMENT

MIN

MAX

High Performance

:±:5

:±:20

2

75

50

1

0.5

LM107

J,JG,U,W

SLYD003

Precision

:±:5
:±:5

±22
±22

0.015
0.06

2
4

450
400

0.8
0.8

0.25
0.25

LT1001AM
LT1001M

JG,L
JG,L

SLYD003
SLYD003

Low Noise, High Speed,
Precision Input,
Noncompensated, AVL ;", 5

±2.5
±2.5

±22
±22

0.025
0.060

35
55

7000
5000

8
8

2.5
2.5

LT1007AM
LT1007M

JG,L
JG,P

SLYD003
SLYD003

Ultra Precision

±2.5

±20

0.035

0.1

300

0.8

2

LT1012M

L

SLYD003

Low Noise, High Performance

±4.5
±4.5

±16
±20

0.12
0.18

150
300

300
200

25
25

15
15

LT1028AM
LT1028M

D,JG,L,P
D,JG,L,P

SLYD003
SLYD003

Low Noise, High Speed,
Noncompensated, AVL ;", 5

±2.5
±2.5

±22
±22

0.025
0.060

35
55

7000
5000

60
60

15
15

LT1037AM
LT1037M

JG,L
JG,L

SLYD003
SLYD003

Chopper-Stabilized

±1.9

±8

0.005

0.03

1000

1.2

4

LTC1052M

J,JG,L

SLYD003

Low Noise, High Speed

±3.5
±3.5

±22
±22

0.025
0.1

40
80

1000
700

8
8

2.8
2.8

OP-27A
OP-27C

JG,L
JG,L

SLYD003
SLYD003

Low Noise, High Speed,
Noncompensated, AVL ;", 5

±4
±4

±22
±22

0.025
0.1

40
80

1000
700

40
40

17

17

OP-37A
OP-37C

JG,L
JG,L

SLYD003
SLYD003

Low Noise, High Performance

±3
±3

±22
±22

2
2

800
800

50
50

10
10

13
13

SE5534
SE5534A

FK,JG
FK,JG

SLYD003
SLYD003

BIFET. Low Power, Precision

±3.5
±3.5

±18
±18

0.8
1.5

0.2
0.2

5
5

1.1
1.1

2.9
2.9

TL031AM
TL031M

FK,JG,L
FK,JG,L

SLYD003
SLYD003

BIFET, Precision

±3.5
±3.5

±18
±18

0.8
1.5

0.2
0.2

50
50

3.1
3.1

20
20

TL051AM
TL051M

FK,JG,L
FK,JG,L

SLYD003
SLYD003

BIFET, Low Power

±1.5

±18

6

0.2

4

1

3.5

TL061M

FK,JG,U

SLYD003

BIFET. Adjustable, Low-Power

± 1.2

±18

6

0.2

4

1

3.5

TL066M

FK,JG

SLYD003

BIFET. Low Noise

±3.5

±18

6

0.2

35

3

13

TL071M

FK,JG

SLYD003

BIFET. General Purpose

±3.5

±18

6

0.2

25

3

13

TL081M

FK,JG

SLYD003

BIFET. Low VIO

±3.5

±18

3

0.4

50

3

13

TL088M

JG,U

SLYD003

LinCMOS, Programmable,
Low Bias

4

16

10

Typ 0.007

50

0.11

0.04

TLC271M

FK,JG

SLYD003

LinCMOS, Programmable,
Medium Bias

4

16

10

Typ 0.007

25

0.64

0.56

TLC271M

FK,JG

SLYD003

LinCMOS, Programmable,
Low Bias

4

16

10

Typ 0.007

10

2.2

4.6

TLC271M

FK,JG

SLYD003

LinCMOS, Low Noise,
Precision

±2.3
±2.3
±2.3

±8
±8
±8

0.2
0.2
0.5

Typ.O.OO1
Typ.0.001
Typ.0.001

400
400
400

1.9
1.9
1.9

2.7
2.7
2.7

TLC2201AM
TLC2201BM
TLC2201M

D,FK,JG,L,P
D,FK,JG,L,P
D,FK,JG,L,P

SLYD003
SLYD003
SLYD003

LinCMOS, Precision, Chopper
Stabilized

±1.9

±8

0.001

Typ.0.004

5600

1.9

2.8

TLC2652AM

±8

0.003

Typ.0.004

1000

1.9

2.8

TLC2652M

D,FK,J,JG,
L,N,P
D,FK,J,JG,
L,N,P
D,FK,J,JG,
L,N,P
D,FK,J,JG,
L,N,P

SLYD003

±1.9

SLYD003

±2.3

±8

0.01

Typ.0.05

5600

1.9

2

TLC2654AM

±2.3

±8

0.02

Typ.0.05

1000

2.2

2

TLC2654M

SLYD003

Excalibur, High-Speed,
Precision

±2
±2
±2

±20
±20
±20

0.5
0.2
0.1

50
50
50

1000
1000
1000

2
2
2

0.9
0.9
0.9

TLE2021M
TLE2021AM
TLE2021BM

D,FK,JG,L,P
D,FK,JG,L,P
JG,L

SLOS024A
SLOS024A
SLOS024A

General Purpose

±2

±22

5

500

50

1

0.5

uA741M

FK,J,JG,U

SLYD003

SLYD003

7-3

II

Linear Signal Conditioning Circuits

Operational Amplifiers, Internally Compensated, Single
Automotive Temperature Range
DESCRIPTION

SUPPLY
VOLTAGE
(V)

VIO
(mV)
MAX

liB

(nA)
MAX

Avo
(V/mV)
MIN

(Values specified for TA

SR
B,
(MHz) (V/J1s)
TYP
TYP

DEVICE
NUMBER

= 25°C)

PACKAGES DOCUMENT

MIN

MAX

±5

±22

2

75

50

1

0.5

LM207

D,J,JG,N,

±5

±20

4

250

50

15

70

LM21B

D,JG,P

SLYD003

Chopper-Stabilized

±1.9
± 1.9

±B
±B

0.005
0.005

0.03
0.03

1000
1000

1.2
1.2

4
4

LTC1052C
LTC7652C

J,JG,L,N,P
L

SLYD003
SLYD003

Low Noise, High Speed

±3.5
±3.5

±22
±22

0.025
0.1

40
BO

1000
700

B
B

2.B
2.B

OP-27E
OP-27G

JG,L,P
JG,L,P

SLYD003
SLYD003

Low Noise, High Speed,
Noncompensated, AVL ~ 5

±4
±4

±22
±22

0.025
0.1

40
BO

1000
700

40
40

17
17

OP-37E
OP-37G

JG,L,P
JG,P

SLYD003
SLYD003

BIFET, Low Power, Precision

±3.5
±3.5

±18
±18

O.B
1.5

0.2
0.2

5
5

1.1
1.1

2.9
2.9

TL031AI
TL031 I

D.JG.L,P
D,JG,L,P

SLYD003
SLYD003

BlFET, Precision

±3.5
±3.5

±18
±18

0.8
1.5

0.2
0.2

50
50

3.1
3.1

20
20

TL051AI
TL0511

D,JG,L,P
D,JG,L,P

SLYD003
SLYD003

BIFET, Low Power

±3.5

±18

6

0.2

4

1

3.5

TL061 I

D,JG,L,P

SLYD003

BIFET, Adjustable Low Power

±1.2

±18

6

0.2

4

1

3.5

TL0661

D,JG,P

SLYD003
SLYD003

High Performance

SLYD003

p,W

BIFET, Low Noise

±3.5

±18

6

0.2

50

3

13

TL071 I

D,JG,P

BIFET, General Purpose

±3.5

±18

6

0.2

50

3

13

TL0811

D,JG,P

SLYD003

BI FET, Low Offset Voltage

±3.5
±3.5

±18
±18

0.5
1

0.2
0.2

50
50

3
3

13
13

TL0871
TL0881

D,JG,P
D,JG,P

SLYD003
SLYD003

LinCMOS, Programmable,
Low Bias

4

16

5

Typ 0.007

50

0.11

0.04

TLC271AI

D,JG,P

SLYD003

LinCMOS, Programmable,
Med. Bias

4

16

5

Typ 0.007

25

0.64

0.56

TLC271AI

D,JG,P

SLYD003

LinCMOS, Programmable,
High Bias

4

16

5

TypO.007

10

2.2

4.6

TLC271AI

D,JG,P

SLYD003

LinCMOS, Programmable,
Low Bias

4

16

2

TypO.007

50

0.11

0.04

TLC271BI

D,JG,P

SLYD003

LinCMOS, Programmable,
Med. Bias

4

16

2

TypO.007

25

0.64

0.56

TLC271BI

D,JG,P

5 LYD003

LinCMOS, Programmable,
High Bias

4

16

2

TypO.007

10

2.2

4.6

TLC271BI

D,JG,P

SLYD003

LinCMOS, Programmable,
Low Bias

4

16

10

TypO.007

50

0.11

0.04

TLC271I

D,JG,P

SLYD003

LinCMOS, Programmable,
Med. Bias

4

16

10

TypO.007

25

0.64

0.56

TLC271I

D,JG,P

SLYD003

LinCMOS, Programmable,
High Bias

4

16

10

TypO.007

10

2.2

4.6

TLC2711

D,JG,P

SLYD003

LinCMOS, Low Noise Precision

±2.3
±2.3
±2.3

±8
±8
±8

0.2
0.2
0.5

Typ 0.001
TypO.OOl
TypO.OOl

400
400
400

1.9
1.9
1.9

2.7
2.7
2.7

TLC2201AI
TLC2201BI
TLC22011

D,JG,L,P
D,JG,L,P
D,JG,L,P

SLYD003
SLYD003
SLYD003

LinCMOS, Precision, Chopper
Stabilized

±1.9
±1.9

±8
±8

0.001
0.003

TypO.004
TypO.004

5600
1000

1.9
1.9

2.8
2.8

TLC2652AI
TLC26521

D,J,JG,L,N,P SLYD003
D,J,JG,L,N,P SLYD003

LinCMOS, Low-Noise,
Precision, Chopper Stabilized

±2.3
±2.3

±8
±8

0.01
0.02

TypO.05
TypO.05

5600
1000

1.9
1.9

2
2

TLC2654AI
TLC26541

D,J,JG,L,N,P SLYD003
D,J,JG,L,N,P SLYD003

2
2
2

±20
±20
±20

0.5
0.2
0.1

1000
1000
1000

2
2
2

0.9
0.9
0.9

TLE2021I
TLE2021AI
TLE2021BI

D,JG,L,P
D,JG,L,P
JG,L

Excalibur, High-Speed,
Precision

7-4

50
50
50

SLOS024A
SLOS024A
SLOS024A

Linear Signal Conditioning Circuits

Operational Amplifiers, Internally Compensated, Single
Industrial Temperature Range

(Values specified for T A

SUPPLY
VOLTAGE
(V)

DESCRIPTION

VIO
(mV)
MAX

(nA)
MAX

Avo
(V/mV)
MIN

liB

B,
SR
(MHz) (VI 115)
TYP
TYP

MIN

MAX

Chopper-Stabilized

±1.9
±1.9

±8
±8

0.005
0.005

0.03
0.03

1000
1000

1.2
1.2

4
4

low Noise, High Speed

±3.5
±3.5

±22
±22

0.025
0.1

40
80

1000
700

8
8

±4
±4

±22
±22

0.025
0.1

40
80

1000
700

BIFET. low Power; Precision

±3.5
±3.5

±18
±18

0.8
1.5

0.2
0.2

BIFET. Precision

±3.5
±3.5

±18
±18

0.8
1.5

BIFET. low Power

±3.5

±18

BIFET. Adjustable, low-Power

±1.2

±18

BIFET. low Noise

±3.5

BIFET. General Purpose

DEVICE
NUMBER

= 25°C)

PACKAGES DOCUMENT

LTC1052C
LTC7652C

J,JG,L,N,P
L

SLYD003
SLYD003

2.8
2.8

OP-27E
OP-27G

JG,l,P
JG,l,P

SlYD003
SlYD003

40
40

17
17

OP·37E
OP-37G

JG,l,P
JG,l,P

SlYD003
SlYD003

5
5

1.1
1.1

2.9
2.9

TL031 AI
TL031 I

D,JG,l,P
D,JG,l,P

SlYD003
SlYD003

0.2
0.2

50
50

3.1
3.1

20
20

TL051AI
Tl0511

D,JG,l,P
D,JG,l,P

SlYD003
SlYD003

6

0.2

4

1

3.5

Tl061 I

D,JG,P

SlYD003

6

0.2

4

1

3.5

Tl0661

D,JG,P

SlYD003

±18

6

0.2

50

3

13

Tl071 I

D,JG,P

SlYD003

±3.5

±18

6

0.2

50

3

13

Tl081 I

D,JG,P

SlYD003

BIFET. low Offset

±3.5

±18

0.5

0.2

50

3

13

Tl0871

D,JG,P

SlYD003

BIFET. lOW VIO

±3.5

±18

1

0.2

50

3

13

TL0881

D,JG,P

SlYD003

3
±1.5

30
±15

5

-150

50

0.6

0.3

Tl3211

JG,P

SlYD003

LinCMOS, Programmable,
low Bias

4

16

5

Typ 0.007

50

0.11

0.04

TlC271AI

D,JG,P

SlYD003

linCMOS, Programmable,
Med. Bias

4

16

5

Typ 0.007

25

0.64

0.56

TlC271AI

D,JG,P

SlYD003

LinCMOS, Programmable,
High Bias

4

16

5

TypO.007

10

2.2

4.6

TlC271AI

D,JG,P

SlYD003

LinCMOS, Programmable,
low Bias

4

16

2

Typ 0.007

50

0.11

0.04

TlC271BI

D,JG,P

SlYD003

LinCMOS, Programmable,
Med. Bias

4

16

2

Typ 0.007

25

0.64

0.56

TlC271BI

D,JG,P

SlYD003

LinCMOS, Programmable,
High Bias

4

16

2

Typ 0.007

10

2.2

4.6

TlC271BI

D,JG,P

SlYD003

LinCMOS, Programmable,
low Bias

4

16

10

TypO.007

50

0.11

0.04

TlC271I

D,JG,P

SlYD003

LinCMOS, Programmable,
Med. Bias

4

16

10

Typ 0.007

25

0.64

0.56

TlC271I

D,JG,P

SlYD003

LinCMOS, Programmable,
High Bias

4

16

10

Typ 0.007

10

2.2

4.6

TlC271I

D,JG,P

SlYD003

2.3
2.3
2.3

±8
±8
±8

0.2
0.2
0.5

Typ 0.001
TypO.001
Typ 0.001

400
400
400

1.9
1.9
1.9

2.7
2.7
2.7

TlC2201AI
TlC2201BI
TlC22011

D,JG,l,P
D,JG,l,P
D,JG,l,P

SlYD003
SlYD003
SlYD003

LinCMOS, Precision, Chopper
Stabilized

±1.9
±1.9

±8
±8

0.001
0.003

TypO.004
TypO.004

5600
1000

1.9
1.9

2.8
2.8

TlC2652AI
TlC26521

D,J,JG,l,N,P SlYD003
D,J,JG,l,N,P SlYD003

LinCMOS, low·Noise,
Precision, Chopper Stabilized

±2.3
±2.3

±8
±8

0.01
0.02

Typ 0.05
Typ 0.05

5600
1000

1.9
1.9

2
2

TlC2654AI
TLC26541

D,J,JG,l,N,P SlYD003
D,J,JG,l,N,P SLYD003

2
2
2

±20
±20
±20

0.5
0.2
0.1

1000
1000
1000

2
2
2

0.9
0.9
0.9

TLE20211
TLE2021AI
TLE2021BI

D,JG,l,P
D,JG,l,P
JG,l

low Noise, High Speed,
Bipolar,
Noncompensated, AVL ;;,: 5

Single lM324, High
Performance

I DIS
SIS

LinCMOS, Precision,
low Noise

Excalibur, High-Speed,
Precision

50
50
50

SlOS024A
SlOS024A
SlOS024A

7-5

Linear Signal Conditioning Circuits

Operational Amplifiers, Internally Compensated, Single
Commercial Temperature Range
SUPPLY
VqLTAGE
(V)

DESCRIPTION

(Values specified for T A
V IO
(mV)
MAX

(nA)
MAX

liB

Avo
(V/mV)
MIN

MIN

MAX

:t3.5
:t3.5

:t18
:t18

10
2

0.2
0.2

25
25

:t2

:t18

7.5

250

:t5

:t20

10

250

:t5
:t5

:t22
:t22

0.025
0.06

Low Noise, High Speed,
Precision Input,
Noncompensated, A YL ;;. 5

:t2.5
:t2.5

:t22
:t22

BIFET
High Performance

B,
(MHz)
TYP

SR
(V/J.ls)
TYP

3
3

13
13

25

1

25

15

2
4

450
400

0.8
0.8

0.025
0.060

35
55

7000
5000

DEVICE
NUMBER

= 25°C)

PACKAGES DOCUMENT

LF351
LF411

D.JG,P
D,JG,P

SLYD003
SLYD003

0.5

LM307

D,J,JG,N,

SLYD003

70

LM318

D,JG,P

SLYD003

0.25
0.25

LT1001AC
LT1001C

JG,L,P
JG,L,P

SLYD003
SLYD003

8
8

1.7
1.7

LT1007AC
LT1007C

JG,P
JG,P

SLYD003
SLYD003

p,W
Precision

Ultra Precision

:t2.5

:t20

0.05

0.15

200

-

0.2

LT1012C

L,P

SLYD003

Low Noise, High Performance

:t4.5
:t4.5

:t18
:t18

0.08
0.13

120
240

500
300

75
75

15
15

LT1028AC
LT1028C

D,JG,L,P
D,JG,L,P

SLYD003
SLYD003

Low Noise, High Speed,
Noncompensated, A YL ;;. 5

:t2.5
:t2.5

:t22
:t22

0.025
0.060

35
55

7000
5000

60
60

15
15

LT1037AC
LT1037C

JG,P
JG,P

SLYD003
SLYD003

Low Noise, High Performance

:t3
:t3

:t22
:t22

4
4

1500
1500

25
25

10
10

13
13

NE5534
NE5534A

D,JG,P
D,JG,P

SLYD003
SLYD003

Ultra-Low Offset Voltage

:t3
:t3
:t3

:t22
:t22
:t22

0.15
0.15
0.075

7
12
4

120
120
200

0.6
0.6
0.6

0.3
0.3
0.3

OP-07C
OP-07D
OP-07E

D,JG,P
D,JG,P
D,JG,P

SLYD003
SLYD003
SLYD003

BIFEl; Low Power, Precision

:t3.5
:t3.5

:t18
:t18

0.8
1.5

0.2
0.2

5
5

1.1
1.1

2.9
2.9

TL031AC
TL031C

D,FK,JG,L,P
D,FK,JG,L,P

SLYD003
SLYD003

BIFEl; Precision

:t3.5
:t3.5

:t18
:t18

0.8
1.5

0.2
0.2

50
50

3.1
3.1

20
20

TL051AC
TL051C

D,FK,JG,L,P
D,FK,JG,L,P

SLYD003
SLYD003

BIFEl; Low Power"

:t3.5
:t3.5
:t3.5

:t18
:t18
:t18

6
3
15

0.2
0.2
0.2

4
4
3

1
1
1

3.5
3.5
3.5

TL061AC
TL061BC
TL061C

D,JG,P
D,JG,P
D,JG,P

SLYD003
SLYD003
SLYD003

BIFEl; Adjustable, Low-Power

:t1.2
:t1.2
:t1.2

:t18
:t18
:t18

6
3
15

0.2
0.2
0.4

4
4
3

1
1
1

3.5
3.5
3.5

TL066AC
TL066BC
TL066C

D,JG,P
D,JG,P
D,JG,P

SLYD003
SLYD003
SLYD003

BIFEl; Low Noise

:t3.5
:t3.5
:t3.5

:t18
:t18
:t18

6
3
10

0.2
0.2
0.2

50
50
25

3
3
3

13
13
13

TL071AC
TL071BC
TL071C

D,JG,P
D,JG,P
D,JG,P

SLYD003
SLYD003
SLYD003

BIFEl; General Purpose

:t3.5
:t3.5
:t3.5

:t18
:t18
:t18

6
3
15

0.2
0.2
0.4

50
50
25

3
3
3

13
13
13

TL081AC
TL081BC
TL081C

D,JG,P
D,JG,P
D,JG,P

SLYD003
SLYD003
SLYD003

BIFEl; Low VIO

:t3.5
:t3.5

:t18
:t18

0.5
1

0.2
0.2

50
50

3
3

13
13

TL087C
TL088C

D,JG,L,P
D,JG,L,P

SLYD003
SLYD003

3
1.5

30
15

7

-250

25

0.6

0.3

TL321C

JG,P

SLYD003

LinCMOS, Programmable,
Low Bias

1

16

5 TypO.001

30

0.1

0.04

TLC251AC

D,JG,P

SLYD003

LinCMOS, Programmable,
Medium Bias

1

16

5 TypO.001

20

0.7

0.6

TLC251AC

D,JG,P

SLYD003

LinCMOS, Programmable,
High Bias

1

16

5 TypO.001

10

2.3

4.5

TLC251AC

D,JG,P

SLYD003

LinCMOS, Programmable,
Low Bias

1

16

2 TypO.001

30

0.1

0.04

TLC251BC

D,JG,P

SLYD003

LinCMOS, Programmable,
Medium Bias

1

16

2 TypO.001

20

0.7

0.6

TLC251BC

D,JG,P

SLYD003

LinCMOS, Programmable,
High Bias

1

16

2 TypO.OO1

10

2.3

4.5

TLC251BC

D,JG,P

SLYD003

LinCMOS, Programmable,
Low Bias

1

16

10 TypO.001

30

0.1

0.04

TLC251C

D,JG,P

SLYD003

Single LM324, High
Performance

7-6

I DIS
SIS

Linear Signal Conditioning Circuits

Operational Amplifiers, Internally Compensated, Single (Continued)

Commercial Temperature Range
DESCRIPTION

SUPPLY
VOLTAGE
(V)
MIN

MAX

(Values specified for TA
VIO
(mV)
MAX

110
(nA)
MAX

Avo
(V/mV)
MIN

B1
(MHz)
TYP

SR
(V/l1s)
TYP

DEVICE
NUMBER

= 25°C)

PACKAGES DOCUMENT

LinCMOS, Programmable,
Medium Bias

1

16

10

Typ 0.001

20

0.7

0.6

TLC251C

D,JG,P

SLYD003

LinCMOS, Programmable,
High Bias

1

16

10

Typ 0.001

10

2.3

4.5

TLC251C

D,JG,P

SLYD003

LinCMOS, Programmable,
Low Bias

3

16

5 Typ 0.007

50

0.11

0.04

TLC271AC

D,JG,P

SLYD003

LinCMOS, Programmable,
Medium Bias

3

16

5

Typ 0.007

25

0.64

0.56

TLC271AC

D,JG,P

SLYD003

LinCMOS, Programmable,
High Bias

3

16

5

Typ 0.007

10

2.2

4.6

TLC271AC

D,JG,P

SLYD003

LinCMOS, Programmable',
Low Bias

3

16

2

Typ 0.007

50

0.11

0.04

TLC271BC

D,JG,P

SLYD003

LinCMOS, Programmable,
Medium Bias

3

16

2

Typ 0.007

25

0.64

0.56

TLC271BC

D,JG,P

SLYD003

LinCMOS, Programmable,
High Bias

3

16

2

Typ 0.007

10

2.2

4.6

TLC271BC

D,JG,P

SLYD003

LinCMOS, Programmable,
Low Bias

3

16

10

Typ 0.007

50

0.11

0.04

TLC271C

D,JG,P

SLYD003

LinCMOS, Programmable,
Medium Bias

3

16

10

Typ 0.007

25

0.64

0.56

TLC271C

D,JG,P

SLYD003

LinCMOS Programmable,
High Bias

3

16

10

Typ 0.007

10

2.2

4.6

TLC271C

D,JG,P

SLYD003

:±:2.3

:±:8

0.2

Typ 0.001

400

1.9

2.7

TLC2201AC

D,JG,L,P

SLYD003

:±:2.3
:±:2.3

:±:8
:±:8

0.2
0.5

Typ 0.001
Typ 0.001

400
400

1.9
1.9

2.7
2.7

TLC2201BC
TLC2201C

D,JG,L,P
D,JG,L,P

SLYD003
SLYD003

:±: 1.9

:±:8

0.001

Typ 0.004

5600

1.9

2.8

TLC2652AC

SLYD003

:±:1.9

:±:8

0.003

Typ 0.004

1000

1.9

2.8

TLC2652C

D,J,JG,
L,N,P
D,J,JG,
L,N,P

:±:2.3

:±:8

0.01

Typ 0.05

5600

1.9

2

TLC2654AC

:±:2.3

:±:8

0.02

Typ 0.05

1000

1.9

2

TLC2654C

Excalibur, High-Speed,
Precision

:±:2
:±:2
:±:2

:±:20
:±:20
:±:20

0.5
0.2
0.1

50
50
50

1000
1000
1000

2
2
2

0.9
0.9
0.9

General Purpose

:±:2

:±:18

6

500

20

1

0.5

LinCMOS, Precision, Low
Noise

LinCMOS, Precision Chopper
Stabilized

LinCMOS, Low-Noise,
Precision, Chopper Stabilized

SLYD003

D,J,JG,
L,N,P
D,J,JG,
L,N,P

SLYD003

TLE2021C
TLE2021AC
TLE2021BC

D,JG,L,P
D,JG,L,P
JG,L

SLOA024A
SLOA024A
SLOA024A

uA741C

D,JG,P

SLYD003

SLYD003

7-7

Linear Signal Conditioning Circuits

Operational Amplifiers, Internally Compensated, Dual
Military Temperature Range
SUPPLY
VOLTAGE
IV)

DESCRIPTION

V IO
(mV)
MAX

InA)
MAX

5

-150

liB

Avo
IV/mV)
MIN

(Values specified for TA
B,
(MHz)
TYP

MIN

MAX

3
:t 1.5

30
:t15

General Purpose

:t2

:t22

5

500

Precision

:t5
:t5

:t22
:t22

0.15
0.3

20
30

High Performance

:t4

:t22

5

500

50

3.5

Low Power

:t2

:t22

5

100

1

0.5

BIFET, Low Power, Precision

:t3.5
:t3.5

:t18
:t18

0.8
1.5

0.2
0.2

5
5

1.1
1.1

BIFET, Precision

:t3.5
:t3.5

:t18
:t18

0.8
1.5

0.2
0.2

50
50

BIFEl; Low Power

:t3.5
:t3.5

:t18
:t18

6
6

0.2
0.2

3.5
3.5
3.5
3.5

:t18
:t18
:t18
:t18

16
6

0.2
0.2
0.4
0.4

LinCMOS, High Bias

4
4

16
16

LinCMOS, Low Bias

4
4

LinCMOS, Medium Bias
LinCMOS, Micro Power,
Precision

High Gain, Low Power,
Bipolar

I DIS
SIS

BIFEl; General Purpose

Excalibur, High Speed,
Precision

High Gain, Low Power,
Bipolar

DEVICE
NUMBER

0.2

LM158

50

1

0.5

1500
1200

0.7
0.7

0.4
0.4

PACKAGES DOCUMENT

FK,JG,U

SLYD003

MC1558

FK,JG,U

SLYD003

LT1013AM
LT1013M

JG,L
JG,L

SLYD003
SLYD003

1.7

RM4558

JG

SLYD003

0.5

TL022M

U

SLYD003

2.9
2.9

TL032AM
TL032M

FK,JG,L
FK,JG,L

SLYD003
SLYD003

3
3

16
16

TL052AM
TL052M

FK,JG,L
FK,JG,L

SLYD003
SLYD003

4
35

1
3

3.5
13

TL062M
TL072M

FK,JG,U
FK,JG

SLYD003
SLYD003

25
25
50
50

3
3
3
3

13
13
13
13

TL082M
TL083M
TL287M
TL288M

FK,JG
FK,J
JG,U
JG,U

SLYD003
SLYD003
SLYD003
SLYD003

10 Typ 0.005
0.5 TypO.005

10
10

2.2
2.2

5.3
5.3

TLC272M
TLC277M

FK,JG
FK,JG

SLYD003
SLYD003

16
16

10 Typ 0.005
0.5 TypO.005

50
50

0.1
0.1

0.05
0.05

TLC27L2M
TLC27L7M

FK,JG
FK,JG

SLYD003
SLYD003

4
4

16
16

10 Typ 0.005
0.5 TypO.005

25
25

0.6
0.6

0.6
0.6

TLC27M2M
TLC27M7M

FK,JG
FK,JG

SLYD003
SLYD003

4

16

0.6

TypO.007

500

0.11

0.5

TLC1078M

FK,JG

SLYD003

:t2
:t2
:t2

:t20
:t20
:t20

0.5
0.3
0.15

60
55
50

800
1000
1500

2.8
2.8
2.8

0.9
0.9
0.9

TLE2022M
TLE2022AM
TLE2022BM

D,FK,JG,L,P
D,FK,JG,L,P
JG,L

SLOS027
SLOS027
SLOS027

3
3

0.6

50

Operational Amplifiers, Internally Compensated, Dual
Automotive Temperature Range
SUPPLY
VOLTAGE
(V)

DESCRIPTION

SR
IV/J1s)
TYP

= 25°C)

V IO
(mV)
MAX

(nA)
MAX

liB

Avo
(V/mV)
MIN

(Values specified for TA = 25°C)

SR
B,
(MHz) (V/J1s)
TYP
TYP

DEVICE
NUMBER

PACKAGES DOCUMENT

MIN

MAX

SIS
DIS

3
:t1.5

30
:t1.5

5

-150

50

0.6

0.2

LM258

D,JG,P,U

SLYD003

SIS
DIS

3
:t 1.5

30
:t1.5

3

-80

50

0.6

0.2

LM258A

D,JG,P,U

SLYD003

SIS
DIS

3
:t1.5

26
:t13

7

-250

0.6

0.2

LM2904

D,JG,P,U

SLYD003

High Performance

100Typ

:t4

:t18

6

-500

20

3

1.7

RV4558

D,JG,P

SLYD003

BIFEl; Low Power, Precision

:t3.5
:t3.5

:t18
:t18

0.8
1.5

0.2
0.2

5
5

1.1
1.1

2.9
2.9

TL032AI
TL0321

D,JG,L,P
D,JG,L,P

SLYD003
SLYD003

BIFEl; Precision

:t3.5
:t3.5

:t18
:t18

0.8
1.5

0.2
0.2

50
50

3
3

16
16

TL052AI
TL0521

D,JG,L,P
D,JG,L,P

SLYD003
SLYD003

BIFEl; Low Power

:t3.5
:t3.5

:t18
:t18

6
6

0.2
0.2

4
50

1
3

3.5
13

TL0621
TL072 I

D,JG,P
D,JG,P

SLYD003
SLYD003

3.5
3.5
3.5
3.5

18
18
18
18

6
6
0.5
1

0.2
0.2
0.2
0.2

50
50
50
50

3
3
3
3

13
13
13
13

TL0821
TL0831
TL2871
TL2881

D,JG,P
D,JG,P
D,JG,P
D,JG,P

SLYD003
SLYD003
SLYD003
SLYD003

BIFEl; General Purpose

7-8

Linear Signal Conditioning Circuits

Operational Amplifiers, Internally Compensated, Dual (Continued)

Automotive Temperature Range
DESCRIPTION

Low Power

SUPPLY
VOLTAGE
(VI
MIN

MAX

(Values specified for TA
VIO
(mVI
MAX

110
(nA)
MAX

AVD
(V/mV)
MIN

B,
(MHz)
TYP

SR
(V//ls)
TYP

DEVICE
NUMBER

= 25°C)

PACKAGES DOCUMENT

± 1.5

±18

8

-500

20

1

0.6

TL3221

D,JG,P

SLYD003

LinCMOS, High Bias

4
4
4
4

16
16
16
16

5
2
10
0.5

TypO.005
TypO.005
Typ 0.005
Typ 0.005

10
10
10
10

2.2
2.2
2.2
2.2

5.3
5.3
5.3
5.3

TLC272AI
TLC272BI
TLC2721
TLC2771

D,JG,P
D,JG,P
D,JG,P
D,JG,P

SLYD003
SLYD003
SLYD003
SLYD003

LinCMOS, Low Bias

4
4
4
4

16
6
16
16

5
2
10
0.5

Typ 0.005
Typ 0.005
Typ 0.005
Typ 0.005

50
50
50
50

0.1
0.1
0.1
0.1

0.05
0.05
0.05
0.05

TLC27L2AI
TLC27L2BI
TLC27L21
TLC27L71

D,JG,P
D,JG,P
D,JG,P
D,JG,P

SLYD003
SLYD003
SLYD003
SLYD003

LinCMOS, Medium Bias

4
4
4
4

16
16
16
16

5
2
10
0.5

Typ 0.005
Typ 0.005
Typ 0.005
Typ 0.005

25
25
25
25

0.6
0.6
0.6
0.6

0.6
0.6
0.6
0.6

TLC27M2AI
TLC27M2BI
TLC27M21
TLC27M71

D,JG,P
D,JG,P
D,JG,P
D,JG,P

SLYD003
SLYD003
SLYD003
SLYD003

LinCMOS, Micro Power,
Precision

3

16

0.6

Typ 0.007

500

0.11

0.05

TLC10781

D,JG,P

SLYD003

±2
±2
±2

±20
±20
±20

0.5
0.3
0.15

60
55
50

800
1000
1500

2.8
2.8
2.8

0.9
0.9
0.9

TLE20221
TLE2022AI
TLE2022BI

D,JG,L,P
D,JG,L,P
JG,L

SLOS027
SLOS027
SLOS027

Excalibur, High Speed,
Precision

Operational Amplifiers, Internally Compensated, Dual

Industrial Temperature Range
DESCRIPTION

(Values specified for TA

SUPPLY
VOLTAGE
(V)

VIO
(mV)
MAX

110
(nAI
MAX

AVD
(V/mV)
MIN

B,
(MHz)
TYP

SR
(V//ls)
TYP

DEVICE
NUMBER

= 25°C)

PACKAGES DOCUMENT

MIN

MAX

BIFET, Low Power, Precision

±3.5
±3.5

±18
±18

0.8
1.5

0.2
0.2

5
5

1.1
1.1

2.9
2.9

TL032AI
TL0321

D,JG,L,P
D,JG,L,P

SLYD003
SLYD003

BIFET, Precision

±3.5
±3.5

±18
±18

0.8
1.5

0.2
0.2

50
50

3
3

16
16

TL052AI
TL0521

D,JG,L,P
D,JG,L,P

SLYD003
SLYD003

BIFET, Low Power

±3.5
±3.5

±18
±18

6
6

0.2
0.2

4
50

1
3

3.5
13

TL0621
TL0721

D,JG,P
D,JG,P

SLYD003
SLYD003

BIFET, General Purpose

±3.5
±3.5
±3.5
±3.5

±18
±18
±18
±18

6
6
0.5
1

0.2
0.2
0.2
0.2

50
50
50
50

3
3
3
3

13
13
13
13

TL0821
TL0831
TL2871
TL2881

D,JG,P
D,JG,P
D,JG,P
D,JG,P

SLYD003
SLYD003
SLYD003
SLYD003

Low Power

±1.5

±18

8

-500

20

1

0.6

TL3221

D,JG,P

SLYD003

LinCMOS, High Bias

4
4
4
4

16
16
16
16

5
2
10
0.5

Typ 0.005
Typ 0.005
Typ 0.005
Typ 0.005

10
10
10
10

2.2
2.2
2.2
2.2

5.3
5.3
5.3
5.3

TLC272AI
TLC272BI
TLC2721
TLC2771

D,JG,P
D,JG,P
D,JG,P
D,JG,P

SLYD003
SLYD003
SLYD003
SLYD003

LinCMOS, Low Bias

4
4
4
4

16
6
16
16

5
2
10
0.5

Typ 0.005
Typ 0.005
Typ 0.005
Typ 0.005

50
50
50
50

0.1
0.1
0.1
0.1

0.05
0.05
0.05
0.05

TLC27L2AI
TLC27L2BI
TLC27L21
TLC27L71

D,JG,P
D,JG,P
D,JG,P
D,JG,P

SLYD003
SLYD003
SLYD003
SLYD003

LinCMOS, Medium Bias

4
4
4
4

16
16
16
16

5
2
10
0.5

Typ 0.005
Typ 0.005
Typ 0.005
Typ 0.005

25
25
25
25

0.6
0.6
0.6
0.6

0.6
0.6
0.6
0.6

TLC27M2AI
TLC27M2BI
TLC27M21
TLC27M71

D,JG,P
D,JG,P
D,JG,P
D,JG,P

SLYD003
SLYD003
SLYD003
SLYD003

LinCMOS, Micro Power,
Precision

3

16

0.6

Typ 0.007

500

0.11

0.05

TLC10781

D,JG,P

SLYD003

±2
±2
±2

±20
±20
±20

0.5
0.3
0.15

60
55
50

800
1000
1500

2.8
2.8
2.8

0.9
0.9
0.9

TLE20221
TLE2022AI
TLE2022BI

D,JG,L,P
D,JG,L,P
JG,L

SLOS027
SLOS027
SLOS027

Excalibur, High Speed,
Precision

7-9

II

Linear Signal Conditioning Circuits

Operational Amplifiers, Internally Compensated, Dual
(Values specified for TA = 25°C)

Commercial Temperature Range
SUPPLY
VOLTAGE
(V)

DESCRIPTION

±3.5

±18

10

0.2

25

3

13

LF353

D,JG,P

SLYD003

±18

3

0.2

25

3

13

LF412

D,JG,P

SLYD003

3
±1.5

30
±15

7

-250

25

0.6

0.2

LM358

D,JG,P'U

SLYD003

3
±1.5

30
±15

3

-100

25

0.6

0.2

LM358A

D,JG,P'U

SLYD003

±5
±5
±5

±22
±22
±22

0.15
0.3
0.3

20
30
30

1500
1200
1200

0.7
0.7
0.7

0.4
0.4
0.4

LT1013AC
LT1013C
LT1013D

JG,L,P
JG,L,P
D,JG,L,P

SLYD003
SLYD003
SLYD003

SIS

DIS

±1.5

±18

6

500

20

1

0.5

Low Noise

±3
±3

±20
±20

4
4

800
800

25
25

10
10

9
9

High Performance

±4
±4

±18
±18

6
6

500
250

20
20

3
4

Low Power

MC1458

D,JG,P'U

SLYD003

NE5532
NE5532A

JG,P
JG,P

SLYD003
SLYD003

1.7
2

RC4558
RC4559

D,JG,P
D,P

SLYD003
SLYD003

±2

±18

5

250

1

0.5

0.5

TL022C

D,JG,P

SLYD003

BIFET, Low Power, Precision

±3.5
±3.5

±18
±18

0.8
1.5

0.2
0.2

5
5

1.1
1.1

2.9
2.9

TL032AC
TL032C

D,JG,L,P
D,JG,L,P

SLYD003
SLYD003

BIFET, Precision

±3.5
±3.5

±18
±18

1.5
4

0.2
0.2

50
50

3
3

16
16

TL052AC
TL052C

D,JG,L,P
D,JG,L,P

SLYD003
SLYD003

BIFET, Low Power

±3.5
±3.5
±3.5

±18
±18
±18

6
3
15

0.2
0.2
0.4

4
4
3

1
1
1

3.5
3.5
3.5

TL062AC
TL062BC
TL062C

D,JG,P
D,JG,P
D,JG,P

SLYD003
SLYD003
SLYD003

BIFET, Low Noise

±3.5
±3.5
±3.5

±18
±18
±18

6
3
10

0.2
0.2
0.2

50
50
25

3
3
3

13
13
13

TL072AC
TL072BC
TL072C

D,JG,P
D,JG,P
D,JG,P

SLYD003
SLYD003
SLYD003

BIFET, General Purpose

±3.5
±3.5
±3.5
±3.5
±3.5
±3.5
±3.5

±18
±18
±18
±18
±18
±18
±18

6
3
15
6
15
0.5
1

0.2
0.2
0.4
0.2
0.4
0.2
0.2

50
50
25
50
25
50
50

3
3
3
3
3
3
3

13
13
13
13
13
13
13

TL082AC
TL082BC
TL082C
TL083AC
TL083C
TL287C
TL288C

D,JG,P
D,JG,P
D,JG,P
D,JG,N
D,JG,N
D,JG,P
D,JG,P

SLYD003
SLYD003
SLYD003
SLYD003
SLYD003
SLYD003
SLYD003

Low Power

10

-500

ell

(')
~.

~

II

PACKAGES DOCUMENT

±3.5

General Purpose

c

DEVICE
NUMBER

BIFET, Low Offset

Precision

..

SR
B,
(MHz) (V/lls)
TYP
TYP

BIFET, General Purpose

DIS

Q)

Avo
(VIm V)
MIN

MAX

SIS

S·

liB

(nA)
MAX

MIN

High Gain, Low Power,
Bipolar

r-

V IO
(mV)
MAX

±1.5

±18

20

1

0.6

TL322C

D,JG,P

SLYD003

LinCMOS, High Bias

1
1
1

16
16
16

5 Typ 0.005
2 TypO.005
10 TypO.005

10
10
10

2.2
2.2
2.2

5.3
5.3
5.3

TLC252AC
TLC252BC
TLC252C

D,JG,P
D,JG,P
D,JG,P

SLYD003
SLYD003
SLYD003

LinCmos, Low Bias

1
1
1

16
16
16

5 TypO.005
2 Typ 0.005
10 Typ 0.005

30
30
30

0.1
0.1
0.1

0.05
0.05
0.05

TLC25L2AC
TLC25L2BC
TLC25L2C

D,JG,P
D,JG,P
D,JG,P

SLYD003
SLYD003
SLYD003

LinCmos, Medium Bias

1
1
1

16
16
16

5 Typ 0.005
2 Typ 0.005
10 Typ 0.005

20
20
20

0.6
0.6
0.6

0.6
0.6
0.6

TLC25M2AC
TLC25M2BC
TLC25M2C

D,JG,P
D,JG,P
D,JG,P

SLYD003
SLYD003
SLYD003

LinCMOS, High Bias

3
3
3
3

16
16
16
16

5
2
10
0.5

Typ 0.005
TypO.005
TypO.005
Typ 0.005

10
10
10
10Typ

2.2
2.2
2.2
2.2

5.3
5.3
5.3
5.3

TLC272AC
TLC272BC
TLC272C
TLC277C

D,JG,P
D,JG,P
D,JG,P
D,JG,P

SLYD003
SLYD003
SLYD003
SLYD003

LinCmos, Low Bias

3
3
3
3

16
16
16
16

5
2
10
0.5

Typ 0.005
Typ 0.005
Typ 0.005
TypO.005

50
50
50
50

0.1
0.1
0.1
0.1

0.05
0.05
0.05
0.05

TLC27L2AC
TLC27L2BC
TLC27L2C
TLC27L7C

D,JG,P
D,JG,P
D,JG,P
D,JG,P

SLYD003
SLYD003
SLYD003
SLYD003

LinCmos, Medium Bias

3
3
3
3

16
16
16
16

5 Typ 0.005
2 TypO.005
10 Typ 0.005
0.5 Typ 0.005

25
25
25
25

0.6
0.6
0.6
0.6

0.6
0.6
0.6
0.6

TLC27M2AC
TLC27M2BC
TLC27M2C
TLC27M7C

D,JG,P
D,JG,P
D,JG,P
D,JG,P

SLYD003
SLYD003
SLYD003
SLYD003

7-10

Linear Signal Conditioning Circuits

Operational Amplifiers, Internally Compensated, Dual (Continued)
Commercial Temperature Range
(Values specified for TA = 25°C)
DESCRIPTION

SUPPLY
VOLTAGE
(V)

VIO
(mV)
MAX

118
(nA)
MAX

Avo
(V/mV)
MIN

B,
(MHz)
TYP

SR
(V/fL S )
TVP

MIN

MAX

LinCMOS, Micro Power,
Precision

1.4

16

0.6

Typ 0.007

500

0.11

0.05

Excalibur, High Speed,
Precision

±2
±2
±2

±20
±20
±20

0.5
0.3
0.15

60
55
50

800
1000
1500

2.8
2.8
2.8

General Purpose

±5

±22

6

25

1

500

Operational Amplifiers, Internally Compensated, Quad
Military Temperature Range
DESCRIPTION

SUPPLY
VOLTAGE
(V)

VIO
(mV)
MAX

(nA)
MAX

liB

Avo
(V/mV)
MIN

D,JG,P

SLYD003

0.9
0.9
0.9

TLE2022C
TLE2022AC
TLE2022BC

D,JG,L,P
D,JG,L,P
JG,L

SLOS027
SLOS027
SLOS027

0.5

uA747C

D,J,N

SLYD003

(Values specified for TA

B,
(MHz)
TVP

SR
(V/fL S)
TVP

MAX

General Purpose

3
±4

30
:!:22

5
5

-150
100

50
50

0.6
1

0.13
0.5

QUAD uA741, High
Performance

±4

±22

4

400

50

3.5

±3.5
±3.5

±18
±18

1.5
4

0.2
0.2

5
5

±2

±22

5

100

±3.5
±3.5
3.5
3.5

±18
±18
20
20

1.5
4
0.3
0.3

0.2
0.2
-30
-30

Low Power
BIFEl; Precision

PACKAGES DOCUMENT

TLC1078C

MIN

BIFEl; Low Power, Precision

DEVICE
NUMBER

DEVICE
NUMBER

= 25°C)

PACKAGES DOCUMENT

LM124
LM148

FK,J,W
FK,J

SLYD003
SLYD003

1.7

RM4136

FK,J,W

SLYD003

1.1
1.1

2.9
2.9

TL034AM
TL034M

FK,J
FK,J

SLYD003
SLYD003

72

0.5

0.5

TL044M

FK,J,W

SLYD003

50
50
1200
1200

2.7
2.7

16
16
0.4
0.4

TL054AM
TL054M
LT1014M
LT1014DM

FK,J
FK,J
J,N
J,N

SLYD003
SLYD003
SLOS039
SLOS039

-

BIFET, Low Power

±3.5

±18

9

0.2

4

1

3.5

TL064M

FK,J,W

SLYD003

BIFEl; Low Noise

±3.5

±18

9

0.2

35

3

13

TL074M

FK,J,W

SLYD003

BIFEl; General Purpose

TL084M

FK,J,W

SLYD003

TLC274M
TLC279M

FK,J
FK,J

SLYD003
SLYD003

TLC27L4M
TLC27L9M

FK,J
FK,J

SLYD003
SLYD003

TLC27M4M
TLC27M9M

FK,J
FK,J

SLYD003
SLYD003

TLC1079M

D,JG,P

SLYD003

TLE2024M
TLE2024AM
TLE2024BM

DW,FK,J,N
DW,FK,J,N
FK,J,N

SLOS028
SLOS028
SLOS028

±3.5

±18

9

0.2

25

3

13

LinCMOS, High Bias

4
4

16
16

10
1.2

0.005 Typ
0.005 Typ

10
10

2.2
2.2

5.3
5.3

LinCMOS, Low Bias

4
4

16
16

10
5

0.005 Typ
0.005 Typ

50
50

0.1
0.1

0.05
0.05

LinCMOS, Medium Bias

4
4

16
16

10
5

0.005 Typ
0.005 Typ

20
20

0.6
0.6

0.6
0.6

LinCMOS, Micro Power,
Precision

3

±16

1.15

0.007 Typ

500

0.11

0.05

±2
±2
±2

±20
±20
±20

1
0.75
0.5

60
55
50

400
800
1000

2.8
2.8
2.8

0.9
0.9
0.9

Excalibur, High Speed,
Precision

7-11

II

Linear Signal Conditioning Circuits

Operational Amplifiers, Internally Compensated, Quad
Automotive Temperature Range
SUPPLY
VOLTAGE
(V)

DESCRIPTION

32
±16

3

26

7

-250

3
±1.5

36
±18

8

-500

20

Quad uA741

±4.5

±18

6

500

20

3

BIFET, Low Power, Precision

±3.5
±3.5

±18
±18

1.5
4

0.2
0.2

5
5

1.1
1.1

3.5
3.5
3.5
3.5
3.5
3.5
3.5

18
18
18
18
18
20
20

1.5
4
6
6
6
0.3
0.8

0.2
0.2
0.2
0.2
0.2
-30
-30

50
50
4
50
50
1200
1200

2.7
2.7
1
3
3

LinCMOS, High Bias

4
4
4
4

16
16
16
16

5 0.001 Typ
2 0.001 Typ
10 0.001 Typ
1.2 0.005 Typ

LinCMOS, Low Bias

4
4
4
4

16
16
16
16

5
2
10
5

LinCMOS, Medium Bias

4
4
4
4

LinCMOS, Micro Power,
Precision

I DIS
SIS

I DIS
SIS

BIFET, Precision

r-

:i"
(")
~o

S'0

II

B,
(MHz)
TYP

4.5
±2.5

Low Power, Bipolar

C

Avo
(V/mV)
MIN

MAX

Extended Temperature Range
LM324

.,

liB

(nA)
MAX

MIN
Norton Amplifier,
Bipolar,

CD
DI

VIO
(mV)
MAX

(Values specified for TA = 25°C)

Excalibur, High Speed,
Precision

LM2900

J,N

SLYD003

0.6

0.3

LM2902

D,J,N,W

SLYD003

1

0.6

MC3303

D,J,N

SLYD003

1.7

RV4136

D,J,N,W

SLYD003

2.9
2.9

TL034AI
TL0341

D,J,N
D,J,N

SLYD003
SLYD003

-

16
16
3.5
13
13
0.4
0.4

TL054AI
TL0541
TL0641
TL0741
TL0841
LT10141
LT1014DI

D,J,N
D,J,N
D,J,N
D,J,N
D,J,N
J,N
J,N

SLYD003
SLYD003
SLYD003
SLYD003
SLYD003
SLOS039
SLOS039

10
10
10
10

2.2
2.2
2.2
2.2

5.3
5.3
5.3
5.3

TLC274AI
TLC274BI
TLC2741
TLC2791

D,J,N
D,J,N
D,J,N
D,J,N

SLYD003
SLYD003
SLYD003
SLYD003

0.005 Typ
0.005 Typ
0.005 Typ
0.005 Typ

50
50
50
50

0.1
0.1
0.1
0.1

0.05
0.05
0.05
0.05

TLC27L4AI
TLC27L4BI
TLC27L41
TLC27L91

D,J,N
D,J,N
D,J,N
D,J,N

SLYD003
SLYD003
SLYD003
SLYD003

16
16
16
16

5 0.005 Typ
2 0.005 Typ
10 0.005Typ
2 0.005 Typ

25
25
25
25

0.6
0.6
0.6
0.6

0.6
0.6
0.6
0.6

TLC27M4AI
TLC27M4BI
TLC27M41
TLC27M91

D,J,N
D,J,N
D,J,N
D,J,N

SLYD003
SLYD003
SLYD003
SLYD003

3

±16

1.15 Typ 0.007

500

0.11

0.05

TLC10791

D,JG.P

SLYD003

±2
±2
±2

±20
±20
±20

1
0.75
0.5

400
800
1000

2.8
2.8
2.8

0.9
0.9
0.9

TLE20241
TLE2024AI
TLE2024BI

DW,J,N
DW,J,N
DW,J,N

SLOS028
SLOS028
SLOS028

SUPPLY
VOLTAGE
(V)

60
55
50

100Typ

Single Supply, Norton
Amplifier, Bipolar
BIFET, Low Power
BIFET, Precision

7-12

VIO
(mV)
MAX

(nA)
MAX

liB

Avo
(V/mV)
MIN

(Values specified for TA

B,
(MHz)
TYP

SR
(V/Ils)
TYP

DEVICE
NUMBER

= 25°C)

PACKAGES DOCUMENT

MIN

MAX

3
3
±4

30
30
±18

5
3
6

-150
-80
200

50
50
25

0.6
0.6
1

0.3
0.3
0.5

LM224
LM224A
LM248

D,J,N,W
D,J,N,W
D,J,N

SLYD003
SLYD003
SLYD003

SIS
DIS

3
±1.5

32
±22

5

-150

50

0.6

0.2

LM258

D,J,N

SLYD003

SIS
DIS

3
±1.5

32
±22

3

-80

50

0.6

0.2

LM258A

D,J,N

SLYD003

SIS
DIS

4
±2

32
±16

-

200

1.2

2.5

0.5

LM2900

D,J,N

SLYD003

±3.5
±3.5

±18
±18

1.5
4

0.2
0.2

5
5

1.1
1.1

2.9
2.9

TL034AI
TL0341

D,J,N
D,J,N

SLYD003
SLYD003

3.5
3.5
3.5
3.5

±18
±18
20
20

1.5
4
0.3
0.3

0.2
0.2
-30
-30

50
50
1200
1200

2.7
2.7

16
16
0.4
0.4

TL054AI
TL0541
LT10141
LT1014DI

D,J,N
D,J,N
J,N
J,N

SLYD003
SLYD003
SLOS039
SLOS039

General Purpose, Bipolar

High Gain, Low Power,
Bipolar

PACKAGES DOCUMENT

0.5

Operational Amplifiers, Internally Compensated, Quad
Industrial Temperature Range
DESCRIPTION

DEVICE
NUMBER

2.5

200

1.2

SR
(V/Ils)
TYP

-

-

Linear Signal Conditioning Circuits

Operational Amplifiers, Internally Compensated, Quad (Continued)

Industrial Temperature Range

(Values specified forTA

SUPPLY
VOLTAGE
(V)

DESCRIPTION

MIN

MAX

BIFET, Low Power, Precision

±3.5
±3.5

±18
±18

BIFEl; General Purpose

VIO
(mV)
MAX

(nA)
MAX

6
6

0.2
0.2

4
50

liB

Avo
(V/mV)
MIN

SR
B,
(MHz) (V/ .... s)
TVP
TVP
1
3

3.5
13

±3.5

±18

6

0.2

50

3

13

LinCMOS, High Bias

4
4
4
4

16
16
16
16

5
2
10
0.75

0.001 Typ
0.001 Typ
0.001 Typ
0.005 Typ

10
10
10
10

2.2
2.2
2.2
2.2

5.3
5.3
5.3
5.3

LinCMOS, Low Bias

4
4
4
4

16
16
16
16

5
2
10
5

0.005 Typ
0.005 Typ
0.005 Typ
0.005 Typ

50
50
50
50

0.1
0.1
0.1
0.1

LinCMOS, Medium Bias

4
4
4
4

16
16
16
16

5 0.005 Typ
2 0.005 Typ
10 0.005 Typ
2 0.005 Typ

25
25
25
25

LinCMOS, Micro Power,
Precision

3

±16

1.15 Typ 0.007

±2
±2
±2

±20
±20
±20

1
0.75
0.5

Excalibur, High Speed,
Precision

60
55
50

DEVICE
NUMBER
TL0641
TL0741

= 25°C)

PACKAGES DOCUMENT

D,J,N
D,J,N

SLVD003
SLVD003

TL0841

D,J,N

SLVD003

TLC274AI
TLC274BI
TLC2741
TLC2791

D,J,N
D,J,N
D,J,N
D,J,N

SLVD003
SLVD003
SLYD003
SLVD003

0.05
0.05
0.05
0.05

TLC27L4AI
TLC27L4BI
TLC27L41
TLC27L91

D,J,N
D,J,N
D,J,N
D,J,N

SLVD003
SLVD003
SLYD003
SLVD003

0.6
0.6
0.6
0.6

0.6
0.6
0.6
0.6

TLC27M4AI
TLC27M4BI
TLC27M41
TLC27M91

D,J,N
D,J,N
D,J,N
D,J,N

SLYD003
SLYD003
SLYD003
SLVD003

500

0.11

0.05

TLC10791

D,JG,P

SLVD003

400
800
1000

2.8
2.8
2.8

0.9
0.9
0.9

TLE20241
TLE2024AI
TLE2024BI

DW,J,N
DW,J,N
DW,J,N

SLOS028
SLOS028
SLOS028

Operational Amplifiers, Internally Compensated, Quad

Commercial Temperature Range
SUPPLY
VOLTAGE
(V)

DESCRIPTION

BIFET General Purpose
General Purpose

(Values specified for TA
VIO
(mV)
MAX

liB

(nA)
MAX

Avo
(V/mV)
MIN

B,
SR
(MHz) (V/ ....s)
TVP
TVP

DEVICE
NUMBER

= 25°C)

PACKAGES DOCUMENT

MIN

MAX

±3.5

±18

10

0.2

25

3

13

LF347

D,J,N

SLYD003

3
3
±4

30
30
±18

7
3
6

-250
-100
200

25
25
25

0.6
0.6
1

0.3
0.3
0.5

LM324
LM324A
LM348

D,J,N,W
.D,J,N,W
D,J,N

SLVD003
SLVD003
SLVD003

200

1.2

2.5

0.5

LM3900

D,J,N

SLVD003

Single Supply, Norton
Amplifier, Bipolar

SIS
DIS

4
±2

32
±16

Low Power, Bipolar

SIS
DIS

3
±1.5

36
±18

10

-500

20

1

0.6

MC3403

D,J,N

SLVD003

±4

±18

6

500

20

3

1.7

RC4136

D,J,N,W

SLYDOO3

±3.5
±3.5

±18
±18

1.5
4

0.2
0.2

5
5

1.1
1.1

2.9
2.9

TL034AC
TL034C

D,J,N
D,J,N

SLVD003
SLVD003

Quad uA741, High
Performance
BIFEl; Low Power, Precision
General Purpose
BIFET, Precision

BIFEl; Low Power

BIFEl; Low Noise

±2

±18

5

250

60

0.5

0.5

TL044C

J,N,W

SLVD003

±3.5
±3.5
3.5
3.5

±18
±18
20
20

1.5
4
0.3
0.8

0.2
0.2
-30
-30

50
50
1200
1200

2.7
2.7

16
16
0.4
0.4

TL054AC
TL054C
LT1014C
LT1014DC

D,J,N
D,J,N
J,N
J,N

SLVD003
SLVD003
SLOS039
SLOS039

±3.5
±3.5
±3.5

±18
18
18

6
3
15

0.2
0.2
0.4

4
4
3

1
1
1

3.5
3.5
3.5

TL064AC
TL064BC
TL064C

D,J,N
D,J,N
D,J,N

SLVD003
SLVD003
SLVD003

3.5
3.5
3.5
3.5

18
18
18
18

6
3
10
10

0.2
0.2
0.2
0.2

50
50
50
25

3
3
3
3

13
13
13
13

TL074AC
TL074BC
TL074C
TL075C

D,J,N
D,J,N
D,J,N
J,N

SLVD003
SLVD003
SLVD003
SLVD003

-

7-13

Linear Signal Conditioning Circuits

Operational Amplifiers, Internally Compensated, Quad (Continued)
Commercial Temperature Range
(Values specified for TA
SUPPLY
VOLTAGE
(V)

DESCRIPTION

VIO
(mV)
MAX

(nA)
MAX

Avo
(V/mV)
MIN

liB

MIN

MAX

BIFET, General Purpose

3.5
3.5
3.5
3.5

±18
±18
±18
±18

6
3
15
15

0.2
0.2
0.4
0.4

50
50
25
25

High Performance, Bipolar

6

500

B,
(MHz)
TYP
3
3
3
3

SR
(V/jl.s)
TYP
13
13
13
13

DEVICE
NUMBER

= 25°C)

PACKAGES DOCUMENT

TL084AC
TL084BC
TL084C
TL085C

D,J,N
D,J,N
D,J,N
J,N

SLYD003
SLYD003
SLYD003
SLYD003

TL136C

N

SLYD003

TLC254AC
TLC254BC
TLC254C

D,J,N
D,J,N
D,J,N

SLYD003
SLYD003
SLYD003

TLC25L4AC
TLC25L4BC
TLC25L4C

D,J,N
D,J,N
D,J,N

SLYD003
SLYD003
SLYD003

±4

±18

20

3

2

LinCMOS, High Bias

1
1
1

16
16
16

5 0.005 Typ
2 0.005 Typ
10 0.005 Typ

10
10
10

2.2
2.2
2.2

5.3
5.3
5.3

LinCMOS, Low Bias

1
1
1

16
16
16

5
2
10

0.005 Typ
0.005 Typ
0.005 Typ

30
30
30

0.1
0.1
0.1

0.05
0.05
0.05

LinCMOS, Medium Bias

1
1
1

16
16
16

5 0.005 Typ
2 0.005 Typ
10 0.005 Typ

20
20
20

0.6
0.6
0.6

0.6
0.6
0.6

TLC25M4AC
TLC25M4BC
TLC25M4C

D,J,N
D,J,N
D,J,N

SLYD003
SLYD003
SLYD003

LinCMOS, High Bias

...S·

3
3
3
3

16
16
16
16

5 0.005 Typ
2 0.005 Typ
10 0.005 Typ
0.75 0.005 Typ

10
10
10
10

2.2
2.2
2.2
2.2

5.3
5.3
5.3
5.3

TLC274AC
TLC274BC
TLC274C
TLC27SC

D,J,N
D,J,N
D,J,N
D,J,N

SLYD003
SLYD003
SLYD003
SLYD003

LinCMOS, Low Bias

3
3
3
3

16
16
16
16

5
2
10
0.75

0.005 Typ
0.005 Typ
0.005 Typ
0.005 Typ

50
50
50
50

0.1
0.1
0.1
0.1

0.05
0.05
0.05
0.05

TLC27L4AC
TLC27L4BC
TLC27L4C
TLC27LSC

D,J,N
D,J,N
D,J,N
D,J,N

SLYD003
SLYD003
SLYD003
SLYD003

(")

LinCMOS, Medium Bias

3
3
3
3

16
16
16
16

5
2
10
0.75

0.005 Typ
0.005 Typ
0.005 Typ
0.005 Typ

25
25
25
25

0.6
0.6
0.6
0.7

0.6
0.6
0.6
0.06

TLC27M4AC
TLC27M4BC
TLC27M4C
TLC27MSC

D,J,N
D,J,N
D,J,N
D,J,N

SLYD003
SLYD003
SLYD003
SLYD003

LinCMOS, Micro Power,
Precision

1.4

±16

1.15

0.007 Typ

500

0.11

0.05

TLC107SC

D,J,N

SLYD003

Excalibur, High Speed,
Precision

±2
±2
±2

±20
±20
±20

1
0.75
0.5

400
800
1000

2.8
2.8
2.8

O.S
O.S
0.9

TLE2024C
TLE2024AC
TLE2024BC

DW,J,N
DW,J,N
DW,J,N

SLOS028
SLOS028
SLOS028

g
.,
~r
c

a

60
55
50

Differential Video Amplifiers
Military Temperature Range
DESCRIPTION
Amplifier with internal frequency compensation and
adjustable/selectable gain options
Amplifier with internal frequency compensation

7-14

(Values specified for TA
BAND·
WIDTH

NOISE
Vn, TYP

= 25°C)

GAIN

DEVICE
NUMBER
SE592

D,N

SLYD003

uA733M

J,U

SLYD003

90

12J.LV

600 Max

200

12J.LV

10,1000400

PACKAGES

DOCUMENT

Linear Signal Conditioning Circuits

Differential Video Amplifiers
Commercial Temperature Range·

(Values specified for TA = 25°C)
BANDWIDTH

DESCRIPTION

NOISE
Vn, TYP

DEVICE
NUMBER

GAIN

PACKAGES DOCUMENT

Amplifierwith 2 multiplexed inputs and wide AGC range

60MHz

25,...V

100 Max

MC1445

J,N

SLYD003

Amplifier with internal frequency compensation and
adjustable/selectable gain options

90MHz

12,...V

600 Max

NE592

D,N

SLYD003

Similar to NE592 but with tighter gain distribution

90MHz

12,...V

600 Max

NE592A

Amplifier with a wide AGC range

50MHz

12,...V

100 TL026C

D,N

SLYD003

D,p,JG

SLYD003
SLYD003

Amplifierwith a wide AGC range

50MHz

12,...V

400 Max TL027C

D,J,N

2-channel multiplexed Video Amp

20MHz

>5,...V

600 Max TL040C

D,N

SLYD003

Similar to NE592 but in 8-pin package

90MHz

12,...V

600 Max

D,P

SLYD003

Similar to NE592A but in 8-pin package

90MHz

12,...V

D,P

SLYD003

Low-noise version of NE592 and TL592

90MHz

3,...V

600 Max

TL592B

D,N,P

SLYD003

200M Hz

12,...V

10,100,400

uA733C

D,N

SLYDOO3

Amplifier with internal frequency compensation

TL592

600 Max TL592A

Logarithmic Amplifiers
Military Temperature Range
DESCRIPTION

BANDWIDTH

GAIN

PACKAGES

DOCUMENT

Logarithmic Amplifier

40Mhz

Logarithmic Curve

J,FK

SLYD003

Magnetic-Media Interface Circuits

(Values specified forTA

= 25°C)

PACKAGES

DOCUMENT

MC3470
MC3470A

N
N

Tape-Read Amplifier

TL040C

D,N

SLYD003

Tape-Read Signal Conditioner

TL041C

DW,NT

SLYD003

Disk-Memory Read-Chain Data

TL712

D,JG,P

SLYD003

Disk-Memory Read-Chain Data Comparator with MECL III nd MECL 1000

TL721

D,JG,P

SLYD003

CHANNEL
TYPE

Single

Dual

DESCRIPTION

(Values specified at TA = 25°C)
VIO
Vee + V ee - MAX
NOM NOM (mV)
(V)
(V)

I'B
MAX
(,...A)

IOL
MIN
(mA)

RESPONSE
TIME
TYP
(ns)

Strobe
Ultra Low Power, Strobe

4-30
4-30

0
0

3
7.5

0.1
0.1

.8
1.6

115
1200

Strobe
Strobe
Ultra Fast, Precision

4-30
4-30
5

0
0
-5

1.5
0.5
+2

0.05
0.025
10

50
50
10

4-30
12
1.4-18
4-18

0
-6
0
0

5
0.1
2
15
105pATyp
10 5 pA Typ

4-18

0

4-18

0

Low Power; Bipolar
DualTL510M
Ultra Low Offset, LinCMOS
High Speed LinCMOS
Ultra Low Power, Open-Drain
Output
Ultra Low Power, Push-Pull
Output

TYPE

C

II

Voltage Comparators

POWER
SUPPLIES

...

Q)

::;

SLYD003
SLYD003

Read-Amplifier System

Internally Compensated, Single
Military Temperature Range

=
e

(j
CO

DEVICE
NUMBER

DESCRIPTION

.~

PACKAGE DOCUMENT

LM111
LP111

FK,J,JG,U
FK,JG

SLYD003
SLYD003

150
150
10

LT1011M
LT1011A
LT1016M

JG,L
JG,L
JG,L

SLYD003
SLYDOO3
SLYD003

6
2
6
6

300
30
200
200

LM193
TL514M
TLC352M
TLC372M

FK,JG,L
FK,J,W
FK,JG
FK,JG

SLYD003
SLYD003
SLYD003
SLYD003

5 5 pATyp

6

1100

TLC393M

FL,KG

SLYD003

5 5pA Typ

4

1300

TLC3702M

FK,JG

SLYD003

7-15

Linear Signal Conditioning Circuits

Internally Compensated, Single (Continued)
Military Temperature Range
CHANNEL
TYPE

Quad

(Values specified at T A = 25°C)

POWER
SUPPLIES

DESCRIPTION

V/O
V cc + V cc - MAX
NOM NOM (mVI
(VI
(VI

1'8

MAX
("AI

RESPONSE
TIME
TYP
(nsl

IOL
MIN
(mAl

Low Power, Bipolar
Precision Input

4-30
4-30

0
0

5
2

-0.1
-0.1

6
2

300
300

Ultra Low Power, Open-Drain
Output

4-18

0

5 5 pATyp

6

1.4-18
4-18

0
0

10 5 pATyp
105pATyp

4-18

0

5 5 pATyp

Ultra Low Supply, LinCMOS
High Speed LinCMOS
Ultra Low Power, Push-Pull
Output

FK,J
FK,J

SLYD003
SLYD003

1100

TLC339M

FK,J

SLYD003

6
6

200
200

TLC354M
TLC374M

FK,J
FK,J

SLYD003
SLYD003

4

1300

TLC3704M

FK,J

SLYD003

Dual

V/O
V cc + V cc - MAX
(mVI
NOM NOM
(VI
(VI

lIB
MAX
("AI

RESPONSE
TIME
TYP
(nsl

IOL
MIN
(mAl

0

0.25

6

300

LM2903

D,JG,P

0

10 5 pATyp

6

200

TLC3521

D,JG,P

SLYD003

High Speed, LinCMOS

3-18

0

10 5 pATyp

6

200

TLC3721

D,JG,P

SLYD003

Ultra Low Power, Push Pull
Output

3-18

0

5 5 pATyp

4

1300

TLC37021

D,JG,P

SLYD003

Ultra Low Power, Open Drain
Output

3-18

0

5 5 pATyp

6

1100

TLC3931

D,JG,P

SLYD003

Automotive LM339 Temp.
Low Cost LM2901

4-30
4-26

0
0

7
20

-0.25
0.5

6
6

300
300

LM2901
LM3302

D,J,N
D,J,N

SLYD003
SLYD003

5

0

±5

-0.025

20

8000

LP2901

D,J,N

SLYD003

3-18
1.4-18
3-18
3-18

0
0
0
0

5
10
10
5

5 pATyp
5 pATyp
5 pATyp
5 pATyp

6
6
6
4

1100
200
200
1300

TLC33
TLC3541
TLC3741
TLC37041

D,J,N
D,J,N
D,J,N
D,J,N

SLYD003
SLYD003
SLYD003
SLYD003

Open Drain Output
Ultra Low Offset, LinCMOS
High Speed, LinCMOS
Push Pull Output

7

Internally Compensated, Single
Industrial Temperature Range

DESCRIPTION

SLYD003

(Values specified at T A = 25°C)
POWER
SUPPLIES

CHANNEL
TYPE

7-16

PACKAGE DOCUMENT

4-30

Ultra Low Power, Automotive
LP339, Bipolar

Single

TYPE

= 25°C)

1.4-18

Automotive LM393
Ultra Low Supply, LinCMOS

Quad

(Values specified at TA
POWER
SUPPLIES

DESCRIPTION

PACKAGE DOCUMENT

LM139
TL139A

Internally Compensated, Single
Automotive Temperature Range
CHANNEL
TYPE

TYPE

V'O
V cc + V cc - MAX
(mVI
NOM NOM
(VI
(VI

1'8

MAX
("AI

IOL
MIN
(mAl

RESPONSE
TIME
TYP
(nsl

TYPE

PACKAGE DOCUMENT

Strobe

4-30

0

3

0.1

8

115

LM211

D,JG,P

SLYD003

Ultra Low Power, Strobe

4-30

0

7.5

0.1

1.6

1200

LP211

D,JG,P

SLYD003

Single LM339

4-30

0

5

-0.1

6

300

TL331 I

D,JG,P

SLYD003

Linear Signal Conditioning Circuits

Internally Compensated, Single (Continued)
Industrial Temperature Range
CHANNEL
TYPE

Dual

Quad

(Values specified atTA

POWER
SUPPLIES

DESCRIPTION

VIO
Vee + V ee - MAX
NOM NOM (mVI
(VI
(VI

liB
MAX
(I1AI

IOL
MIN
(mAl

Single

Quad

PACKAGE DOCUMENT

4-30
4-30
1.4-18
3-18

0
0
0
0

2
0.25
2
0.25
10 5 pATyp
10 5 pATyp

6
6
6
6

300
300
200
200

LM293A
LM293A
TLC3521
TLC3721

D,JG,P
D,JG,P
D,JG,P
D,JG,P

SLYD003
SLYD003
SLYD003
SLYD003

Ultra Low Power. Open Drain
Output

3-18

0

5 5 pA Typ

6

1100

TLC3931

D,JG,P

SLYD003

Ultra Low Power, Push-Pull
Output

3-18

0

5 5 pATyp

4

1300

TLC37021

D,JG,P

SLYD003

Industrial LM339
Industrial LM339 LoOffset
Ultra Low Power, Industrial
LP339, Bipolar
Ultra Low Power, Open-Drain
Output
Ultra Low Supply, LinCMOS
High Speed LinCMOS
Ultra Low Power, Push-Pull
Output

4-30
4-30
4-30

0
0
0

-0.25
-0.25
-0.025

6
6
20

300
300
8000

LM239
LM239A
LP239

D,J,N
D,J,N
D,J,N

SLYD003
SLYD003
SLYD003

5
2
::,:5

3-18

0

5 5 pATyp

6

1100

TLC3391

D,J,N

SLYD003

1.4-18
3-18
3-18

0
0
0

10 5 pATyp
10 5 pATyp
5 5 pATyp

6
6
4

200
200
1300

TLC3541
TLC3741
TLC37041

D,J,N
D,J,N
D,J,N

SLYD003
SLYD003
SLYD003

(Values specified at TA
POWER
SUPPLIES

DESCRIPTION

V IO
Vee + V ee - MAX
NOM NOM (mVI
(VI
(VI

lIB
MAX
(11A)

IOL
MIN
(mAl

Strobe
Ultra Low Power, Strobe
Strobe
Strobe

4-30
4-30
4-30
4-30

0
0
0
0

7.5
7.5
0.5
1.5

0.25
0.1
0.025
0.05

Ultra Fast Precision
Single LM339
Output Enable

5
4-30
5

-5
0
0

::,:3
5
::,:1

10
10
-0.25
6
- Max16

5
0
0 -5.2

::':1

High Speed
High Speed
Dual

TYPE

Industrial LM393
Industrial LM393, Low Offset
Ultra Low Supply, LinCMOS
High Speed LinCMOS

Internally Compensated, Single
Commercial Temperature Range
CHANNEL
TYPE

RESPONSE
TIME
TYP
(nsl

= 25°C)

-

-

8
1.6
50
50

Max16
Max16

RESPONSE
TIME
TYP
(nsl
115
1200
150
150

TYPE

LM311
LP311
LT1011AC
LT10l1C

10 LT1016C
300 TL331C
25 TL712
7 TL714C
Max12 TL721

= 25°C)

PACKAGE DOCUMENT

D,JG,P
D,JG,P
JG,p,L
JG,P,L

SLYD003
SLYD003
SLYD003
SLYD003

D,JG,L,P
D,JG,P
D,JG,P

SLYD003
SLYD003
SLYD003

D,P
D,P

SLYD003
SLYD003

4-30
4-30
1.4-18
3-18

0
0
0
0

5
0.25
2
0.25
10 5 pATyp
105pATyp

6
6
6
6

300
300
200
200

LM393
LM393A
TLC352C
TLC372C

D,JG,P
D,JG,P
D,JG,P
D,JG,P

SLYD003
SLYD003
SLYD003
SLYD003

Ultra Low Power, CMOS Open
Drain Output

3-18

0

5 5 pATyp

6

1100

TLC393C

D,JG,P

SLYD003

Ultra Low Power, CMOS PushPull Output

3-18

0

5 5 pATyp

4

1300

TLC3702C

D,JG,P

SLYD003

Vee: 2V to 36V
Vee: 2V to 36V
Ultra Low Power, Bipolar
Ultra Low Power, CMOS Open
Drain Output

4-30
4-30
4-30
3-18

0
0
0
0

-0.25
5
-0.25
2
::,:5
-0.025
5 5 pATyp

6
6
6
6

300
300
8000
1100

LM339
LM339A
LP339
TLC339C

D,J,N
D,J,N
D,J,N
D,J,N

SLYD003
SLYD003
SLYD003
SLYD003

Ultra Low Supply, LinCMOS
High Speed, CMOS
Ultra Low Power, CMOS PushPull Output

1.4-18
3-18
3-18

0
0
0

10 5 pATyp
10 5 pATyp
5 5 pA Typ

6
6
4

200
200
1300

TLC354C
TLC374C
TLC3704C

D,N
D,J,N
D,J,N

SLYD003
SLYD003
SLYD003

Vee: 2Vt036V
Vee: 2Vt036V
Ultra Low Supply, LinCMOS
High Speed, LinCMOS

7-17

II

Linear Signal Conditioning Circuits

Data Acquisition and Conversion Circuits
Single-Slope and Dual-Slope AID Converters
CONVERSION
. FUNCTION

RESOLUTION

SPEED
(ms)

TYPE

PACKAGE

DOCUMENT

Dual-Slope AJD with BCD Output

4V2 Digits
4V2 Digits

34
34

TLC7135
ILC7135

FN,N
FN,N

SLYD004
SLYD004

Dual-Slope Analog Processors

4V2 Digits
3V2 Digits

80
80

TL500
TL501

J,DW
J,DW

SLYD004
SLYD004

Digital Processors with SevenSegment Outputs

4V2 Digits

80

TL502

N

SLYD004

Digital Processors with BCD Outputs

4V2 Digits

80

TL503

N

SLYD004

Dual-Slope Analog Processor

10 Bits

50

TL505

N

SLYD004

Pulse-Width Modulator for SingleSlope Converter

7 Bits

1

TL507

P

SLYD004

Successive-Approximation and Semi-Flash AID Converters
ADDRESS
AND
DATA 1/0
FORMAT

.-

Parallel

5'
cQ)

SIGNAL
INPUTS
ANALOG
DEDICATED

(')

~'
C
;+'
C/I

CONVERSION
SPEED
(.... s)**

POWER
DISSIPATION
(TYP)

UNADJUSTED
ERROR
(MAX)
:tLSB

TYPE

PACKAGES

DOCUMENT

8

8
8
8
8

100
100
100
100

10mW
10mW
10mW
10mW

0.5
1.0
1.0
0.75

ADC0803
ADC0804
ADC0805
ADC0808

N
N
N
FN,N

SLYD004
SLYD004
SLYD004
SLYD004

8
8
8
8

0
0
0
0

8
8
8
8

100
100
100
100

10mW
10mW
0.5mW
0.5mW

0.75
1.25
0.75
1.25

ADC0808M
ADC0809
TL0808
TL0809

FK,JD
FN,N
FN,N
FN,N

SLYD004
SLYD004
SLYD004
SLYD004

1t
It

0
0
0
0

8
8
8
8

1
1
1
1

35mW
35mW
35mW
35mW

0.5
1.0
1.0
0.5

ADC0820B
ADC0820C
TLC0820A
TLC0820B

DW,FN,N
DW,FN,N
DW,FN,N
DW,FN,N

SLYD004
SLYD004
SLYD004
SLYD004

5
5
1
1

6
6
0
0

8
8
13
13

15
30
10
10

6mW
6mW
45mW
45mW

0.5
0.5
1.0
0.5

TLC532A
TLC533A
TLC1225A
TLC1225B

FN,N
FN,N
J,N
J,N

SLYD004
SLYD004
SLYD004
SLYD004

1t

0
0
0
0

8
8
8
8

84
84
84
84

10mW
10mW
10mW
10mW

1.0
0.5
1.0
0.5

ADC0831A
ADC0831B
ADC0832A
ADC0832B

P

It
2t
2t

P
P
P

SLYD004
SLYD004
SLYD004
SLYD004

4t
4t
8
8

0
0
0
0

8
8
8
8

84
84
84
84

10mW
10mW
10mW
10mW

1.0
0.5
1.0
0.5

ADCOB34A
ADC0834B
ADC0838A
ADC0838B

N
N
FN,N
FN,N

SLYD004
SLYD004
SLYD004
SLYD004

11
11
8
5

0
0
0
0

8
8
8
8

13
25
40
22

6mW
6mW
10mW
6mW

0.5
0.5
0.5
0.5

TLC540
TLC541
TLC542
TLC543

FN,N,DW
FN,N,DW
FN,N
D,J,N

SLYD004
SLYD004
SLYD004
SLYD004

5
19
19
1

0
0
0
0

8
8
8
8

25
13
25
22

6mW
6mW
6mW
6mW

0.5
0.5
0.5
0.5

TLC544
TLC545
TLC546
TLC548

FN,N
FN,N
D,P

SLYD004
SLYD004
SLYD004
SLYD004

1
11
11

0
0
0

8
10
10

25
31
31

6mW
6mW
6mW

0.5
0.5
1.0

TLC549
TLC1540
TLC1541

D,P
FK,FN,N,J
FK,FN,N,J

SLYD004
SLYD004
SLYD004

It

1t

Serial

RESOLUTION
BITS

0
0
0
0

It
It

1t

.,

SIGNAL
INPUTS
ANALOG*
DIGITAL

*Used as digital logic inputs or inputs for AJD conversion. TLC53213A can have 11 analog, 5 analog, and 6 digital inputs or combinations.
**Includes access time.
tDifferential input.

7-18

Linear Signal Conditioning Circuits

01A Converters (5 V to 15 V)
FUNCTION

RESOLUTION

SETTLING TIME
(ns)

PACKAGES

TYPE

DOCUMENT

Single Multiplying DIA

8 Bits

100

AD7524A
AD7524J
TLC7524

N
FN,N
D,FN,N

SLYD004
SLYD004
SLYD004

Dual Multiplying D/A

8 Bits

100

AD7528B
AD7528K
TLC7528
AD7628
TLC7628

FN,N
FN,N
DW,FN,N
FN,N
DW,FN,N

SLYD004
SLYD004
SLYD004
SLYD004
SLNS025

10 Bits

150

AD7533C
AD7533L
TLC7533

FN,N
FN,N
D,FN,N

SLYD004
SLYD004
SLYD004

Single Multiplying D/A

*AD7628 TTL Compatible at 15 V. other items not.

Analog Interface for Digital Signal Processors
FUNCTION
Discrete Interfaces, AID
and D/A (No On-Board
Filters)

TRANSFER
CHARAC·
TERISTIC

DYNAMIC
RANGE

Linear

8 Bits

High-Performance
Combo*
(On-Board Filters)

Linear

Voiceband AlC
(On-Board Filters)

Linear

SAMPLING
RATE

PART
NUMBER

1 MHz (AID)
5MHZ(D/A)
5MHz(D/A)
5 MHz (Dual D/A)
5 MHz (Dual DIA)

TLC08201ADC0820
TLC7524
AD7524
TLC7528
AD7528

RESOLUTION
8 Bits

PACKAGES
FN,N

DOCUMENT
TBD
SLYD004
SLYD004
SLYDOO4
SLYDOO4

10 Bits

10 Bits

4MHz(D/A)

TLC7533/AD7533

FN,N

SLYDOO4

14 Bits

14 Bits

19.2 kHz
(Programmable)

TLC32040
TLC32041
TLC32042

FN,N

SLYD004
TBD
TBD

TLC32044
TLC32045

FN,N

14 Bits

14 Bits

20 kHz

*The TLC32040 and TLC32041 have two differential inputs for 14-bit AID and a serial port input for 14 bit DIA. The AID conversion accuracy
for this device is measured in terms of signal-to-quantization distortion and also in LSB over certain converter ranges. Please refer to the
data sheet.

Video Converters
RESOLUTION

POWER
DISSIPATION

Video AID Converter

6 Bits

300mW

TL5501

N

SYLD004

8 Bits

300mW

TLC5502

N

SYLD004

6 Bits

325mW

TL5601

N

SYLD004

8 Bits

375mW

TL5602

N

SYLDOO4

8 Bits

125mW

TLC5602

N

SYLD004

Video D/A Converter

TYPE

PACKAGES

=
~

(3

...

CO

Q)

II
c
:::::i

TBD
TBD

CONVERSION
FUNCTION

U)

.t::=

DOCUMENT

7-19

Linear Signal Conditioning Circuits

Analog Switches and Multiplexers
FUNcnON

POWER
SUPPLIES
(V)

TwinSPDT
Twin SPOT
DualSPST
Twin Dual SPST

±15
±15
±15
±15

SPOT
Dual SPOT
SPSTwith Enable
SPSTwith Logic Inputs

±25
±25
±25
±25

Quad Bilateral
Analog Switch

VOLTAGE
RANGE
(V)

TYPE

PACKAGES

DOCUMENT

±10
±10
±10
±10

100
150
100
150

TL182
TL185
TL188
Tl191

N
N
N
N

SLYD004
SLYD004
SLYD004
SLYD004

+25
+25
+25
+25

100
100
100
80

TL601
TL604
TL607
TL610

JG,P
JG,P
JG,P
JG,P

SLYD004
SLYD004
SLYD004
SLYD004

2to 12
2to 12

50
30

TLC4016
TLC4066

N,D,J
N,D,J

SLYD004
SLYD004

-17to
-17to
-17to
-17to

12
12

TYPICAL
IMPEDANCE
(OHMS)

Switched-Capacitor Filter ICs

r:

SUPPLY
VOLTAGE
(V)

FUNCTION

FILTER
ORDER

Dual Filter, General Purpose

2

±4to ±S

2

±4to ±5

4
4

Low Pass, Butterworth

=
CD
I»

'"

(")

~r
c
~

II

7-20

TYPE

PACKAGES

DOCUMENT

TLC10/MF10A

FN,N

SLYD004

TLC20/MF20C

FN,N

SLYD004

±2.Sto ±6

TLC04/MF4A-50

D,P

SLYD004

±2.5to ±6

TLC14/MF4A-100

D,P

SLYD004

Power Supply Circuits

POWER SUPPLY CIRCUITS
Power Supply Supervisors
FUNCTION

SENSE
INPUT
SUPPLY
1 VSU

Over Voltage
Monitor

-

(Values specified for TA
THRESHOLD
TOLERANCE

OUTPUT

%

DEVICE
NUMBER

PACKAGES

= 25°C)

DOCUMENT

2.6

5

Open-Emitter

MC3423

D,P

SLYD005

2.53
4.55
7.6
10.8
13.2

1
1
1
1
1

Open-Collector
Open-Collector
Open-Collector
Open-Collector
Open-Collector

TL7702A
TL7705A
TL7709A
TL7712A
TL771SA

D,P
D,P
D,P
D,P
D,P

SLYD005
SLYDOOS
SLYDOOS
SLYD005
SLYD005

1.2
1.2
4.SS
4.S5

1
1
1
1

Open-Drain
Push-Pull
Open-Drain
Push-Pull

TLC7701
TLC7721
TLC7705
TLC772S

D,JG,P
D,JG,P
D,JG,P
D,JG,P

SLYD005
SLYD005
SLYDOOS
SLYDOOS

SV
12V
15V

4.55
10.9
13.64

1
1
1

Open-Collector
Open-Collector
Open-Collector

TL7780-5
TL7780-12
TL7780-15

D,N
D,N
D,N

SLYD005
SLYD005
SLYD005

5V
12V
15V

4.55
10.9
13.64

1
1
1

Open-Collector
Open-Collector
Open-Collector

TL7770-5
TL7770-12
TL7770-15

DW,N
DW,N
DW,N

SLYD005
SLYD005
SLYD005

*

Under
Voltage
Monitor

*
5V
9V
12V
1SV

CMOS
Under
Voltage
Monitor

*
*
5V
SV

Dual Under
Voltage!
Over Voltage*

2VSU

SENSE
INPUT
THRESHOLD
(VTYP)

-

-

-

.~

*Programmable.

:::s

Shunt Voltage Regulators/References
REG VOLTAGE
RANGE
2.5V
2.5V
2.5V
2.5V

(Typ)
(Typ)
(Typ)
(Typ)

2.5V (Typ)
2.5Vto 30V
2.5Vto 36V
2.5Vto 36V

MINIMUM SHUNT
CURRENT TO
MAINTAIN REG

MAX
SHUNT
CURRENT

TOLERANCE

(Values specified for TA

= 25°C)

DEVICE
LT1004
LM185-2.5
LM385-2.5
LM336-2.5

D,LD,LP
L
D,LD,LP
D,LD,LP

SLYD005
SLYDOOS
SLYD005
SLYD005

LT1009
TL430
TL431
TL431 A

D,LD,LP
LP
D,Lp,LD,JG
D,Lp,P

SLYD005
SLYD005
SLYD005
SLYD005

20mA
20mA
20mA
10mA

1%
2%
2%
2%

20 PPMrC (Typ)
20 PPMrC (Typ)
20 PPMrC (Typ)
10 PPMrC (Typ)

400J.l.A
500 J.l.A(Typ)
270 J.l.A (Typ)
270 J.l.A (Typ)

20mA
150mA
150mA
150mA

0.2%
4%
2%
1%

15 PPMrC (Typ)
120 PPMrC (Typ)
30 PPMrC (Typ)
30 PPMrC (Typ)

PACKAGES

DOCUMENT

Adjustable Series-Pass Voltage Regulators
OUTPUT
VOLTAGE
Positive
Output
Voltage
Neg Output

OUTPUT
CURRENT
100mA
750mA
1.5A
1.5A
1.5A
1.5A

Pos or Neg
Output

150mA

Pos Output

5A

OUTPUT
VOLTAGE RANGE

REFERENCE
TOLERANCE

%

.

(j
«J

TEMPERATURE
COEFFICIENT

1OJ.l.A
20J.l.A
20J.l.A
400J.l.A

~

MAX(V.- Vol
DIFFERENTIAL

DEVICE

PACKAGES

DOCUMENT

1.2Vto32V
1.25Vto 125V
1.2Vto37V
1.2Vto37V

5
5
5
5

35V
125V
40V
40V

TL317
TL783
LM217
LM317

D,Lp,JG
KC
KC
KC

SLYD005
SLYD005
SLYD005
SLYD005

-1.2Vto -37V
-1.2Vto -37V

4
4

-40V
-40V

LM237
LM337

KC
KC

SLYDOOS
SLYD005

2.0Vto 37V

5

38V

uA723

D,J,N,U

SLYD005

3.0Vto 28V

2

30V

LT1084

KA,KK

SLYD005

7-21

Q)

c
:J

II

Power Supply Circuits

Positive Fixed Output Series-Pass Voltage Regulators
OUTPUT
VOLTAGE
(VI

rS'

OUTPUT
VOLTAGE
TOLERANCE

%

MINIMUM
DIFFERENTIAL
VOLTAGE

2.6

100mA
100mA

±10
±5

2V
2V

5

100mA
100mA
100mA
150mA

±5
±5
±10
±5

150mA
150mA
150 mA
500 mA
5

TVPE

PACKAGES

DOCUMENT

uA7BL02
uA7BL02A

LP,D,JG
LP,D,JG

SLVD005
SLVD005

2V
0.6V
2V
0.6V

uA7BL05A
LM2931·5AQ
uA7BL05
LM330

Lp,D,KC
Lp,D,KC
LP,D,JG
KC

SLYD005
SLYD005
SLYD005
SLYD005

±10
±5
±5
±5

0.6V
0.6V
0.6V
2V

LM2930-5
TL750L05
TL751L05
uA7BM05

KC,LP
D,KC,Lp,P
D,P
KC,JG

SLYD005
SLYD005
SLYD005
SLYD005

750mA
750mA
1.5A
1.SA

±1
±1
±2
±S

0.6V
0.6V
2V
2V

TL750M05
TL7S1MOS
TL7BO-05
uA7B05

KC
KC
KC
KC

SLYD005
SLYDOOS
SLYDOOS
SLYD005

6

SOOmA

±S

2V

uA7BM06

KC

SLYD005

6.2

1.5A
100mA
100mA

±S
±10
±S

2V
2V
2V

uA7B06
uA7BL06
uA7BL06A

KC
D,LP,JG
D,LP,JG

SLVDOOS
SLYD005
SLYDOOS

B

100mA
100mA

±10
±S

2V
2V

uA7BLOB
uA7BLOBA

D,Lp,JG
D,Lp,JG

SLYD005
SLYD005

B

1S0mA
1S0mA
1S0mA
SOOmA

±10
±S
±S
±5

0.6V
0.6V
0.6V
2V

LM2930-B
TL750LOB
TL7S1LOB
uA7BMOB

KC,LP
KC,D,Lp,P
D,P
KC

SLYD005
SLYDOOS
SLYDOOS
SLYD005

7S0mA
7S0mA
1.5A

±1
±1
±S

0.6V
0.6V
2V

TL7S0MOB
TL7S1MOB
uA7BOB

KC
KC
KC

SLYD005
SLYD005
SLYD005

et)

.,
Q)

("')

::;'
C")

c

i:

OUTPUT
CURRENT
RATING

B.5

1.SA

±S

2V

uA7BB5

KC

SLYDOOS

9

100mA
SOOmA
100mA

±10
±S
±5

2V
2V
2V

uA7BL09
uA7BM09
uA7BL09A

LP,D,JG
KC
LP,D,JG

SLYDOOS
SLYD005
SLYDOOS

10

100mA
100mA
150mA
150mA
500mA

±5
±10
±5
±5
±5

2V
2V
0.6V
0.6V
2V

uA7BL10A
uA7BL 10
TL750L10
TL751L10
uA7BM10

LP,D,JG
LP,D,JG
D,KC,LP,P
D,P
KC

SLYD005
SLYDOO5
SLYDOO5
SLYDOO5
SLYD005

5

75mA

±4

2.2V

LT1036

KJ,KV

SLYDOO5

12

3A

±4

2.2V

LT1036

KJ,KV

SLYD005

10

750mA
750mA
1.5A

±1
±1
±5

2V
2V
2V

TL750M10
TL7S1M10
uA7B10

KC
KC
KC

SLYD005
SLYD005
SLVD005

12

100mA
100mA
150mA
150mA

±5
±10
±5
±5

2V
2V
0.6V
0.6V

uA7BL12A
uA7BL12
TL750L 12
TL751L12

LP,D,JG
LP,D,JG
Lp,D,KC
P,D

SLYD005
SLYD005
SLYDOO5
SLYDOO5

500mA
750mA
750mA

±5
±1
±1

2V
O.6V
0.6V

uA7BM12
TL750M12
TL751M12

KC,JG
KC
KC

SLVD005
SLYDOO5
SLYDOO5

1.5A
1.5A

±5
±2

2V
2V

uA7B12
TL7BO-12

KC
KC

SLYD005
SLYDOO5

100mA
100mA
1.5A
1.SA
500mA

±5
±10
±2
±S
±5

2V
2V
2V
2V
2V

uA7BL15A
uA7BL15
TL7BO-15
uA7B15
uA7BM15

LP,D,JG
LP,D,JG
KC
KC
KC

SLYD005
SLYD005
SLVDOO5
SLYDOO5
SLYDOO5

Dual

15

7-22

I
I

Power Supply Circuits

Positive Fixed Output Series-Pass Voltage Regulators (Continued)
OUTPUT
VOLTAGE
(V)

OUTPUT
CURRENT
RATING

OUTPUT
VOLTAGE
TOLERANCE

%

MINIMUM
DIFFERENTIAL
VOLTAGE

TYPE

PACKAGES

DOCUMENT

18

1.5A

±5

2V

uA7818

KC

SLYD005

20

500mA

±5

2V

uA78M20

KC

SLYD005

24

500mA
1.5A

±5
±4

2V
2V

uA78M24
uA7824

KC
KC

SLYD005
SLYD005

Values specified over operating temperature range.

Negative Fixed Output Series-Pass Voltage Regulators
OUTPUT
VOLTAGE
(V)
5

OUTPUT
CURRENT
RATING

OUTPUT
VOLTAGE
TOLERANCE

%

MINIMUM
DIFFERENTIAL
VOLTAGE

100mA
100mA
1.5A
1.5A

±5
±10
±5
±5

1.7V
1.7V
2V
2V

TYPE

PACKAGES

DOCUMENT

MC79L05A
MC79L05
uA7905
uA79M05

D,LP
D,LP
KC
KC,JG

SLYD005
SLYD005
SLYD005
SLYD005

5.2

1.5A

±5

2V

uA7952

KC

SLYD005

6

1.5 A
1.5 A

±5
±5

2V
2V

uA7906
uA79M06

KC
KC,JG

SLYD005
SLYD005

8

1.5A
1.5A

±5
±5

2V
2V

uA79M08
uA7908

KC,JG
KC

SLYD005
SLYD005

12

100mA
100mA
1.5A
1.5A

±10
±5
±5
±5

1.7V
1.7V
2V
2V

MC79L12
MC79L12A
uA7912
uA79M12

D,LP
D,LP
KC
KC,JG

SLYD005
SLYD005
SLYD005
SLYD001

15

100mA
100mA
1.5A
1.5A

±5
±10
±5
±5

1.7V
1.7V
2V
2V

MC79L15A
MC79L15
uA79M15
uA7915

D,LP
D,LP
KC
KC,JG

SLYD005
SLYD005
SLYD005
SLYD005

18

1.5A

±5

2V

uA7918

KC

SLYD005

20

1.5A

±5

2V

uA79M20

KC

SLYD005

24

1.5A
1.5A

±5
±5

2V
2V

uA7924
uA79M24

KC
KC

SLYD005
SLYD005

II

Values specified over operating temperature range.

Switched Capacitor Voltage Converters
CONTROL
TOPOLOGY
Voltage Mode

(Values specified for TA

MAXIMUM
MAXIMUM
TYPICAL
SUPPLY QUIESCENT
OUTPUT
VOLTAGE CURRENT CONTINUOUS FREQUENCY CONVERSION
SWITCH
(kHz)
NO LOAD
EFFICIENCY
RANGE
lOUT

DEVICE

= 25°C)

PACKAGES DOCUMENT

Single

1.5-9V

200 f.l.A

50mA

10

95%

lTC1044

JG,L,P

SlYD005

Single

3.5-15V

150 f.l.A

300mA

35

90%

LT1054

JG,L,P

SLYD005

7-23

Power Supply Circuits

Switching Power Supply Controllers
and Regulators
OUTPUT

.....RING
CONTAOl

"""'......OUTPUTS

-

-

-

-

•

-

•
•

~'

-•
-

ii'

-

-

-

-

r-

5'

CD
I»

...

(')

c

IJ

-

-

•

•
-•
-

-

-

.

DEAD-

""

CONTROL

•
•
•
•

-

•
•
•
•

-

-

~; 10"

START

•
•
•

-

ON·IIOARD
AMPUfIERI

2
2
2
2

-

1

-

-

1
2
2
2

•
• • •
• • • --

-

•
•
•

1
1

•
•

-

-

••
• -

-

-

-

-

......

AMI'lIfIERI

-

-

CURA~.

,
1

1
1
1
1
1
1

1
1
1
1

1
1

-

-

1
1

-

•
•
•
•
•
•
•
•
•
•
•
•

.....x
DUTY
CYClE

-

-

•
•
•

•

-

•• -•
•
•

-

-

-

lING ..·
ENDED

FIXED
PU....
PULL

• --• -

-

-

•
•
•
•
•
•
•
•
•

-

•
-•
•
-

•
•
•
•
•
•

•
• • - • -

-

-

-

• -

~
run

(Values specified for T A
TOT,M
'OlE

~

-

•
• -• •

• --• •
Dual -

-

-

-

•

•
•
•
•
•
•
•
•
•
•
•
•
•
•

-

• • • • -

CURR.NT
PER

.....
...Q

8WITCK

(IIHd

OUTPUT

ImAI

VOLTAGE
MRRENCI
TOlER·

....

_l

PUlH
CURRENT

I'"

.-rING

-

250
250
250
250

200
300
300
300

5
5
5
5

250
250
250
250
250
21

300
300
300
300
300
500

5
5
1
1
4

±1000
±1000
±1000
±1000

500
500
500
500

1
1
1
1

±1000
±1000
±1000
±1000

500
500
500
500

1
1
1
1

±1000 500
±1000 500

1
1

±1000
±1000
±1000
±1000

500
500
500
500

1
1
1
1

5000
2500

40
40

2
2

1200

40

10

1200

40

5

-

700

50

5

-

1

= 25°C)

PUlH·

-

-

•
•
•
•
•
•
•
•
•
•

•
•
•
•
•
•

TYPE

PACKAGEI

DOCUMENT

MC34060
TL493
TL494
TL495

D,N
D,N
D,J,N
N

SLYD005
SLYD005
SLYD005
SLYD005

SG2524
SG3524
TL594
TL595
TL598
TL1451A

J,N
J,N
D,N
D,N
D,J,N
D,N

5 LYD005
SLYD005
SLYD005
SLYD005
SLYD005
SLYD005

UC2842
UC2843
UC3842
UC3843

D,P
D,P
D,P
D,P

SLYD005
SLYD005
5 LYD005
SLYD005

UC2844
UC2845
UC3844
UC3845

D,P
D,P
D,P
D,P

SLYD005
SLYD005
SLYD005
SLYD005

UC1846
UC1847

J
J

SLYD005
SLYD005

UC2846
UC2847
UC3846
UC3847

FN,N
FN,N
FN,N
FN,N

SLYD005
SLYD005
5 LYD005
SLYD005

LT1070
LT1071

KJ,KV SLYD005
KJ,KV SLYD005

TL496*

D,P

SLYD005

TL499A*

P

SLYD005

TL497A*

D,J,N

SLYD005

• Applicable data. - Nonapplicable data. *One on-board amplifier.
Control Topology for all devices: voltage-mode pulse-width-modulated controllers with the exception that for TL496, TL496A, and
TL497A, it is the fixed on-time voltage mode.

7-24

Special Functions

SPECIAL FUNCTIONS
Timers
Military Temperature Range

(Values specified for TA
TIMING
FROM

Single Timer, Bipolar

±200mA
±200mA

1/Ls
1/Ls

Hours
Hours

SE55
SE5

FK,JG
FK,JG

SLYDOO3
SLYDOO3

Dual Timer, Bipolar

±200mA
±200mA

1/Ls
1/Ls

Hours
Hours

SE55
SE556C

FK,J
FK,J

SLYDOO3
SLYDOO3

LinCMOS, Single High-Speed Timer

100mA
-10mA

1/Ls

Hours

TLC555M

FK,JG

SLYDOO3

LinCMOS, Dual High-Speed Timer

100mA
-10mA

1/Ls

Hours

TLC556M

FK,J

SLYD003

DESCRIPTION

TO

TIMING

OUTPUT
CURRENT

FROM

TO

Single Timer, Bipolar

±200mA

1Ol1s

Hours

Dual Timer, Bipolar

±200mA

10/Ls

Hours

Single High-Speed Timer LinCMOS,
1-Volt Operation

100mA
-10mA

111S

Dual High-Speed Timer LinCMOS,
1-Volt Operation

100mA
-10mA

Single High-Speed Timer LinCMOS
Dual High-Speed Timer LinCMOS

DOCUMENT

DEVICE
NUMBER

= 25°C)

PACKAGES

DOCUMENT

NE555

D,JG,P

SLYD003

NE556

D,J,N

SLYDOO3

Hours

TCL551C

D,P

SLYD003

111S

Hours

TLC552C

D,J,N

SLYD003

100mA
-10mA

111s

Hours

TLC555C

D,JG,P

SLYD003

100mA
-10mA

1 I1s

Hours

TLC556C

D,J,N

SLYD003

4mA

1Ol1s

Days

uA2240C

N

SLYDOO3

Programmable Timer/Counter

(Values specified for TA

Automotive Temperature Range
OUTPUT
CURRENT

DESCRIPTION

PACKAGES

(Values specified for TA

Commercial Temperature Range
DESCRIPTION

DEVICE
NUMBER

= 25°C)

OUTPUT
CURRENT

TIMING
FROM

TO

DEVICE
NUMBER

= 25°C)

PACKAGES

DOCUMENT
SLYD003

Single Timer, Bipolar

±200mA

10/Ls

Hours

SA555

D,JG,P

Dual Timer, Bipolar

±200mA

10/Ls

Hours

SA556

D,J,N

SLYD003

LinCMOS, Single High-Speed Timer

100mA
-10mA

1/Ls

Hours

TLC5551

D,JG,P

SLYD003

LinCMOS, Dual High-Speed Timer

100mA
-10mA

111s

Hours

TLC5561

D,J,N

SLYD003

PACKAGES

DOCUMENT

Frequency-to-Voltage Converters
DESCRIPTION
• Output swings to ground for zero-frequency input
• Only one RC network provides frequency doubling for low ripple
• 8-pin versions interface directly to variable-reluctance magnetic pickups

DEVICE
NUMBER
LM2917
LM2907

D,p,N
D,p,N

SLYD003
SLYD003

7-25

II

Special Functions

Sonar Ranging Functions

(Values specified for T A
PACKAGES

DOCUMENT

Sonar ranging module for measuring
distances from a range of 6 inches to 35 feet
using the TL851 and TL852

SN28827

-

SLYD003

Sonar ranging module for measuring
distances from a range of 6 inches to 35 feet
using the TL852 and TL853

SN28828

-

SLYD003

Controller Circuit

Control integrated circuit for use in a sonar
ranging module, capable of driving 50-kHz
transducers with a simple interface

TL851

N

SLYD003

Receiver Circuit

Receiver integrated circuit for use in a sonar
ranging module

TL852

N

SLYD003

Control Circuit

Control integrated circuit for use in a sonar
ranging module, capable of driving 40-kHz
transducers with a simple interface

TL853

N

SLYD003

DESCRIPTION
Sonar Ranging Module

Current Mirrors

(Values specified for T A = 25°C)

TEMPERATURE
RANGE

CURRENT RATIO
INPUT TO OUTPUT

INPUT CURRENT
RANGE

Programmable

Oto70°C
-40to85°C

3:1 to 1:15
3:1 to 1:15

Variable
Variable

Fixed

Oto 70°C
-40 to 85°C
Oto 70°C
-40to85°C

1:1
1:1
1:2
1:2

1IJ.Ato1
1IJ.Ato1
1IJ.Ato1
1IJ.Ato1

Oto70°C
Oto70°C
-40 to 85C

1:4
1:2
1:2

1IJ.Ato1 mA
2 IJ.Ato 2 mA
2 IJ.Ato 2 mA

DESCRIPTION

c:
:s
ell
...
(')

= 25°C)

DEVICE
NUMBER

Q)

~.

c

if

mA
mA
mA
mA

DEVICE
NUMBER

PACKAGES

DOCUMENT

TL010c
TL0101

P
P

SLYD003
SLYD003

TL011C
TL0111
TL012C
TL0121

LP
LP
LP
LP

SLYD003
SLYD003
SLYD003
SLYD003

TL014AC
TL021C
TL021 I

LP
LP
LP

SLYD003
SLYD003
SLYD003

Hall-Effect Products
(Values specified for TA

Hall-Effect Switches

= 25°C)

RELEASE POINT
(GAUSS)
MIN

OPERATING POINT
(GAUSS)
MAX

MINIMUM
HYSTERESIS
(GAUSS)

DEVICE
NUMBER

PACKAGES

DOCUMENT

-250
100
25

250
600
450

50
230
30

TL170
TL172
TL3013C

LP
LP
LU

SLYD003
SLYD003
SLYD003

125
50
-250

500
350
250

50
20
50

TL3019C
TL3020c
TL3101

LU
LU
LU

SLYD003
SLYD003
SLYD003

(Values specified for T A

Hall-Effect Linear Circuits
RELEASE POINT
(GAUSS)
MIN

OPERATING POINT
(GAUSS)
MAX

MINIMUM
HYSTERESIS
(GAUSS)

-500
-500

500
500

1.4
1.4

7-26

DEVICE
NUMBER
TL173
TL3103

= 25°C)

PACKAGES

DOCUMENT

LP
LU

SLYD003
SLYD003

Special Functions

Programmable Tone/Noise Generator
DEVICE
NUMBER

DESCRIPTION
• Complex sound generators designed to provide low-cost digital tones
or noise.
• Programmable white-noise and attenuation functions, and simultaneous
sounds under microprocessor control.
• TTL compatible.

SN764941
SN76494A
SN764961
SN76496A

PACKAGES
N
N
N
N

DOCUMENT
SLYD003
SL', oJ003
SLYD003
SLYD003

Sample and Hold Amplifiers
Military Temperature Range (Values specified for operation temperature range)
DESCRIPTION
Precision Sample-and-Hold Amplifier

OFFSET
VOLTAGE

GAIN
ERROR

TYPE

PACKAGES

DOCUMENT

1mV
0.5mV

0.002%
0.001%

LF198
LF198A

L
L

SLYD003
SLYD003

Commercial Temperature Range (Values specified for operation temperature range)
DESCRIPTION
Precision Sample-and-Hold Amplifier

OFFSET
VOLTAGE
2mV
1mV

GAIN
ERROR

TYPE

PACKAGES

DOCUMENT

0.004%
0.001%

LF398
LF398A

L
L

SYLD003
SLYD003

II

7-27

ORDERING INSTRUCTIONS

LINEAR CIRCUITS

Factory orders for circuits described in this guide st;ould include a four-part type number as explained in the
following example.
EXAMPLE:

TL

-Jt

062M

JG

/883B

1'~~__________________________________
CONTAINS TWO TO FOUR LETTERS
TCM ................ TI Telecommunication Products
TISP ......................... Transient Suppressors
TL ........... TI Linear Products (excluding Interface)
TLC ........... TI Linear Silicon-Gate CMOS Products
TPIC .............................. TI Power Circuits
TSP .............................. Speech Products
SN ......... TI Special Function or Interface Products

STANDARD SECOND-SOURCE PREFIXES
ADC .......... Analog Devices OP ...................... PMI
AM .................... AMD - RC, RM or RV ....... Raytheon
OS .................. National SG ........... Silicon General
L ..... Linear Technology Corp. TP .................. National
LM ................. National uA .................. Fairchild
LT .... Linear Technology Corp. UDN ................ Sprague
MC ................ Motorola ULN ................ Sprague
NE, SA, or SE ....... Signetics
2.

Unique Circuit Designator Including Temperature Range
(If not already specified by the prefix)

CONTAINS THREE TO SEVEN CHARACTERS
(From Individual Data Sheets)
Examples:

062M
5941
532AI

28867
78L05AC

CONTAINS ONE OR TWO LETTERS

0, OW, FK, FN, J, JD, JG, KC, KH, KV, LP, LU, N, P, U, W
(From Pin-Connection Diagram on Individual Data Sheet)
4.

MIL-STD-883B, Method 5004, Class B

OMIT/883B WHEN NOT APPLICABLE
Circuits are shipped in one of the carriers below. Unless a specific method of shipment is specified by the customer
(with possible additional costs), circuits will be shipped on the most practical carrier.
Dual-In-Line (0, OW, J, JD, JG, N, P)
- Slide Magazines
- A-Channel Plastic Tubing
- Barnes Carrier
- Sectioned Cardboard Box
-Individual Cardboard Box

7-28

Plug-In (LP, LU)
- Barnes Carrier
- Sectional Cardboard Box
-Individual Cardboard Box
Chip Carriers (FK, FN)
- Anti-Static Pla~tic Tubing

Flat (U, W)
- Barnes Carrier
- Milton Ross Carrier
Power Tab (KC, KH, KV)
-Sleeves

Introduction

OPTOELECTRONICS AND IMAGE SENSORS
INTRODUCTION
TI offers three major categories of Optoelectronic and Image Sensor devices:

- ceo Image Sensors

- Optocouplers/Optoisolators

- Intelligent LED Displays.

NMOS image sensors are available for line sensors, small-area sensors and large-area sensors. Solid-state
image sensors offer many advantages over tube-type imagers such as Vidicons and Newicons by eliminating
image lag, image burn-in, and distortation. TI's patented virtual phase technology minimizes the number of
clock electrodes required by the image sensor, resulting in simpler external circuitry requirements and
improved device performance.
The optocoupler/optoisolator devices are offered in metal-can and plastic dual-in-line packages. JEDECregistered metal cans provide transistor output functions. All TI's optocouplers are UL-recognized and provide
functions such as logic gates, triac, and transistor or Darlington outputs.
The red LED displays are plastic-encapsulated in dual-in-line packages that contain TIL-compatible on-board
electronics to decode input signals and provide constant current to each LED. Also included in the Selection
Guide are infrared emitters and phototransistors in the hermetically sealed standard pill package. Readers
should refer to the Alphanumeric Index and to the Customer Support section of the Master Selection Guide for
additional information on technical documentation.

Contents

Page

CCD Image Sensors and Support Functions ...............................................
Linear Arrays, 10-Pin CDIP ........................................................
Linear Arrays, 24-Pin CDIP ........................................................
Evaluation Boards ...............................................................
Evaluation Kits ..................................................................
Optocouplers .......................................................................
Optocouplers, Peak Isolation 0.5 to 5.0 kV ............................................
Optocouplers in 8-Pin Plastic DIP, High-Speed, Logic Gate ...............................
Optocouplers in 8-Pin Plastic DIP, High-Speed .........................................
Optocouplers in 8-Pin Plastic DIP, High-Speed, High-Gain ................................
Couplers in 6-Pin Plastic DIP, Triac Drivers ............................................
Couplers in 6-Pin Plastic DIP, Schmitt Trigger ..........................................
Intelligent Single-Digit LED Displays ....................................................
Infrared Emitters and Phototransistors ....................................................
Infrared-Emitting Diodes ..........................................................
Phototransistors .................................................................
Ordering Instructions .................................................................

8-2
8-2
8-2
8-2
8-2
8-3
8-3
8-4
8-4
8-5
8-5
8-5
8-6
8-7
8-7
8-7
8-8

8-1

II

CCD Image Sensors and Support Functions

CCD IMAGE SENSORS AND SUPPORT FUNCTIONS
Linear Arrays, 10-Pin CDIP
PIXEL SIZE (11m)

SENSITIVITY

PACKAGE

DOCUMENT

12.7 x 12.7
12.7 x 12.7

3.5 V/!W/cm2
3.5 V/fJ.J/cm2

10-pin corp (0.300 in.)
10-pin COIP (0.300 in.)

SOYD002A
SOYD002A

t Minimum and typical values of Write Reference (WR) and End of Scan (EOS) are specified.
* Typical values of WR and EOS are specified.

Linear Arrays, 24-pin CDIP

o

'S
o
(I)

Ci

Sl

a
::::I

a'

TYPE

PIXELS

PIXEL SIZE (11m)

SENSITIVITY

PACKAGE

DOCUMENT

TC103t
TC103-H
TC104t

2048 x 1
2048 x 1
3456 x 1

12.7 x 12.7
12.7 x 12.7
10.7 x 10.7

3.5 V/fJ.J/cm2
3.5 V/fJ.J/cm2
2.0 V/fJ.J/cm2

24-pin COIP (0.600 in.)
24-pin COIP (0.600 in.)
24-pin COIP (0.600 in.)

SOYD002A
SOYD002A
SOYD002A

TC104-1*
TC106-1*
TC108

3456 x 1
2592 x 1
5000 x 1

10.7 x 10.7
10.7 x 10.7
7.0 x 7.0

2.0 V/fJ.J/cm2
2.0 V/fJ.J/cm2
2.0 V/fJ.J/cm2

24-pin COIP (0.600 in.)
24-pin COIP (0.600 in.)
24-pin COIP (0.600 in.)

SOYD002A
SOYD002A
SOC5012A

t Minimum and typical values of Write Reference (WR) and End of Scan (EOS) are specified.
* Typical values of WR and EOS are specified.

Evaluation Boards

D)

TYPE

DEVICE EVALUATED

::::I

PC401

TC103, TC103-1, TC104,
TC104-1, TC106-1

Device socket fits TC103, TC103-1, TC104, TC104-1, TC106-1 (See TCK
Evaluation Kits)

SOYD002A

3"

PC402

TC102, TC102-1

Device socket fits TC1 02, TC1 02-1 (See TCK1 02 Evaluation Kit)

SOYD002A

PC405

TC108

Board operates TC108 exclusively

SOYD002A

C.

D)

c.c
(I)

REMARKS

DOCUMENT

C/)
(I)

;

o

Cil

II

Evaluation Kits
TYPE

CONTENTS

TCK102
TCK103
TCK104
TCK106
TCK108

TC102 and PC402
TC103 and PC401
TC104 and PC401
TC106-1 and PC401
TC108 and PC405

8-2

REMARKS
See Application section "Operating Instuctions for Linear CCD Image Sensors"

DOCUMENT
SOYD002A
SOYD002A
SOYD002A
SOYD002A
TBD

Optocouplers

OPTOCOUPLERS
Optocouplers, Peak Isolation 0.5 to 5.0 kV
TYPE

PEAK
ISOLATION
(kV)
f
60Hz

=

RMS
ISOLATION
(kV)
f = 60 Hz

4N28
TIL120
4N22At
4N22t
TIL102
3N261
4N47*
TIL121

0.5
1.0
1.0
1.0
1.0
1.0
1.0
1.0

-

4N23At
4N23t
4N24t
TIL103
4N24At
3N262
4N48*
3N263
4N49*

1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0

-

TIL115
TIL112
TIL118
4N27
TIL114
TIL111
MCT2
4N26
TIL116

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

TIL 117
4N37
TIL113
TIL119§
TIL119A§

1.5
1.5
1.5
1.5
1.5

4N25§
4N36
4N45
4N46

2.5
2.5

3.0

-

-

-

-

-

-

-

-

1.05

-

-

1.75

-

CTR
(MIN)
(%)

FEATURES

DOCUMENT

10
25
25
25
25
50
50
50

6-Pin
6-Pin
6-Pin
6-Pin
6-Pin
6-Pin
6-Pin
6-Pin

DIP, UL File E-65085
Metal Can
Metal Can
Metal Can
Metal Can
Metal Can
Metal Can
Metal Can

SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A

60
60
100
100
100
100
100
200
200

6-Pin
6-Pin
6-Pin
6-Pin
6-Pin
6-Pin
6-Pin
6-Pin
6-Pin

Metal
Metal
Metal
Metal
Metal
Metal
Metal
Metal
Metal

SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A

6-Pin
6-Pin
6-Pin
6-Pin
6-Pin
6-Pin
6-Pin
6-Pin
6-Pin

DIP,
DIP,
DIP,
DIP,
DIP,
DIP,
DIP,
DIP,
DIP,

2
2
10
10
13
13
20
20
20
50
100
300
300
300

500
500
1000
1000

Can
Can
Can
Can
Can
Can
Can
Can
Can

UL File E-65085
UL File E-65085
UL File E-65085
UL File E-65085
UL File E-65085
UL File E-65085
UL File E-65085
UL File E-65085
UL File E-65085

SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A

20
100
250
350

6-Pin DIP, UL File E-65085
6-Pin DIP, UL File E-65085
6-Pin DIP, UL File E-65085
6-Pin DIP, UL File E-65085
6-Pin DIP, UL File E-65085
Rev. A: no base connection
6-Pin DIP, UL File E-65085
6-Pin DIP, UL File E-65085
6-Pin DIP, UL File E-65085
6-Pin DIP, UL File E-65085
6-Pin DIP, UL File E-65085
4-Pin DIP, UL File E-65085
4-Pin DIP, AC Input
6-Pin DIP, UL File E-65085
6-Pin DIP, UL File E-65085
8-Pin DIP, UL File E-65085
8-Pin DIP, AC Input
16-Pin DIP, UL File E-65085
16-Pin DIP, AC Input

SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A

4-Pin DIP, UL File E-65085
4-Pin DIP, AC Input
6-Pin DIP, UL File E-65085
6-Pin DIP, UL File E-65085
8-Pin DIP, UL File E-65085
8-Pin DIP, AC Input
16-Pin DIP, UL File E-65085
16-Pin DIP, AC Input

SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A

4-Pin DIP, UL File E-65085
6-Pin DIP, UL File E-65085
AC-Input Darlington, 6-Pin DIP, UL File E-65085

SOYD002A
SOYD002A
SOYD002A

8-Pin DIP, UL File E-65085
16-Pin DIP, UL File E-65085

SOYD002A
SOYD002A

3.0

-

TIL153
TIL191
TIL194
TIL154
MCT2E
TIL192
TIL195
TIL193
TIL 196

3.54
3.54
3.54
3.54
3.54
3.54
3.54
3.54
3.54

2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5

10
20
20
20
20
20
20
20
20

TIL191A
TIL194A
TIL181
TIL155
TIL192A
TIL195A
TIL193A
TIL196A

3.54
3.54
3.54
3.54
3.54
3.54
3.54
3.54

2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5

50
50
50
50
50
50
50
50

TIL1918
4N35
TIL186

3.54
3.54
3.54

2.5
2.5
2.5

TIL1928
TIL1938

3.54
3.54

2.5
2.5

-

CTR
(MAX)
(%)

100
100
100
IF=10mA
100
100

SOYD002A
SOYD002A
SOYD002A
SOYD002A

t JAN, JANTX, JANTXV levels to MIL-S-19500/486A USAF are also available.
* JAN, JANTX, JANTXV levels to MIL-S-19500/548 are also available.
§ Available in PEP3 processing also.

8-3

~

o
IJ)

c(1)
CIJ
(1)

C)

CO

.5
'tl
C
CO

~

'2

...o

1:)
(1)

Q)

o

Q.

o

II

Optocouplers

Optocouplers, Peak Isolation 0.5 to 5.0 kV (Continued)
PEAK
ISOLATION
(kV)
f = 60Hz

TYPE

o

'S
o

RMS
ISOLATION
(kV)
f = 60Hz

CTR
(MIN)

CTR
(MAX)

("Ia)

("Ia)

TIL156
TIL157
TIL157A

3.54
3.54
3.54

2.5
2.5
2.5

300
300
300

TIL187
TIL188§

3.54
3.54

2.5
2.5

500
500

TIL189
TIL190§

3.54
3.54

2.5
2.5

500
500

TIL124
TIL125
TIL126
TIL128A
TIL128
TIL127

5.0
5.0
5.0
5.0
5.0
5.0

-

10
20
50
300
300
300

FEATURES

DOCUMENT

Darlington, 6-Pin DIP, UL File E-65085
Darlington, 6-Pin DIP, UL File E-65085
Darlington, 6-Pin DIP, UL File E-65085
(Revision A has no base connection.)
AC-Input Darlington, 6-Pin DIP, UL File E-65085
AC-Input Darlington, 6-Pin DIP, UL File E-65085
(No base lead connection for Hi-EMI environment)
6-Pin DIP, UL File E-65085
6-Pin DIP, UL File E-65085
(No base lead connection for Hi-EMI environment)

SOYD002A
SOYD002A
SOYD002A

6-Pin DIP, UL File E-65085
6-Pin DIP, UL File E-65085
G-Pin DIP, UL File E-65085
Darlington, 6-Pin DIP, UL File E-65085
Darlington, 6-Pin DIP, UL File E-65085
Darlington, 6-Pin DIP, UL File E-65085

SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A

SOYD002A
SOYD002A
SOYD002A
SOYD002A

§ Available in PEP3 processing also.

(1)

f
:;,

~.

Optocouplers in 8-Pin Plastic DIP, High-Speed, Logic Gate
(TA = 25°C unless otherwise noted)
TYPE

C»

:;,

c.

3'C»

cc
CD

CJ)

CD

:;,

6N135
HCPL2530
(Dual Channel)
HCPL2502
6N136
HCPL2531
(Dual Channel)

CTR(MIN)"Ia
Va = 0.4V
IF=16mA

VodMAX)
IF = 16mA
TA = 0-70°C

VF(MAXI
I F =16mA

SWITCHING TIMES (MAXI
IF = 16mA
t pLH OR t pHL

ISOLATION
VOLTAGE (MINI

7
7

0.4 V (10 = 1.1 mAl
0.4V(la= 1.1 rnA)

1.7V
1.7V

1.51J.s (10 = 2.4 mAl
1.51'-5 (10 = 2.4 rnA)

3 kVdc
3kVdc

SOYD002A
S005016

15
19
19

0.4 V (R L = 4.1 kO)
0.4 V (R L = 4.1 kO)
0.4 V (10 = 1.1 mAl

1.7V
1.7V
1.7V

0.8 IJ.S (R L = 1.9 kO)
0.8 IJ.S (R L = 1.9 kO)
0.8 IJ.s (10 = 2.4 mAl

3 kVdc
3 kVdc
3 kVdc

SOYD002A
SOYD002A
SOOS016

DOCUMENT

en

o

U!

II

Optocouplers in 8-Pin Plastic DIP, High-Speed
(TA = 25°C unless otherwise noted)
TYPE

6N137
HCPL2601
HCPL2630
(Dual Channel)
HCPL2631
(Dual Channel)

8-4

VQL(MAX)
IF = SmA
101. = 13mA
TA = 0-70°C

VF(MAXI
IF =10mA

0.6V
0.6V
0.6V
0.6V

SWITCHING TIMES (MAXI
IF = 7.SmA
RL = 350 0, CL = 1SpF

ISOLATION
VOLTAGE (MIN)

DOCUMENT

t pLH

t pHL

1.75V
1.75V
1.75V

75 ns
75 ns
75 ns

7500
75 ns
75 ns

3 kVdc
3 kVdc
3kVdc

SOYD002A
SOYD002A
SOYD002A

1.75V

75 ns

75 ns

3 kVdc

SOOS017

Optocouplers

Optocouplers in 8-Pin Plastic DIP, High-Speed, High Gain
(Peak Isolation Voltage = 3 kV)
(TA = 25°C unless otherwise noted)
TYPE

CTR(MIN)%
Vo = 0.4V
IF = 1.6rnA
TA = 0·70·C

VOL (MAX)
IF = 1.6rnA
TA = 0·70·C

300
300
400
400

0.4 V (10 = 4.B rnA)
0.4 V (10 = 4.B rnA)
0.4 V (R L = 2.2 kn)
0.4 V (R L = 2.2 k!l)

6N13B
HCPL2730
6N139
HCPL2731

SWITCHING TIMES (MAX)
IF = 1.6rnA

35
35
60
60

ILS (10 = 6.4 rnA)
ILs (10 = 6.4 rnA)
ILS (R L = 4.7 kn)
ILs (R L = 4.7 kn)

DOCUMENT

~

t pLH

10 ILs (10 = 6.4 rnA)
10 ILs (10 = 6.4 rnA)
25ILs (R L = 4.7 k!l)
25ILs (R L = 4.7 kn)

50YD002A
50YD002A
50YD002A
50YD002A

Couplers in 6-Pin Plastic DIP, Triac Drivers
(TA

= 25°C unless otherwise noted)
ISOLATION
VOLTAGE
(MIN)

(MAX)
V TM = 3V

VF
(MAX)
IF = 10rnA

V TM
(MAX)
ITM = 100 rnA

TIL3012
TIL3023
TIL3011
TIL3022

3.5 kVdc
3.5 kVdc
3.5 kVdc
3.5 kVdc

5mA
5mA
lOrnA
lOrnA

1.5V
1.5V
1.5V
1.5V

TIL3010
TIL3021
TIL3009
TIL3020

3.5 kVdc
3.5 kVdc
3.5 kVdc
3.5 kVdc

15mA
15mA
30mA
30mA

MOC3012
MOC3023
MOC3011
MOC3022

7.5 kVdc
7.5 kVdc
7.5 kVdc
7.5 kVdc

MOC3010
MOC3021
MOC3009
MOC3020

7.5
7.5
7.5
7.5

TYPE

kVdc
kVdc
kVdc
kVdc

1FT

lOAM
(MAX)
RATED
V DRM

dv/dt
(TYP)

DOCUMENT

3V
3V
3V
3V

100nA
100nA
100nA
100 nA

12V/ILS
100V/ILS
12V/ILs
100V/ILs

50YD002A
50YD002A
50YD002A
50YD002A

1.5V
1.5V
1.5V
1.5V

3V
3V
3V
3V

100 nA
100nA
100 nA
100 nA

12V/ILS
100V/ILs
l2V/ILs
100V/ILS

50YD002A
50YD002A
50YD002A
50YD002A

5mA
5mA
lOrnA
lOrnA

1.5V
1.5V
1.5V
1.5V

3V
3V
3V
3V

100nA
100 nA
100 nA
100 nA

12V/ILs
100V/ILS
12V/ILS
100V/ILS

5005015
5005015
5005015
5005015

15mA
15mA
30 rnA
30 rnA

1.5V
1.5V
1.5V
1.5V

3V
3V
3V
3V

100nA
100nA
100nA
100nA

12V/ILS
100V/ILs
12V/ILs
100V/ILS

5005015
5005015
5005015
5005015

~

otJ)

cQ)

en

Q)
C')

CO

.5
"C

C
CO

~

'2

e

~

as

a

o
Couplers in 6-Pin Plastic DIP, Schmitt Trigger
(TA

= 25°C unless otherwise noted)

TYPE
OPIB012
OPIB013
OPIB014
OPIB015

1FT +

LOGIC
FUNCTION

OUTPUT
CONFIGURATION

(MAX)

HYSTERESIS
RATIO (TYP)

Buffer
Buffer
Inverter
Inverter

Totem pole
Open collector
Totem pole
Open collector

lOrnA
lOrnA
lOrnA
lOrnA

1.4
1.4
1.4
1.4

SWITCHING TIMES (MAX)
tpLH OR tpLH

ISOLATION
VOLTAGE (MIN)

DOCUMENT

trORt,
70ns
70ns
70ns
70ns

5IL s
5IL s
5IL s
5IL s

3.54 kV dc
3.54 kV dc
3.54 kV dc
3.54 kV dc

50YD002A
50YD002A
50YD002A
SOYD002A

8-5

II

Intelligent Single-Digit LED Displays

INTELLIGENT SINGLE-DIGIT LED DISPLAYS
Intelligent Single-Digit LED Displays in Dual-In-Line Plastic Packages
TYPE

TYPE OF
CHARACTER(S)

CHARACTER
HEIGHT
mm (inches)

COLOR
OF
DISPLAY

PACKAGE

REMARKS

DOCUMENT

TIL302
TIL302A
TIL303
TIL303A

7-Segment
7-Segment
7-Segment
7-Segment

6.S mm
6.S mm
6.S mm
6.S mm

(0.270 in.)
(0.270 in.)
(0.270 in.)
(0.270 in.)

Red
Red
Red
Red

14-Lead
14-Lead
14-Lead
14-Lead

Left decimal
Left decimal
Right decimal
Right decimal

SOYD002A
SOYD002A
SOYD002A
SOYD002A

TIL304
TIL304A

Polarity/
overflow unit

6.S mm (0.270 in.)
6.S mm (0.270 in.)

Red
Red

14-Lead
14-Lead

Right decimal
Right decimal

SOYD002A
SOYD002A

TIL306
TIL306A
TIL308
TIL308A

7-Segment
7-Segment
7-Segment
7-Segment

6.S mm
6.S mm
6.9 mm
6.S mm

(0.270
(0.270
(0.270
(0.270

in.)
in.)
in.)
in.)

Red
Red
Red
Red

16-Lead
16-Lead
16-Lead
16-Lead

Left decimal
Left decimal
Left decimal
Left decimal

SOYD002A
SOYD002A
SOYD002A
SOYD002A

o

TIL307
TIL307A
TIL309
TIL30SA

7-Segment
7-Segment
7-Segment
7-Segment

6.S mm
6.9 mm
6.S mm
6.9 mm

(0.270
(0.270
(0.270
(0.270

in.)
in.)
in.)
in.)

Red
Red
Red
Red

16-Lead
16-Lead
16-Lead
16-Lead

Right decimal
Right decimal
Right decimal
Right decimal

SOYD002A
SOYD002A
SOYD002A
SOYD002A

(1)

TIL305

5x7
alphanumeric

7.6 mm (0.300 in.)

Red

14-Lead

Left decimal

SOYD002A

TIL311
TIL311A

Hexadecimal
Hexadecimal

7.6 mm (0.300 in.)
7.6 mm (0.300 in.)

Red
Red

14-Lead
14-Lead

Left and right
decimals. Logic
includes latch,
decoder, and driver.

SOYD002A
SOYD002A

g
[

a
::J
~.

C)

::J

C.

3"

C)
(Q
(1)

en
(1)

::J

en

o
til

II

8-6

Infrared Emitters and Phototransistors

INFRARED EMITTERS AND PHOTOTRANSISTORS
Infrared-Emitting Diodes
POWER OUTPUT
TYPE

Po (MIN)
(mW)

IF
(mA)

<\>HI

VF(MAX)
IF= SOmA

hP (TYP)

FEATURES

DOCUMENT

TIL23
TIL24
TIL25
TIL24HR2

0.4
1.0
0.75
1.0

50
50
50
50

35°
35°
35°
35°

1.5V
1.5V
1.5V
1.5V

940 nm
940nm
940nm
940 nm

Pill package for mounting
on double sided printed
circuit boards. Compatible
with TIL601 Series.

SOYD002A
SOYD002A
SOYD002A
SOYD002A

Phototransistors
TYPE

LIGHT CURRENT
VCE = 5V
MIN

MAX

1N5722
1N5723
1N5724
1N5725

0.5mA
2mA
4mA
7mA

3mA
SmA
SmA

LS600
TIL601
TIL602
TIL603
TIL604
TIL604HR2

O.SmA
0.5mA
2mA
4mA
7mA
7mA

3mA
5mA
SmA

DARK CURRENT
(MAX)
VCE = 30V

FEATURES

DOCUMENT

25
25
25
25

nA
nA
nA
nA

EIA-registered versions of TIL601 through
TIL604.

SOYD002A
SOYD002A
SOYD002A
SOYD002A

25
25
25
25
25
25

nA
nA
nA
nA
nA
nA

Pill package designed for mounting on
double-sided printed board.

SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A
SOYD002A

f

o
Cf)

cQ)
(J)
Q)
C)

m

.5

'0
C

m

tl

'2

e

1:)
Q)

Q)

o

Q.

o

II

8-7

ORDERING INSTRUCTIONS

OPTOELECTRONICS AND IMAGE SENSORS

Factory orders for devices described in this guide "hould include a two-part or three-part number as
explained in the following example.
604

EXAMPLE:

HR2

1.~------------------------------------____________

-J

CONTAINS TWO TO SIX LEITERS
JAN, JANTX, JANTXV ................ Military Qualified Products
LS ...•.•............•.................... Light Sensor Products
PC ........................................ Printed Circuit Board
TC ..........•.................... TI CCD Image Sensor Products
TCK ..•......................... TI CCD Printed Circuit Board Kits
TIL ...•.................................. TI DISD Opto Products
1N, 3N, 4N, SN ....•.................. JEDEC Registered Products

o

g

STANDARD SECOND-SOURCE PREFIXES
HCPL .................... Hewlett Packard
MCT ................ General Instruments
MOC .........•................ Motorola
OPI ......................... TRW Optron

CD

iD

a
a
:s
~.

CONTAINS TWO TO SIX CHARACTERS
Prefix

Package Type
P-DIP

TIL (100 Series)
4N Series (4N25-28, 4N35-37)
HCPL, MCT, MOC, OPI

C-DIP

TC(CCD)
TIL (300 Series, excluding TIL305)

I»

:s

Co

3"
I»

cc

TIL23, TIL24, TIL24HR2
TILS01-604, TIL604HR2
LS600

PILL PACK

CD

en

CD

:s

~
Cil

II

3N, 4N Series (4N22-24/22A-24A, 4N47-49)
JAN, JANTX, JANTXV
TIL 102, TIL 103

HERMETIC

PCB
3.

TIL305
CCD KITS (TCK)

Unique Device Designator )-__________________________- - 1

CONTAINS ONE TO SIX CHARACTERS
Examples:
103
106-1
22A
119A
4.

5725

Military Qualified

(If not already specified by Prefix)
MIL-STD

Type
JAN
JANTX
JANTXV
HR2

{

19500/48SA
or
19500/548
750

Circuits are shipped in one of the carriers below. Unless a specific method of shipment is specified by the customer (with
possible additional costs), circuits will be shipped on the most practical carrier.
Plug-In (Hermetic)
Dual-In-Line (P-Dip, C-Dip, PCB)
- Anti-static Slide Magazines
- Sectional Cardboard Box
CCD
- Conductive Boxes

8-8

Pill Pak
- Anti-static Bags

Introduction

TELECOMMUNICATIONS AND
SPEECH PRODUCTS
Semiconductor technology provides powerful new capability to telecommunications in the form of large scale
integration (LSI) and very large-scale integration (VLSI) integrated circuits. Today it is possible to put an
entire Jl.-Iaw or A-law CODEC with a filter on a single chip to replace 50 general-purpose ICs. TI engineers can
select from a broad line of technologies, including BIDFET, BIFET, CMOS, NMOS and conventional bipolar
and linear to satisfy the requirements of specific applications.
Since 1978, Texas Instruments has produced a wide range of speech-generating devices based on the technique
of pitch-excited linear predictive coding (LPC). This technique extracts data from original, recorded speech to
define the control parameters for a mathematical model of the vocal tract and glottal excitation. The model is
implemented as a customized digital signal processor which produces a series of digital samples representative
of the acoustical waveform.
As a result, the speech generated retains all the inflection and voice characteristics of the original spoken
phrase and does not possess the robotic quality often associated with synthesis-by-rule systems.
In addition to the LPC synthesis functions, a complete voice output system must contain a storage area for the
compressed model data, and a control function to select the words or phrases to be spoken. As with all sampled
data systems, a low-pass smoothing filter is required to remove spectral data above the valid band-width limit
(one-half of the sampling frequency.)

~::I

"C

e

c..

.c
(,)
Q)
Q)

Co

en
"C

c

nl

en

c

o

i

(,)

For additional information on technical documentation, refer to the Alphanumeric Index and to the Customer
Support section of the Master Selection Guide.

'2

::I

E

E

o(,)
Contents

Page

Telecommunications Circuits ..........................................................
Filters ........................................................................
Combination CODEC and Filter ...... , ............... , .............................
FSK Modems/UART . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ..
Subscriber Products .............................................................
Speech Products ....................................................................
Ordering Instructions ................................................................

9-2
9-2
9-2
9-3
9-4
9-5
9-6

9-1

Q)

II
i!
•

Telecommunications Circuits

TELECOMMUNICATIONS CIRCUITS
Filters
Temperature Range:
DESCRIPTION

FUNCTION

PCM Interface

Line Filter

ooe to 70 e
0

TECH·
NOLOGY
NMOS

SUPPLY
VOLTAGE
±5V

PRODUCT FEATURES
• High·pass transmit filter for
rejection of all low-frequency noise
• 6th-order low-pass transmit filter
• CCITT G.172 compatible
• AT&T D3/D4 compatible
• Three-state PWRO+ and PWROoutputs

TYPE
TCM2912C

PACKAGE
16-Pin J

DOCUMENT
SCTD001

Combination CODEC and Filter
Temperature Range:

;I
CD

8

DESCRIPTION

FUNCTION

PCM Interface

Combo

ooe to 70 e
0

TECH·
NOLOGY
NMOS

SUPPLY
VOLTAGE

SCTD001

±5V

• Synchronous/asynchronous
Il-Law, A-Law coding, 8th-bit
signaling
• Variable data rate
• Fixed data rate 1.536 MHz, 1.544
MHz, 2.048 MHz

TCM2914

24-pin J
28-pin FN

SCTD001

±5V

• Synchronous, Il-Law, variable
data rate
• Fixed data rate 2.048 MHz

TCM2916

16-pin J

SCTD001

±5V

• Synchronous, A-Law, variable
data rate
• Fixed data rate 2.048 MHz

TCM2917

16-pin

SCTD001

±5V

• Synchronous, Il-LAW, A-Law
coding
• Variable data rate
• Fixed data rate 1.536 MHz, 1.544
MHz, 2.048 MHz

TCM29C13

DW20, DY20
J20,N20

SCTD001

• Synchronous/asynchronous
I1-Law, A-Law coding, 8th-bit
signaling
• Variable data rate
• Fixed data rate 1.536 MHz, 1.544
MHz, 2.048 MHz

TCM29C14

DW24,J24

SCTD001

• Synchronous, wLaw, variable
data rate
• Fixed data rate 2.048 MHz

TCM29C16

J16,N16

SCTD001

• Synchronous, A-Law, variable
data rate
• Fixed data rate 2.048 MHz

TCM29C17

J16

SCTD001

• Analog Interface for DSP
• Variable Data Rate 2.048 MHz
• I1-Law Coding

TCM29C18

N16

SCTS021

• Analog Interface for DSP
• Variable Data Rate 1.536 MHz
• I1-Law Coding

TCM29C19

N16

SCTS021

• Synchronous, wLAW, A-Law
coding
• Variable data rate to 4.096 MHz
• DSP Interface

TCM29C23

DW20,N20

TBO

n

=a
c.

CMOS

c

a
±5V

9-2

DOCUMENT

20-pin J

&»

."

PACKAGE

TCM2913

c

"C
CD
CD

TYPE

• Synchronous, I1-LAW, A-Law
coding
• Variable data rate
• Fixed data rate 1.536 MHz, 1.544
MHz, 2.048 MHz

3
3

=
&
o·
en
=
=
C.
en

PRODUCT FEATURES

±5V

Telecommunications Circuits

Combination CODEC and Filters
Temperature Range: -40° to +85°C
DESCRIPTION

FUNCTION

PCM Interface

Combo

TECHNOLOGY
CMOS

SUPPLY
VOLTAGE
±5V

±5V

±5V

PRODUCT FEATURES

TYPE

PACKAGE

DOCUMENT

• Synchronous, IL-LAW, A-Law
coding
• Variable data rate
• Fixed data rate 1.536 MHz, 1.544
MHz, 2.048 MHz

TCM129C13

DW20,DY20
J20,N20

TBD

• Synchronous/asynchronous
• IL-Law, A-Law coding, 8th-bit
signaling
• Variable data rate
• Fixed data rate 1.536 MHz, 1.544
MHz, 2.048 MHz

TCM129C14

DW24,J24
J,DW

TBD

• Synchronous, wLaw, variable
data rate
• Fixed data rate 2.048 MHz

TCM129C16

J16,N16

TBD

• Synchronous, A-Law, variable
data rate
• Fixed data rate 2.048 MHz

TCM129C17

J16

TBD

• Analog Interface for DSP
• Variable Data Rate 2.048 MHz
• wLaw Coding

TCM129C18

N16

TBD

• Analog Interface for DSP
• Variable Data Rate 1.536 MHz
• WLaw Coding

TCM129C19

N16

TBD

• Synchronous/asynchronous
• wLaw coding and decoding
• Variable data rate to 2.048 MHz
• Fixed data rate 1.536 MHz, 1.544
MHz, 2.048 MHz
• Compatible with National devices.

TP3054A
TP3957A

J16,N16

SCTS026

• Synchronous/asynchronous
• IL-Law coding and decoding
• Variable data rate to 2.048 MHz
• Fixed data rate 1.536 MHz, 1.544
MHz, 2.048 MHz
• Compatible with National devices.

TP3064
TP3967

~

=

"C

e

0.

.c
u

Q)
Q)

Co

en

"C
C
CO
(/)

J20,N20

SCTS025

C

o

'~

u

'2

=
E

E
o

u

FSK Modems/UART
TECHNOLOGY

DESCRIPTION

FUNCTION

Modem

Bell 202/
CCITTV.23

CMOS

Serial Comm.
Interface

Octal UART

Serial Comm.
Interface

SingleChannel
UART

Q)

SUPPLY
VOLTAGE

PRODUCT FEATURES

TYPE

PACKAGE

DOCUMENT

• Asynchronous Half-duplex
operation up to 1200 baud
• Full-duplex operation 1200/150
baud, reversible

TCM3105

DW24,JE16
JL16,N16

SCTD001

NMOS

• Programmable baud rates: 50 to
19,200

TCM78808

HA68,HB68
FN68

SCTS022

CMOS

• Programmable rates: 50 to 56,000

TL16C450

N40,FN44

SLLS037

±5V

9-3

;g!

Telecommunications Circuits

Subcriber Products
DESCRIPTION

FUNcnON

Subscriber
Line Control
Circuits

TTLCompatible

Ringers

Tone Encoder

TECHSUPPLY
NOLOGV VOLTAGE
CMOS

PROD'JCT FEATURES

TYPE

PACKAGE

DOCUMENT

• Three selectable balance networks

TCM4204A

J24

SCTD001

• Three selectable balance networks
• Three auxiliary relay outputs
• Ground-start operation

TCM4205A

J2B

SCTD001

• Flux-canceling option
• Two selectable balance networks

TCM4207A

J24

SCTD001

Quad
Telephone
Relay
Driver

Bipolar

5V,
-60V

50-mA output current capability

DS36BO

D14,J14
N14

SCTD001

Telephone
Tone
Ringer
Drivers

BIDFET

40-150
Vac

• Output Center Frequency (Hz): 2000
• Output Center Frequency (Hz): 2000
• Output Center Frequency (Hz): 1250

TCM1531
TCM1501B
TCM1532

PB
PB
PB

SCTD001
SCTD001
SCTD001

•
•
•
•

TCM1512B
TCM1536
TCM1506B
TCM1539

P8
PB
PB
P8

SCTD001
SCTD001
SCTD001
SCTD001

DTMF
Standard

CMOS

• SPST/DPST keyboard or electronic
input
• Low impedance tone output

TCM50B7

D16,N16

SCTD001

• Transmitter switch and mute
output
• DPST keyboard or electronic input
• Keyboard active output

TCM5089

N16

SCTD001

• SPST/DPST keyboard or electronic
input
• Mute output
• Tone enable

TCM5092
TCM5094

N16
N16

SCTD001
SCTD001

• TTUMOS output, transient
protection

TCM1520A

D8,P8

SCTD001

• Peak high-voltage isolation:
3.54 kV

TIUB1

6-pin CP-7

SCTD001

Ring Detector

TTUMOS
Output

CMOS

Optocoupler

TTLCompatible

Bipolar

9-4

±5V

3.5-10 V

40-150
Vac
12V

Output Center Frequency (Hz):
Output Center Frequency (Hz):
Output Center Frequency (Hz):
Output Center Frequency (Hz):

1250
500
500
2000

Speech Products

SPEECH PRODUCTS
Speech Synthesis Circuits
PROCESS LPC

MEMORY

DEVICE
SUPPLY MASK
PACKAGE DOCUMENT
VOLTAGE CHARGE NUMBER

CATEGORY

DESCRIPTION

Synthesizer,
Microprocessor
and Memory

28-pin speech and control
system with 64K-bit ROM and
128-byte RAM memory with
2.5 8-bit interface ports

CMOS

10

64K bits

4-6 V

Yes

TSP50C41

N

TBO

28-pin speech and control
system with 64K-bit ROM and
128-byte RAM memory with
2.5 8-bit interface ports.
Memory programmed for
5220,06, or PCM speech data

CMOS

10

Programmed

4-6 V

Yes

TSP53C30

N

TBO

40-pin speech and control
system with 64K-bit ROM and
128-byte RAM memory with
4 8-bit interface ports

CMOS

10

64K bits

4-6 V

Yes

TSP50C42

N

TBO

28-pin speech and control
system with 128K-bit ROM
and 128-byte RAM memory
with 2.5 8-bit interface ports

CMOS

10

128K bits

4-6 V

Yes

TSP50C43

N

TBO

40-pin speech and control
system with 128K-bit ROM
and 128-byte RAM memory
with 4 8-bit interface ports

CMOS

10

128K bits

4-6 V

Yes

TSP50C44

N

TBO

16-pin speech and control
system with 12B-word RAM,
1 B-bit port, and 1 2-bit port.
LPC-12 synthesizer

CMOS

12

128 words

4-6 V

Yes

TSP50Cl0

N

TBO

Memory Serial Output ROM:
256-bit ROM for use with the
TSP50C4X series

CMOS

~::s

"'C

e

c..
.c
u

Q)
Q)

Co

en
"'C
C

NA 256K bytes

4-6 V

Yes

TSP60C20

N

SYLD002

ca
en
c
o

·5

·2
::s
E
E

g

is!

ORDERING INSTRUCTIONS

TELECOMMUNICATIONS AND SPEECH PRODUCTS

Factory orders for circuits described in this guide should include a four-part type number as explained in the
following example.
EXAMPLE:

TL

-Jt

062M

JG

/883B

1'~~__________________________________
CONTAINS TWO TO FOUR LETTERS
TCM ................ TI Telecommunication Products
TISP ......................... Transient Suppressors
TL ........... TI Linear Products (excluding Interface)
TLC ........... TI Linear Silicon-Gate CMOS Products
TPIC .............................. TI Power Circuits
TSP .............................. Speech Products
SN ......... TI Special Function or Interface Products

CD'

c;'

8
3
3

c

=
[
o·
=
en
D)

=

c.

rn

"C

STANDARD SECOND-SOURCE PREFIXES
ADC .......... Analog Devices OP ...................... PMI
AM .................... AMD RC, RM or RV ....... Raytheon
DS .................. National SG ........... Silicon General
L ..... Linear Technology Corp. TP ....... '........... National
LM ................. National uA .................. Fairchild '
LT .... Linear Technology Corp. UDN ................ Sprague
MC ................ Motorola ULN ................ Sprague
NE, SA, or SE ....... Signetics
2.

Unique Circuit Designator Including Temperature Range
(If not already specified by the prefix)

CONTAINS THREE TO SEVEN CHARACTERS
(From Individual Data Sheets)
Examples:

(1)
(1)

n

062M
5941
532AI

28867
78L05AC

::r
"'C

a
c.
c

a

CONTAINS ONE OR TWO LETTERS
D, DW, FK, FN,J, JD,JG, KC, KH, KY, LP, LU, N, P, U, W
(From Pin-Connection Diagram on Individual Data Sheet)
4.

MIL-STD-883B, Method 5004, Class B

OMIT/883B WHEN NOT APPLICABLE
Circuits are shipped in one of the carriers below. Unless a specific method of shipment is specified by the customer
(with possible additional costs), circuits will be shipped on the most practical carrier.
Dual-In-Line (D, DW, J, JD, JG, N, P)
- Slide Magazines
- A-Channel Plastic Tubing
- Barnes Carrier
- Sectioned Cardboard Box
-Individual Cardboard Box

9-6

Plug-In (LP, LU)
- Barnes Carrier
- Sectional Cardboard Box
-Individual Cardboard Box
Chip Carriers (FK, FN)
- Anti-Static Plastic Tubing

Flat (U, W)
- Barnes Carrier
- Milton Ross Carrier
Power Tab (KC, KH, KV)
-Sleeves

Introduction

MEMORY PRODUCTS
At the heart of TI semiconductor development are memory products. The technology developed for Dynamic
Random Access Memories (DRAMs) has given TI the processing base to create extensive lines ranging from
high-performance logic products to sophisticated application processors. Included in TI's MOS Memory
product line are DRAMs, Single In-line Package DRAM memory modules (SIPs), Erasable Programmable
Read-Only Memories (EPROMs), high-speed CMOS EPROMs (bipolar PROM compatible access time and
pinout), One-Time-Programmable Read-Only Memories (OTP PROMs) and 5-V Flash EEPROMs.
TI's MOS memories meet stringent quality and reliability standards, making them suitable for programs such
as ship-to-stock and just-in-time delivery. With DRAMs, TI also encourages self/joint qualification and will
support customers with a huge data base of pertinent quality and reliability information.
The reader should refer to the Alphanumeric Index and to the Customer Support section of the
Selection Guide for additional information on technical documentation.

Contents

Mast~r

Page

MOS EPROM Selection Guide ........................................................ 10-2
MOS OTP PROM Selection Guide ..................................................... 10-3
MOS DRAM/VRAM Selection Guide .................................................. 10-5
MOS Dynamic RAM Module Selection Guide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 10-6
MOS SRAM Selection Guide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 10-7
EPROM/OTP PROM Cross Reference Guide ............................................. 10-8
EPROM/OTP PROM Ordering Instructions ............................................. 10-10
DRAM/VRAM Ordering Instructions ................................................. 10-11
4-Meg DRAM Ordering Instructions .................................................. 10-12 ~
DRAM Module Ordering Instructions ................................................. 10-13
SRAM Ordering Instructions ........................................................ 10-14 ~

-e
e
o

E
Q)

~

III
10-1

MOS Memory Products

MOS MEMORY PRODUCTS
MOSEPROM
DENSITY ORGANIZATION
BITS
(WORDS x BITS)
16K

32K

64K

2K x 8

4K x 8

8K x 8

3:

CD

3
o

-<

l
a
c

128K

1m
*

16Kx 8

DEVICE
NUMBER

PINS

PACKAGE- COMMENTS DOCUMENT

TMS27C291-3
TMS27C291-35
TMS27C291
TMS27C291-45
TMS27C291-5
TMS27C291-50

35
35
45
45
50
50

5:5%
5:10%
5:5%
5:10%
5:5%
5:10%

394
413
315
330
289
303

N/A

24

J

High-Speed SMLS291B
CMOS

TMS27C292-3
TMS27C292-35
TMS27C292
TMS27C292-45
TMS27C292-5
TMS27C292-50

35
35
45
45
50
50

5:5%
5:10%
5:5%
5:10%
5:5%
5:10%

394
413
315
330
289
303

N/A

24

J

High-Speed SMLS291B
CMOS

TMS27C32-100
TMS27C32-10
TMS27C32-120
TMS27C32-12
TMS27C32-150
TMS27C32-15
TMS27C32-2
TMS27C32-20
TMS27C32
TMS27C32-25

100
100
120
120
150
150
200
200
250
250

5:5%
5:10%
5:5%
5:10%
5:5%
5:10%
5:5%
5:10%
5:5%
5:10%

132
138
132
138
132
138
132
138
132
138

1.4

24

J

CMOS

SMLS032

TMS2732A-17
TMS2732A-20
TMS2732A-25
TMS2732A-45

170
200
250
450

5:5%

657

158

24

J

NMOS

SMES732E

TMS27C49-4
TMS27C49-45
TMS27C49-5
TMS27C49-55

45
45
55
55

5:5%
5:10%
5:5%
5:10%

473
495
473
495

N/A

24

J,JT

High-Speed SMLS049
CMOS

TMS27C64-100
TMS27C64-120
TMS27C64-12
TMS27C64-1
TMS27C64-15
TMS27C64-2
TMS27C64-20
TMS27C64
TMS27C64-25

100
120
120
150
150
200
200
250
250

5:5%
5:5%
5:10%
5:5%
5:10%
5:5%
5:10%
5:5%
5:10%

158
158
165
158
165
158
165
158
165

1.4

28

J

CMOS

SMLS064A

TMS2764-17
TMS2764-20
TMS2764-25
TMS2764-45

170
200
250
450

5:5%

788

184

28

J

NMOS

SMES764C

TMS27C128-100
TMS27C128-120
TMS27C128-12
TMS27C128-1
TMS27C128-15
TMS27C128-2
TMS27C128-20
TMS27C128
TMS27C128-25

100
120
120
150
150
200
200
250
250

5
5
5
5
5
5
5
5
5

158
158
165
158
165
158
165
158
165

1.4

28

J

CMOS

SMLS128C

J = Ceramic DIP
JT= 300-mil Ceramic DIP (TMS27C49 only)

10-2

MAX POWER
DISSIPATION
MAX
POWER
ACCESS SUPPLY ACTIVE STANDBY
(V)
(mW)
(mW)
TIME (ns)

5%
5%
10%
5%
10%
5%
10%
5%
10%

MOS Memory Products

MOS EPROM (Continued)
DENSITY ORGANIZATION
(WORDS x BITS)
BITS
256K

32K x 8

DEVICE
NUMBER

MAX POWER
DISSIPATION
MAX
POWER
ACCESS SUPPLY ACTIVE STANDBY
TIME (ns)
(V)
(mW)
(mW)

PINS

PACKAGE- COMMENTS DOCUMENT

TMS27C256-120
TMS27C256-12
TMS27C256-150
TMS27C256-15
TMS27C256-1
TMS27C256-17
TMS27C256-2
TMS27C256-20
TMS27C256
TMS27C256-25

120
120
150
150
170
170
200
200
250
250

5:!:5%
5:!:10%
5:!:5%
5:!:10%
5:!:5%
5:!:10%
5:!:5%
5:!:10%
5:!:5%
5:!:10%

158
165
158
165
158
165
158
165
158
165

1.4

28

J

CMOS

SMLS256D

TMS29F256-170
TMS29F256-200
TMS29F256-20
TMS29F256-250
TMS29F256-25
TMS29F256-300
TMS29F256-30

170
200
200
250
250
300
300

5:!:5%
5:!:5%
5:!:10%
5:!:5%
5:!:10%
5:!:5%
5:!:10%

79
79
83
79
83
79
83

19.3

28

J, N, FM

CMOS
Flash
EEPROM

SMJS256A

512K

64Kx8

TMS27C512-150
TMS27C512-15
TMS27C512-1
TMS27C512-17
TMS27C512-2
TMS27C512-20
TMS27C512
TMS27C512-25
TMS27C512-3
TMS27C512-30

150
150
170
170
200
200
250
250
300
300

5:!:5%
5:!:10%
5:!:5%
5:!:10%
5:!:5%
5:!:10%
5:!:5%
5:!:10%
5:!:5%
5:!:10%

158
165
158
165
158
165
158
165
158
165

1.4

28

J

CMOS

SMLS512C

1024K

128K x 8

TMS27C010-170
TMS27C010-200
TMS27C010-20
TMS27C010-250
TMS27C010-25
TMS27C010-300
TMS27C010-30

170
200
200
250
250
300
300

5:!:5%
5:!:5%
5:!:10%
5:!:5%
5:!:10%
5:!:5%
5:!:10%

210
210
220
210
220
210
220

1.4

32

J

CMOS

SMLS010B

64K x 16

TMS27C210-170
TMS27C210-200
TMS27C210-20
TMS27C210-250
TMS27C210-25
TMS27C210-300
TMS27C21 0-30

170
200
200
250
250
300
300

5:!:5%
5:!:5%
5:!:10%
5:!:5%
5:!:10%
5:!:5%
5:!:10%

210
210
220
210
220
210
220

1.4

40

J

CMOS

SMLS210B

* J = Ceramic DIP
N = Plastic DIP
FM = Plastic Chip Carrier

MOS OTP PROM (One-Time Programmable)
DENSITY ORGANIZATION
(WORDS x BITS)
BITS
16K

2K x 8

DEVICE
NUMBER
TMS27PC291-3
TMS27PC291-35
TMS27PC291
TMS27PC291-45
TMS27PC291-5
TMS27PC291-50

MAX POWER
DISSIPATION
MAX
POWER
ACCESS SUPPLY ACTIVE STANDBY
(V)
(mW)
(mW)
TIME (ns)
35
35
45
45
50
50

5 5%
5 10%
5 5%
5 10%
5 5%
5 10%

394
413
315
330
289
303

N/A

PINS
24,28

PACKAGE- COMMENTS DOCUMENT
N,FN

High-Speed SMLS291B
CMOS

* N = Plastic DIP
FN = Plastic Chip Carrier

10-3

MOS Memory Products

MOS OTP PROM (One-Time Programmable) (Continued)
DENSITY ORGANIZATION
(WORDS x BITS)
BITS

s:
C'D

PACKAGE* COMMENTS DOCUMENT

4K x 8

TMS27PC32-120
TMS27PC32-12
TMS27PC32-150
TMS27PC32-15
TMS27PC32-2
TMS27PC32-20
TMS27PC32
TMS27PC32-25

120
120
150
150
200
200
250
250

5
5
5
5
5
5
5
5

5%
10%
5%
10%
5%
10%
5%
10%

132
138
132
138
132
138
132
138

1.4

24

N

CMOS

64K

8K x 8

TMS27PC49-4
TM S27 PC49-45
TMS27PC49-5
TMS27PC49-55

45
45
55
55

5
5
5
5

5%
10%
5%
10%

473
495
473
495

N/A

24,24,
28

N,NT, FN

High-Speed SMLS049
CMOS

TMS27PC64-120
TMS27PC64-12
TMS27PC64-1
TMS27PC64-15
TMS27PC64-2
TMS27PC64-20
TMS27PC64
TMS27PC64-25

120
120
150
150
200
200
250
250

5
5
5
5
5
5
5
5

5%
10%
5%
10%
5%
10%
5%
10%

158
165
158
165
158
165
158
165

1.4

28

N

CMOS

SMLS064A

SMLS032

128K

16K x 8

TMS27PC128-1
TMS27PC128-15
TMS27PC128-2
TMS27PC128-20
TMS27PC128
TMS27PC128-25

150
150
200
200
250
250

5
5
5
5
5
5

5%
10%
5%
10%
5%
10%

158
165
158
165
158
165

1.4

28,32

N,FM

. CMOS

SMLS128C

256K

32K x 8

TMS27PC256-150
TMS27PC256-15
TMS27PC256-1
TMS27PC256-17
TMS27PC256-2
TMS27PC256-20
TMS27PC256
TMS27PC256-25

150
150
170
170
200
200
250
250

5
5
5
5
5
5
5
5

5%
10%
5%
10%
5%
10%
5%
10%

158
165
158
165
158
165
158
165

1.4

28,32

N,FM

CMOS

SMLS256D

512K

64K x 8

TMS27PC512-150
TMS27PC512-15
TMS27PC512-1
TMS27PC512-17
TMS27PC512-2
TMS27PC512-20
TMS27PC512
TMS27PC512-25
TMS27PC512-3
TMS27PC512-30

150
150
170
170
200
200
250
250
300
300

5
5
5
5
5
5
5
5
5
5

5%
10%
5%
10%
5%
10%
5%
10%
5%
10%

158
165
158
165
158
165
158
165
158
165

1.4

28,32

N,FM

CMOS

SMLS512C

1024K

128K x 8

TMX27PC010-200
TMX27PC010-20
TMX27PC010-250
TMX27PC010-25
TMX27PC010-300
TMX27PC010-30

200
200
250
250
300
300

5
5
5
5
5
5

5%
10%
5%
10%
5%
10%

210
220
210
220
210
220

1.4

32

N

CMOS

SMYD008

64K x 16

TMX27PC21 0-200
TMX27PC210-20
TMX27PC21 0-250
TMX27PC210-25
TMX27PC21 0-300
TMX27PC21 0-30

200
200
250
250
300
300

5
5
5
5
5
5

5%
10%
5%
10%
5%
10%

210
220
210
220
210
220

1.4

40

N

CMOS

SMYD008

-<."
a
Q.

~

PINS

32K

3
o

c

DEVICE
NUMBER

MAX POWER
DISSIPATION
POWER
MAX
ACCESS SUPPLY ACTIVE STANDBY
(V)
(mW)
(mW)
TIME (ns)

* N = Plastic DIP
NT = 300-mil Plastic DIP (TMS27PC49 only)
FM = Plastic Chip Carrier
FN = Plastic Chip Carrier

10-4

MOS Memory Products

MOS DRAM/VRAM
DENSITY ORGANIZATION
(WORDS x BITS)
BITS

DEVICE
NUMBER

MAX POWER
DISSIPATION
POWER
MAX
ACCESS SUPPLY ACTIVE STANDBY
(V)
(mW)
(mW)
TIME (ns)

PINS

256K

64K x 4

TMS4461-12
TMS4461-15

120
150

5±10%

853
770

110

24,24

1024K

1024K x 1

TMS4C1024-80
TMS4C1024-10
TMS4C1024-12

80
100
120

5±10%

413
358
303

11

TMS4C1025-80
TMS4C1 025-1 0
TMS4C1025-12

80
100
120

5±10%

413
358
303

TMS4C1027-80
TMS4C1027-10
TMS4C1027-12

80
100
120

5±10%

TMS44C251-1
TMS44C251-10
TMS44C251-12

100
100
120

TMS44C256-80
TMS44C256-10
TMS44C256-12

Multiport
Video RAM

SMVS011B

18,20/26, N,OJ,ON,
20/26,20 SO

CMOS
Enhanced
Page Mode

SMGS0240

11

18,20/26, N,OJ,ON,
20/26,20 SO

CMOS
Nibble
Mode

SMGS0240

413
358
303

11

18,20/26, N,OJ,ON,
20/26,20 SO

CMOS
Static
Column
Decode
Mode

SMGS0240

5±5%
5±10%
5±10%

578
605
523

26
28
28

28,28

CMOS
Multiport
Video RAM

SMVS251B

80
100
120

5±10%

385
358
303

11

20,20/26, N, OJ, ON,

CMOS
Enhanced
Page Mode

SMGS256B

TMS44C260-70
TMS44C260-80
TMS44C260-10

70
80
100

5±10%

440
413
385

TMS4C1050-30
TMS4C1050-40
TMS4C1050-60

25
30
50

5±10%

128K x 8

TMS48C121-80
TMS48C121-10
TMS48C121-12

80
100
120

4096K x 1

TMS441 00-80
TMS441 00-1 0
TMS441 00-12

256K x 4

4096K

PACKAGE- COMMENTS DOCUMENT

1024K x 4

N,SO

OJ, SO

20

SO

11

24/26

OJ

CMOS
Parity and
Enhanced
Page Mode

SMGS260

275
248
193

39

16

N,OJ,SO

CMOS
FRAM

SMGS050A

5±10%

660
523
468

193
165
165

40

OJ

CMOS
Multiport
Video RAM

SMVS121

80
100
120

5±10%

468
413
358

11

20/26,20

OM, ON,
SO

CMOS
Enhanced
Page Mode

SMHS410

TMS441 01-80
TMS44101-10
TMS44101-12

80
100
120

5±10%

468
413
358

11

20/26,20

OM, ON,
SO

CMOS
Nibble
Mode

SMHS410

TMS441 02-80
TMS44102-10
TMS441 02-12

80
100
120

5±10%

468
413
358

11

20/26,20

OM, ON,
SO

CMOS
Static
Column
Decode
Mode

SMHS410

TMS441 03-80
TMS441 03-10
TMS441 03-12

80
100
120

5±10%

468
413
358

11

20/26,20

OM, ON,
SO

SMHS410
CMOS
Serial Mode

TMS44400-80
TMS44400-10
TMS44400-12

80
100
120

5±10%

468
413
358

11

20/26,20

OM, ON,
SO

CMOS
Enhanced
Page Mode

SMHS440

TMS44401-80
TMS44401-10
TMS44401-12

80
100
120

5±10%

468
413
358

11

20/26,20

OM, ON,
SO

CMOS
Nibble
Mode

SMHS440

TMS44402-80
TMS44402-10
TMS44402-12

80
100
120

5±10%

468
413
358

11

20/26,20

OM, ON,
SO

CMOS
Static
Column
Decode
Mode

SMHS440

TMS44403-80
TMS44403-10
TMS44403-12

80
100
120

5±10%

468
413
358

11

20/26,20

OM, ON,
SO

CMOS
SMHS440
Serial Mode

* N = Plastic Dual In-line Package (DIP)
OJ =
OM =
ON =
SO =

Plastic Small Outline J-Iead (SOJ)
Plastic Small Outline J-Iead (SOJ)
Plastic Thin Small Outline J-Iead (Thin SOJ)
Plastic Zig-zag In-line Package (ZIP)

10-5

MOS Memory Products

MOS Dynamic RAM Module
DENSITY ORGANIZATION
(WORDS x BITS)
BITS

DEVICE
NUMBER

MAX POWER
DISSIPATION
MAX
POWER
ACCESS SUPPLY ACTIVE STANDBY
(V)
TIME (ns)
(mW)
(mW)

PINS

PACKAGE COMMENTS DOCUMENT

4096K

1024K x 4

TM024HAC4-S0
TM024HAC4-10
TM024HAC4-12

SO
100
120

5±10%

1540
1430
1210

44

24

Leaded

S192K

1024K x S

TM024GADS-SO
TM024GAD8-10
TM024GAD8-12

SO
100
120

5±10%

30S0
2S60
2420

SS

30

Socketable CMOS
Enhanced
Page Mode

SMMS10S

TM024GADST-SO
TM024GADST-l0
TM024GADST-12

SO
100
120

5±10%

30S0
2S60
2420

SS

30

Socketable, CMOS
ThinSOJ
Enhanced
Page Mode

SMMS10S

TM024EAD9-S0
TM024EAD9-10
TM024EAD9-12

80
100
120

5±10%

3465
321S
2723

99

30

Socketable CMOS
Enhanced
Page Mode

SMMS109

TM024EBJ9T-80
TM024EBJ9T-l0
TM024EBJ9T-12

80
100
120

5±10%

3465
321S
2723

99

30

Socketable, CMOS
ThinSOJ
Enhanced
Page Mode

SMMS109

TM024JADS-SO
TM024JADS-l0
TM024JADS-12

80
100
120

5±10%

30S0
2S60
2420

8S

30

DoubleCMOS
sided,
Enhanced
Socketable Page Mode

SMMS10S

TM024JADST-SO
TM024JADST-l0
TM024JADST-12

80
100
120

5±10%

3080.
2S60
2420

SS

30

DoubleCMOS
sided,
Enhanced
Socketable, Page Mode
ThinSOJ

SMMS108

9216K

16384K

1024K x 9

2048K x S

CMOS
Enhanced
Page Mode

SMMS104A

lS432K

204SK x 9

TM024DBJ9T-SO
TM024DBJ9T-l0
TM024DBJ9T-12

SO
100
120

5±10%

3465
321S
2723

99

30

DoubleCMOS
sided,
Enhanced
Socketable, Page Mode
ThinSOJ

SMMS109

3276SK

4096K x S

TM4100GBDS-SO
TM4100GBDS-l0
TM4100GBDS-12

SO
100
120

5±10%

3740
3300
2S60

SS

30

Socketable CMOS
Enhanced
Page Mode

SMMS10S

36S64K

4096K x 9

TM4100EBD9-S0
TM4100EBD9-10
TM4100EBD9-12

SO
100
120

5±10%

420S
3713
321S

99

30

Socketable CMOS
Enhanced
Page Mode

SMMS409

s:CD

TM4100EBJ9-S0
TM4100EBJ9-10
TM4100EBJ9-12

SO
100
120

5±10%

4208
3713
3218

99

30

Socketable CMOS
Enhanced
Page Mode

SMMS409

o

TM4100EBJ9T-SO
TM4100EBJ9T-l0
TM4100EBJ9T-12

SO
100
120

5±10%

420S
3713
3218

99

30

Socketable, CMOS
ThinSOJ
Enhanced
Page Mode

SMMS409

TM4100DBJ9-S0
TM4100DBJ9-10
TM4100DBJ9-12

SO
100
120

5±10%

420S
3713
321S

99

30

DoubleCMOS
sided,
Enhanced
Socketable Page Mode

SMMS409

TM4100DBJ9T-SO
TM4100DBJ9T-l0
TM4100DBJ9T-12

SO
100
120

5±10%

420S
3713
3218

99

30

DoubleCMOS
sided,
Enhanced
Socketable, Page Mode
ThinSOJ

SMMS409

3

-<."

ac.

7372SK

c

a

10-6

S192K x 9

MOS Memory Products

MOSSRAM
DENSITY ORGANIZATION
BITS (WORDS x BITS)

DEVICE
NUMBER

MAX SUPPLY
CURRENT
MAX POWER
ACCESS SUPPLY ACTIVE STANDBY (rnA)
(rnA)
TIME (ns)
(V)
TTL CMOS PINS

PACKAGE· COMMENTS DOCUMENT

16K

2K x 8

TMS6716-25

25

5±10%

120

30

10

24

N

BiCMOS
Output
Enable

SMSS716A

64K

64K x 1

TMS6787-15
TMS6787-20
TMS6787-25
TMS6787-30

15
20
25
30

5±10%

120
120
100
100

30
30
40
40

10
10
20
20

22,24
22,24
22
22

N,DJ
N,DJ
N
N

BiCMOS

SMSS787A

16K x 4

TMS6788-15
TMS6788-20
TMS6788-25
TMS6788-30

15
20
25
30

5±10%

120

30

10

22

N

BiCMOS

SMSS788B

TMS6789-15
TMS6789-20
TMS6789-25
TMS6789-30

15
20
25
30

5±10%

120

30

10

24,24 N,DJ

BiCMOS
Output
Enable

SMSS789A

TMS6264-10
TMS6264-12
TMS6264-15

100
120
150

5±10%

55
45
45

3

2

TMS6264L-10
TMS6264L-12
TMS6264L-15

100
120
150

5±10%

55
45
45

3

8K x 8

256K

SMSS264B

0.1

28,28 N,NW,DH CMOS Low
28
Power
Output
Enable

SMSS264B

256K x 1

TMS6707-20
TMS6707-25

20
25

5±10%

120

30

10

24,24 N,DJ

BiCMOS

SMSS707

64K x 4

TMS6708-20
TMS6708-25

20
25

5±10%

120

30

10

24,24 N,DJ

BiCMOS

SMSS708

TMS6709-20
TMS6709-25

20
25

5±10%
5±10%

550

165

55

28

BiCMOS

SMSS709

TMS62256-85
TMS62256-10
TMS62256-12
TMS62256-15

85
100
120
150

5±10%

70

3

2

28,28 NW,DH

CMOS
Output
Enable

SMSS256B

TMS62256L-85
TMS62256L-10
TMS62256L-12
TMS62256L-15

85
100
120
150

5±10%

70

3

0.1

28,28 NW,DH

CMOS Low
Power
Output
Enable

SMSS256B

TMS62456-35
TMS62456-45

35
45

5±10%

660

330

1.1

28

DJ

CMOS
Common
I/O

SMSS456

TMS62457-35
TMS62457-45

35
45

5±10%

660

330

1.1

32

OJ

CMOS
Separate
I/O

SMSS457

TMS62828-85
TMS62828-10
TMS62828-12

85
100
120

5±10%

70

3

2

CMOS
Output
Enable

SMSS828

TMS62828L-85
TMS62828L-10
TMS62828L-12

85
100
120

5±10%

70

3

0.1

32Kx 8

1024K

28,28 N,NW,DH CMOS
28
Output
Enable

256K x 4

128K x 8

DJ

32,32 NW,OK

32,32

NW,DK

CMOS Low SMSS828
Power
Output
Enable

* N = Plastic Dual In-line Package (DIP) (300-mil)
NW =
DH =
OJ =
OK =

Plastic Dual In-line Package (DIP) (600-mil)
Plastic Small Outline Package (SOP)
Plastic Small Outline J-Iead (SOJ)
Plastic Small Outline Package (SOP)

10-7

Commercial EPROM/OTP PROM Products Cross Reference Guide

COMMERCIAL EPROM/OTP PROM PRODUCTS
CROSS REFERENCE GUIDE
TEXAS
INSTRUMENTS
PART NUMBER

PART NUMBER

TEXAS
INSTRUMENTS
PART NUMBER

AM27128A-1DC
AM27128A-20PC
AM27128A-250C
AM27128A-25PC

TMS27C128-1JL
TMS27PC128-20NL
TMS27C128-25JL
TMS27PC128-25N L

AM27C1024-4550C
AM27C10240C
AM27C128-150C
AM27C256-1500C

TMS27C210-250JL
TMS27C010-250JL
TMS27C128-15JL
TMS27C256-15JL

027512-200V05
027512-200V10
027512-25
027512-250V05

TMS27C512-2JL
TMS27C512-20JL
TMS27C512-25JL
TMS27C512JL

AM27128A-20C
AM27128A-2PC
AM27128A-300C
AM27128A-30C

TMS27C128-2JL
TMS27PC128-2N L
TMS27C128-25JL
TMS27C128JL

AM27C256-1550C
AM27C256-2000C
AM27C256-200PC
AM27C256-2050C

TMS27C256-150J L
TMS27C256-20JL
TMS27PC256-20NL
TMS27C256-2JL

027512-250V10
027512-3
027512-30
02764A

TMS27C512-25J L
TMS27C512JL
TMS27C512-25J L
TMS2764-25JL

AM27128A-450C
AM27128A-45PC
AM27128A-40C
AM27128A-4PC

TMS27C128-25JL
TMS27PC128-25NL
TMS27C128JL
TMS27PC128NL

AM27C256-205PC
AM27C256-2500C
AM27C256-250PC
AM27C256-2550C

TMS27PC256-2NL
TMS27C256-25JL
TMS27PC256-25NL
TMS27C256JL

02764A-1
02764A-2
02764A-3
02764A-4

TMS2764-17JL
TMS2764-20JL
TMS2764-30JL
TMS2764-45JL

AM27128AOC
AM27128APC
AM27256-10C
AM27256-200C

TMS27C128JL
TMS27PC128NL
TMS27C256-1JL
TMS27C256-20JL

AM27C256-3050C
AM27C256-4500C
AM27C256-4550C
AM27C512-2000C

TMS27C256JL
TMS27C256-25JL
TMS27C256JL
TMS27C512-20JL

027C256
027C256-20
027C256-200V05
027C256-200V10

TMS27C256JL
TMS27C256-20JL
TMS27C256-2JL
TMS27C256-20JL

AM27256-20PC
AM27256-250C
AM27256-25PC
AM27256-20C

TMS27PC256-20NL
TMS27C256-25JL
TMS27PC256-25NL
TMS27C256-2JL

AM27C512-2050C
AM27C512-2050C
AM27C512-2500C
AM27C512-4500C

TMS27C512-20JL
TMS27PC512-2NL
TMS27C512-25JL
TMS27C512-25JL

027C256-25
027C256-30
027C256-300V10
0027128-2

TMS27C256-25JL
TMS27C256-25JL
TMS27C512-25JL
TMS27C128-2JL

AM27256-2PC
AM27256-300C
AM27256-30C
AM27256-400C

TMS27PC256-2NL
TMS27C256-25J L
TMS27C256JL
TMS27C256-25JL

AM27C512-4550C
AM27C5120C
AM27S291APC
AM27S291PC

TMS27C512JL
TMS27C512JL
TMS27C291-35JL
TMS27C291-45JL

0027128-20
0027128-25
0027128-3
0027128-30

TMS27C128-20JL
TMS27C128-25JL
TMS27C128JL
TMS27C128-25JL

AM27256-40C
AM27256-4PC
AM272560C
AM2732B-2050C*

TMS27C256JL
TMS27PC256NL
TMS27C256JL
TMS2732A-20JL

AP27C64
AP27C64-1
AP27C64-2
AP27C64-3

TMS27PC64NL
TMS27PC64-1 NL
TMS27PC64-2NL
TMS27PC64NL

0027128-4
0027128-45
0027256
0027256-25

TMS27C128JL
TMS27C128-25JL
TMS27C256JL
TMS27C256-25JL

AM2732B-3050C*
AM2732B-4550C*
AM2732BOC*
AM27512-250C

TMS2732A-30JL
TMS2732A-45JL
TMS2732A-25JL
TMS27C512-250J L

CY7C292-350C
027128A
027128A-1
027128A-2

TMS27C292-3JL
TMS27C128JL
TMS27C128-1JL
TMS27C128-2JL

0027256-3
0027256-30
002764-16
002764-2

TMS27C256JL
TMS27C256-25JL
TMS27C64-15JL
TMS27C64-2JL

o

AM27512-300C
AM27512-30C
AM275120C
AM2764A-10C*

TMS27C512-25J L
TMC27C512JL
TMS27C512JL
TMS2764-17JL

027128A-20
027128A-25
027128A-3
027128A-30

TMS27C128-20JL
TMS27C128-25JL
TMS27C128JL
TMS27C128-25JL

002764-20
002764-3
002764-30
002764-4

TMS27C64-20JL
TMS27C64JL
TMS27C64-25JL
TMS27C64JL

ac.

AM2764A-20C*
AM2764A-2PC
AM2764A-30C*
AM2764A-40C*

TMS2764-20JL
TMS27PC64-2NL
TMS2764-30JL
TMS2764-45JL

027128A-4
027210-170V05
027210-170V10
027210-200V05

TMS27C128JL
TMS27C210-170JL
TMS27C210-17JL
TMS27C210-200JL

002764-45
0027C256
0027C256-25
0027C256-3

TMS27C64-25JL
TMS27C256JL
TMS27C256-25JL
TMS27C256JL

AM2764AOC*
AM2764APC
AM27C010-1750C
AM27C010-2000C

TMS2764-25JL
TMS27PC64NL
TMS27C010-170JL
TMS27C010-200JL

02721 0-200V1 0
027210-250V05
02721 0-250V1 0
027210-300V05

TMS27C210-20JL
TMS27C210-250JL
TMS27C210-25JL
TMS27C210-250JL

0027C256-30
0027C256-4
0027C256-45
M27128A-2F1

TMS27C256-25JL
TMS27C256JL
TMS27C256-25JL
TMS27C128-2JL

AM27C010-2050C
AM27C010-2500C
AM27C010-2550C
AM27C1024-2000C

TMS27C010-200JL
TMS27C010-25JL
TMS27C010-250JL
TMS27C210-200JL

02721 0-300V1 0
02732A
02732A-2
02732A-3

TMS27C210-25JL
TMS2732A-25JL
TMS2732A-20JL
TMS2732A-30JL

M27128A-3F1
M27128A-4F1
M27128AF1
M27256-2F1

TMS27C128JL
TMS27C128JL
TMS27C128JL
TMS27C128-2JL

AM27C1024-2050C
AM27C1024-2500C
AM27C1024-2500C
AM27C1024-3000C

TMS27C010-200JL
TMS27C010-250JL
TMS27C210-250JL
TMS27C210-250JL

02732A-4
027512-170V05
027512-2
027512-20

TMS2732A-45JL
TMS27C512-1JL
TMS27C512-2JL
TMS27C512-20JL

M27256-3F1
M27256-4F1
M27256F1
M2732A-2F1

TMS27C128JL
TMS27C128JL
TMS27C256JL
TMS2732A-20JL

PART NUMBER

s:
(1)

3

-<."
c

~

(Jj

*These devices use a 12.5 volt programming voltage versus TI devices which use 21 volts.
(All TI parts listed parts are direct crosses or improved versions.)

10-8

PART NUMBER

TEXAS
INSTRUMENTS
PART NUMBER

Commercial EPROM/OTP PROM Products Cross Reference Guide

COMMERCIAL EPROM/OTP PROM PRODUCTS CROSS REFERENCE GUIDE (Continued)
PART NUMBER

TEXAS
INSTRUMENTS
PART NUMBER

PART NUMBER

TEXAS
INSTRUMENTS
PART NUMBER

PART NUMBER

M2732A-3Fl
M2732A-4F1
M2732AF1
M27512-2Fl

TMS2732A-25J L
TMS2732A-25J L
TMS2732A-25JL
TMS27C512-2JL

NMC27C64N200
NMC27C64N250
NMC27C64015
NMC27C640150

TMS27PC64-20NL
TMS27PC64-25NL
TMS27C64-1JL
TMS27C64-15JL

M27512-3Fl
M27512-4Fl
M27512Fl
M2764A-2Fl

TMS27C512JL
TMS27C512JL
TMS27C512JL
TMS2764-20JL

NMC27C640200
NMC27C6402S0
NMC27C640300
NMC27CP1280200

TMS27C64-20J L
TMS27C64JL
TMS27C64-25J L
TMS27PC128-2NL

27C256-20/J

M2764A-3Fl
M2764A-4F1
M2764AF1
NMC27C256020

TMS2764-30JL
TMS2764-45JL
TMS2764-25JL
TMS27C256-2JL

NMC27CP1280250
NMC27CP1280300
P27128-2
P27128-25

TMS27PC128NL
TMS27PC128NL
TMS27PC128-2NL
TMS27PC128-25NL

27C512-20fJ
27C512-25/J

NMC27C2560200
NMC27C256Q25
NMC27C2560250
NMC27C512020

TMS27C256-20JL
TMS27C256JL
TMS27C256-25JL
TMS27C512-2JL

P27128-3
P27128A
WS27C256L-12D
WS27C256L-15D

TMS27PC128NL
TMS27PC128NL
TMS27C256-120J L
TMS27C256-150J L

NMC27C5120200
NMC27C512025
NMC27C51202S0
NMC27C64N15

TMS27C512-20JL
TMS27C512JL
TMS27C512-25JL
TMS27PC64-1NL

WS27C256L-15J
WS27C512L-15D
WS57C191-45D

TMS27PC256-150FML
TMS27C512- 150J L
TMS27C292-25JL
TMS27C256-20JL

27256-20/J

27256-25/J
27C128-20/J
27C128-25/J

27C256-17FA
27C256-20FA
27C256-25fJ

27C256-25FA

27C64-20FA
27C64-25FA

TEXAS
INSTRUMENTS
PART NUMBER
TMS27C256-25JL
TMS27C128-20JL
TMS27C128-25JL
TMS27C256- 1JL
TMS27C256-20JL
TMS27C256-2JL
TMS27C256-25JL
TMS27C256JL
TMS27CS12-20JL
TMS27C512-25JL
TMS27C64-2JL
TMS27C64JL

*These devices use a 12.5 volt programming voltage versus TI devices which use 21 volts.
(All TI parts listed parts are direct crosses or improved versions.)

10-9

EPROM/OTP PROM Ordering Information

MOS MEMORY PRODUCTS
EPROM/OTP PROM ORDERING INFORMATION
Factory orders for EPROMs/OTP PROMs should include a nine-part type number as explained in the following
example:
TMS

P

C

512

I

1) Prefix: - - - - - TMS
TMX

27

Commercial M OS
Pre-production Commercial MOS

2) Product Family: - - 27
29

EPROM/OTP PRO M
Flash EEPROM

3) Erasability: - - - P
Blank

Non-erasable
Erasable

4) Technology: - - - C
F
Blank

CMOS
CMOS Flash EEPROM
NMOS

5) Density: - - - - 291
292
32
49
64

3:

3
o
c

en

El

128 128K
256 25 6K
512 51 2K
010 1024K
210 1024K

6) Speed Designator: - 35 ns
45 ns
50 ns
55 ns
100 ns
120 ns

-<
"C
a
c.
~

16K
16K
32K
64K
64K'

-3, -35
Blank, -4, -45
-5, -50
-5, -55
-10, -100
-12, -120

150 ns
170 ns
200 ns
250 ns
300ns
450 ns

-1, -15, -150
-1, -17, -170
-2, -20, -200
Blank, -25, -250
-3, -30, -300
-45

7) Package:----OTP PROMs (Plastic)
N
Dual In-line (DIP)
FN Chip Carrier
FM Chip Carrier
NT 300-mil DIP
(TMS27PC49 On Iy)
8) Temperature Range: E

l

-40°C to 85°C
O°Cto 70°C

9) 168 Hour Burn-in Option:
4
Blank

10-10

168 Hour Burn-in
No Burn-in

EPROMs (Ceramic)
CerpaklCerdip
J
JT 300-mil Cerdip
(TMS27PC49 Only)

Flash EEPROMs
J
Ceramic DIP
N
Plastic Dip
FM Plastic Chip Carrier

-10

FM

L

4

DRAMNRAM Ordering Information

MOS MEMORY PRODUCTS
DRAM/VRAM ORDERING INFORMATION
Factory orders for DRAMs/VRAMs (except 4 Meg DRAM*) should include an eight-part type number as
explained in the following example:
TMS

4

4

C

256

-10

OJ

1) p r e f i x : - - - - - - - - - - - - - - - - - - - - 1 1

TMS
TMX

Commercial MOS
Pre-production Commercial MOS

2) Product Family: - - - - - - - - - - - - - - - - - - - 1

4

DRAMNRAM/FRAM

3) Word Width: _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _- - J

1
Blank
Blank
4

xl
xl (256K and 1 Meg DRAMs Only)
x4 (1 Meg FRAM Only)
x4
.

4) T e c h n o l o g y : - - - - - - - - - - - - - - - - - - - - - - - - - '

C
Blank
5)

CMOS
NMOS

Density:--------------------------~

61
251
256
260

256KVRAM (,4461)
1 Meg VRAM ('44C251)
1 Meg DRAM (' 44C256)
1 Meg Parity DRAM ('44C260)

1024 1 Meg DRAM ('4Cl024)
1025 1 Meg DRAM ('4Cl025)
1027 1 Meg DRAM ('4Cl027)
1050 1 Meg FRAM ('4Cl050)

6) Speed Designator: - - - - - - - - - - - - - - - - - - - - - - - - - - - - '

DRAMsNRAMs
-70
70 ns
-80
80 ns
-1 100 ns
-10 100 ns
-12 120 ns
-15 150 ns

FRAMs
-3 25 ns
-4 30 ns
-6 50 ns

7) P a c k a g e : - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - '

N
DJ
SD

Dual In-line (DIP)
,
Small Outline J-Iead (SOJ)
Zig-zag In-line (ZIP)

8) Temperature Range: -----------------------------~

Blank
L

O°C to 70°C (1 Meg DRAM Only)
O°Cto 70°C

*For 4 Meg DRAM ordering information, see the next page,

10-11

4 Meg DRAM Ordering Information

MOS MEMORY PRODUCTS
4 MEG DRAM ORDERING INFORMATION
Factory orders for 4 Meg DRAMs should include an eight-part type number as explained in the following
example:
TMS

4

4

4

00

-10

DM

----------------'1

1) Prefix:
TMS

----------------11

Commercial MOS

2) Product Family:
4 DRAM

3) Total Megabits: - - - - - - - - - - - - - - - - - - '
4 4Meg
4) Word Width:
1
4

------------------~

x1
x4

5) Mode of Operation: - - - - - - - - - - - - - - - - - - - - - - '
00
01
02
03

Enhanced Page Mode
Nibble Mode
Static Column Decode Mode
Serial Mode

6) Speed Designator: - - - - - - - - - - - - - - - - - - - - - - - - '

-80 80 ns
100 ns
120 ns

s:CD

-10
-12

3

~ 7) Package:

a."

OM
SO

Small Outline J-Iead (SOJ)
Zig-zag In-line (ZIP)

Q,
~ ~Thmp~~ureRange:-------------------------~

ut

Blank

10-12

O°C to 70°C

DRAM Module Ordering Information

MOS MEMORY PRODUCTS
DRAM MODULE ORDERING INFORMATION
Factory orders for DRAM Modules, also known as Single In-line Packages (SIPs), should include an eight-part
type number as explained in the following example:
TM

024

D

BJ

9

T

-80

L

1) Prefix: _ _ _ _ _ _ _ _ _ _ _ _ _ _--11

--------------'1

TM

Commercial MOS

2) Memory Device:
024
1 Meg DRAM, Enhanced Page Mode
4100 4 Meg DRAM, Enhanced Page Mode

3) Pinout Configuration: - - - - - - - - - - - - - - - - - - '

D
E

G
H

J

4) Board Dimensions: - - - - - - - - - - - - - - - - - - - '
AC
AD

BD
BJ

5) Word Width Output:

----------------------1

6) DRAM Package Type: - - - - - - - - - - - - - - - - - - - - - - - - 1
Blank
T

Standard SOJ Packaged DRAMs
ThinSOJ Packaged DRAMs

7} Speed Designator: - - - - - - - - - - - - - - - - - - - - - - - - - - '

-80 80 ns
-10
-12

100 ns
120 ns

8) Temperature Range: _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _---l

l
Blank

DoC to 70°C (1 Meg DRAMs)
DoC to 70°C (4 Meg DRAMs)

10-13

SRAM Ordering Information

MOS MEMORY PRODUCTS
SRAM ORDERING INFORMATION
Factory orders for SRAMs should include an eight-part type number as explained in the following example:
TMS

1) Prefix:
TMS

6

2

64

L

-12

NW

------'II'

_ _
Commercial MaS

.

2) Product Family: 6 SRAM
3) Technology: - - - - - - - - - - - - - - - - - - - 1
2
7

CMOS
BiCMOS

4) Density: _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _---J
16 16K ('6716)
87 64K ('6787)
88 64K ('6788)
89 64K ('6789)

64
07
08
256
456
457
828

64K ('6264, '6264L)
256K ('6707)
256K ('6708)
256K ('62256, '62256L)
1024K (,62456L)
1024K (,62457, '62457L)
1024K ('62828, '62828L)

5) Power: - - - - - - - - - - - - - - - - - - - - - - - - '
L Low Power
Blank Standard Power

3:
3

6) Speed Designator: - - - - - - - - - - - - - - - - - - - - - - - - 1

CD

-10
-12
-15
-20
-25
-30
-35
-45
-85

o

-<

."

a
g.
~

en
~

87)

100 ns
120 ns
15 ns, 150 ns
20 ns
25 ns
30 ns
35 ns
45 ns
85ns

Package:----------------------------'
DH Small Outline Package (SOP)
OJ
Small Outline J-Iead (SOJ)
OK Small Outline Package (SOP)
N
Plastic Dual-In-Line (DIP) (300-mil)
NW Plastic Dual-In-Line (DIP) (600-mil)

8) Temperature Range: - - - - - - - - - - - - - - - - - - - - - - - - - - - '
Blank

10-14

O°C to 70°C

Introduction

CUSTOMER SUPPORT
AND GENERAL INFORMATION
Texas Instruments offers a wide array of circuit design tools and support services ranging from Customer
Response Center, design kits, Regional Technology Centers (RTCs), technical training seminars and workshops, to technical documentation.
Regional Technology Centers (RTCs) offer an unmatched array of up-to-date technical seminars and workshops for the benefit of customers requiring engineering details on TI products for design or evaluation
purposes. Through lectures and hands-on lab exercises utilizing the latest TI development tools, the design
learning curve can be accelerated.
Technical documentation is fully described - forms are provided to simplify the ordering process.
Packages offered by Texas Instruments are designed to meet the most efficient and cost effective method of
meeting customer requirements and today's high-density packaging needs.

c

During the last decade, TI has produced one of the largest IC socket families. TI's sockets include every type
and size socket in common use today and are available in a wide choice of contact materials and designs.

..g

E
~

As a major manufacturer of surface mount components, TI is committed to help customers make the transition
to surface mount as easy and economical as possible.

Contents

.E
..E

f

Q,)

Page cQ,)

Customer Support
Customer Response Center ........................................................... 11-2
Technical Training: Seminars and Workshops ............................................. 11-3
Semiconductor Literature . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-6
Data Sheet/Other Literature Order Form ................................................. 11-7
Semiconductor Book Descriptions ...................................................... 11-9
Semiconductor Book Order Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-17

General Information
Packaging Overview ................................................................ 11-20
IC Sockets ........................................................................ 11-27
Surface Mount Technology ........................................................... 11-39
Quality, Reliability, and Service ....................................................... 11-41

11-1

~
"C
C

cu

't
o

Co

§en
~

E
~

8

111

Customer Response Center

CUSTOMER SUPPORT
CUSTOMER RESPONSE CENTER
The TI Customer Response Center's (CRC) mission is to answer telephone inquiries concerning product or
service. If a TI sales office or distributor near you cannot be of assistance, the CRe is the place to call.
When the CRC Information Specialists are unable to provide the· necessary information, they will put
customers in touch with a TI expert. The customer has the choice of having that expert return the call or
receiving the number if it is more convenient to call at another time.
The eRC is dedicated to providing quality customer service. If the customer elects to have a TI expert call
back, the CRC will follow up to ensure that the customer has been contacted and has been satisfied. The goal
of CRC is to provide the requested assistance within two hours of the initial call.
In addition to providing product and service information, CRC welcomes customer comments - criticism or
praise - and will be happy to pass them along to TI management.
TO REACH THE TI CUSTOMER RESPONSE CENTER FROM 8:00 A.M. TO 5:00 P.M. CST MONDAYFRIDAY

P 1-800-336-5236 (US and Canada)

214-995-6611 (Dallas, TX and International)

~

o

REMEMBER: "WE'RE HERE FOR YOU:'

3

CD

""t

CJ)

C
"C
"C

o

~

Q)

::::s

Q.

C')
CD
::::s
CD

~

~
""t

3
!

o·
::::s

11-2

Texas Instruments Semiconductor Technical Training

TEXAS INSTRUMENTS SEMICONDUCTOR TECHNICAL TRAINING
TI Technical training - the fast track to tomorrow's designs.
At Texas Instruments, customers and their success in the design process are a critical concern. To support that
commitment, a Total Quality Control program is in place, serving as the cornerstone for all Texas Instruments
products and services. TQC principles are practiced and monitored within all areas ofTI, benefiting customers
throughout the world by means of continuous product and service enhancements and performance
improvements.
As technologies continue to advance rapidly and competition becomes keener, the need to dramatically
shorten the cycle time from design concept to market availability is becoming critical. This reduced window of
product development requires that designers be abreast of state-of-the-art skills and disciplines in order to
implement their ideas quickly, produce a quality product and deliver it to the marketplace before the
competition.
To assist customers in the design phase of this process, TI has Regional Technology Centers in place throughout
the world. These centers offer designers the latest training in support of advanced TI technologies, as well as
consultative expertise in applying that knowledge to a designer's unique concepts and situations. Through
lectures and hands-on lab exercises utilizing the latest TI development tools, designers can accelerate the
learning curve by taking advantage ofTI's strong knowledge base of advanced electronics technology.

c

o

.~

..E

Courses offered are developed to help customers involved in the design process to successfully:
• Shorten the design cycle

:§

• Control development costs

e

• Solve design challenges

cu

c

As the progress of technology continues to change at an increased rate, designers must be poised to adapt and ~
implement at that increased speed.
"C

c

as
Visit a TI Regional Technology Center, where experienced, knowledgeable instructors, the latest support tools 1::
and focused system design courses are available to help place you and your products on the fast track.

8.
Co
~

Texas Instruments Regional Technology Centers (RTCs) offer an array of up-to-date technical seminars and en
workshops at seven convenient locations across North America for the benefit of customers requiring ~
engineering details on Texas Instruments products for design or evaluation purposes.
E

~

HANDS-ON WORKSHOPS
RTC workshops give design engineers and implementors experience using the latest advanced technology TI
products, development tools, and design techniques. Participants can improve their learning curves by
attending these up-to-date, hands-on workshops.
.
TI goes beyond the standard format. Students get started right away by using the latest development tools
possible and by interacting with qualified instructors. The exercises and lab experiments start with the basics
and move quickly into real-world examples. In TI workshops, students learn by DOING, not just by listening
and watching. Courses currently being offered include:
• TMS320CIX Digital Signal Processor (DSP) Design Workshop (RTCWS-320DSPl)
11-3

d

III

Texas Instruments Semiconductor Technical Training

• TMS320C2X Digital Signal Processor (DSP) Design Workshop (RTCWS-320DSP2)
• TMS320C3X Digital Signal Processor (DSP) Design Workshop (RTCWS-320DSP3)
• TIASIC Standard Cell Advanced Course
• TIASIC Gate Array Advanced Course
• TMS34010 Graphics System Processor Design Workshop (RTCWS-340GSP1)
• TMS370 Configurable Microcontroller Design Workshop (RTCWS-370MICRO)
• TMS380 IBM Token-Ring Network Design Workshop (RTCWS-380LAN1)
Workshops in development include:
• TMS320C5X Digital Signal Processor (DSP) Design Workshop
• TMS34020 Graphics System Processor Design Workshop
• Applications in C

TMS320 Digital Signal Processor Design Workshops
(RTCWS-320DSP1,RTCWS-320DSP2,RTCWS-320DSP3)
The established leader in digital signal processing, TI offers a complete family of compatible DSPs. The threeday TMS320CIX workshop (RTCWS-320DSP1) is an excellent introductory course for first-time TMS320
users. The intensive three-day TMS320C2X workshop (RTCWS-320DSP2) is for advanced applications using
(") the TMS320C2X "second generation" digital signal processor. The three-day TMS320C3X workshop
; (RTCWS-320DSP3) introduces the powerful third-generation digital signal processor.

S'

i

All three workshops are practical design courses which allow the student to practice what he or she learns.
Workshops in development include TMS320C4X and TMS320C5X, available second half 1990; Graphics
C 34020, second quarter 1990; Token Ring 380 update covering second generation LAN, second quarter 1990.

c;,

"0
"0

3.

TIASIC Standard Cell Advanced Course
c» TIASIC Gate Array Advanced Course

= Digital logic design engineers can easily make the transition to gate array and/or standard cell IC design at TI's
Q.

~ one-day ASIC workshops. They will be taught by experienced ASIC design engineers from RTC design sites,
and will gain a detailed understanding of the overall ASIC design flow. The course is valuable whether the
customer intends to use RTC design services or else his or her own in-house design team.

i
it

.3~

TMS34010 Graphics System Processor Design (RTCWS-340GSP1)
This three and one-half day workshop will enable the design engineer to get the most out of the powerful new

a TMS34010 Graphics System Processor (GSP), by accelerating the learning curve and speeding the design and
g"

delivery of a TMS34010-based product. The student will learn how to use the TMS34010, either alone or as a
system building block, by the use of numerous design techniques commonly used in the application of bit~ mapped graphics.

.aI TMS370 Configurable Microcontroller Design Workshop (RTCWS-370MICRO)
This 3-day workshop will provide you a thorough hands-on introduction to the TMS370 family of microcontrollers. The TMS370 family is the first family of configurable microcontrollers. In addition to highperformance architecture, the TMS370 family includes peripheral features such as A/D, serial ports, timers,
and EEPROMs.

11-4

Texas Instruments Semiconductor Technical Training

IBM Token-Ring Network Design Workshop (RTCWS-380LAN2)

In this three-day workshop, the student learns how to design the IBM Token-Ring Network into his or her end
equipment using the second generation TI TMS380 Token-Ring LAN chipset, the only complete LAN
connection solution compatible with the IBM Token-Ring Network and the IEEE 802.5 specification. During
the workshop, the design engineer will learn how to use the TMS380 chipset with popular 16- and 32-bit
microprocessors, backplane buses, and operating systems, as well as IBM-compatible LLC.
ENROLLMENT AND ADDITIONAL INFORMATION

To enroll in a workshop or for more information, in the US or Canada call Technical Training Central
Registration
1-800-336-5236, extension 3904
1-214-917-3894
Workshop enrollment is normally limited to 12 per class. To reserve space in a workshop, we recommend that
you sign up at least four weeks in advance.

c

o

'i
E
...

J2

.5
~
Q)

c
Q)
CJ
"C
C

co

t:

oQ.
Q.

:s

en...
Q)

E
o

'ti)

:s

(.,)

III
. 11-5

Semiconductor Literature

SEMICONDUCTOR LITERATURE
Technical literature is available for the products and services listed in the Master Selection Guide. TI's
semiconductor literature is identified by a seven- or eight-character product source code consisting of four
alpha characters, three numeric characters, and a revision letter if applicable. The fourth alpha character
designates a particular document as follows:

CODES
A
B
C
D
S

T
U
Z

n
c

DESCRIPTION
Application Notes, Briefs, Reports
Brochures
Catalogs, Master Selection Guide
Data Books
Data Sheets, Data Manuals on Single Products
Direct Mail Materials
Owner's Manuals, Software Manuals, User's Guides
Other

As an example, literature code SDLDOOI identifies a data book; code SLNAOOl, an application report; and
SPVB061, a brochure.

g For your ordering convenience, a list of available data sheets is provided; semiconductor books, including
i. application notes, data books and user's guides, are briefly described.
en

c

"C
"C

o

~
I»
::::s

c.

C)
(1)

::::s

(1)

!.
:;-

.

0'
3

a
o·
::::s

11-6

Semiconductor Literature

DATA SHEET I OTHER LITERATURE ORDER FORM
Name
Title
Company
Address & MIS

City I
Phone

I

I

State

~~_I

Extension

LU Zip I

I-I

I I

SSY18FMS700C
Please select any combination up to 10 free pieces from the data sheets and other literature listed below. You will only receive the first 10
selections if you exceed the 10 piece limit.

Data Sheets:

o SCASOO5A
o SCAS012A
o SCAS029
o SCAS031A
o SCAS032
o SCAS033
o SCAS034
o SCAS035
o SCAS039
o SCAS040
o SCAS041
OSCAS042
OSCAS044

o SCAS045
o SCAS046
o SCAS047
o SCAS048
o SC8S037
o SCCSOO2A
o SCCSOO58

o SCSSOO6A
o SCSSOO8
o SCTS021
o SCTS022
o SCTS026
o SDASOO6A
o SDAS040A
o SDAS102A
o SDAS103
o SDAS104
o SDAS105
o SDAS106
o SDAS107
o SDAS108
o SDAS1098
o SDAS111
o SDAS112
o SDAS113
o SDAS116
o SDAS117

o SDAS118
o SDAS119
o SDAS120A
o SDAS126
o SDAS127
o SDAS130
o SDAS131
o SDAS137
o SDAS138
o SDAS140
o SDAS141
o SDAS1448
o SDAS149
o SDAS150
o SDAS151
o SDAS152
o SDAS154
o SDAS158
o SDAS162
o SDISOO6A

o SDISOO8A
o SDZSOO1A
o SDZSOO2A
o SDZSOO3
o SLLS027A
o SLLS028A
DSLLS030

o SLLS031
o SLLS033
o SLLS037A
o SLLS040A
o SLLS043
o SLLS044
o SLLS045
o SLLS046
o SLLS048A
o SLLS054
o SLNS025

o SLOS024A
o SLOS027

o SLOS028
o SLOS039
o SLOS041
o SMMS108
o SMMS109
o SMMS408
o SMMS409
o SMSS2568
o SMSS2648
o SMSS456
o SMSS457
o SMSS707
o SMSS.708
o SMSS787A
o SMSS7888
o SMSS789A
o SMSS828
o SMVS0118
o SMVS121
o SMVS251E

C

0

'';::

co

E

...
.E
~

0

C6
~

(1)

C

(1)

~
"C
C
CO
~

0
Co
Co
::::J

en
~

(1)

E

0

~

en

::::J

(.)

(over)

Return to: Texas Instruments Literature Response Center, P.O. Box 809066, Dallas, TX 75380-9066

11-7

Semiconductor Literature

Data Sheets (Continued):

o SPNS010A

o SPNS012A

n
c

~

o
3(1)

...

CJ)
C

"C
"C

o

;:l
OJ

=
Co

G')
(1)

=
(1)

i.
...~
3

ao·
=

11-8

0 SPNS014

o SPRS009B

o SPRS010B

o SPRS011

Semiconductor Literature

SEMICONDUCTOR BOOK DESCRIPTIONS
Advanced CMOS Logic Designer's Handbook-1988
296 Pages
SCAAOOlA
A reference guide that spells out the technical issues confronting advanced logic design engineers and
describes the methods for handling the issues. Provides an overview of TI's EPIC(TM) Advanced CMOS
Logic (ACL) family, its characterization and specifications, and the very important issue of simultaneous
switching, which, if not treated correctly, can result in unreliable systems operation. PC board and
system-level design considerations are included.
Advanced CMOS Logic Data Book -1988
300 Pages
SCADOOIA
Detailed specifications and applications information on the TI family of I-J.1m EPIC Advanced CMOS
Logic devices. ACL offers less than 3 ns propagation delays at CMOS power dissipation, yet has 24 rnA
drive capability. Product selection guide and alphanumeric index are included.
BiCMOS Bus Interface Logic Data Book -1989
200 Pages
SCBDOOlA
This book contains detailed specifications and applications information on the TI family of BiCMOS
logic devices. These devices combine the speed and power of advanced bipolar devices with the low
power consumption of CMOS products. The document includes numerical and functional indexes, as
well as device ordering information and mechanical data.
High-Speed CMOS Logic Data Book -1989
800 Pages
SCLDOOIC c
Detailed specifications and application information on the TI family of High-Speed CMOS (HCMOS) 0
Logic devices. Includes product selection guide, glossary and alphanumeric index.
'i;
SN74ACT8800 Family Data Manual-1989
734 Pages
SCSS006B
Presents technical information on the SN74ACT8800 family of 32-bit processor "building block"
circuits. Includes specifications and operational information on the following high-performance
Advanced CMOS devices: 16-bit microsequencer, 32-bit registered ALU, 32-bit x 32-bit multiplier/
accumulator, 64-bit floating-point processor, 64-bit floating-point/integer processor, and digital crossbar
switch.

E
"-

~

.5
~
CI)
c
(1)

(!'
"C
C

co

8800 Software Development Board User's Guide - 989
200 Pages
SCSU003
This document describes the operation and use of the 8800 Software Development Board
(SN74SDB8800 SDB). The 8800 SDB allows users to evaluate performance and write microprograms
for several SN74ACT8800 family building blocks using a range of software development tools. By using
the SDB, microcode can be developed earlier in a system's design cycle, so that code development
parallels, rather than follows, prototype design. Prebuilt microcode definition files are provided to
facilitate initial code development.
Telecommunications Circuits Data Book -1988
464 Pages
SCTDOOIA
Detailed specifications and applications information on the TI family of telecommunications products.
This includes switching and transmission, codecs, filters, combos, FSK modems, subscriber line control,
subscriber products, silicon-gate expanded temperature range and surface-mount devices. Includes
product selection guide, glossary and alphanumeric index.

NOTE: It is our policy to substitute the latest available edition.

11-9

1::
o

Co

g-

~

CI)

§
t)

:::::I
(.)

III

Semiconductor Literature

AlS/AS logic Data Book -1986

1100 Pages
SDADOOm
Detailed specifications and applications information on the TI family of Advanced Low-Power Schottky
(ALS) and Advanced Schottky (AS) logic devices. Includes a functional index of all TI bipolar digital
devices.

SN54n4F logic Data Book -1989

281 Pages
SDFDOOlA
Detailed specifications and application information on the TI family of SN54F/SN74F TTL logic devices.
The logic symbols are in accordance with IEEE and IEC standards.

TTL Data Book -1988

1246 Pages
SDLDOOIA
Detailed specifications and application information on the TI family of Low-power Schottky (LS),
Schottky (S) and standard TTL logic devices.

Military Products Designer's Reference Guide-1990

1200 Pages SGYZOOIC
This guide provides data on the complete line of TI Military Semiconductor Products. It contains a
discussion and product spectrum of Military logic/programmable logic, linear interface, MOS memory
and LSI, bipolar LSI, Opto, Military ASIC, and system level and space products. In addition, European
Military and Space specifications plus mechanical data are also reviewed.

g

g

.3

linear Circuits, Volume 1: Amplifiers, Comparators,
and Special Functions -1989

1344 Pages
SLYD003
Detailed specifications on operational amplifiers, voltage comparators, current mirrors, sonar circuits,
disk controllers, timers, amplifiers, and special functions. Includes LinCMOS (TM) functions. Contains
product guide, interchangeability guide, glossary and alphanumeric index.

C'D

C/)

-6
-g

;::a.

linear Circuits, Volume 2: Data Acquisition and Conversion-1989

494 Pages
SLYD0004
Detailed specifications on data-acquisition devices, A/D converters, and switches. Includes LinCMOS
(TM) functions. Contains product guide, interchangeability guide, glossary and alphanumeric index.

~ linear Circuits, Volume 3: Voltage Regulators and
~ Supervisors -1989
C'D

;

i.

:r

..

0'
3

s·::::Ja
~

.aI

580 Pages
SLYD005
Detailed specifications on voltage regulators, voltage references, supply supervisors, voltage converters,
and 224 pages of detailed applications. Includes LinCMOS (TM) functions. Contains product guide,
interchangeability guide, glossary and alphanumeric index.

Interface Circuits Data Book -1987

1300 Pages
SLYD002
Detailed specifications on display drivers, line driver/receivers, peripheral drivers/actuators, and memory
interface, data acquisition, and speech synthesis circuits. Includes cross reference guides, power derating
curves, and explanation of logic symbols.

MOS Memory Data Book -1988

804 Pages
SMYD008
Detailed specifications information on Dynamic RAMs (DRAMs). Single In-line Package (SIP) DRAM
Modules, CMOS and NMOS EPROMs, One-Time Programmable CMOS PROMs, and CMOS
EEPROMs. Contains military specifications for the DRAM product line. Includes reference and selection
guides, alternative source directories, glossary, alphanumeric index, and IC line-up chart. Also, includes
chapters on quality and reliability, applications information, logic symbols and ESD guidelines.

NOTE: It is our policy to substitute the latest available edition.

11-10

Semiconductor Literature

TMS7000 Family Data Manual-1989
560 Pages
SPNDOOIC
Describes the architecture, the on-chip peripheral functions and the instruction set of the TMS7000
Family of NMOS and CMOS 8-bit microcomputers. Contains the assembly language user's guide, data
sheets and application notes.
Optoelectronics and Image-Sensor Data Book -1987
480 Pages
SOYD002A
Detailed specifications on CCD image sensors, optocouplers/optoisolators, intelligent displays, and
infrared-emitting diodes and phototransistors. Includes quality/reliability and applications notes,
mechanical information on each device, alphanumeric index, reference guides, interchangeability guide,
and glossary.
TMS370 Family Data Manual-1988
480 Pages
SPNS0l4A
Provides details on implementing a design by using a TMS370 8-bit configurable microcontroller. Topics
include architecture, electrical specifications, instruction set, design aids and development support tools.
TMS34061 User's Guide-1986
218 Pages
SPPU0l4A
A detailed description of the TMS34061 Video System Controller's architecture and specifications.
Includes application solutions.
TMS34070 User's Guide -1986
98 Pages
SPPU0l6A
Detailed description of the TMS34070 Color Palette's architecture and specifications. Includes applicac
o
tion solutions.
'+i
co
Color Graphics Controller Board User's Guide-1986
50 Pages
SPPU0l9A
Detailed description of the installation and operation of the PC-compatible TMS34061134070 Color J2
Graphics Controller Board. Includes theory of operation, how to program, PAL equations and .E
schematics.
~

E

Q)

c

DSP Primer -1988
80 Pages
SPRA015B ~
This primer contains an orderly, logical, and simplified discussion of the DSP concept. Includes "C
information on DSP solutions that TI has developed in response to design opportunities, a guideline for ~
determining which TI products are best suited to particular applications, and development support t!
available through TI.

&.

c.
::::J

Digital Signal Processing Applications with the TMS320 Family,
en
Vol 1-1986
724 Pages
SPRA012A a>
Twenty-four detailed application reports containing the theory, implementation and TMS320 source code
for the application. Common DSP routines such as FIRs, IIRs AND FFfs are covered as well as telecom t)
and computer specific applications such as echo cancellation, encryption, ADPCM, speed coding,
DTMF, graphics, digital control and others.

§

8

Digital Signal Processing Applications with the TMS320 Family,
Vol 2 -1990
650 Pages
SPRA016
Eleven detailed application reports. Includes DSP interface and algorithm debug techniques, as well as
data communications, telecommunictions, and digital control applications for the TMS320 Family.

NOTE: It is our policy to substitute the latest available edition.

11-11

III

Semiconductor Literature

Digital Signal Processing Applications with the TMS320 Family,
Vol 3 -1990
650 Pages
SPRA017
Ten detailed application reports. This volume focuses on applications using the TMS32030, such as
implementation of FFf, DCT and other transforms, double-length floating-point arithmetic, floatingpoint format converter, implementation of a CELP speech coder, a 3-D graphics system, and others.
proLogic Compiler User Guide -1990
117 Pages
SRPUOOI
This guide contains a detailed description of Programmable Logic Device (PLD) Design software. This
proLogic and your PC allow you to configure TI PLDs into customized devices meeting your high
performance design requirements. Software discs are attached to the back cover of the book.
TMS320 Family Simulator User's Guide-1988
200 Pages
SPRU009B
This user's guide is a reference for development engineers and students utilizing the TMS320CIX and
TMS320C2X simulators. Information on installation procedures, simulator commands and simulator
stop codes is included. Debugging examples for three variations of the simulator are demonstrated. These
examples show how to find and correct program errors in the software debug stage of development.
TMS320 Family Development Support Reference Guide-1989
351 Pages
SPRUOllA
This guide contains a detailed description of the development support available for the TMS320 Family of
DSPs, including TI software and hardware development tools, hands-on seminars and workshops,
technical documentation, TI's University Program, and support available through TMS320 third parties
(")
and consultants.
c

g
3

~
(f)

C

"C
"C

o

;:l.

Q)

TMS320 1st Generation User's Guide-1989
400 Pages
SPRU013B
A useful reference for all the first-generation devices of the TMS320 Family - the TMS32010 (NMOS)
and TMS320ClO/C15/E15/C17/E17 (CMOS). The TMS320 Family has now expanded into five generations of processors. This guide covers the first generation giving readers in-depth information on device
architecture, specifications (data sheet), pinouts, assembly language instruction set, and hardware/
software applications.

:::s

~ TMS320 2nd Generation User's Guide-1989

CD

.

~
!.
S-

§'
3

500 Pages

SPRU014A

Designed to assist hardware/software engineers in application development, this guide covers the
TMS320C25 (CMOS) and TMS32020 (NMOS) devices. It provides information regarding the highperformance, versatility, and specialized device features used in a variety of sophisticated Digital Si~nal
Processor (DSP) applications. This guide covers second generation devices and gives readers in-depth
information on device architecture, specifications (data sheets), pinouts, assembly language instruction
set and hardware/software applications.

a
g' TMS320C1XfTMS320C2X Assembly Language Tools

User's Guide-1989'
248 Pages
SPRU018A
Designed to aid software development using revisions 5.0 and higher of the TMS320 assembly language
tools, this guide contains detailed descriptions of the assemblerllinker including how to invoke the
assembler, assembler directives, macro directives, creating macros, invoking the archiver, linker operations and the object fIle format converter. Common Object File Format (COFF) is discussed and sample
linker command fIles are provided. Also see SPRU025.

NOTE: It is our policy to substitute the latest available edition.

11-12

Semiconductor Literature

TMS320C25 C Compiler Reference Guide-1990
160 Pages
SPRU024A
This guide provides detailed information for software development using the TMS320C25 C Compilera full implementation of the standard Kernighan and Ritchie C. Topics include compiler operation, a
description of the TMS320C25 C language, technical information related to the runtime environment
and information on the runtime-support functions provided. A list of standard preprocessor directives is
included as an appendix.
Third Generation TMS320 User's Guide-1988
625 Pages
SPRU031A
This guide describes the most advanced generation of the TMS320 Family. It contains information for
designers of high-performance DSP applications, numeric computation intensive applications, and
mUltiprocessing systems. This guide covers device architecture, electrical and timing specifications, pinouts, the assembly language instruction set and hardware/software applications.
TMS320C14fTMS320E14 User's Guide-1989
406 Pages
SPRU032A
This guide describes the TMS320C14, a first generation spin-off of the TMS320 family, which provides
the high performance of a DSP with the on-chip peripherals of a microcontroller. Specific information is
included for designers of control application. This guide provides device architecture, timing and
electrical specifications, pin-outs, the assembly language instruction set and hardware/software
applications.
TMS320C30 C Compiler Reference Guide-1989
180 Pages
SPRU034A c
Designed to assist in software development using the TMS320C30 C Compiler for the high-performance 0
TMS320C3X family. This guide includes information on compiler operation, the TMS320C30 C ~
language, runtime-support functions, and the runtime environment. The TMS320C30 C Compiler is a
full implementation of the standard Kernighan and Ritchie C.
~

E

TMS320C3X Assembly Language Tools User's Guide -1989
248 Pages
SPRU035A
Designed to aid software development using the assembly language tools for the high-performance
TMS320C3X family, this guide provides information on invoking the tools, assembler directive functions,
macro directives, creating macros, archiver operations and linker functionality. The object fIle format
converter is described and a detailed linker example is presented.

.E
~
Q)
cQ)

C)
"ts
C

co

~

8.

170 Pages
TMS34010 Application Guide-1988
SPVA007A
This document contains TMS34010 hardware and software design examples. The following designs are §discussed: minimum-chip graphics systems; 34010 to host interface; 34010 to DRAM interface; EGA and (J)
VGA compatibility; Bresenham line algorithm; conic spline algorithms; compiler and applications
oriented benchmarks.
0
t)
TMS340 Family Third Party Guide - 2Q 1990
100 Pages
SPVB066C
The TMS340 Family Third Party Guide satisfies a growing demand for information about products using
TMS340 Graphics Family Products. Many companies participated with descriptions about their PC add-III
in boards, electronic publishing systems, image processing systems, TIGA-compatible software, and
many other applications.

.
E

8

NOTE: It is our policy to substitute the latest available edition.

11-13

Semiconductor Literature

TMS34010 User's Guide -1989
600 Pages
SPVUOOlA
Provides a detailed description of the TMS34010 Graphics System Processor. Topics include the
TMS34010 architecture, memory organization, instruction set and specifications. This manual is indispensable for evaluating or designing with the TMS34010.
TMS34010 Software Development Board User's Guide-1987
224 Pages
SPVU002A
Describes the installation and operation of the TMS34010 Software Development Board. The commands
and syntax for the user interface debugger are discussed in detail.
TMS34010 Software Development Board Schematics -1987
10 Pages
SPVU003
A complete set of schematics for the TMS34010 Software Development Board. Includes definition of
components; external connections; CPU section; address decoder and RAS controller; local RAM;
display memory; jumper interconnections; and serial communications.
TMS34010 Assembly Language Tools User's Guide -1988
358 Pages
SPVU004A
Describes the operation of the TMS34010 Assembler Package. Included are details of the macroassembler, archiver, linker, ROM utility, and software simulator.
TMS34010 Math/Graphics Function Library User's Guide-1988
208 Pages
SPVU006
Describes the operation of the 34010 Math/Graphics Function Library. Detailed descriptions and
examples are given for over 140 commonly used graphics drawing functions and transcendental math
(")
operations.
c
~
~ TMS34010 Font Library User's Guide -1987
72 Pages
SPVU007
~
Describes the TMS34010 Font Library. Includes ASCII representations of each font character along with
tJ)
printed examples.

c

"C

-g
::l

!

Q.

C)
CD

;

TIGA-340 User's Guide -1989
100 Pages
SPVU015A
Provides detailed information on installation, programming and porting the Texas Instruments Graphics
Architecture (TIGA) Interface. TIGA primitives, functions, and data structures are discussed. Application examples are provided.
TMS34020 User's Guide -1989
425 Pages
SPVU019
Provides a detailed description of the next generation graphics system processor, the 34020. Topics
include the TMS34020 architecture, memory organization, instruction set and specifications. This
manual is indispensable for evaluating the 34020 or using it in designs.

=
a.
D1

..
iS'
o

:1

TMS340 Family Code Generator Tools User's Guide-1Q 1990
275 Pages
SPVU020
Provides detailed information on the set of software tools designed to support both the 34010 and the
34020 graphics processors. Installation and operation of the C-compiler, assembler and linker are
discussed.

NOTE: It is our policy to substitute the latest available edition.

11-14

Semiconductor Literature

TMS380 Adapter Chipset User's Guide-1988
648 Pages
SPWXOOS
Information about the TMS3S0 LAN (Local Area Network) Adapter Chipset used for the IEEES02.Scompatible 4-Mbps IBM (R) Token-Ring Network (TM). Included are: an introduction to the Token-Ring
architecture and the 4-Mbps TMS3S0 chipset, application examples, details on adapter communication
services, adapter design information, component specification data sheets, LLC system software interface, adapter debug software, ring interface layout guidelines and bridge application. The guide for the
16-Mbps TMS3S0 chipset will be available in the second quarter of 1990.
TGC100 Series 1.2 Micron CMOS Gate Array Data Manual-1989
608 Pages
SRGS007
Detailed specifications on the 1.2 micron TOCIOO Family. Includes over 290 macro functions, alphanumeric and functional indexes, mechanical data, definitions and ratings, and a library summary.
Programmable Logic Data Book -1990
714 Pages
SRPDOOI
Detailed specifications and applications information on the TI family of bipolar and CMOS programmable logic devices. Includes existing and future products including standard PAL (R) circuits, EPLDs, and
SOO MHz programmable state machines.
TSC500 Series 1.2 Micron CMOS Standard Cells Data Manual-1989
1360 Pages
SRSS034
Detailed specifications on the 1. 2 micron TSCSOO family of over 44S00 standard cells that include highperformance memory, register files, and JTAO-compatible SCOPE (TM) cells. The manual includes
mechanical data, functional and alphanumeric indexes, definitions and ratings, a library summary, and c
individual data sheets. SCOPE is a TI trademark.
0

.~

.E
.5
co..
~
CI,)

cCI,)

~

"C
C

co

~

o
c.
c.

.

::::s

en
CI,)

E
o

t)
::::s

(.)

III
PAL is a registered trademark of Monolithic Memories Incorporated.
EPIC, LinCMOS, and SystemCeII are trademarks of Texas Instruments Incorporated.
NOTE: It is our policy to substitute the latest available edition.

11-15

(")

c

g
3

...rJ)

CD
C

'C
'C

o

;:1.
I»

=
Q.

C)
CD

=
CD

i-

S"

0'
...

3

a

0'

=

III
11-16

Semiconductor Literature

SEMICONDUCTOR BOOK ORDER FORM
Send check, money order or
company purchase order to:

Ship to:

Texas Instruments Incorporated
Data Book Marketing
P.O. Box 117692
Carrollton, TX 75011-7692

Name ________________________________________________

Company _____________________________________________
Prepaid Orders: must include sales tax*
and $1.25 per book for shipping and
handling.

Address _____________________________________________

Purchase Order Terms: $100.00 minimum
order, net 30 days, FOB Dallas, TX.
Shipping and taxes* will be added to
invoice.
City ___________ State _ _ _ Zip ____________
*State and local taxes required from all
states except AK, DE, MT and OR.

Phone( ______~-------------------------------

BOOK TITLES
Advanced CMOS Logic Designer's Handbook -1988

BOOK NO.

QUANTITY

UNIT PRICE

SCAA001A

$11.95

Advanced CMOS Logic Data Book -1988

SCAD001A

11.95

BiCMOS Bus Interface Logic-Data Book - 1989

SCBD001A

7.85

High-Speed CMOS Logic Data Book - 1989

SCLD001C

11.75

SN74ACT8800 Family Data Manual-1989

SCSS006B

11.95

EXTENDED AMOUNT

c

o

$

.~

E
...

~

.E
~
Q)

8800 Software Development Board User's Guide -1989

SCSU003

7.85

Telecommunications Circuits Data Book - 1988

SCTD001A

15.95

ALS/AS Logic Data Book-1986

SDAD001B

29.95

e"

SN54n4F Logic Data Book - 1989

SDFD001A

11.95

C

TTL Data Book - 1988

SDLD001A

17.95

~

Military Products Designer's Reference Guide - 1990

SGYZ001C

31.75

Linear Circuits, Vol 1 - 1989

SLYDOO3

32.95

Linear Circuits, Vol 2 - 1989

SLYDOO4

15.95

Linear Circuits, Vol 3 - 1989

SLYD005

16.95

Interface Circuits Data Book - 1987

SLYD002

32.95

MOS Memory Data Book - 1988

SMYD008

25.55

TMS7000 Family Data Manual- 1989

SND001C

19.95
15.50

Optoelectronics and Image-Sensor Data Book - 1987

SOYDOO2A

TMS370 Family Data Manual-1988

SPNS014A

15.50

TMS34061 User's Guide -1986

SPPU014A

11.95

TMS34070 User's Guide -1986

SPPU016A

11.95

Color Graphics Controller Board User's Guide -1986

SPPU019A

14.95

DSP Primer - 1988

SPRA015B

4.95

DSP Applications with the TMS320 Family, Vol 1 - 1986

SPRA012A

34.95

DSP Applications with the TMS320 Family, Vol 2 - 1990

SPRA016

29.95

C

Q)

"C

ca

o
c.
C.

:::J

Ul

...

Q)

E

o

t)
:::J

(.)

11-17

Semiconductor Literature

BOOK TITLES

n
c

BOOK NO.

QUANTITY

UNIT PRICE

DSP Applications with the TMS320 Family, Vol 3 - 1990

SPRA017

29.95
11.95

proLogic Compiler Users Guide -1990

SRPU001

TMS320 Family Simulator User's Guide -1988

SPRU009B

7.85

TMS320 Family Development Support Reference Guide -1989

SPRU011A

12.65

TMS320 1st Generation User's Guide - 1989

SPRU013B

13.75

TMS320 2nd Generation User's Guide - 1989

SPRU014A

14.95

TMS320C1X12X Assembly Language Tools User's Guide -1989

SPRU018A

9.95

TMS320C25 C Compiler Reference Guide - 1990

SPRU024A

8.75

Third Generation TMS320 User's Guide -1988

SPRU031A

19.95

TMS320C14fTMS320E14 User's Guide -1989

SPRU032A

15.95

TMS320C30 C Compiler Reference Guide - 1989

SPRU034A

8.95

TMS320C3X Assembly Language Tools User's Guide -1989

SPRU035A

9.95

TMS34010 Application Guide -1988

SPVA007A

14.95

TMS340 Family Third Party Guide - 2Q 1990

SPVB066C

7.85

TMS34010 User's Guide -1989

SPVU001A

18.75
19.95

TMS34010 Software Development Board User's Guide -1987

SPVU002A

TMS34010 Software Development Board Schematics - 1987

SPVU003

TMS34010 Assembly Language Tools User's Guide -1988

SPVU004A

19.95
14.95

4.95

~

TMS34010 Graphics Function Library User's Guide -1988

SPVU006

o

..en

TMS34010 Font Library User's Guide -1987

SPVU007

CD

TIGA-340 User's Guide -1989

SPVU015A

11.95

TMS34020 User's Guide - 1989

SPVU019

17.55

"C
"C

TMS340 Code Generator Tools User's Guide - 1Q 1990

SPVU020

13.25

o

TMS380 Adapter Chipset User's Guide -1988

SPWX005

18.45

Q)

TGC100 Ser. 1.2 um CMOS Gate Array Data Manual- 1989

SRGS007

18.45

:s

Q.

Programmable Logic Data Book -1990

SRPD001

22.85

C')

TSC500 Ser. 1.2 um CMOS Standard Cells Data Manual - 1989

SRSS034

32.65

3
c

~

9.95

CD

:s

CD

~

..~3

ENTER TOTAL QUANTITY: _ _ _ _ _ AMOUNT

ao·

ADD SALES TAX

:s

ADD $1.25 PER BOOK FOR SHIPPING AND HANDLING

ENCLOSE CHECK OR MONEY ORDER FOR TOTAL

Prices effective January 1, 1990. Subject to change without notice.
It is our policy to substitute the latest available edition.

11-18

EXTENDED AMOUNT

General Information

GENERAL INFORMATION
Packages offered by Texas Instruments are designed to meet the most efficient and cost effective method of
meeting customer requirements and today's high-density packaging needs.
During the last decade, TI has produced one of the largest Ie socket families. TI's sockets include every type
and size socket in common use today and are available in a wide choice of contact materials and designs.
As a major manufacturer of surface mount components, TI is committed to help customers make the transition
to surface mount as eady and economical as possible.

c
o

'+:;

ca

E
~

.E
.E

"!
Q)

cQ)
(!'
"C
C

ca

1::

o

C-

o.

:::J

en
~

Q)

E

o

1;)
:::J

(.)

III
11-19

Packaging Overview

PACKAGING OVERVIEW
Texas Instruments integrates the design of semiconductor components and packaging to satisfy the increasing
demands for enhanced performance in high-density applications. To optimize the value customers receive
from its components, TI continues to be a leader in semiconductor packaging technology. The packaging
options for standard TI IC products are explained in this section. Semicustom Gate Arrays and Standard Cells
packaging options are covered in Section 2 and are not included here.
Texas Instruments offers a variety of through-hole and surface-mount packages. Nearly all logic, interface,
linear, military, and memory components are assembled in package options that support both assembly
methods. Plastic, ceramic, and metal packages are all used to optimize the performance of the mounted
circuit. Industry-standard dimensions and pin configurations are applied except where otherwise specified.
Eight categories of packages used with TI standard semiconductor devices are described in the following
pages. Examples of each are also shown.
The package designation of an integrated circuit is embedded in the part's nomenclature. Generally the suffix
identifies the type of package. These one- and two-character package identifiers are described in the following
table. This table also references a specific package category for each identifier.
An exception to the assignment of package identifiers is found in EPLD part numbers on pages 2-6 and 2-9.
(") The Altera part numbering is used rather than the TI package identifiers. The following chart explains the
c unique identifiers used with these second-sourced components.
~
D Ceramic dual-in-line package with window
o
3
J
Ceramic leaded chip carrier with window
m
L Plastic leaded chip carrier
en
c
P Plastic dual-in-line package
~

"C
"C

o

;:::a.
D)

:::J

c..
C)
m
m

=

i

~
~

3

ac)"
:::J

11-20

Packaging Overview

PACKAGE DESIGNATIONS
CODE

TYPE

DESCRIPTION

Small Outline
Small Outline
Small Outline
Small Outline
Small Outline
Small Outline
Small Outline

Plastic, Standard Body
Plastic, 28-Pin, 450-mil Width
Plastic, J-Lead, 6 Center Positions Unused, 300-mil Width
Plastic, 32-Pin, 525-mil Width
Plastic, J-Lead, 6 Center Positions Unused, 350-mil Width
Plastic, Thin SOJ
Plastic, Wide Body

FT
FV
FZ

Chip Carrier, Leadless
Chip Carrier, Leadless
Chip Carrier, Leadless
Chip Carrier, Leaded
Chip Carrier, Leadless
Chip Carrier, Leaded
Chip Carrier, Leaded
Chip Carrier, Leadless
Flatpack
Chip Carrier, Leadless
Chip Carrier, Leaded

Ceramic, Square, 3-Layer, Non-JEDEC Pinouts
Ceramic, Rectangular, 3-Layer
Ceramic, Rectangular
Ceramic, Square
Ceramic, Square, 1-Layer, JEDEC Pinouts
Plastic, Rectangular
Plastic, Square
Ceramic, Rectangular, 6 Center Positions Unused, 300-mil Width
Plastic
Ceramic, Long Rectangular
Ceramic, Square, Windowed

GA
GB

Grid-Array
Grid-Array

Ceramic, Cavity-Down
Ceramic, Cavity-Up

HA
HJ
HK

Flatpack
Small Outline
Flatpack

Ceramic, auadriform
Ceramic, J-Lead, 6 Center Positions Unused
Ceramic, Brazed Lead

J
JD
JG
JL
JT
JW

In-Line, Dual
In-Line, Dual
In-Line, Dual
In-Line, Dual
In-Line, Dual
In-Line, Dual

Ceramic, Ceramic Cap
Ceramic, Metal Lid, Side-Braze
Ceramic, Ceramic Cap, 8-Pin
Ceramic, Ceramic Cap, Windowed
Ceramic, 300-mil Width
Ceramic, 600-mil Width

KC
KH
KJ
KV

Flange
Flange
Flange
Flange

Plastic, Leads Straight
Plastic, Leads Bent Down
Metal Can, Similar to TO-3, 4-Terminals
Plastic, Leads Bent Up

L

LU

Cylinder or Can
Cylinder or Can
Cylinder or Can
Cylinder or Can

Metal Can
Metal Can, 200-mil Pin Circle
Plastic, Cylindrical with Flat Side
Plastic, Square

N
NE
NT
NW

In-Line,
In-Line,
In-Line,
In-Line,

Plastic, Standard Body
Plastic, Standard Body, Internal Tabs
Plastic, 300-mil Width
Plastic, SOO-mil Width

P

In-Line, Dual

Plastic, 8-Pin

SO

In-Line, Single

Plastic, Zig-zag In-line Package (ZIP)

U

Flatpack

Ceramic, Glass Seal

W
WA
WC

Flatpack
Flatpack
Flatpack

Ceramic Base and Cap
Ceramic Base and Cap, Mini-Package, 14-Pin
Ceramic Base and Cap, Mini-Package, 24-Pin

0
DH
OJ
OK
OM

ON
OW
FD
FE
FG
FJ
FK
FM
FN
Fa

LD
LP

Mount
Mount
Mount
Mount

Dual
Dual
Dual
Dual

c

o

.~

.E

o
..5
~
Q)
C

Q)

"
-C
C

ca

~

o

Co
Co

.

:::s

tJ)

Q)

E
o

t)
:::s

(.)

III
11-21

Packaging Overview

Chip Carrier
A chip carrier is a low-profile package whose chip cavity or mounting area occupies a major fraction of the
package area and whose connections, usually on all four sides of the package, consist of metal pad surface (on
the leadless versions) or leads formed around the sides and under the package or out from the package (on
leaded versions).
NOTE: The body of the chip carrier, usually square or of low aspect ratio, is similar to that of a flatpack.

Leaded
The ceramic leaded chip-carrier package consists of a three-layer alumina ceramic case, a metal lid (goldplated kovar), and gold-tin-braze-attached lead frame. Hermetic sealing is accomplished with a gold-tin-solder
braze. The lead material is Alloy 42 base with nickel followed by gold plating. The final lead finish is gold plate
or tin-lead solder dip. The package is designed for direct PC board mounting by reflow soldering or socket
mounting. The square I-formed ceramic chip-carrier package is used for microprocessor, display driver, and
ASIC product families.

CO)
C

The plastic leaded chip-carrier package consists of a circuit mounted on a lead frame and encapsulated within
an electrically nonconductive plastic compound. The compound withstands soldering temperature with no
deformation, and circuit performance characteristics remain stable when the devices are operated in highhumidity conditions. The packages are intended for surface mounting. Leads require no additional cleaning or
processing when used in soldered assembly.

~

o

3

C'D
~

tJ)
C

""o

~
C»

=
Q.

C)

=

C'D

44-pin FJ package

C'D

2S-pin FN Package

i

S" Leadless

0'

The leadless hermetically sealed chip-carrier packages has a three-layer ceramic base with a metal lid and

braze seal. The package is intended for surface mounting on solder lands on 1.27-mm (O.OSO-inch) centers.
...ci'3 Terminals
require no additional cleaning or processing when used in soldered assembly. The rectangular
= leadless ceramic chip carrier is used for memory products.
C»

20-pin FK package

11-22

1S-pin FG package

Packaging Overview

Cylinder or Can

These cylindrical packages look like a can or a top hat. They usually have terminals that exit on one end
parallel to the central axis of the package and mount perpendicular to the seating plane.
The hermetically sealed cylinder or can package consists of a welded metal base and cap with individual leads
secured by an insulating glass sealant. The leads require no additional cleaning or processing when used is
soldered assembly.
The plastic cylinder or can package, such as the LP consists of a circuit mounted on a lead frame and
encapsulated within a plastic compound. The compound will withstand soldering temperature with no
deformation, and circuit performance characteristics remain stable when operated in high-humidity
conditions.

a-pin L package

3-pin LD package

3-pin lP package

c

o
.+:
C'O

Flange Mount

E

This package has a flange-mounted heat sink that is an integral part of the package and provides mechanical 0
mounting to a packaging interconnect structure or cold plate. It usually has terminals that exit from, or attach ~
to, any surface of the package in a variety of forms.
co

...

(1)

The plastic flange-mount package, such as KC or KV, consists of a circuit mounted on a lead frame and ;
encapsulated within a plastic compound. The compound will withstand soldering temperatures with no C!J
deformation. Circuit performance characteristics will remain stable when operated under high humidity
conditions. Hermetically sealed power packages consist of a nickel-plated steel base and can.
~

-g

o
c.
c.
::::s

en...
(1)

E

~::::s

(.)

5-pin KC package

4-pin KJ package

III

15-pin KV package

11-23

Packaging Overview

Flatpack
The leads of this low-profile package project parallel to, and are designed primarily to be attached parallel to,
the seating plane.
NOTE 1: The body of the flatpack is similar to that of a chip carrier.
NOTE 2: The leads typically originate from either two or four sides of the package.
The flatpack is a hermetically sealed package that consists of an electrically nonconductive ceramic base and
cap and a lead frame. Hermetic sealing is accomplished with glass. Tin-plated or tin-Iead-solder-dipped leads
require no additional cleaning or processing when use in soldered assembly.

i?

10-lead U package

24-pin W package

14-pin WA package

~

o

i...

Grid-Array

"-g

The grid array is a hermetically sealed ceramic multilayer insertion-mount package with metal cap and gold-

en
The terminals of this package are located on one surface in a matrix of at least three rows and three columns.
c
~ plated pins. Selected leads are specially designed for low resistance and low inductance. The package is used

~ for microprocessor and memory product families, as well as for military logic array designs that require a high
~ I/O count.
CI)

:::s

CI)

[
S-

O'

3

ao·
:::s

68-pin GB package

11-24

Packaging Overview

In-Line

These rectangular packages have one row or two or more parallel rows of leads designed primarily for insertion
mounting perpendicular to the seating plane.

Dual
The ceramic dual-in-line package, such as 1 or 1D, consists of a ceramic base, ceramic cap, and a lead frame.
Hermetic sealing is accomplished with glass. Once the leads are compressed and inserted, sufficient tension is
provided to secure the package in the board during soldering. Tin-plated (bright-dipped) leads require no
additional cleaning or processing when used in soldered assembly.
The letter 1 is used by itself, except for the 24-pin package, since only the 24-pin package is available in more
than one row-spacing. Ifno second letter or row-spacing is specified, the package is assumed to have 15.24-mm
(0.600- inch) row-spacing.
The plastic dual-in-line package, such as NT, consists of a circuit mounted on a lead frame and encapsulated
within an electrically nonconductive plastic compound. The compound will withstand soldering temperature
with no deformation, and circuit performance characteristics will remain stable when operated under highhumidity conditions. Once the leads are compressed and inserted, sufficient tension is provided to secure the
package in the board during soldering. Leads require no additional cleaning or processing when used in
soldered assemblies.

c

o

'';::

co

...E

.E
..5

C6
...

Q)

c

Q)

C!'
14-pin J package

22-pin JO package

24-pin NT package

"C
C

co
~

oQ.

Single

Q.

The single-in-line package consists of a circuit mounted on a lead frame and encapsulate within a plastic ~
compound. The compound withstands soldering temperature with no deformation, and circuit performance ;
characteristics remain stable when operated under high humidity conditions. The SD single-in-line package E
has zig-zag leads.
.
~
::::s
(.)

16-pin SO package

11-25

Packaging Overview

Small Outline
The small-outline package is a low-profile rectangular or square-mount component package. Its chip (die) is
bonded to an inner land contact area, primarily a lead-frame. External terminals exit parallel to the seating
plane on opposite (dual or quad) sides of the molded, flat package.
NOTE: The lead form is usually gull-wing but other lead forms are possible.
The small-outline package consists of a circuit mounted on a lead frame and encapsulated within a plastic
compound. This compound withstands soldering temperature with no deformation, and circuit performance
characteristics remain stable when operated in high-humidity conditions. Leads require no additional cleaning
or processing when used in soldered assembly.

16-pin 0 package

III
11-26

20-pin OW package

20-pin OJ package

Ie Sockets

ICSOCKETS
INTRODUCTION

Texas Instruments has developed solutions for today's high density packaging needs. The TI
facility at Attleboro, Massachusetts (one of the world's largest suppliers of multimetal systems)
provides leading-edge technology which, combined with reliable, high-volume, off-the-shelf
interconnection products, allows TI to quickly meet volume commercial applications.
During the last decade, TI has produced one ofthe largest IC socket families. TI's sockets include
every type and size socket in common use today and are available in a wide choice of contact

materials and designs.
Our sockets are designed for:
• easy and efficient hand assembly
• compatibility with automatic assembly equipment
• maximum performance and board density
This section provides information on the following types of IC socket products.
PRODUCTION SOCKETS

TYPE

Single-in-Line Packages
Pin-Grid Arrays
Dual In-Line
Dual In-Line 0.070-inch spacing
Quad In-Line
BURN-IN/TEST SOCKETS

SIP
PGA
DIP
Shrink Pack
QUIP

c

o

'';;
«S

E
...

.e.E

TYPE

Plastic Leaded Chip Carrier
Pin Grid Array
Small Outline
Dual In-Line
Dual In-Line 0.070-inch spacing
Small Outline
Quad

...

(ij

PLCC
PGA
J Lead
DIP
Shrink Pack
Flat Pack
Flat Pack

Q)

c

Q)

(!)

"C
C
«S

't
o

0.
0.
::::I

en...

Specially formulated alloys give the TI contact springs:

Q)

E

• Low Contact Resistance
• High Contact Strength (to stand up to repetitive insertions and withdrawals)
• High normal forces assure gas-tight reliability

o

t;
::::I

(.)

A full line of reliable, readily available, low-cost interconnection systems means premium
performance at an economical price.

III

Additional information on these and other TI products, including pricing and delivery quotations, may be obtained from your nearest authorized TI Distributor, TI Sales Representative or:
Texas Instruments Incorporated
Connector Systems Department, MS 14-3
Attleboro, Massachusetts 02703

Telephone: (508) 699-5269
TELEX: 92-7708
11-27

IC SOCKETS
PLCC BURN-INITEST
PRODUCT FEATURES
Can be loaded by top actuated insertion or press-in
insertion, either manually or automatically
High reliability due to high pressure contact point
Open body and high stand-off design provide high efficiency
in heat dissipation
High durability up to 10,000 cycles
Compact design

PERFORMANCE SPECIFICATIONS

Mechanical
Accommodates IC leads per specific IC device
Recommended PCB thickness range: 0.062 in to 0.092 in
Recommended PCB hole size range: 0.032 in to 0.042 in
Durability: 10,000 cycles 10 mO max contact resistance
change
Insertion force: Zero g
Withdrawal force: Zero gt

PART NUMBER SYSTEM
CPJ

xx

xx

Contact rating: 1 A per contact
Contact resistance: 20 mO max initial
Insulation resistance: 1000 MO per MIL-STD 202,
Method 302, Condition B
Dielectric withstanding voltage: 500 V ac rms per
MIL-STD 202, Method 301

Environmental

g

Body Contact
Plating t
nickel

..3en

t After IC is unlocked from the socket
tFor additional plating options contact factory
For complete test report contact the factory

'C
'C

PLCC BURN-IN/TEST SOCKETS CPJ SERIES

CD

c

o

ULTEM glass filled (UL 94 V-Oj
- copper alloy
- overall gold plate 4 /Lin over min 70 /Lin
plating

Number

~t~h 0.050

T( Burn·in PLCC series

18 PIN FOOTPRINT SHOWN

2.54

2.54
(0.100)

r1

(0'100)~.

2.54

(0.100)

;:::a.
C»
::l

2.54
(0100)

1.27 (~.050)
5.08 (0.200)

Co
C)

18.08 (0 712)--1

CD

SIZES: 18 PIN
22 PIN

::l

CD

i.
S"

.

0'
3

o·a

1.27 (0.050)

::l

L

5.08 (0.200)

12.90 (0.507)--1

Dimensions in parentheses are inches
Contact factory for detailed information

PRODUCTION DATA documents cantlin information
current as of publication date. Products conform to
specifications per the terms of TexIS Instruments
:~:~:~~irrai~:,~1; ~!:~:~ti:r :,;o;:::~:.::.~s not

TEXAS

~

INSTRUMENlS
34 Forest Street. Attleboro. Massachusetts 02703

11-28

~f contacts

Material
AA = copper alloy

MATERIALS

n

B

Contact finish
33 = overall gold plate

Thermal shock: 100 cycles, - 25°C to + 150°C
Temperature soak: 150 °C for 48 hours
Operating temperature: - 40°C to + 150°C

c

LL
xxx

X

I

Electrical

IC SOCKETS
SINGLE-IN-LiNE PACKAGE SOCKETS
PERFORMANCE SPECIFICATIONS t

LEADLESS
SINGLE-IN-L1NE ~----i'"
PACKAGE
(SIP) MODULES

Mechanical
Vibration: Mll-STD-202
Durability: 30 cycles
Insertion force: Zero g
Withdrawal force: Zero g;
Contact (normal) force: 200 9 min
Contact retention force: 2 Ibs per circuit min

HIGH TEMPERATURE
MOLDED BODY

Electrical
Contact rating: 1 A
Contact resistance: 30 mO max initial
Insulation resistance: 1000 MO at 500 dc
Dielectric strength: 1500 V ac rms
Capacitance: 2 pF max

ZERO INSERTION FORCE,
HIGH NORMAL FORCE CONTACT

tValues may vary due to test sequence and SIP module
configuration
~ After module is unlocked from the receptacle
For a complete test report, please contact factory

POLARIZINGI
MOUNTING POST

PART NUMBER SYSTEM
TS8X XX xx X -XX -

I

Environmental
(20 mO max contact resistance change after all tests)
Operating and storage temperature: - 40°C to 100°C
Humidity: MIL-STD 202, Method 106D, 10 days
Temperature soak: 85°C for 160 hours
Thermal Shock: 5 cycles, - 40 °C to 85°C per
MIL-STD 202, Method 107E

xx
Lvariations
00 - standard
product
Size
(number of
contacts per row)

Housing material
A - PES

MATERIALS
Body - PES polyether sulfone, glass filled, UL 94 V-O
Contact - Beryllium copper C17000; phosphor bronze alloy
CA510
Contact finishes - Post plate min 200 l1in tin/lead over min
50l1in nickel overall
Post plate min 30 l1in hard gold over min 75 l1in nickel overall

Contact base material/plating
01 - C 1 7000/30 I'in gold
02-CA510/30 I'in gold
03-C17000/200 I'in tin/lead
04-CA510/200 I'in tin/lead

For additional plating options contact the factory.

c

o

';;
CO

E
...

Configuration/row-to-row spaCing
01-single row/N/A
03-dual row/0.300 in
04-dual row/0.400 in
05-dual row/0.500 in

DUAL ROW VERTICAL

.E
.5
~
(1)

Series number denotes
0-0.100 in pitch, vertical mount
1 -0.100 in pitch, low-profile (25°) mount

C

(1)

G

Consult factory for availability of configurations, materials, and
sizes.

"C
C
CO

't

SINGLE ROW LOW PROFILE

o

Q.
Q.

:;,

en...

(1)

E

o

1;)
:;,

(.)

Contact factory for detailed information

PRODUCTION DATA documents contain information
current as of publication date. Products conform to
specifications per the terms of TeXIs Instruments

~~~~::~~iirar~:1~1~ ~!~~~~ti:; :llo::::~:t::s~s not

Dimensions in parentheses are in inches

TEXAS

III

-1!1

INSTRUMENTS
34 Forest Street. Attleboro. Massachusetts 02703

11-29

IC SOCKETS
HIGH DENSITY PIN GRID ARRAY
PERFORMANCE SPECIFICATIONS

WIDE-TAPERED
ENTRY

Mechanical
Accommodates IC leads 0.015 in to 0.021 in diameter
Recommended PCB thickness range: 0.062 in to 0.092 in
Recommended PCB hole size range: 0.032 in to 0.042 in
Recommended hole grid pattern: 0.100 in ± 0.002 in each
direction
Vibration: 15 G, 10-2000 Hz per MIL-STD 1344A,
Method 2005.1 Test Condition III
Shock: 100 G, sawtooth waveform, 2 shocks each direction
per MIL-STD 202, Method 213, Test Condition I
Durability: 5 cycles, 10 mO max contact resistance change
per MIL-STD 1344, Method 2016
Insertion force: 3.6 oz (102 g) per pin typ using 0.018 in
diameter test pin
Withdrawal force: 0.5 oz (14 g) per pin min using·O.Ol B in
diameter test pin

Electrical
Contact rating: 1 A per contact
Contact resistance: 20 mO max initial
Insulation resistance: 1000 MO at 500 V dc per
MIL-STD 1344, Method 3003.1
Dielectric withstanding voltage: 1000 V ac rms
'
per MIL-STD 1344, Method 3001.1
Capacitance: 1 pF max per MIL-STD 202, Method 305

PRECISION
MACHINED
SLEEVE

PRECISION
SIX-FINGERED
INNER CONTACT

Inner contact tinllead over
Outer sleeve tinllead over

30 /Lin gold over 50 /Lin nickel or 100 /Lir
50 /Lin nickel
10 /Lin gold over 50 /Lin nickel or 50 /Lin
50 /Lin nickel

PART NUMBER SYSTEM
C

X

xxx

G XX -

X X
.

X X

~-

Pin1ength

Environmental

n
c

~

o

..

3

CD

CJ)

C
'C
'C

o

~

WIRE WRAP

Operating temperature: - 65°C to 125°C, gold; - 40°C to
100°C, tinllead
Corrosive atmosphere: 10 mO max contact resistance
change when exposed to 22% ammonium sulfide for
4 hours
Gas tight: 10 mO max contact resistance change when
exposed to nitric acid vapor for 1 hour
Temperature soak: 10 mO max contact resistance change
when exposed to 105°C temperature for 48 hours

MATERIALS
Body - PBT polyester UL 94 V-O
On request, G 10/FR4 or Mylar film
Outer sleeve - Machined Brass (00-B-626)
Inner contact - Beryllium copper (00-C-530J heat treated
Plating: (specified by part number)

Q)

5.

I
. 1Jl~~~~~~~~~~~

PIN GRID ARRAY..--_ _ _ _ _ _--,

C)
CD
~

~

~
~

®®®®®®®®®®®
®®®®®®®®

..

S
r+

g'

~

1.3/2.0
10.05/0.08) TYP

10.1010.12)

2.54
10.100) TYP NONCUMULATIVE

~'I
10.14/0.18~ WWWWW ww
3.6/4.6

~~033

2.67/3.61
10.105/0.150)

t tt ~ I

10.021) DIA

PRODUCTION DATA documents contain informltion
current IS of publicltion dlte. Products conform to
specificltions per the terms of TexIS Instruments

:~~~:~~i~lt::1~1i ~!:~:~ti:r :I\o::~:~:t:~~s not

~~

Plating

Body Style and Orientation
Contact Loading Pattern

Pin
Grid
Array

Number of Pins
024 to 324
Overall Grid Size
5x5=05to 18x18=18

80DY MATERIAL
G - Glass Filled Epoxy
P - P8T Polyester
TI Socket

f-@®®®®®®@®®@
®®®®®®®®®®®
®®®®®®®®®®®
B
®®®®®®®®®®®

A

3-0.510 long

1.35
10.053) DIA

TEXAS

Insulator Size

A
±O.O10

9x9
lOx 10
11 x 11
12x 12
13x 13
14x 14
15x 15
16x 16
17x 17
18x 18

(0.950) 24,13
(1.050126.67
(1.150129.21
(1.250) 31.75
(1.350) 34.29
(1.450) 36.83
(1.550) 39.37
(1.650) 41.91
(1.750) 44,45
(1.850) 46.99

t Noncumulative
Dimensions in parentheses are inches
Consult factory for detailed information

-1!1

INSTRUMENTS
34 Forest Street' Attleboro. Massachusetts 02703

11-30

B
±O.OO5 t

(0.800)
(0.900)
(1.0001
(1.100)
(1.200)
(1.300)
(1.400)
(1.500)
(1.600)
(1.700)

20,32
22.86
25.40
27.94
30.48
33.02
35.56
38.10
40.64
43.18

IC SOCKETS
SOJ BURN-INITEST
PERFORMANCE SPECIFICATIONS
Mechanical
Accommodates IC leads per specific IC device
Recommended PCB thickness range: 0.062 in to 0.092 in
Recommended PCB hole size range: 0.032 in to 0.042 in
Durability: 10,000 cycles, 20 mil max contact resistance
change
Insertion force: 1.3 oz per position max
Withdrawal force: 8.8 grams per position min

Electrical
Contact rating: 1.0 A per contact
Contact resistance: 20 mil max initial
Insulation resistance: 1000 Mil per MIL-STD 202,
Method 302, Condition B
Dielectric withstanding voltage: 700 V ac rms per
MIL-STD 202, Method 301

Environmental
Thermal shock: 100 cycles, - 25°C to + 180 °C, 1 hour
Temperature soak: 180°C for 1000 hours, 80 mil max
change
Operating temperature: - 65°C to + 180°C

PART NUMBER SYSTEM
CSJT

XXX

xx

XX

X

T

MATERIALS

Body - PES glass filled UL 94 v-o
Contact - copper alloy
Plating - overall gold plate min 4 /tin over min 70 /tin nickel
plating

Body Material
Blank = G.F. PES
A = PPS R4-03
B = G.F. PEl
Body Variation
02 = Standard 1 forward!
backward insertion
03 = Special/orientation pin
04 = Special/high standoff
05 = Special/24-pin
06 = Standard 2 forward
insertion, BECU
Contact Finish
37 = Overall gold plate 4 "in
38 = Overall gold plate 30 "in
57 = Selective gold plate 4 "in
58 = Selective gold plate 30 "in

2.53
-+j t+(0.099) 0.40 (0.016)

1.27 (0.050)
1+---i~---15.24 (0.600)1----IIoI+--."....toI

Number of Contacts

3.00
(0.118)
2.53

TI SOJ series

CO
Q)

c:

c:

SIZES: 20 pin
26 pin

C'O

't
o

0.
0.

.
::l

0.80
2.54
_k_Jf(0'032) _ _ ~_~JI(0'100)

_

C/)

-~+~+~---4+~+~
' I I I I I ~~

Q)

E
o

0

I

I

l'

I

1;)

!:! e

I

11:;

::l

+t~~;8---+-T tlJ-ffi III
\

f

1.-17.4 (0.685)---+!

I

\'

.'0

TEXAS

(.)

r-(0~20~)1

-Gl-$--$----Gl--Gl
I~.j
2 54
(01 2;01
,(0.100)

Dimensions in parentheses are inches
Contact factory for detailed information

~~~~::~~i~.r::I~~i ~!:~:~ti:r :I~o::::~:t:~~s not

.
.5
.

E

.E

Q)

20-PIN (02 VERSION) FOOTPRINT SHOWN

PRODUCTION DATA documents contain information
current .. of publiCition dlt•. Products conform to
specifications p.r the terms of T1lI11 Instruments

.~

C)
"C

1 4 - - - - - - - 2 0 . 3 (0.800'1------+1 (0.099)
02 VERSION SHOWN

c:

o

I

GJ

~

2.54
\ (0.100)
NO.1 PIN

'111

INSTRUMENTS
34 Forest Street. Attleboro. Massachusetts 02703

11-31

IC SOCKETS
DUAL-IN-L1NE
PERFORMANCE SPECIFICATIONS

C7X SERIES -

SCREW MACHINE

Mechanical
Accommodates IC leads 0.011 ± 0.003 in by
0.018 ± 0.003
Recommended PCB thickness range: 0.062 in to 0.092 in
Recommended PCB hole size range: 0.032 in to 0.042 in
Recommended hole grid pattern: 0.100 in ± 0.003 in each
direction
Vibration: 15 G, 10-2000 Hz per MIL-STD 1344A,
Method 2005.1 Test Condition III.
Shock: 100 G, sawtooth waveform, 2 shocks each direction
per MIL-STD 202, Method 213, Test Condition I
Durability: 5 c'ycles, 10 mQ max contact resistance change
per MIL-STD 1344, Method 2016
Insertion force (C7X and C86): 16 oz (454 g) per pin max
Withdrawal force: (40 g) per pin min

C7X SERIES -

Electrical

PART NUMBER SYSTEM

Contact rating: 1 A per contact
Contact resistance: 20 mO max initial
Insulation resistance: 1000 MO at 500 V dc per
MIL-STD 1344, Method 3003
Dielectric withstanding voltage: 1000 V ac rms per
MIL-STD 1344, Method 3001.1
Capacitance: 1 pF max per MIL-STD 202, Method 305

C7X

PRECISION
MACHINED
SLEEVE

IX)

3

...

Materials (C7X and C84)
Body - PBT polyester UL 94 V-O
C7X Contacts - Outer sleeve: brass
'C
Clip: BECU
'C Contact finish - clip 30 f.lin gold over 50 f.lin nickel or
o
50 f.lin tinll~ad over 50 f.lin ni.cke~
;:1- Specified by
Part Number - sleeve ~O J:L1n gold over 50 J:L1n ~Ickel
Q)
or
50 f.lm tmllead over 50 f.lm nickel
::::s
Co C84 Contacts - Phosphor bronze base metal
G') C84 Contact-finish - Tin plate 200 f.lin over copper flash

XX -

x

X

Il

Environmental
Operating temperature: - 55°C to 125°C, gold; - 40°C
to lOO°C, tin
Corrosive atmosphere: 10 mO max contact resistance
change when exposed to 22% ammonium sulfide for
4 hours
(") Gas tight: 10 mO max contact resistance change when
C
exposed to nitric acid vapor for 1 hour
~
soak: 10 mQ max contact resistance change
o Temperature
when exposed to 105°C temperature for 48 hours

(I)

SCREW MACHINE

L

Variations
Solder Tail: 9
Pin length 0.125 Typ

~~~e::ta: ~.;10

Plating (Sleeve/Clip)
o - Gold/Gold
5 - Tin/Gold

Number of
Positions

S - Single-in-line package (where applicable)
Screw Machine Socket
1 - wire wrap
2 - solder tail

C84 SERIES - STAMPED AND FORMED

en
c

DUAL BEAM

EDGE GRIP
HIGH RELIABILITY
GAS-TIGHT

(I)

::::s

(I)

e.

CONTACT

\)

S-

O'
...
3

C84SERIES
PART NUMBER SYSTEM

ao·

C

84

XX

02

III

::::s

Lvariation
01 - Standard product

Number of positions

_

Tin Dual Beam Face Wipe

~ ~~~~~~______________. .____. . . .______. .____. .T.'.S.o.c.ke.t.s.e.ri.e.s_____________________________
PRODUCTION DATA documents contlin information
current IS of publicltion dlte. Products conform to
specificltions per the terms of TellS Instruments
~~~~~:~MI{::1~7~ ~~:~:~ti:; fI,o::::~:t:r~~S not

TEXAS

-1!1

INSTRUMENTS
34 Forest Street· Attleboro. Massachusetts 02703

11-32

IC SOCKETS
DUAL-IN-LiNE (Contmuedl
C7X SERIES

DUAL-IN-LiNE
C7X AND C84 SERIES
3.05

-----In=,

¢1~OI,I~X
3.61/4.57
(0.142)1(0.180) ,

(0.053)

0.53...jj...
(0.021) DIA

-/11- (0~06255) DIA

C84SERIES

J
o

pnN'' t;r, tj
~Zi ,

liiHiil-Chamfer
-@-.350(B.B9)Max.

J.

DQ
x

---.

DIPS

..
c

:i

';;;

'aE"

0

f6
8
14
16
18
20

22
24
t24

It>

It>

0
~
0

ci

0
0

+1

~

III

U

E

a

E

a

+1

0

E

a

It>

It>

..c

:i

';;;

'isE"

0

f-

0
~
0

III

U

0

E
is

E
is

a

10,16 7.62
30,48 27.94 12,76
7.62
5.08
t24
10.3001 10.2001 10.4001 10.3001
11.2001 11.1001 10.5001
33,02 17,78
10,16 7.62
10,16 7.62
10.4001 10.3001 10.4001 10.3001 28
11.3001 10.7001
17,78 15,24 10,16 7.62
38,10 17,78
11.5001 10.7001
10.7001 10.6001 10.4001 10.3001 32

.015

1

E

1~58~~1

l~'T~~
BSS

BBB

15,24

l;oO~gl 11.9001 10.7001 10.6001

1~04~~1

1~~5~gl

58,42
12.3001
60,96
12.4001

17,78

t

I.e.

t

Ie
X

Lead
Guard

tin or .gOld alloy
Inlay

__Ho-~ (~~~)

10,16
10.4001

43,18 17,78 15.24
11.7001 (0.7001 (0.6001

-(

i

130·.150
(3.30-3,81)

1~06~~1

48.26

V

(0'38)~~
-1-"
.

+I

15,24
10.6001
15,24
10.6001

1~54~~1

20.32 17,78 10,16 7.62
10.8001 (0.7001 (0.4001 10.3001 34
22,86 20,32 10,16 7,62
(0.9001 10.8001 10.4001 10.3001 40
25,40 22.86 10,16 7,62
11.0001 10.9001 10.4001 10.3001 48

0
0

ci

+1

~"

For Std.

... 150 (3,B) Max .

.007
- .100 Typ (0.17)
(2.54)
Tol. Non Cumulative

~

c

o

.~

Mylar or Nomex
Wafer

..E
o

:s
"!

17,78 15,24
10.7001 10.6001
25,40 7,62
11.0001 10.9001

(1)

c(1)

27,94 25,40 12,76 10,16
11.1001 11.0001 10.5001 10.4001 50
81,28 78,74 25,40 22,86
30,48 27,94 17,78 15,24
64
11.2001 11.1001 10.7001 10.6001
13.2001 13.1001 11.0001 10.9001

~
"C
C
CO

30,48 27,94 10,16 7,62
11.2001 11.1001 10.4001 10.3001

~

o

0.
0.

t Nonstandard sizes
Not all sizes available in each series
Dimensions apply to all series

.
:s

CIl
(1)

E
o

1;)

:s

()

Dimensions in parentheses are inches
Contact factory for detailed information

---------------------------------------------------------PRODUCTION DATA documents contain information
current as of publication date, Products conform 10
specifications per Ihe lerms of TexIS Instrumenls

~~~~~:~~ii,.t::I~~ti ~!:~~~'i:: :1~o::~:~:,::I~s nol

III

TEXAS . .
INSTRUMENlS
34 Forest Street • Attleboro. Massachusetts 02703

11-33

IC SOCKETS
BURN-INITEST DIP
PERFORMANCE SPECIFICATIONS

PART NUMBER SYSTEM

Mechanical

C

X

37

XX

22

Copper nickel alloy
Soldertail

Number of positions

Environmental

Overall gold plate

Operating temperature: - 65°C to 170°C - CP/CM Series,
- 65°C to 150°C - CO Series
Humidity: 10 mn max contact resistance
Temperature Soak: 10 mn max contact resistance change

MATERIALS

CQ37 SERIES

tFor additional plating options consult the factory

II

3

..,
en
c

CD

~

101301-1.
3.30

SOLDER TAIL -

f ~I~-···1O.1001
2.54
.

C
±O.Ol
Width

B
±O.Ol
Contact

14
16
18
20

20.32
22.35
24.89
27.43

24
28
40
42

32.51 (1.280)
37.59 (1.480) 19.05
15.24
22.86
52.83 (2.080) (0.750) (0.900) (0.600)
55.3712.180)

CQ37 SERIES

(0.800)
(0.880) 12,70
7,62
15.24
(0.980) (0.500) (0.600) (0.300)
(1.080)

CP37 SERIES
CP37 SERIES
Number of
Positions

o

::s.
Q)

:::s

c.
C)

CD

:::s

~
S-

±O.O2

2.54 _
" _
10.1001

'C
'C

CD

0

A
±O.Ol
Length

Number of
Positions

BURN-IN/TEST DIP SOCKETS

~

Series Features
Q - Auto unloadable
P - High density mounting
M - Shrink 0.070 centers
TI Socket Series

Body - PPS (polyphenylen sulfide) UL 94 V-O
Contacts - Higher performance copper nickel alloy
Plating: t 4 pin of gold min over 100 pin of nickel min

o

Pin to pin
A·· 0.100 centers
B 0.070 centers

PPS high temperature
body material

Electrical
Contact rating: 1 A per contact
Contact resistance: 20 mn max initial
Insulation resistance: 1000 Mn at 500 V dc
Dielectric withstanding voltage: 1000 V ac rms
Capacitance: 1 pF max per MIL-STD 202, Method 305

n
c

[sL

1

Accommodates IC leads 0.011 in by 0.018 in
Recommended PCB thickness range: 0.062 in to 0.092 in
Recommended PCB hold size range: 0.032 in to 0.042 in
Durability: 10K cycles ~ CM Series, 5K cycles ~ CP/CO

CM37 SERIES

lfn·

50
102561

..,O'

6.

I

3

Jru::J.~.J

0.53~1100211

104721

178--11.100701

3.48
101371
0.50
10.0201

PRODUCTION DATA documents contain informltion
current IS of publication dlte. Products conform to
specifications per the terms of Texas Instruments

~~~~~:~~j~I{::I~fJ8 ~!:~:~ti:r :I~o::::~:t:r~~s not

11.68
17.78
20.32
22.86
25.40

(0.460)
(0.700)
(0.800)
(0.900)
(1.000)

7.62
(0.300)

12,70
(0.500)

24
28
40

30.48 (1.200)
35.56 (1.400)
50.80 (2.000)

15.24
(0.600)

20.32
(0.800)

Number of
Positions

nllRl.99

o·

:::s

A
±O.O16
Length

B
±O.O2

C
±O.O16
Width

28

27.1811.070)

10.67
(0.420)

17.20
(0.677)

40
42
54

37.85 (1.490)
39.62 (1.560)
50.29 (1.980)

16.51
(0.650)

23.11
(0.910)

64

59.18 (2.330)

20.32
(0.800)

26.92
(1.060)

Dimensions in parentheses are inches
Contact factory for detailed information

TEXAS . "

INSTRUMENTS
34 Forest Street· Attleboro. Massachusetts 02703

11-34

C
max
Width

CM37 SERIES
0201

.-l

Q)

±O.O2

8
14
16
18
20

~0.51

B

....

B

A
max
Length

IC SOCKETS
nUAD-IN-LiNE/SHRINK PACK
PERFORMANCE SPECIFICATIONS
Insertion force: 16 oz (454 g) per pin max
Withdrawal force: 1.5 oz (42 g) per pin min
Operating temperature: - 40°C to 100°C, tin/lead
Accommodates IC leads 0.011 ± 0.0003 in by
0.01 B ± 0.003 in
Contact rating: 1 A per contact

MATERIALS
Body - PBT polyester UL 94 V-O
C4S & CxW Contacts - Copper alloy
Contact finish - Reflow tin plating, 40 /Lin min

PART NUMBER SYSTEM FOR CxW SERIES

l
c

t 1.:u::.. of

IX

\

I

QUAD-IN-LiNE (CxW SERIES)

toIllt-------- A - - - - - - + j

'00,"'" (42, 52, 64'

Staggered leads

5 - 64 contacts
6 - 42, 52 contacts

TI Socket Series

QUAD-IN-LiNE (CxW SERIES)
Product
Number

A
Max
Length

B
Row to Row

C
Max
Row to Row

C5W64-11

41,90
(1.65)

22,90
(0.950)

19,05
(0.750)

C6W42-11

27,90
(1.10)

22,90
(0.900)

17,80
(0.700)

C6W52-11

34,30
(1.35)

22,90
(0.900)

17,80
(0.700)

1.79
(0.050)

o

.~

.

l

1S

A

B

C

Max
Length

Row to Row

Max
Width

28

25,02
(0.985)

10,16
(0.400)

13,00
(0.512)

40

35.69
(1.405)

15.24
(0.600)

17,98
(0.708)

64

57.07
(2.247)

19.05
(0.750)

21.62
(0.851)

Positions

PART NUMBER SYSTEMt FOR C4S SERIES

4

t~uO:ber

of contacts
2B,40, 42,52,54,64

Shrink Pack
(0.070 in pin-to-pin contact spacing)

.E

C4S SERIES

Dimensions in parentheses are inches
Contact factory for detailed information

C

c

-E
o
.5

Q)

cQ)

(!)
"C
C
CO

DimenSions In parentheses are inches

't
o

SHRINK PACK DIP (C4S SERIES)

Reflow tin plating

0.
0.
:::l

TI Socket Series

.

en

t Also available in screw machine contacts

Q)

E

C4S SERIES

o

t;
:::l

()

---------------------------------------------------------PRODUCTION DATA docum.nts cont.in inform.tion
curr.nt .s of public.tion dlte. Products conform to
splCific.tians per thl terms of T.xls Instruments

~:::~~i~I{::1~1i ~:~:~ti:r :I~o::~:::::t:~~s not

TEXAS

III

~

INSTRUMENTS
34 Forest Street. Attleboro. Massachusetts 02703

11·35

IC SOCKETS
BURN-INITEST
PERFORMANCE SPECIFICATIONS

QUAD FLAT PACK (CFPM SERIES)

Mechanical
Accommodates IC leads per specific IC device
Recommended PCB thickness range: 0.062 in to 0.092 in
Recommended PCB hole size range: 0.032 in to 0.042 in
Durability: 5000 cycles. 10 mO max contact resistance
change per MIL-STD 1344. Method 2016

Electrical
Contact rating: 1 A per contact
Contact resistance: 20 mO max initial
Insulation resistance: 1 MO at 500 V dc per
MIL-STD 1344. Method 3003.1
Dielectric withstanding voltage: 700 V ac rms per
MIL-STD 1344. Method 3001.1
Capacitance: 1 pF max per MIL-STD 202. Method 305

Environmental

PART NUMBER SYSTEM

Operating temperature: - 65 °C to 170 °C
Humidity: 10 mO max contact resistance change when
tested per MIL-STD 202. Method 103B
Temperature soak: 10 mO max contact resistance change
when exposed to 105 °C temperature for 48 hours

MATERIALS
Body - CFP Series - PES (polyether sulfone) glass filled
UL 94 v-a
Temperature: - 65 °C to 170 0 C
Contact - Beryllium copper
Plating: t Overall gold plate min 41'in over min 70 pin nickel
plating

n
c

~

o

l
exx

L,"::~:f
M - Quad pack

TI socket
Style PF -

Flat pack

tFor additional plating option consult the factory.
Dimensional drawings available from factory.

SMALL OUTLINE FLAT PACK (CFPH/K SERIES)

3

.,

C'D

en

c

'C
'C

o

~

C)

::l

Q.

C)
C'D
::l
C'D

.,

~

PART NUMBER SYSTEM

5' c XX

0'
.,
3

L
x

s·a
::l

LXXX

Lplati:~
37 - overall gold plate
Number of positions

Configuration
H - 14. 16. 18. 20 Positions

K - 24. 28 Positions
Style FP - Flat pack
TI Series socket

PRODUCTION DATA document. cont.in inform.tion
currant I. of publication dlta. Products conform to
spacificatians per the terms of TaxIs Instrumants

:~~~~:~~i~'i~:,~1~ ~!:~~~ti:r :,~a:=:~~:~~s nat

TEXAS . .
INSTRUMENTS
34 Forest Street· Attleboro. Massachusetts 02703

11-36

01X
IXX

Lvariations
A mm ~
B - 0.8 mm ~
contact spacing

1.0

Plating
37 - overall gold plate

ICSOCKETS
For more information contact your
local distributor or contact TI directly:
Texas Istruments Incorporated
eSD Marketing, MS 14-1
Attleboro, MA 02703

(508) 699-5269
TI Distributors

n AUTHORIZED DISTRIBUTORS

TI AUTHORIZED DISTRIBUTORS
IN CANADA

IN USA
Almac Electronics
Aved Electronics
Cypress Electronics
DEE
General Radio Supply Company
Graham Electronics
Hall-Mark Electronics
Interface Electronics

Kierluff Electronics
Marshall Electronics
Milgray Electronics
Newark Electronics
Powell Electronics
Summit Distributors
Wyle Distribution Group

Electro Sonic
Future Electronics

TI AUTHORIZED DISTRIBUTORS
IN USA
- Test and Burn-In Sockets Only Victor Sales
Waltham, Massachusetts 02254-9017
(617) 893-7780

Field Sales Offices
UNITED STATES

INTERNATIONAL

California

Australia

Santa Clara 95054
5353 Betsy Ross Drive
Phone: (408) 748-2334

Texas Instruments Australia, Ltd.
P.O. Box 63
Elizabeth, South Australia 5112
Phone: 61-8-255-2066

Texas Instruments Japan, Ltd.
305 Tanagasnira
Oyama-Cho
Suntoh-Gun, Shizuoka-Ken
Japan 410-13
Phone: (81) 550-81211

England

Mexico

Texas Instruments, Ltd.
Beffordia House
Prebend Street
Bedford MK41 7PA
Phone: (0234) 63211, Ext. 1

Texas Instruments de Mexico, SA
Av. Reforma No. 450-10 Piso
Col. Juarez
Delegacion: Cuauhtemoc
Mexico City, D.F.
Mexico 06600
Phone: 52-5-514-3583

Woodland Hills 91367
21550 Oxnard Street
Phone: (818) 704-8100

Georgia
Norcross 30092
5515 Spaulding Drive
Phone: (404) 662-7861/7931

Massachusetts
Attleboro 02703
34 Forest Street, MS 14-3
Phone: (508) 699-5206

North Carolina
Charlotte 28210
8 Woodlawn Green
Suite 100
Phone: (704) 527-0930

Texas
Dallas 75265
7800 Banner Drive, MS 3936
Phone: (214) 995-7550/7547/7548

France
Texas Instruments, Ltd.
Metallurgical Materials Division
8-10 Avenue Morane Saulnier
78140 Velizy-Villacoublay, Paris
Phone: 333. 946. 9712

Hong Kong
Texas Instruments Asia, ltd.
Asia Pacific Division
8th Floor, World Shipping Centre
Harbor City 7, Canton Road
Kowloon, Hong Kong
Phone: 852-3-722-1223

Italy
Texas Instruments Italia SPA
Viale Europa, 40
1-20093 Cologno Monzese
Milano
Phone: 011-39-2-25.300.1

T~os

Japan

c

o

'1i
E
...
.g
.E

e
Q)

Singapore

c

Texas Instruments Asia
#02-08,12 Lorong Bakar Batu
Kolam Ayer Industrial Estate
Singapore 1334
Republic of Singapore
Phone: 65-747-2255

Q)

(!J
"C
C
CO

t:

oQ.

Taiwan

Q.

Texas Instruments Supply Co.
Taiwan Branch
Bank Tower
Room 903, 205 Tun Hwa N. Road
Taipei, Taiwan
Phone: 886-2-713-9311

j

en

...

Q)

E

o

t;

West Germany
Texas Instruments Deutschland GMBH
Metallurgical Materials Div.
Rosenkavalierplatz 15
D-8000 Muenchen 81
Phone: 011-49-89-915081

j

(.)

In",umen" prov'd., ,u"om.,",'''an," 'n wded t",hn',,' area'. SIn," TI do., not po"e" full "'"" to data <
~

.5

ca
§
''fi

§

u..

~

BUffe:.;x
................................................................... 3-8
Hex Nonlnverters ................................................................ 3-8 - Bus Drivers ......................................................................... 6-2
12-1

Functional Index

Function

Page

Bus Receivers ....................................................................... 6-2
Bus Transceivers (Standard and Advanced Logic) ........................................... 3-9
Bus Transceivers (Linear Circuits) ....................................................... 6-4
Bus Transceivers, NuBus ............................................................. 4-75
Binary Adders, Parallel .............................................................. 3-30
Cache Address Comparator ........................................................... 4-62
CCD Image Sensors .................................................................. 8-2
Checkers, :parity .................................................................... 3-29
CODECs, PCM Interface .............................................................. 9-2
Color Palette (see TMS340)
Combination CODEC with Filter, PCM Interface ............................................ 9-2
Communications Processor (see also TMS380) ............................................ 4-32
Comparator, Cache Address ........................................................... 4-62
Comparators,
Address ....................................................................... 3-29
Fuse Programmable ............................................................. 3-29
Other Identity .................................................................. 3-28
4-Bit ......................................................................... 3-28
8-Bit Identity ..... " ............................................................ 3-28
Comparators, Voltage ................................................................ 7-15
Controllers,
Dynamic RAM ................................................................. 10-5
Pulse Width Modulation (PWM) ................................................... 7-24
Read-Write .................................................................... 7-15
Converters,
Analog-to-Digital ............................................................... 7-18
Digital-to-Analog ............................................................... 7-18
Frequency to Voltage ............................................................ 7-25
Semi-Flash .................................................................... 7-18
Video ........................................................................ 7-19
Voltage ....................................................................... 7-23
Correction and Error Detection Circuits
General Purpose ................................................................ 3-29
32-Bit ........................................................................ '4-72
Current Mirrors .................................................................... 7-26
."
C Counters,
~
Asynchronous, Negative-Edge Triggered ............................................. 3-22
ci"
Frequency Dividers ............................................................. 3-23
Rate Multipliers ................................................................ 3-23
S"
Synchronous, Positive-Edge Triggered ............................................... 3-21
~
8-Bit Binary with Registers ....................................................... 3-22
)( Couplers ........................................................................... 8-5
Darlingtons (see also Optocouplers) ..................................................... -.-8-3
Data Selectors ..................................................................... 3-24
Data Sheets, Order Forms ............................................................ 11-7
Data Transmission,
Line Drivers .................................................................... 6-2

=
i.

II

12-2

Functional Index

Function

Page

Line Receivers .................................................................. 6-2
Line Transceivers ................................................................ 6-4
Controllers ..................................................................... 9-3
Decoders ......................................................................... 3-25
Demultiplexers ..................................................................... 3-25
DESC Cross-Reference Guide ......................................................... 5-47
Descriptions
Package ..................................................................... 11-20
Semiconductor Books ............................................................ 11-9
Design Kits (see TMS320, TMS340, TMS370,and TMS380)
Development Systems (see TMS7000, TMS320, TMS340, TMS370, and TMS380)
Differential Video Amplifiers .......................................................... 7-14
Digital Loops ...................................................................... 3-27
Digital Signal Processor Analog Interface ................................................ 7-19
Digital Signal Processors (DSP) Family - (see TMS320)
Digital-to-Analog Converters .......................................................... 7-18
Display Decoders/Drivers,
Open-Collector ................................................................. 3-26
Open-Collector with Counters/Latches .............................................. 3-26
Display Drivers,
AC Plasma ..................................................................... 6-6
Electroluminescent ............................................................... 6-5
Plasma and Gas Discharge ......................................................... 6-6
Vacuum Fluorescent .............................................................. 6-6
Display, Intelligent, Single-Digit LED .................................................... 8-7
DRAM Modules .................................................................... 10-6
DRAM/VRAM, MOS ............................................................... 10-5
Drivers,
Hex ........................................................................... 3-9
3-State Outputs ................................................................. 3-10
Display ........................................................................ 6-5
Peripheral ........ ~ ............................................................. 6-7
General Purpose ................................................................. 6-7
Motor ......................................................................... 6-8
DSP (Digital Signal Processor, see TMS320)
Dynamic RAMs .................................................................... 10-6 ~
Dynamic RAM Controllers ........................................................... 4-52 ]
EDACs (Error Detection and Correction) ................................................. 4-72
Electroluminescent Display Drivers ...................................................... 6-5
Encoders ......................................................................... 3-24
EEPROMs, Flash, 5 V ............................................................... 10-3 §
EPLDs (Erasable Programmable Logic Devices), CMOS ..................................... 2-6 u.

ca
S
''fi

~;r~~~:~e~~~ ~~d 'C~;r~~;i~~ Ci~~~i~~ (EDAC~): .......................................... 1 0 - 2 , .
General Purpose ................................................................ 3-29 _ _
32-Bit ........................................................................ 4"-72
Field Programmable Logic Arrays (FPLAs) ............................................... 2-11
12-3

Functional Index

Function

."
C

=
=
!.
3"
o·~
~
)C

B

Page

FilterICs, Switched-Capacitor ......................................................... 7-20
Filters, PCM Interface ................................................................ 9-2
First-In First-Out Memories (FIFOs) .................................................... 3-31
Flash EPROMs, MOS ................................................................ 10-3
Flip-Flops,
Dual and Single ................................................................ 3-15
Quad and Hex .................................................................. 3-15
Octal, 9-Bit, and lO-Bit D-Type .................................................... 3-16
Floppy-Disk Control Circuits
Floating-Point Processor (see VLSI)
FRAM (FrameIField Memory), MOS ................................................... lO-5
Frequency Dividers ................................................................. 3-23
Frequency-to-Voltage Converter ........................................................ 7-25
FSK Modems ....................................................................... 9-3
Fuse Programmable Comparators ...................................................... 3-29
Gates,
Positive-AND ................................................................... 3-5
Positive-AND, Open-Collector Outputs ............................................... 3-4
Positive-NAND ................................................................. 3-4
Positive-NAND, Open-Collector Outputs ............................................. 3-4
Positive-OR .................................................................... 3-5
Positive-OR/NOR ................................................................ 3-6
Positive-NOR ................................................................... 3-5
AND-NOR ..................................................................... 3-6
AND-NOR, Open-Collector Outputs ................................................. 3-6
Exclusive OR/NOR ............................................................... 3-6
Expandable ..................................................................... 3-6
Multifunction, and Elements ....................................................... 3-7
Delay Elements .................................................................. 3-7
Generators,
Look-Ahead Carry .............................................................. 3-30
Parity ........................................................................ 3-29
Graphics Family - (see TMS340)
Hardware, Customer Support ........................................................ '. . 11-1
8
HHex11 IEnvf~rters/Ndoninverters ............................................................7 32-6
a - lect Pro ucts............................... . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. IBM 360/370 Circuits ................................................................. 6-2
IC Sockets ........................................................................ 11-27
IEEE 488 GBIP Circuits .............................................................. 6-4
IEEE 802.3 Circuits .................................................................. 6-4
IEEE 820.2 Logical Link Control (see TMS380)
Image Sensors and Support Functions .................................................... 8-2
IMPACT Programmable Array Logic Circuits .............................................. 2-6
Infra~ed Em~tters a~d. Phototra?sistors .................................................... 8-7
IntellIgent Smgle-Dlglt LED DIsplays .................................................... 8-6
JAN Integrated Circuits .............................................................. 5-11
LAN (Local Area Network, see also TMS380) ............................................ 4-32
12-4

Functional Index

Function

Page

Latches and Multivibrators
Monostable Multivibrators ........................................................ 3-17
Quad Latches, 2-State Outputs ..................................................... 3-17
D-Type Octal, 9-Bit and IO-Bit Read-Back Latches .................................... 3-17
Octal, 9-Bit and IO-Bit Latches .................................................... 3-18
Line
Drivers (Digital Logic) ........................................................... 3-12
Drivers (Linear Circuits) .......................................................... 6-2
Receivers ...................................................................... 6-2
Transceivers .................................................................... 6-4
Local Area Network (LAN) Adapter Chipset - (see TMS380)
Logarithmic Amplifier ............................................................... 7-15
Look Ahead Carry Generator .......................................................... 3-30
Magnetic-Media Read and Write Circuits ................................................ 7-15
Memory Mappers .......... ' ......................................................... 4-74
Memory,MOS
EPROM Selection Guide ......................................................... 10-2
OTP PROM Selection Guide ...................................................... 10-3
DRAM/VRAM Selection Guide ................................................... 10-5
Dynamic RAM Module Selection Guide ............................................. 10-6
SRAM Selection Guide .......................................................... 10-7
EPROM/OTP PROM Cross Reference Guide .......................................... 10-8
Memory/Microprocessor Controllers .................................................... 3-27
Microcontrollers .................................................................... 4-29
Microcomputer Family, 8-Bit - (see TMS7000)
Modem, FSK ....................................................................... 9-3
Modem, Bell 202/V.23 ................................................................ 9-3
MOS-Memory Sense Amplifiers ........................................................ 6-9
Motor Drivers ....................................................................... 6-8
Multiplexers ....................................................................... 3-24
Multiplexers, Analog ................................................................ 7-20
Multiplying D/A Converters ........................................................... 7-19
Open-Collector Display Decoders/Drivers ................................................ 3-26
Open-Collector Display Decoders/Drivers with Counters .................................... 3-26
Operational Amplifiers (Op Amps) ...................................................... 7-2 >C
Optocouplers ....................................................................... 8-3 ~
Optoisolators ....................................................................... 8-3 .E
Order Forms
co
Semiconductor Books . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-17
Data Sheets/Other Literature ...................................................... 11-7 .~
Oscillators, Voltage-Controlled ........................................................ 3-26 §
OTP PROMs ....................................................................... 10-3 u.
Over-Voltage Protection Circuits ....................................................... 7 - 2 1 .
PAL Circuits (see Programmable Logic Devices)
Package Descriptions ............................................................... 11-20
Parity Generators/Checkers ........................................................... 3-29
Peripheral Drivers ................................................................... 6-9

5

12-5

Functional Index

Function

Page

Phototransistors, Infrared .............................................................. 8-7
Plasma and Gas Discharge Display Drivers ................................................ 6-6
Power Supply Supervisors,
Over Voltage Protection .......................................................... 7-21
Under Voltage Protection ......................................................... 7-21
Processors, Application - (see Application Processors)
Processors, High Perfonnance VLSI, 32-Bit Family ........................................ 4-36
Processors, Speech ................................................................... 9-5
Programmable Address Decoder (PAD) .................................................. 2-11
Programmable Logic Devices
Erasable Programmable Logic Devices (EPLDs) ........................................ 2-6
High Perfonnance ECL PAL Circuits ................................................ 2-9
High Perfonnance IMPACT PAL Circuits ............................................. 2-6
Field Programmable Logic Arrays .................................................. 2-11
Programmable TonelNoise Generator .................................................... 7-26
PROMs,OTP ...................................................................... 10-3
Protection Circuits (Over-Voltage, Under-Voltage) .......................................... 7-21
Prototyping Device (see TMS7000)
PWM Controllers (Pulse Width Modulation) .............................................. 7-24
Rate Multipliers .................................................................... 3-23
Read-Write Controller, Magnetic Media .................................................. 7-15
Receivers, Line ...................................................................... 6-2
References, Voltage ................................................................. 7-21
Register Files ...................................................................... 3-20
Registers,
Shift ......................................................................... 3-19
Shift, with Latches .............................................................. 3-19
Sign-Protected ................................................................. 3-20
Other ......................................................................... 3-21
Regulators, Voltage,
Adjustable Series Pass ........................................................... 7-21
Negative, Fixed Output ........................................................... 7-23
Positive, Fixed Output ........................................................... 7-22
Shunt ......................................................................... 7-21
Relay Drivers, Stepper ............................................................... 6-10
."
C Relay Drivers, Motor Drivers ........................................................... 6-8
n Ring Interface, LAN ................................................................ 4-32
,...
RS-232-C Circuits .................................................................... 6-3
RS-422-A Circuits ................................................................... 6-4
S- RS-423-A Circuits ................................................................... 6-3
Q. RS-485 Circuits ..................................................................... 6-4
~ Schmitt Trigger Optocouplers .......................................................... 8-5
Sem~conductor Literature ............................................................. 11-6
SemI-Flash Converters ............................................................... 7-18
Seminars, Technical ................................................................. 11-3
Shift Registers ..................................................................... 3-19
Shift Registers with Latches ........................................................... 3-19

=
o·
=
e.

"
U

12-6

Functional Index

Function

Page

Shifter ............................................................................ 3-25
Shunt Voltage Regulators ............................................................. 7-21
Signal Conditioning Circuits ........................................................... 7-2
SIPs (Single In-line Package DRAM Modules) ........................................... 10-13
Sockets,IC ....................................................................... 11-27
Software, Customer Support (see TMS320, TMS340, TMS370,and TMS380)
Software, Development (see TMS320, TMS340, TMS370, and TMS380)
Sonar Ranging Circuits ............................................................... 7-26
Sonar Ranging Modules .............................................................. 7-26
Solenoid Drivers ..................................................................... 6-9
Speech Processors ................................................................... 9-5
SRAM, MOS ...................................................................... 10-7
Static Column and Page Mode Detectors ................................................. 4-60
Streaming-Tape Control Circuits ....................................................... 7-15
Subscriber Products .................................................................. 9-4
Surface Mount Technology ........................................................... 11-39
Support, Hardware and Software (see TMS320, TMS340, TMS370, and TMS380)
Switch-Mode Power-Supply Circuits .................................................... 7-21
Switched-Capacitor Filter ICs .......................................................... 7-20
Switch-Capacitor Voltage ICs .......................................................... 7-23
Switching Voltage Regulators .......................................................... 7-21
Synthesizer, Voice ................................................................... 9-5
Technical Workshops ................................................................ 11-3
Telecommunications Circuits ........................................................... 9-2
Testability Bus Interface Circuits ....................................................... 3-14
Timers ........................................................................... 7-25
TMS320 Digital Signal Processor (DSP) Family, ............................................ 4-7
First Generation Processors ........................................................ 4-7
Second Generation Processors ...................................................... 4-7
Third Generation Processors ....................................................... 4-7
Fourth Generation Processors ...................................................... 4-7
Fifth Generation Processors ........................................................ 4-7
Development Support ............................................................ 4-11
TMS340 Graphics Family, ............................................................ 4-12
Graphics System Processor ........................................................ 4-13 )(
Floating Point Processor .......................................................... 4-17 ~
Video System Controller .......................................................... 4-24 .5
Color Palette ................................................................... 4-26 C6
Graphics Software Interface ....................................................... 4-13 §
Family Hardware and Software Support ............................................. 4-19
Development Software ........................................................... 4-22 §
TMS370 Family, .................................................................... 4-29 u.
High Performance 8-Bit Microcontroller ............................... , ............. 4-29~
TMS370 Development Support System .............................................. 4 - 3 1 - .

''fi

12-7

Functional Index

Function

cr
=
~

S·

i.
5"

~
)C

•

Page

TMS380 LAN Adapter Chipset, ....................................................... 4-32
System Interface ................................................................ 4-33
Communications Processor ....................................................... 4-33
Protocol Handler ...................................... ~ ......................... 4-33
Ring Interfaces ................................................................. 4-33
ASIC-LAN Tool Kit ............................................................. 4-32
IEEE 820 2 Logical Link Control ................................................... 4-33
Customer Support .............................................................. 4-34
TMS7000 Family - 8-Bit Microcomputers, ............................................... 4-3
TMS7000 Family ................................................................ 4-4
Development Systems ............................................................. 4-5
Prototyping Devices .............................................................. 4-6
Piggyback Devices ............................................................... 4-6
Transceivers ........................................................................ 6-5
Transmission Line
Drivers ........................................................................ 6-2
Receivers ...................................................................... 6-2
Transceivers .................................................................... 6-4
Controllers ..................................................................... 9-3
Under-Voltage Protection Circuits ...................................................... 7-21
Vacuum Fluorescent Display Driver ...................................................... 6-6
Video Amplifiers ................................................................... 7-14
Video Converters ................................................................... 7-19
Video RAMs ...................................................................... 10-5
Video System Controller (see also TMS340) .............................................. 4-12
VLSI, High Performance, 32-Bit Family
Microsequencer ................................................................ 4-38
Registered ALU ................................................................ 4-40
Integer Multiplier/Accumulator .................................................... 4-42
Digital Crossbar Switch .......................................................... 4-45
Floating Point Processor .......................................................... 4-47
Software Development Board ...................................................... 4-51
Vector Processor Unit ............................................................ 4-19
Voice Synthesizers ................................................................... 9-5
Voltage Converters, Switched-Capacitor ................................................. 7-23
Voltage Comparators ................................................................ 7-15
Voltage-Controlled Oscillators ......................................................... 3-26
Voltage Regulators,
Adjustable Series Pass .......•................................................... 7-21
Negative, Fixed Voltage .......................................................... 7-23
Positive, Fixed Voltage ........................................................... 7-22
Voltage References .................................................................. 7-21
Voltage Regulators, Shunt ............................................................. 7-21
VRAMs, MOS ..................................................................... 10-5
Workshops, Technical ................................................................ 11-3

12-8

TISCEurope
Sales Offices
BELGIQUE/BELGIE
SA Texas Instruments Belguim N.V.
11, Avenue Jules Bordetlaan 11,
1140 Bruxelles/Brussel
Tel: (02) 242 30 80
Telex: 61161 TEXBEL
DANMARK
Texas Instruments A/S
Marielundvej 46E
2730 Herlev
Tel. (42) 91 7400
Telefax: (42) 91 8400
Telex: 35123 TEXIN
DEUTSCHLAND
Texas Instruments
Deutschland GmbH.
HaggertystraBe 1
8050 Freising
,
Tel: (08161) 80-0 od. Nbst
Telex: 526529 texin d
Btx : *280505 #
Kurfurstendamm 195-196
1000 Berlin 15
Tel: (030) 8 82 73 65
Telex: 526529 texin d
Dusseldorfer StraBe 40
6236 Eschborn 1
Tel: (06196) 80 70
Telex: 526529 texin d

III. Hagen 43/KibbeistraBe 19
4300 Essen 1
Tel: (0201) 24 25-0
Telex: 526529 texin d
Kirchhorster StraBe 2
3000 Hannover 51
Tel: (0511) 64 68-0
Telex: 526529 texin d
MaybachstraBe II
7302 Ostfildern 2 (Nellingen)
Tel: (0711) 34 03-0
Telex: 5 26 529 texin d
EIRE
Texas Instruments Ireland Ltd
7/8 Harcourt Street
Dublin 2
Tel: (01) 7816 77
Telex: 32626
ESPANA
Texas Instruments Espana S.A.
C/Gobelas 43
ctra de La Coruna km. 14
La Florida
28023 Madrid
Tel: 34-1-2077060
Telex: 23634
C/Diputacion, 279-3-5
08007 Barcelona
Tel: (3) 317 9180
Telex: 50436
Fax: (3) 301 8461
FRANCE
Texas Instruments France
8-10 Avenue Morane Saulnier - B.P. 67
78141 Velizy Villacoublay cedex
Tel: Standard: (1) 30 701003
Service Technique: (1) 30 70 11 33
Telex: 698707 F
HOLLAND
Texas Instruments Holland B.V.
Hogehilweg 19
Postbus 12995
1100 AZ Amsterdam-Zuidoost
Tel: (020) 5602911
Telex: 12196

ITALIA
Texas Instruments Italia S.p.A.
Centro Direzionale Colleoni
Palazzo Perseo - Via Paracelso, 12
20041 Agrate Brianza (Mi)
Tel: 039-63221

TISCEurope
Regional Technology
Centres

Via Castello della Magliana, 38
00148 Roma
Tel: (06) 5222651
Telex: 610587 ROTEX I
Telefax: 5220447

DEUTSCHLAND
Texas Instruments
Deutschland GmbH.
HaggertystraBe 1
8050 Freising
Tel: (08161) 804043

Via Amendola, 17
40100 Bologna
Tel: (051) 554004
NORGE
Texas Instruments Norge A/S
PB 106 Refstad (Sinsenveien 53)
0513 Oslo 5
Tel: (02) 155090
6STERREICH
Texas Instruments Ges.m.b.H.
Hietzinger Kai 101-105
A-1130Wien
Tel: (0222) 9100-0
Telex: 136796
PORTUGAL
Texas Instruments Equipamento
Electronico (Portugal) LDA.
Ing. Frederico Ulricho, 2650
Moreira Da Maia
4470 Maia
Tel: (2) 948 1003
Telex: 22485
SCHWEIZ/SUISSE
Texas Instruments Switzerland AG
RiedstraBe 6
CH-8953 Dietikon
Tel: (01) 740 22 20
Telex: 825260 TEXIN
SUOMI FINLAND
Texas Instruments OY
Ahertajantie 3
P.O. Box 81
02101 Espoo
Tel: (90) 461-422
Telex: 121457
SVERIGE
Texas Instruments
International Trade Corporation
(Sverigefilialen)
Box 30
S-16493 Kista
Visit address: Isafjordsgatan 7, Kista
Tel: (08) 793 91 70
Telefax: (08) 751 97 15
Telex: 10377 SVENTEX S
UNITED KINGDOM
Texas Instruments Ltd.
Manton Lane,
Bedford,
England, MK41 7PA
Tel: (0234) 270 111
Telex: 82178
Technical Enquiry Service
Tel: (0234) 223000

Frankfurt/Main
Dusseldorfer StraBe 40
6236 Eschborn
Tel: (06196) 80 7418
Kirchhorster StraBe 2
3000 Hannover 51
Tel: (0511)648021
MaybachstraBe II
7302 Ostfildern 2 (Nellingen)
Stuttgart
Tel: (0711) 34 03-0
FRANCE
Centre de Technologie
Texas Instruments France
8-10 Avenue Morane Saulnier, B.P. 67
78141 Velizy Villacoublay cedex
Tel: Standard: (1) 3070 1003
Service Technique: (1) 30 70 11 33
Telex: 698707 F
Centre Europeen de Developpement
et Siege Social
Texas Instruments France
B. P.5
06270 Villeneueve-Loubet
Tel: 93 22 20 01
Telex: 470127 F
HOLLAND
Texas Instruments Holland B.V.
Hogehilweg 19
Postbus 12995
1100 AZ Amsterdam-Zuidoost
Tel: (020) 5602911
Telex: 12196
ITALIA
Texas Instruments Italia S.p.A.
Centro Direzionale Colleoni
Palazzo Perseo - Via Paracelso, 12
20041 Agrate Brianza (Mi)
Tel: 039-63221
SVERIGE
Texas Instruments
International Trade Corporation
(Sverigefilialen)
Box 30
S-164 93 Kista
Tel: (08) 752 58 00
Telefax: (08) 751 97 15
Telex: 10377 SVENTEX
UNITED KINGDOM
Texas Instruments Ltd.
Regional Technology Centre
Manton Lane,
Bedford,
England, MK41 7PA
Tel: (0234) 270111
Telex: 82178
Technical Enquiry Service
Tel: (0234) 223000

TEXAS
INSTRUMENTS

TI SC European
Distribution
AUSTRIA
TRANSISTORVERTRIEBSGES.M.B.H.
Auhofstrasse 41A
A-1130Wien 13
Austria
Tel: 43 222 829 4010
Telex: 133738
BELGIUM
DIODE
Resea rch Pa rk
Pontbeeklaan 43
1730 Asse-Zellik
Belgium
Tel: 32 2 466 44 50
Telex: 25903
S.A. INELCO ELECTRONICS N.V.
Avenue Des Croix De Guerre, 94
Oorlogskruisenlaan, 94
1120 Brussel
Belgium
Tel: 3222160160
Telex: 64475
DENMARK
PETER PETERSEN & CO AfS
nndbjergvej 18
DK-8600 Silkeborg
Denmark
Tel :4586836211
Telex: 63243
ENGLAND
ACCESS ELECTRONIC
COMPONENTS LTD
Jubilee House
Jubilee Road
Letchworth
HertsSG610H
England
Tel: 44 462 670 011
Telex: 825252
ARROW ELECTRONICS (UK) LTD
St Martin's Business Centre
Cambridge Road
Bedford MK42 OLF
England
Tel: 44 234 270 777
Telex: 826251
FARNELL ELECTRONIC
COMPONENTS LTD
Canal Road
Leeds LS12 2TU
England
Tel: 44 532 790101
Telex: 55147790101
HAWKE ELECTRONICS LTD
Amotex House
45 Hanworth Road
Sunbury-on-Thames
Middlesex TW16 5DA
Tel: 44 1 979 7799
Telex: 923592
JERMYN DISTRIBUTION LTD
Vestry Estate
Olford Road
Sevenoaks
KentTN145EU
Tel: 44 732 450144
Telex: 95142
MACRO MARKETING LTD
Burnham Lane
Slough
Berkshire SL1 6LN
Tel: 44 628 604 383
Telex: 847045
OUARNDON ELECTRONICS
Slack Lane
Derby
DE33ED
Tel: 44 332 32651
Telex: 37163

S.T.C. ELEcmONIC SERVICES LTD
Edinburgh Way
Harlow
Essex CM20 2DF
Tel: 44 279 626 626
Telex: 818801
FINLAND
ITT MULTIKOMPONENT
Tyopajakatu 5
PL 107
SF 00501 Helsinki
Finland
Tel: 3580739100
Telex: 121450
YLEISELEKTRONIIKKA OY
Luomannotko 6
P.O. Box 73
SF 0201 ESPOO
Tel: 35804521255
Telex: 123212
FRANCE
A2M
6, Avenue Charles De Gaulle
Hall B- BP 89
78152 Le Chesnay
France
Tel :33139549113
Telex: 698376
SOCIETE ALMEX
Zone Industrielle
48 Rue De L' Aubepine
92160 Antony
France
Tel: 33146662112
Telex: 250067
EPROM
185, Rue De Lyon
13015 Marseille
Tel: 3391029776
Telex: 400622
SOCIETE CGE COMPOSANTS SA
6, Avenue Du Marechal Juin
Zone Industrielle Grange Dame Rose
92360 Meudon La Foret
Tel: 33 1 40948400
Telex: 632118
SOCIETE JERMYN + GENERIM

73n9 Rue Des Solets
Silic 585
94663 Rungis
Tel: 33 1 49784900
Telex: 261 585
SOCIETE PARIS-SUB
ELECTRONIQUE
12 Rue Rene Cassin
Zone Industrielle De La Bonde
91300 Massy
Tel: 331 69206699
Telex: 691065
SOCIETE PEP
Zone Industrielle Des Glaises
6-8 Rue Ambroise Croizat
91120 Palaiseau
Tel: 331 64 47 00 31
Telex: 603341
SOCIETE TEKELEC
5 Rue Carle Vernet
Cite Des Bruyeres
92310 Sevres
Tel: 331 45347535
Telex: 204552
GERMANY
ELECTRONIC 2000
Stahlgruberring 12
8000 Muenchen 82
Germany
Tel: 49894200 10
Telex: 522561

ELKOSEGMBH
Bahnhofstr.44
7141 Moeglingen
Tel: 4971414871
Telex: 7264472
ENATECHNIK GMBH
Schillerstrasse 14
20850uickborn
Tel: 4941066120
Telex: 213590
NEUMUELLER GMBH
Eschenstrasse 2
8028 Taufkirchen
Tel: 49 89 612 080
Telex: 522106
SPOERLE ELECTRONIC
Max-Planck-Str.1-3
6072 Dreieich
Tel: 4961033040
Telex: 417983
GREECE
ATEX ELECTRONICS SA
Ilia lIiou 31
Athens 11743
Greece
Tel: 9022003
Telex: 216723
Fax: 9017024
ISRAEL
TELSYS
Atidim Ind Park
Dvora Hanevia St
Neva Sharet
Tel-Aviv 61431
Israel
Tel: 972 3 492 008
Telex: 032392
ITALY
ADELSISpA
Via Novara, 570
20153 Milano
Italy
Tel: 3923580641
Telex: 332423
CELDIS ITAUANA SpA
Via F.11i Gracchi, 36
20092 Cinisello Balsamo (Milano)
Tel: 39 2 618 391
Telex: 334887
DE-DO ELETTRONICA SpA
Strada Statale 16 Km. 403,550
64019 Tortoreto Lido (Teramo)
Tel: 39861786747
Telex: 600282
KONTRON ELECmONICS Sri
Via G. Fantoli, 16/15
20138 Milano
Tel: 39250721
Telex: 330466
SILVERSTAR Ltd. SpA
Viale Fulvio Testi, 280
20126 Milano
Tel: 39 2 661251
Telex: 332189
MOROCCO
MICROLEC
102, Rue D'Agadir
Casablanca
Morocco
Tel: 212264612
Telex: 24090
NORTHERN IRELAND
BLOOMER ELECTRONICS LTD
9-10 Carn Industrial Estate
Portadown
County Armagh
Northern Ireland
Tel: 44 762 339 818
Telex: 748054

NORWAY
JAKOB HATTELAND
ELECTRONIC A1S
N-5578
NedreVats
Norway
Tel: 4747651 11
Telex: 42850
PORTUGAL
SEmON
R. Teixeira de Pascoais, 21 AlB
1700 Lisboa
Portugal
Tel: 351 1 802424
Telex: 63461
SOUTH AFRICA
MULnKOMPONENT
PO Box 695
Cor. Vanacht & Gewel St.
Isando 1600
South Africa
Tel: 27 11 9741521n
Telex: 745126
SPAIN
ADM ELECTRONICA
Ct. Menorca, 3
28009 - Madrid
Spain
Tel: 34140947 25
Telex: 41249
SAGITRON
Cf.Corazon De Maria, 80-82
28002 - Madrid
Tel: 34141692 61
Telex: 43819
SWEDEN
AB GOSTA BACKSTROM
Alstromergatan 22
Box 12009
S-10221 Stockholm
Sweden
Tel: 46 8 54 10 80
Telex: 10135
ITT MULTIKOMPONENT AB
Ankdammsgatan 32
Box 1330
S-17126 Solna
Tel: 468830020
Telex: 10516
SWITZERLAND
FABRIMEXAG
Kirchenweg 5
CH-8032 Zurich
Switzerland
Tel :4113868686
Telex: 816358
THE NETHERLANDS
KONING EN HARTMANN
Elektrotechniek B.V.
Energieweg 1
2627 APDelft
The Netherlands
Tel: 3115609906
Telex: 38250
TEXIM ELECTRONICS B.V.
Postbus 172
7480 Ad Haaksbergen
Tel: 31 5427333333
Telex: 44808
TURKEY
EMPA
Refik Saydam Cad 89/5
Sishane
Istanbul
Turkey
Tel: 90 11436213
Telex: 25533

TINorth
American Sales
Offices

T8mp8: Hall-Mark (813) 541-7440: Marshal (813)
573-1399: Schweber (813) 541-5100.
GEORGIA: ArrowlKi9N1II (404) 497-1300: HaJl.MaIIt
!~! :!~: Marshall (404) 923-5750: Schwab8r

TI Recional
TechnOlogy

'W2~sU'=~~li~~J2~~~~
(70~)

!a,2bl4-5,00; Schwaber
330-2888.
INDIANA: Ind"~Is: Arrow/Kierulff ~3~ 299-2071;
~r\~\~~_~~~.MarshaJl(31) 7-0483;

Centers
CAUFORNIA:

ALABAMA:
Hunt.ville: (205) 837-7530
ARIZONA:

=~~:(~~)~~);~l

CAUFORNlA:
Irvine: 1714) 660-1200
R _ i Ie: (916J 786-9208

~~~~~\~~n~~

Tomonce: (213\217-7010
Woodland HUll: (818) 704-8100
COLORADO:
Auror.: 1303) 368-6000
CONNECTICUT:
W8Illngford: (203) 269-0074
FLORIDA:
AII8mon":J:Ing.:(4O~260-2116

~=~:~13) ~(j2~19

3-8502

GEORGIA:
Non:roaa: (404) 662-7900
IWNOIS:
Arlington H8Ight.: (708) 640-2925
INDIANA:
C.,nwt: (317) 573-6400
Fort W.yne: (219) 482-3311
IOWA:
CMI. R8p1c1.: (319) 395-9550

KANSAS:

.

Overland Par!(: (913) 451-4511
MARYLAND:
Columbl.: (301) 964-2003
MASSACHUSETTS:
W8IIh8m: (617) 89S-91 00
MICHIGAN:

~~~"re.;r.lr(~I'l)I~~j~~"JJ00

MINNESOTA:
Eden Pr8lrle: (612) 828-9300
MISSOURI:
StLouis: (314) 994-2100
NEW JERSEY:
I. .lln: (201) 750-1050
NEW MEXICO:
AlbuqU8f'que: (505) 34s-2555
NEW YORK:
East SVTacuae: (315)463-9291
Fish KIll: ~14) 897-:1900

=:~~!(m~~70

=:~~~:~JaW~-2220

IOWA: ArrowlKierulff (319) 395-7230; Schwaber (319)
373-1417.

GEORGIA:
Norero.. : (404) 662-7945
ILUNCIS:
ArUngIDn HelghIS: (312) 640-2909
MASSACHUSETTS:
WIIIth8m: (617) 8~9196

Schweber (913) 492-2922.
MARYLAND: AIrowlKierultl (301) 995-6002: Hal-Mallt

~~:(~=~'Wl~~~(:'~WJ2~12~2;

!58H ~~~:gg~ =~~M~~7~~;~~18:

Schwaber
MASSACHUSETTS: ArrowlKierul1l (508) 658-0900:
Hall-Mark (~667-O902: Marshall ~08J 658-0810:
~~-=694-9100:WyIe(617)2 2-73OO:Zeus

TEXAS:

Rlch.,dIan: (214) 68Q.S066
CANADA:
N8pNn, Onl8rto: (613) 726-1970

TI Authorized

MISSOURI: SL Louis: AIrowlK"teruIII (314) 567-6888;
Hall-Mark (3141291-5350; Marshall (314)291-4650;
Schweber (314) 739.()526.
NEW HAMPSHIRE: Schwab8r (603) 625-2250.

Aliance Elec!tonics. Inc.
Almac EleclrOlIlCS
Am:lw/Kierulff 8ectronicS Group
Am:lw (Canada)
Future Electronics (Canada)
GRS EI8C1rcnics Co .. Inc.
HalI-MarIt 88C1ronics
Marshallndusllies
Newark Electrol1cs
Schwebel' Electronics
Wyle laboratories
Zeus Components
Roches18r EleclrOnics. Inc. (obsolete product only)

~~~~. 100; Schweber (201) 227-7880, (609)

TI Distributors
gaS) 837-6955: Hal-Mallt

ALABAMA: AIrowlKierulff
!~! ~=: Marshall (

5) 881-9235; Schweber

ARIZONA: Arrow/KieruIH 1~02) 437-0750: Hall-Mark

OHIO:

CAUFORNIA: loti AnoelftlOr8nge Coooty:
Arrow~818) 70f.~14) 8J8.S422: Hal-Mallt

K:C==='fJfJ)~Jroo

OREGON:
B_vllf1on: (503) 643-6758
PENNSYLVANIA:
Blue Bell: (215) 82S-9S00
PUERTO RICO:
Hato R8y: (809) 753-8700
TENNESSEE:
John8Or'lCIty: (615) 481-2192
TEXAS:
AUilln: (512) 250-7655

~=1~~1~193r7}~~592

UTAH:

Murray: (801) 266-8972
WASHINGTON:
RMlrnond: (206) 881-3080
WISCONSIN:
W. .knhII: (414) 782-2899
CANADA:
~n: (613\726-1970
RIChmond Hili: (416\884-9181
St L.8urant: (514) JJs-8392.

!~! ~r:M~~ ~~~2f'~/~~; Schweber
~j~,oo. 714)~~~t~~8\8~6.

(714)

8~2oo: Wyte (818) 880-9000, (71.) 863-9953:

Zeus (714) 921-9000. (818) 889-3838:

~~fglml:~~~J,=:t(916)
638-5282:

~DJa.~:2~~~r~~~)55fs~;~
1~'9! ~~S-OOI5: Wyte (61~) ~9171: Zeus (619)

2n-9681;

s.n FrllncIaco Bey ArM: AIrowlKierulff (4081
745-6600: HaI-ManI (408) 432-4000: Mar.;hall (408)
942-4600: Schwab8r (408) 432-7171; WyIe (408)
727-2500: Zeus (408) 629-4789.
COLORADO: AIrowlKierulff (3031 790-4444: HaI-MaIIt
(303) 790-1662; Marshall (303) 451-8383: Schweber
(303) 79~258: Wyte (303) 457-9953.
CONNECTICUT: AIrowIK~126S-7741;
~

\Wd) ~J4~.

203) 265-3822;

~:J~:~;'~=~jt=~.::~apf15)

977-4880; SchWaber (305) 977-7511;
Ort8ndo: AIrow/Kieru~..l~~ 333-9300; Hd-Mallt (407)
m~~~ ~1(~M~b~: Schweber(407)

TEXAS

INSTRUMENTS
'9\) T eXdJ Innrumrnts InCOI'f"M'3trJ

!m!

North American
Distributors

NORTH CAROUNA:

~:::~~~~~4Jil~7~33

MICHIGAN: o.trolt: ArrowlK"l8l1Jlff (313) 462-2290:
Hall-MaIIt (3131462-1205: Marshall (31:1) 525-5850:
Newark (313) 967-0600: Schweber (313) 525-8100;
Gnlnd R8p1da: Arrow/Kieruitl (616) 2~12.
MINNESOTA: ArrowlKiellJltI ~612l830-1800: H~MaIIt
tl:~rag: Marshall (61 ) 5 9-2211;Schwab8r

Prin(N

In

[he U.S.A.

~~J~~s'h;~~~JlO~~~_~f609)

515-3~609) 235-1900; Marshall (201) 882~.
NEW MEXICO: Alfoance (505) 292-3360.

~~~~~~~~~:7~~~~)(516)

273-2424; Schweber (516) 231-2500; Zeus (914)
937-7400;
Roch8ater: ArrowlK"l8l1Jlff (716) 427-0300: Hd-Mallt
(716) 425-3300; Marshall (716) 23s-762O; Schwab8r
(716) 424-2222:
Syracuu: Marshall (607) 798-1611.
NORTH CAROUHA: AIrowlK"oerultl (919) 876-3132;

!~l~! m:lli~~ =:r(?911Wl&~.MarshalI

OHIO: Clewl8nd: ArrowlK"l8rultl (216) 248-3990;
~ \~\'Il) ~~~; Marshall (216) 248-1788;

Columbua: Hall-Mark (614) 888-3313:

=':k~=m)3.l1lt~~-rs~>,513)
293-4>162.

~~sreio~J~m1iw.;HaII-MD

~:=~~~=do~~rJ:-~900.

PENNSYlVANIA: AtrowIKieruIll (215) 928-1800: GRS
(215) 922-7037; Marshall (412) 78&-00441;
(412) 963-6804.
TEXAS: Au8lln: AnowlKierultl ~2) 835-41 SO: Hail-MarIe

Schwab8r

!~l~~~=\~~~~';Schwab8r

~~~\~t'~~at~~rlWl4)

247-6300; Wyte (21i) 23s-9953; Zeus (214) 788-7010;
EI Puc: Marshal (915) 593-0706;
H-'on: AnowtKierultl (713) 530-4700; Hall-MD (713)
78 Hi 100; Marshall (713) 895-9200; Schwab8r (713)
784-3600; WyIe (713) 819-9953.
UTAH: Am:lwlK"l8rultl (801) 973-4>913; MInhaII (SOl)
48s-1551; Wyte (SOl) 974-9953.
WASHINGTON: AI~ 643-9992. ~ 924-9500;
=i~j~\~~.
;M;nhaII(
)486-5747;
WISCONSIN: AnowtJ(jerulfl (414) 792-0150: HalI-MD
(414) 797-7844; Mars/lall (414) 797-8400;
(414) 784-9451.
CANADA: C81g8ry: Future (403) 235-5325;
Edmonton: Future (403) 438-2858;

Schwab8r

~~~~~~m;5S11;Fl4lre(514)

=i3~~l~~;r.~~~!fb
=i~ear:mm:~~ns9; Fl4lre (416)

~ Arrow Canada (604) 291-2986; Future (604)

Important Notice: Texas Insaumcnts (TI)
teSCtVes the right to make changes to or to discontinue any semiconductor product or service
identified in this publication without notice_ 11
advises its customers to obtain the latest veBion
of the relevant infonnation to verify. before placing orders, that the infonnation being relied
upon is current.

D490

I

~
TEXAS
INSTRUMENTS
Printed in U.S.A.
490-175

SSYC005B



Source Exif Data:
File Type                       : PDF
File Type Extension             : pdf
MIME Type                       : application/pdf
PDF Version                     : 1.3
Linearized                      : No
XMP Toolkit                     : Adobe XMP Core 4.2.1-c041 52.342996, 2008/05/07-21:37:19
Create Date                     : 2017:07:26 15:27:42-08:00
Modify Date                     : 2017:07:26 16:55:27-07:00
Metadata Date                   : 2017:07:26 16:55:27-07:00
Producer                        : Adobe Acrobat 9.0 Paper Capture Plug-in
Format                          : application/pdf
Document ID                     : uuid:927e245a-7f70-0b4d-92a3-49a378ab9ecb
Instance ID                     : uuid:c5fad249-7872-2645-a851-c6d3e3e9ed84
Page Layout                     : SinglePage
Page Mode                       : UseNone
Page Count                      : 505
EXIF Metadata provided by EXIF.tools

Navigation menu