1992_Harris_Product_Selection_Guide 1992 Harris Product Selection Guide

User Manual: 1992_Harris_Product_Selection_Guide

Open the PDF directly: View PDF PDF.
Page Count: 312

Download1992_Harris_Product_Selection_Guide 1992 Harris Product Selection Guide
Open PDF In BrowserView PDF
PRODUCT SELECTION GUIDE
This product guide represents the full line of Harris Semiconductor products.
The guide is organized into eight numbered sections, each with lettered subsections. For
example, Section 6 is the POWER Section which comprises five subsections: MOSFETs
(6A); IGBTs (6B); Bipolar (6C); Rectifiers (6D); and Transient Voltage Protection (6E).
There are three search methods available for your convenience:
DEVICE CATEGORY LISTING is located on page iv. This lists
categories of devices such as "Amplifiers," and "Microprocessors" with
reference to one of the eight sections plus the sub-section.
PART NUMBER INDEX (pages I to 25) provides Harris part numbers sorted
alphanumerically and indicating the product selection guide page number,
a databook reference, whether the part is available in military grade, and
the datasheet file number.
SECTION TABLE OF CONTENTS on page v shows the eight sections (New
Products, Analog, Data Acquisition, Digital, Application Specific, Power,
Hi-ReI & Rad-Hard, and ASIC), and what product categories can be found in
each.
SALES OFFICE LISTING is located in the back of this book. It lists Harris
sales offices, plus representative and distributor locations throughout
the world.
Only key product information -- key features, ratings, or characteristics -- is given for each of
the products referenced in this book. For complete, current and detailed technical
specifications for any device you are interested in, please contact the nearest Harris sales
outlet (see SALES OFFICE LISTING at back of this book).
Literature requests can also be directed to:
Harris Semiconductor Literature Department
P.O. Box 883, MIS CB1-28
Melbourne, Florida 32901
FAX 407n24·3299

Harris Semiconductor products are sold by description only. All specifications in this product guide
are applicable only to packaged products; specifications for die are available upon request. Harris
reserves the right to make changes in circuit design, specifications and other information at any time
withont prior notice. Accordingly, the reader is cautioned to verify that information in this
publication is current before placing orders. Reference to products of other manufacturers are solely
for convenience of comparison and do not imply total equivalency of design, performance, or
otherwise.
Copyright O Harris Corporation 1992
All Rights Reserved
Printed In U.S.A. 7192

1992 H A R R I S CORPORATION
Harris is a worldwide companyfocused onfour major businesses - electronic systems, semiconductors, communications and
Lanier Worldwide office equipment. We use advanced technologies to provide innovative and cost-effective solutions to our
commercial and government customers in more than 130 countries, and we are committed to providing products, systems, and
services ofthe highest quality. Fiscal 1992 sales exceeded $3 billioll.

HARRIS PRODUCTS

LEADERSHIP POSITIONS IN:

Electronic Systems: Satellite communication tenninals,
tracking and control systems; terrestrial communication
systems; secure and jam-resistant communication systems;
spaceborne information processing systems; missile and
spacecraft instrumentation; advanced avionic systems;
digital terrain displays; video teleconferencing systems;
command and control systems; information and signal
processing systems; automatic maintenance and test
systems; systems software and integration;
telecommunication networks; test-program software for
avionics; simulation and training systems; technical support
services; real-time super-mini- and microcomputers; air
traffic control and airport management systems; custom
integrated telecommunication networks; energy
management systems; newspaper text-processing and
pagination systems.

Electronic Systems
- Largest producer of multimedia networking systems for the
U.S. government
- A leading producer of satellite communication earth
stations for the U.S. government
- Major supplier of infonnation and communication systems
to the Federal Aviation Administration
- A market leader in government meteorological data
processing systems
- A market leader in ATE for military applications
- A market leader in aerospace communication and
infonnation handling systems
- Largest supplier of test-program set software
- A market leader in compact-range antenna systems
- A market leader in fiber-optic communication systems
Semiconductors
- Seventh-largest merchant producer of semiconductors in
the U.S.
- Largest producer of semiconductors for government
applications worldwide
- A major producer of semiconductors for U.S. automobiles
- Leading supplier of telecom subscriber-line interface
circuits (SUCs)
- An industry leader in custom and semicustom analog and
mixed-signal ASICs (application specific integrated
circuits)
- Pioneer in CMOS (complementary metal oxide
semiconductor), GaAs (gallium arsenide), intelligentpower, DI (dielectric isolation), and radiation-hardened
technologies

Semiconductors: Standard, custom, and semicustom
integrated circuits and discrete products for analog, mixedsignal and power-Control applications. Product portfolio
includes devices for analog signal processing, digital signal
processing, data conversion, interface, logic,
microcontrollers, microprocessors, microprocessor
peripherals, power conditioning, and intelligent power. Also,
custom and standard application-specific ICs for military,
communication, and automotive applications. Key
technologies include: CMOS (Complementary Metal Oxide
Semiconductor), DI (Dielectric Isolation) including bipolar
01, CMOS/SOS (Silicon-On-Sapphire), CMOS/SOl
(Silicon-On-Insulator) GaAs (Gallium Arsenide), BiCMOS
(Mixed Bipolar and CMOS), bipolar and MOS power, MOV
(Metal Oxide Varistors), and radiation hardening.

Communications
- Largest U.S. supplier of radio- and television-broadcast
transmitters
- Largest distributor of radio-broadcast equipment
- Largest producer of low- and medium-capacity private
microwave radio equipment in North America
- Largest supplier of craft test sets and tools to the U.S.
telephone industry
- A world leader in high-frequency long-range radios, secure
communications, high-speed HF data transmission, and
automatic link establishment
- A world leader in high-resolution digital video imaging
transmission over narrow-band circuits

Communications: Microwave- and light-wave
transmission equipment; HF/VHFIUHF radios; radio and
television transmission systems and radio-studio equipment;
digital network switches; voice infonnation systems; private
branch exchanges; telephone subscriber-loop test systems;
remote tenninal diagnostic systems; craft tools and test sets;
paging systems; turnkey communication systems and
services; printed-circuit-board design software.
Office Equipment: Copying systems; facsimile
transmission units; information management systems;
document retrieval and management systems; presentation
systems; portable and desktop dictation equipment, central
dictation systems, voice loggers, voice recognition
workstations, and digital-dictation software and supplies.

Office Equipment
- One of the world's largest independent distributors of
office equipment (1,600 sales and service locations in
more than 50 countries) Market leader in dictating
equipment. Largest supplier of digital dictation systems
- Seventh-largest supplier of copiers in U.S.
- Among top 10 suppliers of facsimile machines in U.S.

ii

HARRIS SEMICONDUCTOR
MILITARY AND AEROSPACE DIVISION

SEMICONDUCTOR PRODUCTS DIVISION

P.o. Box 883 Melbourne, Florida 32902 • 407-724-7000

P.o. Box 883 Melbourne, Florida 32902 • 407-724-7000

Additional Locations:
Findlay, Ohio; Milpitas, California; Research Triangle Park,
North Carolina; Kuala Lumpur, Malaysia

Additional Locations:
FindLay, Ohio; Mountaintop, Pennsylvania; Somerville,
New Jersey; Dundalk, Ireland; Singapore; Kuala Lumpur,
Malaysia Semiconductor Products Division designs,
manufactures, and markets discrete semiconductors and
analog, digital, and mixed-signal integrated circuits for
signal-processing and power-control applications for both
commercial and military uses.

Military and Aerospace Division has been an industry leader
for nearly three decades in radiation-hardened (rad-hard)
integrated circuits that provide high performance and high
reliability in severe operating environments. The division
has met or exceeded the needs of space, tactical weapons,
strategic weapons, and secure communication programs
since 1962. The division is the number one (based on
revenue) supplier of integrated circuits to the U.S. military.

Major Products:
- Op Amps (Operational Amplifiers)
- Switches
- Multiplexers
- Sample and hold amplifiers
- Analog switches
- AID, D/A converters
- Microprocessors
- Microcontrollers
- SLICs (subscriber line interface circuits)
- Digital Signal Processing (DSP) circuits
- Power MOS (metal oxide semiconductors)
- Power processing products
- MOV (metal oxide varistors)
- Intelligent power products
- CMOS (complementary metal oxide semiconductor) logic
circuits

Major Products:
- Logic devices
- SRAMs (Static Random Access Memories)
- PROMs (Programmable Read-Only Memories)
- Op Amps (Operational Amplifiers)
- Analog multiplexers
- Microprocessors
- Analog switches
- Gatearrays
- Standard-cell analog ASICs (application-specific
integrated circuits) and mixed-signal ASICs
- Full custom devices
Major Process Technologies:
- Radiation hardening

Major Process Technologies:
- Power BiMOS (bipolar metal oxide semiconductors)
- High frequency bipolar/power MOS
- High voltage bipolar/power MOS
- BiCMOS/analog/digital
-CMOS
- Dielectric isolation

Applications:
Missiles, radar, sonar, navigation equipment, secure
communication, fire control, and electronic counter-measure
applications. Programs include Trident I and II, SICBM
(Small Intercontinental Ballistic Missile), Peacekeeper, the
JPL Mars Observer space vehicle, and the Air Force Global
Positioning Systems (GPS). Harris' semiconductors were
used in various capacities during Operation Desert Storm
aboard the Patriot,
TOW (Tube-launched Optically-tracked Wire-guided),
Stinger Sparrow, Hellfire, and Copperhead missiles; in
satellite tracking systems; and aboard the F-Ia5, F-16, and
F-18 fighter-bombers.

Applications:
- Automotive (antilock braking systems, engine controls,
emission controls, air-bag systems)
- Video displays
- Instrumentation
- Medical imaging
- Computer keyboards
- Modems and printers
- Disc drives
- Motor controllers
- Power supplies
- Sensors
- Line-voltage regulators
- Test equipment
- PBX and central office telecommunications equipment

iii

DEVICE CATEGORY LISTING
SUB·SECTION

CATEGORY

SECTION

Amplifiers

Analog

2A

ASIC, Mixed Signal

ASIC

8B

ASIC, Bipolar

ASIC

8A

Consumer Circuits

Application Specific

5C

Data Converters

Data Acquisition

3B

Digital Signal Processing

Application Specific

5A

High Reliability Circuits

Hi-Rei & Rad-Hard

7A

Analog

2B

Logic

Digital

4C

Memory

Digital

4A

Microcontrollers

Digital

4A

Intelligent Power

Microprocessors

Digital

4A

Multiplexers

Data Acquisition

3C

Peripheral Circuits

Digital

4B

Power, IGBTs

Power

6B

Power MOSFETs

Power

6A

Power, Transient Protection

Power

6E

Power Processing

Analog

2B

Power, Bipolar

Power

6C

Power, Rectifiers

Power

6D

PowerASIC-

ASIC

8B
7B

Rad-Hard Circuits

Hi-Rei & Rad-Hard

Sample and Hold Amplifiers

Data Acquisition

3A

Special Analog Circuits

Analog

2C

Switches, Analog

Data Acquisition

3C

Telecommunications Circuits

Application Specific

5B

iv

, SECTION
TABLE OF
CONTENTS

v

Alphanumeric Part Numbering Index

Military Availability Code

Databook Code Legend
BIP
COP
CD4
OAQ
OSP
IP

Bipolar Power
COP6805 & Peripheral
CD4000 Series
Data Acquisition
Digital Signal Processing
Intelligent Power

OB220.1
08260.1
OB250.5
OB301.1
OB302
OB304

LIN
MIC
MOS
RH
TVS

Linear and Telecom
Microprocessor Products
Power MOSFETs
Rad Hard/Hi Rei
Transient Voltage
Suppression

OB550 1
OB303
OB223.2
OB235.2
OB450.2

3
4

Non-Compliant
Fully Compliant
OESC, SMO Qualified
JAN Qualified

Alphanumeric Part Numbering Index

Oatabook Code Legend
BIP
COP
C04
OAQ
OSP
IP

Bipolar Power
COP6805 & Peripheral
C04000 Series

Data Acquisition
Digital Signal Processing
Intelligent Power

Military Availability Code
08220.1
OB260.1
08250.5
08301.1
D8302
08304

LIN
MIC
MOS
RH
TVS

Linear and Telecom
Microprocessor Products
Power MOSFETs
Rad Hard/HI Rei
Transient Voltage

Suppression

2

085501
OB303
OB2232
OB2352
D8450.2

1
2
3

Non-Compliant
Fully Compliant
OESC, SMO Qualified
JAN Qualified

Alphanumeric Part Numbering Index

Databook Code Legend
BIP
CDP

Bipolar Power

CD4
DAQ
DSP

CD4000 Series
Data Acquisition
Digital Signal Processing
Intelligent Power

IP

CDP6805 & Peripheral

Military Availability Code
DB220.1
DB260.1

LIN
MIC

Linear and Telecom
Microprocessor Products

DB550.1

DB25O.5

MOS

DB301.1
DB302

RH
TVS

Power MOSFETs
Rad Hard/HI Rei
Transient Voltage
Suppression

DB2232
DB2352
DB450.2

DB304

3

Non-Complianl
Fully Compliant

DB303
4

DESC, SMD Qualified
JAN Qualified

Alphanumeric Part Numbering Index

Databook Code Legend
BIP

Bipolar Power

COP
CD4
OAQ
OSP

COP6805 & Peripheral
C04000 Series

IP

Data Acquisition
Digital Signal Processing
Intelligent Power

Military Availability Code
OB220.1
OB260.1
OB250.5
OB301.1
08302
OB304

LIN
MIC
MOS
RH
TVS

Lmear and Telecom
Microprocessor Products
Power MOSFETs
Rad Hard/Hi Rei
TranSient Voltage
Suppression

4

OB550 1
OB303
OB2232
OB2352
084502

4

Non-Compliant
Fully Compliant
OESC, SMO Qualilled
JAN Qualihed

Alphanumeric Part Numbering Index

Databook Code Legend
BIP
COP
C04
OAQ
OSP
IP

Bipolar Power
COP6805 & Peripheral
CD4000 Series
Data Acquisition
Digital Signal Processing
Intelligent Power

Military Availability Code
OB220.1
OB260.1
OB250.5
OB301.1
OB302
OB304

LIN
MIC
MOS
RH
TVS

Linear and Telecom
Microprocessor Products
Power MOSFETs
Rad Hard/Hi Rei
Transient Voltage
Suppression

5

OB550.1
OB303
OB223.2
OB2352
OB450.2

1
2
3
4

Non·Compliant
Fully Compliant
OESC, SMO Qualified
JAN Qualified

Alphanumeric Part Numbering Index

Databook Code Legend
BIP
CDP
CD4
DAQ
DSP
IP

Bipolar Power
CDP6805 & Peripheral
CD4000 Series
Data Acquisition
Digital Signal ProcessIng
Intelligent Power

Military Availability Code
DB220.1
DB260.1
DB250.5
DB301.1
DB302
DB304

LIN
MIC
MOS
RH
TVS

Linear and Telecom
Microprocessor Products
Power MOSFETs
Rad Hard/Hi Rei
Transient Voltage
Suppression

6

DB550.1
DB303
DB223.2
DB235.2
DB450.2

1
2
3
4

-

Non-Compliant
Fully Compliant
DESC, SMD Qualified
JAN Qualified

Alphanumeric Part Numbering Index

Databook Code Legend
BIP
COP

Bipolar Power
COP6805 & Peripheral

C04

C04000 Series

OAQ
OSP

Data Acquisition
DigItal SIgnal Processing

IP

Intelligent Power

Military Availability Code
OB220.1
OB280.1
OB250.5
OB301.1
08302
08304

LIN
MIC

Linear and Telecom

OB550.1

Microprocessor Products

OB303

2

MOS

Power MOSFETs

OB223.2

3

RH
TVS

Rad Hard/Hi Rei
Transient Voltage
Suppression

OB235.2
OB450.2

4

7

Non-Complianl
Fully Complianl
DESC, SMO Qualified
JAN Qualilied

Alphanumeric Part Numbering Index

Databook Code Legend
BIP
COP

Bipolar Power
COP6805 & Peripheral

C04
OAQ
OSP
IP

Military A"ailability Code

C04000 Series

OB220.1
OB260.1
OB250.5

LIN
MIC
MOS

Data Acquisition
Digital Signal Processing

OB301.1
OB302

RH
TVS

Intelligent Power

OB304

Linear and Telecom
Microprocessor Products

OB550.1
OB303

Power MOSFETs
Rad Hard/Hi Rei
TranSient Voltage

OB223.2
OB235.2
OB450.2

Suppression

8

1
2
3

Non·Complianl
Fully Compliant
OESC, SMO Qualified

4

JAN Qualified

Alphanumeric Part Numbering Index

Databook Code Legend
BIP
COP

Bipolar Power

Military Availability Code

COP6805 & Peripheral

DB220.1
OB280.1

LIN
MIC

Linear and Telecom
Microprocessor Products

OB550.1
OB303

CD4
DAQ
DSP

CD4000 Series
Data Acquisition
Digital Signal Processing

DB250.5

MOS
RH
TVS

Power MOSFETs

OB223.2

3

DB301.1
OB302

OB2352
OB450.2

4

IP

Intelligent Power

DB304

Rad Hard/HI Rei
Transient Voltage
Suppression

9

1
2

Non-Complianl
Fully Compliant
DESC, SMO Qualified
JAN Qualified

Alphanumeric Part Numbering Index

Databook Code Legend
BIP
COP
CIM
OAQ
OSP
IP

Bipolar Power
COP6805 & Peripheral
C04000 Series
Oata Acquisition
Oigital Signal Processing
Intelligent Power

Military Availability Code
OB220.1
OB260.1
OB250.5
OB301.1
OB302
OB304

LIN
MIC
MOS
RH
TVS

Linear and Telecom
Microprocessor Products
Power MOSFETs
Rad Hard/Hi Rei
Transient Voltage
Suppression

10

OB550.1
OB303
OB223.2
OB235.2
06450.2

2
3

Non-Compliant
Fully Compliant
OESC, SMO Qualified
JAN Qualified

Alphanumeric Part Numbering Index

Databook Code Legend
BIP
COP
C04
OAQ
OSP
IP

Bipolar Power
COP6805 & Peripheral
C04000 Series
Data Acquisition
Digital Signal Processing
Intelligent Power

Military Availability Code
OB220.1
OB260.1
OB25O.5
OB301.1
OB302
OB304

LIN
MIC
MOS
RH
TVS

Linear and Telecom
Microprocessor Products
Power MOSFETs
Rad Hard/Hi Rei
Transient Voltage
Suppression

11

OB550.1
OB303
OB223.2
OB235.2
OB450.2

3
4

Non-Complian1
Fully Compliant
OESC, SMO Qualified
JAN Qualified

Alphanumeric Part Numbering Index

Databook Code Legend
BIP
COP
CD4
OAQ
OSP
IP

Bipolar Power
COP6805 & Peripheral
CD4000 Series
Oata Acquisition
Oigital Signal Processing
Intelligent Power

Military Availability Code
OB220.1
OB260.1
OB250.5
08301.1
OB302
OB304

LIN
MIC
MaS
RH
TVS

Linear and Telecom
Microprocessor Products
Power MOSFETs
Rad Hard/Hi Rei
TranSient Voltage

Suppression

12

08550.1
08303
08223.2
OB235.2
OB450.2

1
2
3
4

Non-Compliant
Furry Compliant
OESC, SMO Qualified
JAN Qualified

Alphanumeric Part Numbering Index

Databook Code Legend
BIP
COP
C04
OAQ
OSP
IP

Bipolar Power
COP6805 & Peripher~1
C04000 Series
Data Acquisition
Digital Signal Processing
Intelligent Power

Military Availability Code
OB220.1
OB260.1
OB250.5
OB301.1
06302
OB304

LIN
MIC
MOS
RH
TVS

Linear and Telecom
Microprocessor Products
Power MOSFETs
Rad Hard/Hi Rei
TranSient Voltage
Suppression

13

OB550.1
OB303
OB223.2
OB235.2
OB450.2

2
3
4

Non-Compliant
Fully Compliant
OESC, SMO Qualified
JAN Qualified

Alphanumeric Part Numbering Index

Databook Code Legend

Military Availability Code

BIP
COP

Bipolar Power
COP6805 & Peripheral

OB220.1
OB260.1

C04
OAQ

C04000 Series

OB250.5
OB301.1

OSP
IP

Digital Signal Processing

Data Acquisition
Intelligent Power

OB302
OB304

LIN
MIC
MOS
RH
TVS

linear and Telecom
Microprocessor Products

OB550.1

Power MOSFETs
Rad Hard/Hi Rei

OB223.2
OB235.2
OB450.2

Transient Voltage
Suppression

14

OB303

1
2

Non-Compliant
Fully Compliant

3

OESC, SMO Qualified

4

JAN Qualified

Alphanumeric Part Numbering Index

Oatabook Code Legend
BIP
COP
CD4
OAQ
OSP
IP

Bipolar Power
COP6805 & Peripheral
C04000 Series
Data Acquisition
Digital Signal Processing
Intelligent Power

Military Availability Code
Linear and Telecom
Microprocessor Products

OB220.1
OB260.1

LIN
MIC

OB250.5
OB301.1
OB302

MOS

Power MOSFETs

OB223.2

3

RH
TVS

Rad Hard/Hi Rei
Transient Voltage

OB235.2
08450.2

4

OB304

Suppression

15

OB550.1
OB303

Non-Complianl
Fully Compliant
OESC, SMO Qualified
JAN Qualified

Alphanumeric Part Numbering Index

Oatabook Code Legend
BIP
COP
C04
OAQ
OSP
IP

Bipolar Power
COP6805 & Peripheral

Military Availability Code
OB220.1
OB260.1

CD4000 Series

OB250.5

Data Acquisition
Digital Signal Processing
Intelligent Power

OB301.1
OB302
OB304

LIN
MIC
MOS

Linear and Telecom

MIcroprocessor Products

OB550.1
OB303

Non-Compliant
Fully Compliant

Power MOSFETs

OB223.2

3

OESC, SMO Qualified

RH

Rad Hard/Hi Rei

JAN Qualified

TVS

OB235.2
OB450.2

4

Transient Voltage
Suppression

16

Alphanumeric Part Numbering Index

Databook Code Legend
BIP
COP
C04

Bipolar Power

OAQ
OSP

Data AcqUisition
Oigltal Signal Processing
Intelligent Power

IP

COP6805 & Peripheral
C04000 Series

Military Availability Code
OB220.1
OB260.1
OB250.5

LIN
MIC

OB301.1
OB302

RH
TVS

OB304

MOS

linear and Telecom
Microprocessor Products
Power MOSFETs
Rad Hard/HI Rei
Transient Voltage
Suppression

17

OB550.1
OB303

Non-Complianl
Fully Compliant

OB223.2

OESC, SMO Qualified
JAN Qualified

OB235.2
OB450.2

Alphanumeric Part Numbering Index

Military Availability Code

Databook Code Legend
BIP
COP

Bipolar Power
COP6805 & Peripheral

CD4
OAQ

C04000 Series
Oata Acquisition
Oigital Signal Processing

OSP
IP

Intelligent Power

OB220.1
OB260.1
OB25O.5
08301.1
OB302
08304

LIN
MIC

Linear and Talecom
Microprocessor Products

OB550.1
OB303

MOS

Power MOSFETs

08223.2

RH
TVS

Rad Hard/HI Rei
Transient Voltage
Suppression

08235.2
OB450.2

18

2

Non-Compliant
Fully Compliant

3
4

OESC, SMO Qualified
JAN Quafified

Alphanumeric Part Numbering Index

Oatabook Code Legend
81P
COP
C04
OAQ
OSP
IP

8ipolar Power
COP6805 & Peripheral
C04000 Series
Data Acquisition
Digital Signal Processing
Intelligent Power

Military Availability Code
08220.1
08260.1
08250.5
08301.1
08302
08304

LIN
MIC

Linear and Telecom
Microprocessor Products

MOS
RH
TVS

Power MOSFETs
Rad Hard/Hi Rei
Transient Voltage
Suppression

19

08550.1
08303
08223.2
08235.2
08450.2

1
2
3
4

Non-Compliant
Fully Compliant
OESC, SMO Qualified
JAN Qualified

Alphanumeric Part Numbering Index

Databook Code Legend
BIP
COP
C04
OAQ
OSP
IP

Military Availability Code

Bipolar Power
COP6805 & Peripheral

OB220.1
OB260.1

LIN
MIC

Linear and Talacom
Microprocessor Products

OB550 1
OB303

C04000 Series

OB250.5

OB223.2

08301.1
08302
08304

MOS
RH
TVS

Power MOSFETs

Oata Acquisition
Oigital Signal Processing
Intelligent Power

Rad Hard/Hi Rei

OB235.2
OB450.2

Transient Voltage
Suppression

20

2

1

Non-Compliant
Fully Compliant

3
4

OESC, SMO Qualified
JAN Qualified

Alphanumeric Part Numbering Index

Databook Code Legend
BIP
COP
C04
OAQ
OSP
IP

Bipolar Power
COP6805 & Peripheral
C04000 Series
Data Acquisition
Digital Signal Processing
Intelligent Power

Military Availability Code
OB220.1
OB260.1
OB25O.5
OB301.1
OB302
OB304

LIN
MIC
MOS
RH
TVS

Linear and Telecom
Microprocessor Products
Power MOSFET s
Rad Hard/Hi Rei
Transient Voltage
Suppression

21

OB550.1
OB303
OB223.2
DB2352
08450.2

3

Non-Compliant
Fully Compliant
OESC, SMO Qualified
JAN Qualified

Alphanumeric Part Numbering Index

Databook Code Legend
BIP
COP
CD4
OAQ
OSP
IP

Bipolar Power
CDP6805 & Peripheral
CD4000 Series
Oata Acquisition
Oigital Signal Processing
Intelligent Power

Military Availability Code
OB220.1
OB260.1
OB250.5
OB301.1
OB302
OB304

LIN
MIC
MOS
RH
TVS

Linear and Telecom
Microprocessor Products
Power MOSFETs
Rad HardlHi Rei
Transient Voltage
Suppression

22

OB550.1
OB303
OB223.2
OB235.2
OB450.2

2
3
4

Non-Compliant
Fully Compliant
OESC, SMO Qualified
JAN Qualified

Alphanumeric Part Numbering Index

Databook Code Legend
BIP
COP
C04
OAQ
OSP
IP

Bipolar Power
COP6805 & Peripher'll
C04000 Series
Data Acquisition
~igital Signal Processing
Intelligent Power

Military Availability Code
OB220.1
08f!60.1
OB250.5
OB301.1
OB302
08'304

LIN
MIC
MOS
RH
TVS

Linear and Telecom
Microprocessor Products
Power MOSFETs
Rad Hard/Hi Rei
Transient Voltage
Suppression

23

OB550.1
OB303
OB223.2
OB235.2
OB450.2

2
3
4

Non-Compliant
Fully Compliant
OESC, SMO Qualified
JAN Qualified

Alphanumeric Part Numbering Index

Databook Code Legend
BIP
COP
C04
OAQ
OSP
IP

Bipolar Power
COP6805 & Peripheral
CD4000 Series
Data Acquisition
Digital Signal Processing
Intelligent Power

Military Availability Code
OB220.1
OB260.1
OB250.5
OB301.1
OB302
OB304

LIN
MIC
MOS
RH
TVS

Linear and Telecom
Microprocessor Products
Power MOSFETs
Rad Hard/Hi Rei
Transient Voltage
Suppression

24

OB550.1
OB303
OB223.2
OB235.2
OB450.2

2
3
4

Non-Compliant
Fully Compliant
OESC, SMO Qualified
JAN Qualified

Alphanumeric Part Numbering Index

Oatabook Code Legend
BIP
COP
CD4
OAQ
OSP
IP

, Bipolar Power
COP6805 & Peripheral
C04000 Series
Oata Acquisition
Olgrtal SIgnal ProcessIng
Intelligent Power

Military Availability Code
OB220,'
OB260,'
OB25O,5
OB301.1
OB302
08304

LIN
MIC
MOS
RH
TVS

Linear and Telecom
Microprocessor Products
Power MOSFETs
Rad Hard/Hi Rei
Transient Voltage
Suppression

25

OB550,'
OB303
OB223,2
OB235,2
OB450,2

3
4

Non-Compliant
Fully Compliant
OESC, SMO Qualified
JAN Qualified

Major Publications Available
DESCRIPTION

PUBLICATION NUMBER

Analog Military Data Book

Order by Title

Bipolar Power Data Book

DB220.1

CD4000B Series Data Book

DB250.5

Data Acquisition Data Book

DB301.1

Digital Military Data Book

Order by Title

Digital Signal Processing Data Book

DB302

Intelligent Power ICs Data Book

DB304

Linear and Telecom Data Book

DB500.1

Microprocessor Products Data Book

DB303

Power MOSFETs Data Book

DB223.2

Rad-HardIHi Rei Data Book

DB235.2 (10/92)

Transient Voltage Suppression Devices Data Book

DB450.2

6805 Micro Brochure

BR-005

ASIC Technology Brochure

BR-004.1

DSP Brochure

BR-016

DSP User's Directory

BR-015

High Performance Operational Amplifiers

BR-OA870.INTL

High Performance Operational Amplifiers

BR-OA870.NA

Sales Location Brochure

BR-007

1-1

New Product Information

CA325SE
- BIMOS Video
Switch and
Amp

• One-Chip System Solution Replaces 5 Analog Switches, a
2-to-4 Decoder and a Buffer Amplifier
• Lower Price than Maxim's MAX454 MuxlAmp, yet has Five
Channels vs Maxim's Four
• HiQh Oulput Current of 30 mA Max is ideal for Video Une
Dnver Applications
• 25MHz Unity Gain Bandwidth

• Security Monitor Switching
• TV/cATV AudioNideo Switch
• Video Multiplex Switch (Video
Teleconferencing Systems)
• 75 Ohm Video AmpllJne Driver
• Video Signal - Level Control
• Video Signal Adder/Fader

HA-2444
- Video Op Amp

•
•
•
•

45MHz Unity Gain Bandwidth
Differential Phase/Gain of 0.03'/0.03%
SO dB Crosstalk Rejection
SOns Channel Selection

•
•
•
•

Broadcast Video
Medical Imaging Displays
RGB Imaging
Frame Grabbers

HA-2556
• Four Quadrant
Voltage Output
Analog
Multiplier

•
•
•
•
•
•

350V/Us High Speed Voltage Oulput
1.5% Low Multilllication Error
5uA Input Bias Currents
-SOdB Y Input Feedthrough
30MHz Wide X and Y Channel Bandwidth
0.10c1B Gain Tolerance to 10MHz

•
•
•
•
•
•
•
•

Military AvionicS
Missile Guidance Systems
Medical Imaging Displays
Video Mixers
Sonar AGC Processors
Radar Signal Conditioning
Voltage Controlled Amplifier
Vector Generator

HA-2557
• Four Quadrant
Current Output
Analog
Multiplier

•
•
•
•

1.5% Low Multiplication Error
5uA Input Bias Currents
-SOdB Y Input Feedthrough @ 5MHz
1OOMHz Wide X and Y Channel Bandwidth

•
•
•
•
•
•
•

Military AvionicS
Missile Guidance Systems
Video Mixers
Sonar AGC Processors
Radar Signal Conditioning
Voltage Controlled Amplifier
Vector Generator

HA-2839
·HA-2840
-OpAmp

•
•
•
•
•

Very High Slew Rate Wideband Op Amp
SOO MHz Gain Bandwidth Product, S25 VlIJS Slew Rate
10 MHz Full Power Bandwidth
Differential Phase/Gain of 0.03'/0.03%
Low Supply Current (13 mA max.), Low Offset Voltage
(O.S mV)
• Pin Compatible with Existing HA-2539/40
• Lower Cost-Improved Versions of the AD840 and EL2039/40
• Harris is Only Supplier Offering SOIC Option (2840)

• Medical Diagnostic Equipment
• RF Processors and Amplifiers
• High Speed Data Acq., Comm.
Systems
• IndustriallMedical Imaging
• Radar/Sonar, Guidance Systems
• Instrumentation, Test EqUipment
• Pulse Amplifiers. RF Oscillators

·HA-2841
-OpAmp

•
•
•
•
•
•
•

Unity Gain Stable Wideband Video Op Amp
54 MHz Unity Gain Bandwidth
240 VIlIS Slew Rate, Fast Rise Time of 3 ns
Differential Phase/Gain of 0.03'/0.03%
Low Supply Current of 11 mA max.
Pin Compatible with Existing HA-2541
Lower Cost, Improved Version of the AD841 and EL2041

• Broadcast Video Sync
Separators, Video Routers and
Switchers, Video/Cable Drivers
• RF Processors and Amplifiers
• High Speed Data Acq., Comm.
Systems
• High Frequency Signal
Conditioning
• Industrial/Medical Imaging
• Radar/Sonar, Guidance Systems
• Instrumentation
• Pulse Amplifiers

·HA-2842
-OpAmp

•
•
•
•
•
•
•
•

Wideband High Oulput Current Video Op Amp
80 MHz Gain Bandwidth Product
375 VlIJS Slew Rate, 100 mA Oulput Current
Differential Phase/Gain of 0.03'/0.03%
Fast Rise Time of 3 ns
Low Supply Current of 15 mA max.
Pin Compatible with Existin~ HA-2542
Lower Cost, Improved Version of the AD842

• Broadcast Video - Sync
Separators, Video Routers and
Switchers, Video/Cable Drivers
• RF Processors and Amplifiers
• High Speed Data AcqUisition
Communication Systems
• High Frequency Signal
Conditioning
• Industrial/Medical Imaging
• Radar/Sonar, Guidance Systems
• Instrumentation
• Pulse Amplifiers

1·2

New Product Information
Target
Market/Applications

*HA-2850
- OpAmp

•
•
•
•
•

High Speed Low Power Op Amp
470 MHz Gain Bandwidth Product, 340 Vlja Slew Rate
5.4 MHz Full Power Bandwidth
Differential Phase/Gain of 0.03'/0.03%
Low Supply Current of 8 mA max., Low Offset Voltage of
0.6mV
• Pin Compatible with Existing HA-254012840
• Lower Cost, Lower Power Version of the AD840 and EL2040
• Harris is only Supplier Offering SOIC

• Medical Diagnostic Equipment
• RF Processors and Amplifiers
• High Speed Data Acquisition,
Communication Systems
• IndustriaVMedicallmaging
• Radar/Sonar, Guidance Systems
• Instrumentation, Test EqUipment
• Pulse Amplifiers, RF Oscillators

HA-5020
-Amplifier,
Current
Feedback

• 100 MHz Unity Gain Bandwidth Current Feedback Amplifier
• Differential GainlPhase of 0.0:20MO.03' , Gain Flatness
of 0.1 dB
• 31.5 mA O~ut Current, 800 VljJS Slew Rate
• Provides a Disable Pin to Allow for Multi-Channel Designs.
Eliminates the Need for a Multiplexer and Lowers Power
Consumption
• Low Input Voltage Noise of 4.5 nVl /Hz - Important for
Medical Imaging Applications
• Wide Supply Voltage Range -. 5V to .15V
• Low Supply Current - 10 mA max.
• Lower Cost, Improved Version of the EL2020 (Pin Compatible)

• Broadcast Video - Video Keyers,
Sync Separators, Video Mixers,
Video RouterS/Switchers, and
Special Effects
• Video/Cable Drivers
• IndustriaVMedicallmaging
• RGB Imaging - Frame Grabbers
and Multi-Media
• Radar and Guidance Systems
• Heads-Up Displays
• High Speed Communication and
Data Acquisition Systems

HFA-0003IL
- Ultra High
Speed
Precision
Comparator

•
•
•
•
•
•
•
•

User Programmable Hysteresis (HFA-0003L)
2.0 ns Prop. Delay (HFA-0003)
2.1 ns Prop. Delay, 900 ps min. Pulse Width (HFA-0003L)
270 MHz Tracking Bandwidth
1mV Offset Voltage, 4j.1.VrC Drift, 5j.i.A Bias Current
70 dB Large Signal VoltaQe Gain
30 mA Output Current (min.)
HFA-0003L is a Pin Compatible Improved Version of the
AD96685, MAX9685, and SP9685
• HFA-0003 is a Pin Compatible Improved Version of the
MAX9690 and SP9680

• Automated Test Equipment
• Communications Systems
• High Speed Data Acquisition
Systems
• Fiber Optic Receivers
• High Speed Instrumentation
• Guidance Systems
• PeaklSignal Detectors
• Line Receivers
• Trigger Circuits
• Limiters

HFA1100120/30
- Ultra High
Speed Current
Feedback

•
•
•
•
•
•
•
•

Harris' Proprietary Complementary Bipolar UHF Process
Full Characterization of RF Parameters
850MHz -3dB Bandwidth
2500VluS Very Fast Slew Rate
11 ns Fast Settling Time (0.1 %)
60mA High Output Current
User Programmable Output Voltage Clamp (HFA 1130)
<1 ns Overload Recovery (HFA1130)

•
•
•
•
•
•

Video Switching and Routing
Pulse and Video Amplifiers
Wideband Amplifiers
RF/IF Signal Processing
Flash AID Driver
Medical Imaging Systems

HFA1110
• Ultra High
Speed Closed
Loop Buffer
Amplifier

•
•
•
•
•
•
•

Harris' Proprietary Complementary Bipolar UHF Process
700Mhz Wide -3dB Bandwidth
2500VluS Very Fast Slew Rate
7ns Fast Settling Time (0.2%)
60mA High Output Current
0.99VN Excellent Gain Accuracy
User Programmable for Closed-Loop Gains of +1, -1
or +2 without use of External Resistors

•
•
•
•
•
•
•

Video Switching and Routing
RFIIF Processors
Driving Flash AID Converters
High-Speed Communications
Impedance Transformation
Line Driving
Radar Systems

HFA5250
• Ultra High
Speed
Monolithic
Pin Driver

•
•
•
•
•
•

HarriS' Proprietary Complementary Bipolar UHF Process
Provides Variable Amplitude Digital Pulses
+7V to -2V Wide Output Range
350M Hz High Digital Data Rate
2V1ns Very Fast Slew Rate
Precise 50 ohm Output Impedance

•
•
•
•
•

IC Tester Pin Electronics
Pattern Generators
Pulse Generators
Emulators!Development Systems
Built-In Test Equipment (BITE)

1·3

New Product Information

HIP2500
- Intelligent
Power HalfBridge 500
VDC Driver

• Optimized to Drive MOS Gated Power Devices in
HaH-Bridge Topologies
• 500 Volt Maximum Rating, HVIC Process
• Ablli~ to Interface and Drive N-Channel Power Devices
• Floating Bootstrap Power Supply for Upper Rail Drive
• 100kHz Operation
• Peak Drive up to 2.0 Amps

• High Frequency Switch-Mode
Power Supply
.
• Induction Heating and Welding
• Switch Mode Amplifiers
• AC and DC Motor Drives
• Electronic Lamp Ballasts
• Battery Chargers
• UPS Inverters

ICL7644,
ICL7645,
ICL7646,
ICL7647
- Step-up
Converter,
Low Voltage

• Low Start-Up Voltage 0.9V (typ)
• Shut Down Feature SuA (Quiescent)
• Low Battery Indication
• Standby Current aOuA (tyl?)
• Variety of Parts Meet Designers Needs

• Battery Powered Applications
• Single Cell Instruments
• Solar Powered Devices
" Radio Controlled Receivers
• Portable Instruments
• 4-20 mA Loop Powered Devices
• Battery Back-Up

SP720
- Overvoltage
Protection
Array

• An Array of SCR/Diode Bipolar Structures for ESD and Over
Voltage Protection
·2 Protection SCR Devices per Input
• An Array of 14 Diode Pairs
• 1A Current Capability
• Low ·On" Resistance
• +5V to +30V Supply Range
• Designed to Provide Over Voltage Protection
• Fast Switching-6ns Risetime

• Microprocessor/Logic Input
Protection
• Data Bus Protection
• Analog Device Input Protection
• Voltage Clamp

HRP2540

• High Forward Current, High Reverse Energy Controlled
Avalanche Power Rectifier
• Low Forward Voltage Drop (1.1V Max. at 100A)
• Controlled Maximum Avalanche Voltage (40V Max. at 4OA)

• Altemator Rectification
• Accessory Load Dump Protector
• High Current Forward Voltage
Clamp

HI-5700
8 Bit, 20MSPS
- Flash AID
Converter

• For High Speed Converter Applications Where Wide
Bandwidth and Low Power are Essentiai
- 50 Nanosecond Conversion
- 18 MHz Full Power Input Bandwidth
- Single +5V Supply Voltage

•
•
•
•

HI-5701
6 Bit, 30MSPS
- Flash AID
Converter

• For High Speed Converter Applications Where Wide
Bandwidth, Low Noise and Low Power are Essentiai
• 30 MSPS with No Missing Codes Over Temp.
• 20 MHz Input Bandwidth
• 0.5 LSB Linearity Max at 20 MSPS
• Single +5V Supply Voltage
• 0-5V Input Range Rail to Rail

• Imaging Controllers, Video
Digitizing
• Radar Systems
• Communications Systems
• Frame Grabbers
• Hand Scanners, FAX
• High Speed Data Acquisition
Systems

HI5812
- 12 Bit Sampling
AID with Track
& Hold

• 20llS8C Conversion
• 50KSPS Throughput
• Single +5V Supply
• 25mW Max. Power Dissipation
• Lowest Cost 12 Bit with Track & Hold

• Remote Low Power Data

HI-7153
-10 Bit
Sampling AID
Converter with
8 Channel MUX
and Track &
Hold Amplifier

• Reduced External Components (and Cost) Through On-Chip
Integration including:
- a Channel CMOS Multiplexer
- Track and Hold Amplifier
- Buffers For Signai and Reference Inputs
• 5 Microsecond AID Conversion
• Digitizes Inputs to 80KHz, 200,000 Times a Second

• Industriai Process Control
- Measure: Temperature,
Pressure, Flow, Vibration,
Sonic, etc.
• Instrumentation
- Automatic Test EQuipment
- System MonltorsiAnaiY':ers
• JlP Controlled Data Acquisition
Systems

1-4

Video Digitizing
Radar Systems
Medical Imaging
High Speed Data Acquisition

~uisition

• Digital Audio
• DSPModems

New Product Information
Harris Part
Number

Target
Market/Applications

Product Features & Benefits

HI-7159A
- 5 1~ Digit lIP
Compatible
AID Converter

• New Product for the High Precision AID Market
- Resolve Input Changes as Small as 1 Part in 200,000
- Desianed for Single lnput of Multiplexed Systems
• Easy Microprocessor Interface
- Parallel and Serial Interface Modes
- Four Common Baud Rates

• Industrial Process Control,
Weighing Systems. Process
Control and Monitoring.
Partlltem Counting
• Precision Instrumentation
ScientifiC Measurement and
Monitors, Laboratory Instruments

HSP43168
- Dual FIR
Filter

• Two Independent 8-Tap FIR Filters Conflgurable as a
Single 16-Tap FIR .
• 33MHz. 45MHz Versions
• On-Board Storage For 32 Programmable Coefficient Sets
• Up to: 256 FIR Taps, 16 x 162-0 Kernels. or 10 x 20 Bit
Data and Coefficients
• 10 Bit Data & Coefficients
• Programmable Decimation to 16
• 85-Pin PGA, 84-Pin PLCC Packages

•
•
•
•
•
•

HSP43220
- Decimating
Digital Filter

• Chosen "Best of 90" by Electronic Design Magazine
• World's Most Accurate Digital Filter
• Provides Decimation to 16,384
• Equivalent to 512,000 Taps

• Communication - Digital
Receivers, Channelized
Receivers, Satellite Ground
Stations. Sample Rate
Conversion
• Instrumentation - Spectrum
Analyzers, Digital Oscilloscopes,
Simulators. High Speed Dig. Test

HSP45102
-12-BIt
Numerically
Controlled
OSCillator

•
•
•
•
•
•

32-Bit Frequency Control
12-Bit Sine Output
33MHz, 40MHz Versions
Binary FSK Modulation
Quadrature Phase Modulation
Serial Frequency Load

• Direct Digital Synthesis
• Modulation-QPSK and FSK
Modem

HSP45106
- Numerically
Controlled
Oscillator

•
•
•
•
•

16 Bit NCO
High Precision 0.01 Hz Tuning, 90 Decibels Dynamic Range
Parallel or Serial Outputs
Standard Microprocessor Interface
Fast Frequency Switching

Communications/Instrumentations
• Digital Radio
• Frequency Hop Radio
• Modem
• Modulation
• Direct Digital Synthesis

HSP45116
-NCOM

• Chosen "Best of 90" by Electronic Design Magazine
• Performs Most Popular Modulation Techniques such as AM,
FM. FSK, and PSK
• Performs Complex Down Conversion

• AM Transmitters
• QAM Modem (Modulator Side)
• Digital Receivers

HSP45240
-Address
Sequencer

• Configurable as one 24-Bit or two 12-Bit Sequencer(s)
• 24 x 24 Bit Crosspoint Switch Allows Addressing Used in
FFT's, 1D and 20 Filtering, and Matrix Math Ops
• Device has a Microprocessor Interface. Ability to Vary the Start
Address. Block Size, Number of Address Blocks Selected,
Step Size Within a Block, and Step Size Between Address
Blocks
• The Sequencer Can Generate Addresses at Rates Faster
Than is Currently Possible with Digital Signal Processors (i.e.
Texas Instruments' TMS320)

•
•
•
•
•
•
•
•

HSP45256
- Binary
Correlator

•
•
•
•
•
•
•

• Radar/Sonar
• Spread Spectrum
CommUnications
• Pattern/Character Recognition
• Error Correction Coding

Correlates 8-Bit Input Data with an 8-Bit Reference Input
Recor,figurable 256 Stage Binary Correlator
1-Bit Reference x 1, 2, 4, or 8-Bit Data
25.6. 33MHz Versions
Configurable for 1-0 and 2-D Operation
Programmable Output Delay
85-Pin PGA, 84-Pin PLCC

1-5

Quadrature Filtering
Correlation
Image Processing
Complex Filterill9
Polyphase Filtenng
Adaptive Filtering

Data AcquisitionllD
Machine Vision Part Sorting
Optical Recognition
Special Effects Video
High End Modems
Telemetry and Guidance
Medical Imaging
Test and Measurement

New Product Information
Target
Market/Applications

HSP4841 0
- Hlstogrammerl
Accumulating
Buffer

• 1024 x 24 Bit Delay Memory
• 10 Bit Pixel Data
• 4Kx4K Frame Sizes
• Asynchronous Flash Clear Pin
• Generates and Stores Cumulative Distribution Function
• Available in 84 Pin PGA and PLCC Packages
• DC to 40MHz Clock Rate

•
•
•
•

HSP48901
-3x3lmage
Filter

• Two On-Chip Coefficient Storage Banks
• Monolithic solution for 3X3 Kernels
• Can Be configured as a 9-Tap Fir Filter

• Robotics - Video Filters
• Medical - Vision Systems
• Video - Medical Imaging and
Machine Vision

HSP48908
- 20 Convolver

• On-Chip Row Buffers Eliminate Need For Extemal Memory
• On-Chip' ALU for Image Preprocessing
• Two Chip' Coefficient Storage Banks
• Cascadable for Larger Kernels without Additional Logic

• Medical Imaging - Ultrasound,
Image Enhancement
• Robotics - Machine Vision

Histogramming E~ualization
Image and Signal ;&.nalysis
Image Enhancement
RGB Video Delay Line

•~W:g.s
~~:g, ~%'~~c~r~~eo,
Accelerator Boards

• Military - Tal'ltet Acquisition,
Surveillance Systems
• Industrial - Automatic Inspection,
Template Matching, Feature
Extraction
HSP9501JC
- Programmable
Data Buffer

•
•
•
•

HS-26C31RH
- Radiation
Hardened CMOS
Quad
Differential
Line Driver

• EIA RS-422 Compatible Outputs
• Digital Data Transmission Over Balanced Lines
• Meets the Requirements of EIA Standard RS-422
• Radiation Hardened CMOS
• TTL/CMOS Comp-atible Inputs
• High Impedance OulPuts when Disabled or Powered Down
• 2.75mW Standby (Max) Low Power Dissipation

Monolithic Row Buffer
Convenient to Use
Programmable Length
ReCirculate Mode

• Image Processing
• Video Processing

HS-26C32RH
- Radiation
Hardened CMOS
Quad
Differential
Line Receiver
HS-65647RH
- Radiation
Hardened
8Kx8 SOS
CMOS Static
RAM

VX.5MLA1206A23
VXXMLA 1206A23
VXXMLA1210A23
- Multi-Layer
Varistors

• Radiation Environments

• Radiation Environments

•
•
•
•
•

1.2 Micron Radiation Hardened SOS CMOS
Latch-Up. Free
10mA (Max) Low Standby Supply Current
100mA (2Mliz) Low Operating SupplY Current
SOns (Max) 35ns (Typ) Fast Jl;ccess Time

• Radiation Environments

•
•
•
•
•
•

Low Cost Packaging
New Single LeacfeaPackaging
High Current
High Voltage
Ultra Fast Reverse Recovery
Soft Recovery

• FlywheeVClamping Diodes
• Switch Mode Power Supplies
• Power Switching Applications

•
•
•
•
•
•

Space and Size Reduction
Ease of Assembly
Designed for Protection
Peal( Current Capability
Designed for ReliabilitY
Designed for High Stress Environment

• Computer Transient Protection
• Automotive TranSient Protection
• 5V Logic Over Voltage Protection
• Telecom Over Volt~e Protection
• Power Supplies Voltage Protection
• Microprocessor Systems Transient
Protection

1-6

Amplifiers

• Op Amps with slew rate up to 2500V/IJ.S
(Vee -. 5V)
• Op Amps with slew rate up to 600 V/IJ.S
(Vee. t15V)

Precision Op Amps
• Offset voltage starting as low as 0.005 millivolts
• Offset & bias current starting as low as 0.005
nano amps and 0.01 nano amps respectively

Low Power Op Amps
• Supply current as low as 17 micro amps
• Operation voltage starting as low as 2.0V

Single Supply Op Amps
• Low power
• Rall-to-rall output drive

Low Bias Current Op Amps
• Bias current starting at 1 plco amp

High Voltage Op Amps
• Supply range. 10V to. 40 volts
• Outpul voltage range. 35 volts

General Purpose Op Amps
• Low Cost, good performance

Current Feedback Amplifiers
• Better accuracy and lower distortion than span
loop buffers
• Bandwidth and rise time are nearly Independent of
closed loop gains
• Significant Improvement In speed power product vs
Op amps

Multi-Channel Addressable Amplifiers
• 2 digital control lines; select 1 of 4 amplHlers to be on at a time
• Applications Include: Signal Selection/ Multiplex
and Variable Gain Stages

Current Buffer/Driver Amplifiers
• Key features: High slew rate of 2500V/1J.S, -3dB Bandwidths to 700 MHz
• High output current up to 200 mA
• Wide supply range. 5V to • 16V

Differential Amplifiers
• Balanced differential amplifier configuration with
controlled constant current source
• RF, IF and video frequency capability

Amplifiers
Ordering Information

HA

HFA

HARRIS PRODUCT CODE EXAMPLE

HARRIS PRODUCT CODE EXAMPLE

H

J

FA· 1100 • 1 •

H:Harrts
PREFIx:T

P::-r;:]MBER

FAMILY:
FA, Ultra·HI(#> Spaad Analog

B

H

T

C: Commerdal. o'e to 7O'e
I: Industrial. -40'C to +85'C
M: MllitaryJ883. -SS'C to +125'C

5147

7

•

PART _ _

--r-

H:Harrls

PREFlXTJJ
FAMILY:

A, Analog

p:,cS'::.:l:'iiUIIIn•• SOIC
J: Ceramic DIP
p: PI.slc DIP
v: DICE

TEMPERATURE:

A

J
5

TEMPERATURE:

2: ·55·C to +125-C
4: ·25'C to +86'C
6: O'C to +75'0

PACKAGE:
1: Dull-lrrLlne Ceramtc
2: Metal Can

7, D..II"7 High Reilablllly
Commen:lal Product O'C
to +7S'C.lndudel88

3, Dual1n1.1ne Pia...

1P: Pla.tc Leaded chip Carrier
7, MIni-DIP. Cor_
8P, Smell Oulilne
0, Chip Form

howS..,..I"

8: ·40·C to +85'C

CA
Unear (eA S.rin)

ext ... Value 8c....nlng

Linea, ICs are available In a wide vaflety 01 package
designs, These packages are Identified by sullhc
leiters Indicated In Ihe chart below, when orde,Ing
Linear devices. It is Important that the appropriate
sulthc letler be allbced to the type number as Indica led
on the price schedule

Linear product with eMtr. value screening as an X
added 10 the standard type nwnber In the price lis'.
and Is also branded .s such. A ......1.. dot will
Indicate location of Pin 1.

Example.
A

PACKAGE

CA3080E

wi1h

EKira

Value

screening

'S

deSignated CA3080eX In fla price lis' It 18 branded

CASERIElI

CA3080EX plus a while Clot at pin number 1.

DUal-ln-Line Ceramic
Dual-In-Llne Plastic

D

Tap•• RMI For 8mall-Outllne hon" ..

E

With the Introduction of small-oullnt paCkages.

Frlt-Seal Dual-In-Llne CeramiC
Quad-In-Une Plastic
Dual-In-Une Formed Lead TO 5
TO-S Style Package
Small Oullne (SO) Plastic

F

Harris now oilers Us custome,. the convene"' 'ape

Q

which can be \ape and reeled. are denoted with ....
sullix "M96" or "AM9S" tn tMt Hn• ., and high speed
logiC produCI lines, Devices must be ordered In
multiples 01 quantities listed below, Any MUns
must be lull and unopened reets

S
T
M

LEAD

TAPE
WIDTH

COUIII"

"mm

REEL
SIZE
INCHES

•

12

11

14

l'

1.

l'

l'
11

III

24

24

24

DEVICES
PER
REEL

I'
11

-lOGO
lOGO

and reel style paekaging. Small· outline devices.

ICL/lCM
Pin Count _I,nalor

Device Family Preflxe.
PREFIX

DEVICE_ILY

'CL

Linear IC

'CM

MlcroperipherallC

LM

Naltonal Semiconductor Altemate Source

Temperature Range Deelgnator.
SUFFIX

TEMPERATURE RANGE

C

Commerdal' O'C to + 70'C
Industrial' Either -25·C 10 +85'C or -40'C 10
+85'C (Specdled on Datasheel)

M

MIlitary. -55'C to +'25'C

Paouge Type Dealgnator.
SUFFIX
B

eUFFIX

PIN
COUIII"

A

8

B

C

'0
.2

D

14

E

18

DIAMETER

(O,200~ pin Circle.

Isolated ca•• )

V

8

W

10

(0.230· pin clrcle.l.oi.lad ....)

X

10

(0.230' pin clrcI........ pin 5)

V

8

(0.200' pin circle....... pin 4)

Z

8

(0.230' pin circle....... pin 5)

PACKAGE
Small Ouline Ie (SOlO)
Ceramic Dual-In-Line
Plastic Dual-In-llne

1,2 OR a DIGIT
PREFIX

1,4 OR & DIGIT UNIQUE

lOR 4 DIGIT

HICIH REUABIUTY

DEVICE _BER

OPl"ION SUFFIX

DESIONATOR

X~

XXX X

XXXX

IXXXX

=F1

ITTL-~==C:Mtor

L-_ _ _ _ _ _ _
L-_ _ _ _ _ _ _ _ _

Temperature Range Deeignstor
EI.ctrlcal Option D.slgnator Only. Uaed "
more than one eIectIIcIIl op1Ion II avillable.
Variation of baaIc device
used If more than one bulc devIce I.
available.
3 or 4 digit bailie device type part number

~---------------~-~~~

~d8!lllln_. ~

Feature Products
HFA 1100/1120/1130 - World's Fastest Amplifiers
These amplifiers are the fastest available. The HFA-1130 offers a user-defined output clamping level
making it Ideal for high speed video (HDTV) and Imaging applications.

Features:
•
•
•
•

Unity Gain Bandwidth 850 MHz
High Slew Rate 2500 V/us
Gain Flatness to 100 MHz - 0.1 dB
Fast Settling Tirne 11 ns .1%

HFA0001 - Wideband Voltage Feedback
Bipolar op amps combining high speed with fast setting.

Features:
• High Slew Rate 2500V/I1S
• High Output Current 60 rnA

HFA0002 - Low Noise Wideband
Enhanced pin compatible version of the OPA621 at a lower cost.

Features:
• Input Voltage Noise 2.7nV/[fIz
• Gain Bandwidth Product 1 GHz

HA-5020 - 100 MHz Current Feedback Video
Enhanced pin compatible version of the EL2020 at a lower cost. Replaces the EL2030 in most applications.

Features:
•
•
•
•
•

Unity Gain Bandwidth 100 MHz
Differential Gain <0.02%
Differential Phase <0.03 deg.
Slew Rate 800 V/us
Gain Flatness 0.1 dB

Feature Products
HA2839/40 - Very High Slew Rate Wideband
Low power version of the HA-2539/40. Enhanced replacement for the AD840 at a lower cost. Available In CDIP,
PDIP, and SOIC packages.

Features:
•
•
•
•

High Slew Rate 625 V/us
Wide Gain Bandwidth 600 MHz
Differential GainIPhase 0.03%10.03 deg.
Low Offset Voltage 0.6mV

HA-2850 - Low Power High Slew Rate Wideband
Low power enhanced replacement for AD840 and EL2040. Available in CDIP, PDIP, and SOIC packages.

Features:
•
•
•
•
•

Low Supply Current 7.5 mA
High Slew Rate 340 V/us
Wide Gain Bandwidth 470 MHz
Differential Gain/Phase 0.04%/0.04 deg.
Low Offset Voltage 0.6 mV

HA-2842 - Wideband High Output Current Video
Low power version of the HA-2542 and an enhanced replacement for the AD842 at a lower cost. Available In
PDIP and SOIC packages.

Features:
•
•
•
•
•

High Slew Rate 375 V/us
Gain Bandwidth Product 80 MHz
High Output Current +/-100 mA
Differential Gain/Phase 0.02%/0.03 deg.
Low Offset Voltage 1 mV

HA-2841 - Unity Gain Stable Wideband Video
Low power version of the HA-2541. Enhanced replacement for the AD841 at a lower cost. Available in PDIP
and SOIC packages.

Features:
• High Slew Rate 240 V/us
• Unity Gain Bandwidth 54 MHz
• Low Offset Voltage xx mV
• Differential Phase/Gain 0.03%/0.03 deg.
• Low Distortion >83 dB

Feature Products
CA3256 - Video Multiplexer and Amplifier
The CA3256 has five channels of CMOS multiplex switching for general purpose video-signal control.

Features:
• 5 Multiplex Video Channels
1 Independent Channel
4 Channels with Enable
• 4 LED Channel Indicator Outputs
• Unity Gain Bandwidth 25 MHz
• Programmable Video Amplifier Gain
• High Signal Drive Capability

HA-2444 - Selectable 4 Channel Video Op Amp
Replaces a quad video op amp and a four channel wide band multiplexer. Digital channel selection includes
a hlgh Z output state for additronal multiplexing.

Features:
•
•
•
•
•
•

Unity Gain Bandwidth 45 MHz
Differential Gain 0.03 dB
Differential Phase 0.03 deg.
Gain Flatness to 10 MHz - 0.1 dB
Low Crosstalk Rejection >60 dB
Fast Channel Selection 60 nx

2J

Wideband Operational Amplifiers
Min/Max Limits@ +25'C, Unless Otherwise Specified

DEVICE

GBWP
(typ)
(MHz)

FPBW
(MHz)

SLEW
RATE
(typ)
(V/j.IS)

HFA-0002
HFA-1100/20f30
HA-2539
HA-2839
HA-2840
HA-2850
HA-2540
HFA-0001
HFA-0005
CA3450
HA-2548
HA-5190/5
HA-5147
HA-5147A
HA-5004
HA-5020
HA-2620
HA-2622/5
HA-5101
HA-5160
HA-5162
HA-5221
HA-2842
HA-2841

1000
850
600
500
500
400
400
350
300
170
150
150
140
120
100
100
100
100
100
100
100
100
80
50

4.50
200.0
8.70
10.0
10.0
5.4
5.50
53.00
22.00
6.56
1.91
5.00
0.45
0.45
100
9.6
0.40
0.32
0.10
1.60
1.10
0.24
6.0
4.0

250
2500
600
625
625
340
400
1000
420
330
120
200
35
35
1200
800
35
35
10
120
70
25
375
250

100.0
60.0
60.0
9.0
9.0
4.5
4.5
4.0
4.0
3.0
3.0

0.24
0.02
0.19
1.99
1.99
0.14
0.14
0.16
0.16
0.10
0.10

25
3
20
125
125

60.0
60.0
45.0
40.0
40.0
30.0
14.0
4.0

0.02
0.19
5.1
0.20
0.20
0.24
0.01
0.02

AVOL

(dBY
AZOL

(VirnA)"

MINIMUM OFFSET
BIAS
STABLE VOLTAGE CURRENT
GAIN
(mY)
(nA)

98

10

80
86
86
86
80
43
43
60
114
83
117
120
100
3500
100
98
120
97
90
106
94
90

10
10
10
10
10
1

1

5

106
100
100
94
94
86
86
94
94
80
83

3.0
20.0
160
30.0
30.0
20.0
5.0
0.8

100
100
71
94
94
92
80
123

1

5
5
10
10
1
1

5

5
1
10
10
2
1

CMRR
(dB)

PSRR
(dB)

SUPPLY
CURRENT
(rnA)

0.7
2.0
10.0
2.0
2.0
2.0
10.0
15.0
15.0
15.0
0.9
5.0
0.1
0.03
5.0
8.0
4.0
5.0
3.0
3.0
15.0
0.75
3.0
3.0

700
12000
20000
14500
14500
14500
20000
50000
50000
350
50
15000
80
40
5000
8000
15
25
200
0.05
0.065
80
10000
10000

105
46
60
75
75
75
60
45
45
50
80
74
100
114
58
60
80
74
80
74
70
86
85
80

90
50
60
75
75
75
60
35
40
60
86
70
96
100
50
65
80
74
80
74
70
86
70
70

15.0
21.0
25.0
15
15
7.5
25.0
75.0
40.0
35.0
18.0
28.0
3.5
3.5
16
10
3.7
4.0
6.0
8.0
8.0
8.0
14

0.8
2.0
2.0
3.0
0.5
15.0
5.0
15.0
5.0
15.0
4.0

80.0
200.0
200.0
5000.0
5000.0
0.05
0.04
0.05
0.03
0.02
0.02

86
86
86
80
94
70
70
70
80
70
80

86
86
86
86
94
76
76
70
76
70
75

8.0
5.0
5.0
4.8
4.8
12.0
12.0
15.5
15.5
2.0
2.0

2.5
2.5
7.0
9.0
9.0
10.0
22.0
0.1

200.0
200.0
15000
200.0
250.0
250.0
0.Q1
25.0

86
-86
70
80
74
74

86
86
65
74
74
74
60
110

6.5
6.5
25
6.0
6.0
7.0
10.0
8.0

11

DUAL
HA-5222
HA-5102
HA-5112
CA3280
CA3280A
CA3240
CA3240A
CA3260
CA3260A
CA5260
CA5260A

9
9

10
10
5

1
10
1
1
1

1
1
1
1
1

QUAD
HA-51 04
HA-5114
HA-2444
HA-2400/4
HA-2405
HA-2406
CA5470
HA-5134A

1
10

1
1
1
1
1

1

'AZOL applies to current feedback amplifiers only (HA-5004, HA-5020)
NOTE: Bold type designates a new product from Harris.

55
115

High Slew Rate Operational Amplifiers
Min/Max Limits@ +2S'C, Unless Otherwise Specified
SLEW
RATE

AVOL
(dB)!
AZOL

(V/IJS)

GBWP
(typ)
(MHz)

FPBW
(MHz)

(VIrnA)'

MINIMUM OFFSET
BIAS
STABLE VOLTAGE CURRENT
GAIN
(mY)
(nA)

HA-5190
HA-5195
HA-2529
HA-2544
HA-2520
HA-2522/5
HA-2548
HA-5160
HA-5221

2500
1200
1000
800
625
625
600
420
400
375
350
340
330
250
250
250
200
200
150
150
120
120
120
120
25

850
100
350
100
500
500
600
300
400
80
70
400
170
50
40
1000
150
150
20
50
20
20
150
100
100

200
100
4.5
9.6
10.0
10.0
8.7
22.0
5.5
6.0
4.7
5.4
6.6
4.0
3.0
4.5
5.0
5.0
2.1
3.2
1.5
1.2
1.91
1.600
0.24

100
43
3500
86
86
80
43
80
94
80
86
60
90
80
98
83
83
80
71
80
78
114
97
106

2.0
5.0
15.0
8.0
2.0
2.0
10.0
15.0
10.0
3.0
10.0
2.0
15.0
3.0
2.0
0.7
5.0
5.0
5.0
15.0
8.0
10.0
0.9
3
0.75

12000
5000
50000
8000
14500
14500
20000
50000
20000
10000
35000
14500
350
10000
25000
700
15000
15000
200
15000
200
250
50
0.05
80

CA3280
CA3280A
HA-5222
HA-5112
CA3260
CA3260A
CA3240
CA3240A
CA5260A

125
125
25
20
10
10
9.0
9.0
5.0

9.0
9.0
100
60
4.0
4.0
4.5
4.5
3.0

1.99
1.99
0.24
0.19
0.16
0.16
0.14
0.14
0.10

86
86
83

3.0
0.5
0.75
2.0
15.0
5.0
15.0
5.0
4.0

5000
5000
80
200
0.05
0.03
0.05
0.04
0.Q15

86
86
70
80
70
70
80

86
86
70
76
76
76
75

71
94
94
94
92
100
80

7.0
9.0
9.0
9.0
10.0
2.5
22.0

15000
200
200
250
250
200
0.01

70
80
80
74
74
86
55

65
74
74
74
74
86
60

(typ)

DEVICE

H~-ll 00/20/30

HA-5004
H~-OOOl

HA-5020
HA-2839
HA-2840
HA-2539
H~-0005

HA-2540
HA-2842
HA-2542
HA-2850
CA3450
HA-2841
HA-2541
H~-0002

HA-2444
HA-2400
HA-2404
HA-2405
HA-2406
HA-5114
CA5470

160
30
30
30
20
20
5.0

45
40
40
40
30
60
14

5.1
0.20
0.20
0.20
0.24
0.191
0.Q1

94
94
106
100

10
10
10
1
10
2
2
10

1
10
5
5
3
1
3
3
5
10

1
1
10

94
94

10
1

75
60
45
60
85
70
75
50
SO
70
105
74
74
80
75
80
74
80
74
86

75
60
40
60
70
70
75
60
70
70
90
70
70
80
70
80
74
86
74
86

15
25.0
40.0
25.0
14
35.0
7.5
35.0
11
40.0
15.0
28.0
2S.0
6.0
12.0
6.0
6.0
18.0
8
4

80

86

94

94

4.8
4.8
8.0
5.0
15.5
15.5
12.0
12.0
2.0

25
6
6
6
7
6.5
10

• AZOL applies to current feedback amplifiers only (HA-5004, HA-5020)

12AOsi

Precision Operational Amplifiers
MinIMax Limits @ +25'C, Unless Otherwise Specified
VIO
DRIFT

OFFSET
VOLTAGE
DEVICE
QIUIl!I "Ii: \, , ",

.

.

ICL7650S
HA-5127A
HA-5130
HA-5137A
HA-5147A
HA-5177A
HA-5177
HA-5135
HA-5137
HA-5147
CA3193A
HA-5221
HA-2548
HA-5170

..

',;

';

0.005
0.025
0.025
0.025
0.025
0.025
0.060
0.075
0.100
0.100
0.200
0.30
0.30
0.300

BIAS

'

"

,:".

>

"

0.02
0.20
0.40
0.20
0.20
0.10
0.20
0.40
0.40
0.40
1.00
0.5
4.0
2.0

OFFSET

('U:~NT ICURRENT

(typ)

(~vrc)

(mV)

0.Q1
40.0
2.0
40.0
40.0
2.0
6.0
4.0
80.0
80.0
20.0
80
5
0.Q1

.

CMRR
(dB)

,

0.005
35.0
2.0
35.0
35.0
2.0
6.0
4.0
75.0
75.0
5.0
15
5
0.03

PSRR
(dB)
'"

120
114
110
114
114
120
10
106
100
100
110
95
90
85

120
86
100
100
100
110
110
94
96
96
100
100
95
85

5.0
0.5
7.0
3.0
3.0
10.0
5.0
7.0
7.0

10
80
50
200
200
0.05
5000
80
100

10
50
10
75
75
0.03
700
15
30

100
86
70
86
86
76
80
70
65

100
86
65
86
86
80
86
65
65

" .

2.5
10.0
0.8
20.0
35.0
0.8
0.8
0.8
20.0
35.0
0.25
25
120
8.0
,.",

0.5
100.0
1.0
60.0
60.0
1.4
9.0
1.0
1.0
, '....

,;QUAD"',
HA-5134A
HA-5234A
HA-5234
HA-5114
HA-5104
HA-5144
CA224
CA324

2.0
8.5
2.5
63.0
120.0
2.0
1.4
2.5
63.0
140.0
1.2
100
150
8.0

,:;'.
0.500
0.75
2.0
2.0
2.0
2.0
3.0
3.0
3.0

(V/jIS)

"

0.1
0.2
0,750
2.5
2.5
6.0
7.0
7.0

0.3
5.0
5.0
3.0
3.0
3.0
7.0
7.0

25.0
10
10
200.0
200.0
100.0
250.0
250.0

25.0
10
10
75.0
75,0
10.0
50.0
50.0

115
100
100
86
86
77
65
65

AVOL
(dB)

SUPPLY
It'URRFNT
(mA)

,;"';,,' "';:-Y';ii;~FN;"A~~
',
,',.7' ,," \olJ~',~"':'"

CA5260
CA5260A
CA3260A
CA3240A
CA3240
CA3260
ICL7621
HA-5232
CA158A

", ,
0,01
0.01
0.02
0.02
0.03
0.03
0.03
10
10.0

0.015
0.015
0.03
0.04
0.05
0.05
0.05
10.0
50.0

:QUAD :'~::~!~,t\:!?:
CA5470
ICL7641
ICL7642
HA-5234
HA-5134A

0.0005
0.0010
0.004
0.004
0.005
0.005
0.005
0.010
0.010
0.020

\'

0,01
0,05
0.05
10.0
25.0

.

5.0
10,0
10.0
5.0
4.0
4.0
0.005
10.0
10.0
5,0

CM
RANGE
(dB)
~

SLEW
RATE

GSWP

(~)

,,,
85
85
80
86
90
90
135
85
85
94

0.5
0,5
0,5
0,5
4,0
4,0
2,0
4,0
4,0
15.0

'-t"~' '-~;{,}!;;,
0.5
0.5
0.5
0.5
10.0
10.0
2.5
10.0
10.0
9.0

"

,

15,0
4,0
5.0
5.0
15,0
15.0
2.0
0,50
2.0

11,0
2,5
13,0
13.0
12.0
10.0
12.0
12.0
13.0

22,0
10.0
10.0
0.750
0.1

3,5
4,2
4.2
12
10.0

1l'!IIRR~NT

CMRR
(dB)

&"tJ)
, ;;

3,7
3,7
1.0
1.0
2.5
2.5
3.5
2.5
2.5
10.0

",:
0.005
0.03
0.03
10
25.0

AVOL
(dB)

75
70
55
60
75
75
120
70
70
80
"

80
83
94
86
86
94
80
108
94

3,0
3.0
4,0
4,5
4,5
4.0
1.4
0,5
1.0

5.0
5,0
10,0
9.0
9.0
10.0
1.6
0.15
0.5

14.0
1.4
1.4
0.5
4.0

5,0
1,60
1.60
0,15
0,75

70
80
80
70
70
70
76
100
70

:".
75
70
60
70
60
60
120

55
70
70
100
115

SUPPLY
(mA)

-

55
55
80

0.50
0.50
0.65
0.65
0.10
0.10
3.00
0.10
0.10
15.00

' -":l; :;'l;,~:,:'
70
75
76
76
76
70
80
100
65

.. , , " ":, t-' " i~~~

,

80
80
80
108
123

),

PSRR
(dB)

60
80
80
100
110

2,0
2,0
15,5
12.0
12.0
15.5
2.5
1.45
1.2

L :'': '.
10.0
2.5
2.5
2.90
8,0

High Voltage
PART NUMBER

APPLICATIONS

FEATURES

HA-2640/5

•
•
•
•
•
•
•
•

Slew Rate .....................
Bandwidth .....................
Input Offset Voltage. . . . . . . . . . . . ..
Offset Current ..................
Output Voltage Swing ............
Input Voltage Range. . . . . . . . . . . . ..
Supply Range. • . . . . . . . . . . . . . . . ..
Output Overload Protection

HC2000H

•
•
•
•
•
•
•

7 Ampere Max. Output
30Khz at 60W
t 32 Volt Output Swing
Built-In Load Line Limiting Circuit
Reactive Load Fault Protection
t 37.5 Volt Supply Range
Hybrid Construction, 10 Terminal 1.31 " x 2.33"
Package

1 V/ms
4MHz
4mV
5nA
t35V
t 35V
t 1OV to t 40V

•
•
•
•
•

Industrial Control Systems
Power Supplies
High Voltage Regulations
Resolver Excitation
Signal Conditioning

• Servo Am~llflers
(AC, DC, WM)
• Deflection Amplifiers
• Power Operational Amplifiers
• Voltage Regulators
• Driven Inverters

General Purpose Op Amps
Sorted by Gain Bandwidth

CA3078
CA3440A
CA3440
CA5420
CA3420
CA741
CA3193
ICL7611B
ICL7612D
ICL76110
ICL76SOS
CA5160A
CA5130A
CA3160A
CA5160
CA5130
CA3160
CA3140A
CA3140
CA3130A
CA3130

CA158A
CA258A
CA358A
CA1558
CA158
CA2904
CA358
CA1458
CA258
CA5260
CA3260A
CA3260
CA3240A
CA3240

CA124
CA324
CA224
ICL7642E
ICL7641E
HA4741
CA5470

lib

CMRR

(na)

(db)

0.002
0.063
0.063
0.5
0.5
1
1.2
1.4
1.4
1.4
2
4
4
4
4
4
4
4.5
4.5
15
15

1.5
0.03
0.03
0.5
0.5
0.5
0.25
1.6
1.6
1.6
2.5
10
10
10
10
10
10
9
9
9
9

4.5
5
10
10
10
6
0.5
5
15
15
0.005
4
4
5
10
10
15
5
15
5
15

170
0.04
0.05
0.002
0.005
SOO
40
0.05
0.05
0.05
0.01
0.01
0.01
0.03
0.015
0.015
0.05
0.04
0.05
0.03
0.05

80
70
70
70
55
70
100
76
76
76
120
75
75
80
70
70
70
70
70
80
70

88

1
1
3
4
4
4.5
4.5

0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
5
10
10
9
9

2
3
3
5
5
5
6
7
7
15
5
15
5
15

SO
80
100
150
150
SOO
SOO
250
250
0.015
0.03
0.05
0.04
0.05

70
70
65
70
70
70
70
50
65
70
80
70
70
70

1.4
1.4
3.5
14

0.5
0.5
0.5
1.6
1.6
1.6
5

5
7
7
20
20
3
22

150
250
250
0.05
0.05
200
0.01

70
65
65
70
70
80
55

94
94

5.1
3
3
3.5
0.9
12
13
4.5
4.5
4.5
4.7
2.5
2.5
12
2.5
2.5
12
12
12
12
12

0.13
0.017
0.017
0.5
0.85
2.8
3.5
0.02
0.02
0.02
3
0.1
0.1
15
0.1
0.1
15
6
6
15
15

8
8
8
8
8
8
18
18
8
8

94
94
88
94
94
94
86
100
88
80
94
94
86
86

13
13
13
13
13
12
12
13
13
3
12
12
12
12

1.2
1.2
1.2
1.2
1.2
2.8
2.8
1.2
1.2
2
15.5
15.5
12
12

13
8.5
13
8.5
18
22
18
8.5
13
8
8
8
18
18

94

26
13
13
4.5
4.5
12
4

2
2
2
0.09
10
5
10

16
18
16
9

80
80

85
80

88
100
80
80
80
135

90
90
94
65
85
94

88
86

86
88
80
80

94
80

7
12.5
12.5
11
11
18
18
9

9
9
9

9
20
8

Current Feedback Amplifiers
Current Feedback Amplifiers

DEVICE

UNITY GAIN
BANDWIDTH
(MHz)

SLEW
RATE
(V/p.s)

OUTPUT
CURRENT
(mA)

DRIVES

SUPPLY
RANGE
(V)

8S0
100
100

2500
800
1200

.60
0100
.30

• 9V into 100W
3.SV into 7SW

.SV
.SVto.18
.SVtoo1S

H~ 1100/20130
HA-S004
HA-S020

Applications
•
•
•
•
•
•
•
•
•
•
•

Description
Devices such as the H~ 1100120130, HA-5004 and
HA-S02O avoid the "gain-bandwidth" trade off
necessary with conventional operational amplifiers.
These current feedback amplifiers deliver nearly
equal bandwidth over a wide range of gains.

Unity Gain VldeolWldeband Buffer
Video Gain Block
High Speed Peak Detector
Fiber Optic Transmitter
Zero Insertion Lose Transmission Line Drivers
Current to Voltage Converter: D/A Output Buffer
Radar Systems
Imaging Systems
Video Distribution Amp/Coax Cable Driver
Flash AID Driver
Waveform generator Output Driver

Multi-Channel Addressable Amplifiers
HA-24XX Selectable Four Channel Amplifiers
Features:
• 4 Differential Inputs, Single Ended Ou1put
• 2 Digital Inputs to Activata 1 of 4 Differential Inputs
SLEW
RATE
(V/p.s TYP)

WIDE GAIN
BANDWIDTH
(MHz)

AVOL
MIN
(dB)

HA-2400104
HA-240S
HA-2406

30
30
20

HA-2444

120

40
40
30
SO

94
94
92
71

DEVICE

CROSSTALK
(dBTYP)

OFFSET
CURRENT

OFFSET
VOLTAGE

110
110
110

SnA
SnA
SnA

60

2pA

4mV
4mV
7mV
4mV

Functional Diagram

EN

NOTE: Bold type designates a new product from Harris.

01

DO

EN

SELECTED
CHANNEL

L
L
H
H

L
H
L
H

X

X

H
H
H
H
L

1
2
3
4
NONE

NOTE:
1. HA-2444 no extemal compensation needed,
HA-2400/04J06, gains <10 need extemal compensation.

Multi-Channel Addressable Amplifiers
CA3256
CMOSIBiCMOS Analog Video 4 Channel Switch and Amplifier

Features

Applications

• 5 Multiplex Video Channels
- 1 Independent Channel
- 4 Channels with Enable
• 4 led Channel Indicator Outputs
• Wideband Video Amplifier
- 26MHz Unity Gain
• Programmable video Amelifier Gain
• High Signal-Drive Capability

• Video Multiplex Switch
• 750 Video AmplifierlLlne Driver
• Video Signal-Level Control
• Monitor Switching Control
• TV/CATV AudloNldeo Switch
• Video Signal AdderlFader Control

CA3256 Block Diagram
FEEDBACK

Vee

~--..,..---..,.._

ENABLE

A

•

B

•

C
________________
~~----~----~~>-

~
...!!!.J-=lF

CONTROL

~

~
.J. O~':N

IN

•• 1 ••OUT

..L CL'b~ED

Switch Control Logic
CHANNEL
NO.
1
2
3
4
5 + (1-4)"
5
None

A

B

ENABLE

0

0

0
0
0

0

0
I

I
I
I
I
I

C

I
I

0

I
0
I
I
Channel 1-4
Channel 5 Only
X

X

0
0

·For maximum video bandwidth. use single channel selections.

Current Buffers/Drivers
PART NUMBER

FEATURES

APPLICATIONS

HA-5033

•
•
•
•
•
•

HA-5002

• High Slew Rate ......•..•......•....1300VlI!S
• High Output Current. ................ 200mA
• Low Quiescent Current .••.•..••..•... 9mA

• Precision Buffers
• Op Amp isolation Buffers
• High Speed Une Drivers

HA-2542

•
•
•
•

• Video Cable Drivers
• Pulse Amplifiers
• Wldeband Signal Conditioners

Differential Phase Error •.•.•....••.•• 0.1·
Differential Gin Error .................0.1%
High Slew Rate .....................1300VlI!S
Wide Power Bandwidth •.....•...•....80MHz
Fast Rise Time ..•....•........•.... 3ns
Wide Power Supply Range ............ ' 5V1t16V

•
•
•
•
•

AV>-1, +2 Stable with No Compensation
High Output Current. ................ 100mA
Wide Power Bandwidth ...••...•....•. 5.5MHz
High Slew Rate .......••.......•.•.. 350Vll!S

Video Buffers
HF Buffers
Op Amp Isolation Buffers
High Speed Line Drivers
Impedance matching

Differential Amplifiers

TYPE
CA30263
CA3028A
CA3028B
CA3049
CA3053
CA3054
CA31 02

DESCRIPTION
Dual Independent

FEATURES

• Balanced Differential-Amplifier
Configuration with Controlled
DifferentiaV
Constant-Current Source
Cascade AmDlifiers • RF, IF and Video Frequency
Capability
• Balanced AGC Capability
• Operation from DC to 500MHz
Dual High
• CA3028B is Controlled for Input
Frequency
Offset Voltage, Current and Input
Bias Current and Is Intended for
DlfferentiaV
"Balance" Requirements
Cascade Amplifier • Push-Pull Inputs and Outputs
• CA3055 and CA3006 are Identical
Dual Independent
Except for Input Offset Voltage
• CA3028 and CA3052 are identical
Dual HlghExcept for 100MHz Noise
Frequency
Specification
• CA3051 and CA3050 are Identical
Except for Package
• CA3054 and CA3026 are Identical
Except for Package and Substrate
Connection
• CA30263 - Mil Std 883 Rev C NonCompliant Version Only

BW
IIF,
AGC
PKG
FREQ.
RANGE
A
(3d B)
NF RANGE NO.
(TYP) (TYP)
OF
OCTO (TYP) POINT
dB (TYP) kHz dB
dB
PINS
MHz
120

320

5504

3.26

75

12T

120

400

-

7.2/1

62

8C,S,
T

120

40

8

7.2/1

62

500

22

1.35-

53

75

120

40

120

32

5504

3.25

75

14E

500

22

1.35-

1.5

7.5

14E

Recommended for
IF Amplifier Applications

/I NOise Figure 4 tr (MHz) - GHz 0 Gp Min. at 100MHz Cascade, 16db. Differential Amplifier 14dB
VOUT(P-PV): CA3028B, 11.5; TA Range: -55·C to +125·C Except for CA3054 -40·C to +85·C

12T
8E,S,
T

Operational Amplifiers Glossary
AVERAGE INPUT OFFSET CURRENT DRIFT - The
average change In offset current between room (+25'C) and
high temperature (+125'C, +85'C or +75'C) or between
room temperature and low temperature (O'C, -25'C or -55'C)
divided by the temperature difference.

INPUT OFFSET VOLTAGE (VOS) - The differential
D.C. voltage required to zero the output voltage with no
input signal or load. Input offset voltage may also be defined
for the case where tow equal resistances are Inserted In
series with the input leads.

AVERAGE OFFSET VOLTAGE DRIFT - The average
change In offset voltage between room (+25'C) and high
temperature (+125'C, +85'C or +75' c) or between room
temperature and low temperature (O'C, -25'C or -55'C)
divided by the temperature difference.

INPUT NOISE VOLTAGE (en) - The Input noise voltage
that would reproduce the noise seen at the output if all the
amplifier noise sources and source resistances were set to
zero.

CHANNEL SEPARATION - The ratio of the output of a
driven amplifier to the output (referred to input) of an
adjacent undriven amplifier.

LARGE SIGNAL VOLTAGE GAIN (Av) - The ratio of
the peak to peak output voltage swing (over a specified
range) to the change In input voltage required to drive the
output.

COMMON MODE INPUT VOLTAGE (VIC) - The
average of the voltages present at the differential Input
terminals,

OUTPUT CURRENT (lOUT) - The ratio of the change
in output voltage to the change In output current.

COMMON MODE INPUT VOLTAGE RANGE (VICR)The range of voltage that if exceeded at either input terminal
will cause the amplifier to cease operating property.
COMMON MODE REJECTION RATIO (CMRR) - The
ratio of change In Input offset voltage to change in input
commmon-mode voltage, expressed In dB.
VIO)
CMRR - 20 x 10910 ( VCM
COMMON MODE RESISTANCE (ric) - The ratio of
change in Input common-mode voltage to the resulting
change In Input current.
DIFFERENTIAL INPUT RESISTANCE (ric) - The ratio
of change in input differential voltage (small-signal, assumes
amplifier OJ)erating linearly) to the resulting change in
differential input current
FULL POWER BANDWIDTH (FPBW) - The maximum
frequency at which a full scale undistorted (THD< 1%) sine
wave can be obtained at the output of the amplifier.
GAIN BANDWIDTH (GBW) - The open-loop gain of an
op am~(ln VN) at a mid-banG, linear-region frequency
(usual between 1KHz and 10KHz) times that frequency (in
ffz). G W - [AVOW· f

OUTPUT RESISTANCE (RO) - The ratio of the change
In output voltage to the change in output current.
OUTPUT SHORT CIRCUIT CURRENT (lSC) - The
output current available from the amplifier with the output
shorted to ground (or other specified potential).
OUTPUT VOLTAGE SWING (VOUT) - The maximum
output voltage swing, referred to ground, that can be
obtained under specified loading conditions.
OVERSHOOT - Peak excursion above final value of an
output step response.
POWER SUPPLY REJECTION RATIO (PSRR) - The
ratio of the change in input offset voltage to the change in
power supply vortage producing It.
RISE TIME (tr) - The time required for an output voltage
step to change from 10% to 90% of its final value, when the
input is subjected to a small-signal voltage pulse.
SETTLING TIME (tset.> - The time required, after
application of a step input Signal, for the output voltage to
settle and remain within a specified error band around the
final value.
SLEW RATE (SR) - The rate of change of the output
under large-signal conditions. Slew rate may be specified
separately for both positive and negative going changes.

INPUT BIAS CURRENT (I BIAS) - The average of the
currents flowing Into or out of the input terminals when the
output is at zero volts.

SUPPLY CURRENT (IS) - The current required from the
power supply to operate tile amplifier with no load and the
output at zero volts.

INPUT NOISE CURRENT ('n) - The Input noise current
that would reproduce the noise seen at the output if all
amplifier noise sources were set to zero and the source
impedances were large compared to the optimum source
impedance.

SUPPLY VOLTAGE RANGE - The range of power
supply voltage over which the amplifier may be safely
operated.

INPUT OFFSET CURRENT (lOS) - The difference in the
currents flowing Into the two Input terminals when the output
Is at zero volts.
INPUT OFFSET VOLTAGE (VOS) - The differential D.C.
voltage required to zero the output voftage with no Input
signal or load. Input offset voltage may also be defined for
the case where tow equal resistances are inserted in series
with the Input leads.

UNITY GAIN BANDWIDTH - The frequency range form
D.C. to that frequency where the amplifiers open loop gain
is unity.

Intelligent Power
Feature Products
Power Converters
ACto DC
• Converts an AC input into a regulated DC output
• Wide input frequency range (48 Hz - 440Hz)
• Line and load regulation (5%)

DC to DC
• Converts a DC input voltage to a higher. lower. or sign changed output voltage
• 98% typical power efficiency
• Easy to use (only 2 passive extemal components)

Drivers
Half Bridge
• Provides flexible control and management of power MOSFETs or IGBTs in a
half bridge format
• 500 Volt maximum rating
• Peak drive to ZA
• Switching speeds to 100KHz

Power MOSFET
• Provides interface circuitry between digital control circuitry and power MOSFETs
• High Peak output current (2A)
• Fast switching times (200nS)

High Side Switches
• Provides supervisory functions and control between loads and supply voltages
• Overvoltage shutdown
• Load currents up to 1.2 amps

Low Side Switches
• Provides supervisory functions and control between loads and ground
• Over current and over temperature limiting
• Load currents to 700mA

Zero Voltage SwitchIDriver for Triacs
• Generates output pulses when an AC input voltage crosses through zero volts.
• 24V. 120V. 2081230V 50/60 or 400Hz operation.

Electronic Protection Array
•
•
•
•

Provides ESD & overvoltage protection
1A current capability
+5V to +28V supply range
6ns rise time

Voltage Detectors
• Senses high and low presettable DC voltage values and provides an output
when they are exceeded
• Threshold accuracy (2%)
• Individually programmable upper and lower trip points

Pulse Width Modulators
• Provides control circuitry for regulated switching power supplies
• LinelLoad regulation (0.02%)
• Switching Frequency (>100KHz)

Battery Back Up Switch
• Automatically connects battery to equipment when power is lost and drops
battery when power is restored
• Wide supply range (2.5V to 15V)
• Low power consumption (1.5A)

Voltage Regulators
• Changes unfiltered raw supply voltage to an improved/regulated DC voltage.
• Output current to 10 mA with pass transistor
• Line regulation (.03%). load regulation (3)

Intelligent Power
Ordering Information
HIP

ti

;:"

CA
CA
PART_BER

-qs
I P 12

H

Un •• Be.I..

5 0

01

I

PrefIx

P

IP Intelligent Power

PACKAGE:
P Plastic DIP

B' SOIC
S: power SIP
M' PLCC

TOPOLOGV:
O. Low Side Switch

1' High Side SIMlch

J: Ceramic DIP

2: Half Bridge
3- ACIDC Converters

4, Full Bridge
5: RegulatorJPower Supply
6' Protection Circuits

TEMPERATURE:
A· Automolve (-40 tol05)
C, Commerdel (0 10 70)

g' Special Function

I- Industrtal (-"0

to 85)

M MIII1ary (-5S 10 12S)
VOLTAGE:

Mutlpty by 10 lor capablHty
(eK so • SOOV)
II • Is used lor first digit do

r:~ ~5U~~I~)bY 1O,

J--T
E

3242

Sequential Nwnbet based on order 01
development O-g

Pockogo Suffix
Dual-In-Une Ceramic

D

Dual-In-Une Plastic
FrU-Seal Dual-In-Une Ceramic
Ouad-In-Line Plastic
Oual-irrLine Formed Lead TO 6

~~~I ~~~::~brp'a'IiC

Tepe' Reel For
Smoll'()ulll... Pookog••
With the Introduction of
.mall-outllne packages.
Harrl. now offer. III cu.tome,. the convenient
tape and reel .tyle paekaging. Smail-outline'
devlc .. , which can be
tape and reeled, are
denoted with the suffix
·M9S· or ·AM9S· In the
linear and high speed
logic product lines.
Devices must be ordered
In multiple. of quantltle.
listed below. Any return.
mu.t be full and unopened reels.

E
F

0
S
T
M

X

Ext,e Vel ... so,..nln~
Linea, roduet wit
extra vafue .creenlng
a. an X added to the
standard tyl!e number
In the price list, and I.
also branded a. such.
A white dot wlllndlcate
location of Pin 1.
EDmple:
A CA3080E with Extra
Value lereenlng I.
de~nated CAa080EX
In t e price lI.t It Is
branded CA3080EX
plu. a white dot at pin
number 1.

4 DlgH a.ol. DevI.o
Typo Port Numb..

T

H' Harris

FAMILV:

T

:~i

t--

TAPE
WIDTH

LEAD
COUNT

REEL
SIZE

INmm INCHEe

•

12

l'1.

14

DEVICES
PER
REEL
2_

1.

2_

24

11

10G0

24

24

l'

10G0

I':;;i

'~

:\if,

:;~

(:

~:~~

~

'I,

,,~.

~

"--";

'"1+
(,

tl:.,t
'i'
,,*~.

~~

',1 ....
","
'.lI..
l.,;i..

:~i

ICM

MlcroperipherallC

"V

High Voltage

LM

National Semiconductor Altemate Source

Tempermur. Range o..lgnato1'8
SUFFIX

TEMPERATURE RANGE

C

Commercial. O'C to +70'C
Industrial Eil\er -25'0 to +8S'C or
+85'C (Specilled on Calasheet)
Military -5S'C

'",

Pin Count On:lgnator

DEVICE FAMILY
Linear Ie

,"o·c to

to +125'C

hchge Type Deslgnato...
SUFFIX

£:.

't

','
,";

.:~~}

ICL

M

2&00

l'10

Device Family Prefix. .

I

,'#iJ

\1(::

l'11

HVlICUlCM
PREFIX

~
~
Wi

SUFFIX

PIN
COUNT

A

8

B

10

C

12

)"

D

14

~;

E

16

V

8

(0 200' pin circle, Isolated case)

.. "

W

10

(0 230" pin clrcle,lsoiated case)

~

X

10

(0.230· pin circle, case to pin 5)

V

8

(0200" pin circle, case to pin 4)

Z

8

(0,230' pin circle, case to pin S)

DIAMETER

Small Outline IC (SOle)

J

Ceramic DUal-ln-Line

P

PlasticOual-ln-Line

T

TO-OO. TO-l 00

"

";'Ii;

'"

"~
"

~{

I

";
"

PACKAGE

B

t" ~
..'

"

'"
1.2 OR a DIGIT
PREFIX

'.4 OR 5 DlGrr UNIQUE
DEVICE NUMBER

X~

~X~1

tOR 4DIGrr
OPTION SUFFIX

HICIH REUABIUTY
DEeIONATOR

~~-~

Package type Designator
Temperature Range DeslgnalOr
EIIICIrIcaI OptIon Designator Only. Uled •
more than one eleclriCal option IS available.
Variation of belle device type d::r.natoron~ used If more1han one baIIc vice Is
ava lable.
3 or 4 digit basic devlca type pert number
Device family prellx

.-

1/

.::"
.".;
-,

-'

Feature Products
MOSFET Drivers
The "HV" family of MOSFET drivers utilize the benefits of Dielectric Isolation Technology to achieve cost
effective SCR topologies with high voltage and high speed performance. These products compliment Harris'
full line of power discrete MOSFETs and IGBTs.

HV·400 • MOSFET Driver

Features:
•
•
•
•
•

Peak Source/Sink Current of 6N30A
70 ns Rise, 30 ns Fall Times
300 kHz Frequency Range
No Supply current in Quiescent State
8 Pin PDIP/SOIC

Half Bridge Drivers
Harris' half bridge driver circuits are manufactured in a high voltage process capable of sustaining greater
than 500 Volts. The following products offer the design engineer Improved alternatives to existing solutions.

SP600/601

Features:
•
•
•
•
•
•

>.5A Output Drive
DC to 20KHz PWM Frequency Range
Shoot Through Protection
Independent Gate Control (SP600)
Single Input Gate Control (SP601)
Intemal Refresh for DC Operation

HIP 2500

Features:
•
•
•
•

2.0A Output Drive
100KHz PWM Frequency Range
Independent Gate Control
IR 2110 Alternate Source

Offline Power Supplies
Utilizing Harris Dielectric Isolation Technology and proprietary design, this product and future products
provide direct offline to re9ulated DC conversion integrating the functions of rectifier, transformer, and 3
terminal regulator into a single cost saving IC.

HV·2405E - Single Chip AC/DC Power Supply

Features:
•
•
•
•
•
•
•

18 V to 264 Vrms Input Range
5 V to 24 Vdc at 50 mA Output
150 mA Output Curretn with App. Note AN91 01
Output Accuracy Maintained at <5%
UL Recognized = File #E130808
Low Cost Compact Form
8 Pin PDIP

Feature Products
Electronic Protection Array
For ESD & Overvoltage Protection Using SCA/Diode Circuit Structures.

SP720
Features:
• 1.0 A Current capability
• +5V to +28V supply Range
• Fast Switching Sns Rise Time
• An Array of 14 Diode Pairs
• -40'C to +125'C Operating Temperature Range

DC/DC Converters and Regulators
Produced on low power CMOS. these product offer superior performance over other second source
devices while providing latch-free operation at very competitive prices.

ICL7644/51Gn - Low Voltage Step-Up Converters (New)
Features:
• +3 V or 5 V Output from a Single 1.5V Cell
• 0.9 V Start-Up
·200 mA (Int. MOSFET). 350 mA (Ext. MOSFET)
• 5~ Quiescent Current
• 14 Pin PDIP/SOIC

Power Converter - AC to DC
HV-240SE
Worldwide single chip Power Supply

Features
•
•
•
•
•
•

Applications

Direct AC to DC Conversion
Wide Input Range (18Vrms - 264Vrms: HV-2405E)
Output Current to 50mA (150mA, App Note 9101)
Adjustable Output Voltage: 5 to 24V
UL Recognized
LlneJIoad Regulation <5%

ACHIGH

•
•
•
•

Non-isolated Power Supplies
Battery Back Up Systems
Housekeeping Supply for Switch Mode Power Supplies
Dual Output supply for Off-Line Motor Controls

Package Availability - 8 Pin Plastic MiniDIP

HV-240SE

6

.-w\.-.--+-__li-.()o",o--e----. _-+-."--0
8

vour

R1

C1

5
C4

~-----t~~+---------~~------~3~--r_--_O
ACRETURN

PRE-REGULATOR CAP

2

+
C2

DAt

AC
RETURN

SWITCHING PRE -REGULATOR

LINEAR VOLTAGE REGULATOR

GND

Power Converters - DC to DC
ICl7644/5/6n
Converts 1.5V Single Cell Battery Voltage to +3V or +5V Output

Dl

Features:
•
•
•
•
•

0.9V Start-Up
+3V or +5V Output From A Single 1.5V Cell
lout - 200mA (Internal MOSFET)
Standby Current: ICL 7644/5/6n (80J,lA)
Shut Down Current: 5J,lA

Applications:
• PagersiRC Receivers
• Portable Instruments
• Battery Powered Devices

Package Availability - 14 pin plastic DIP
14 pin SOIC

ICL7644/5/6n Functional Diagram

ICl7660, ICl7660S, ICl7662
Converts +OC Input Voltage to -DC Output Voltage, Positive Voltage Doubler

Features:
DEVICE NO.

ICL7660

ICL7660S

ICL7662

Hi 3.0-10V
Lo 1.5-3.5V

Hi 3.0-12V
Lo 1.5-3.5V

Hi 9.0-20V
Lo 4.5-11V

OSC-Frequency

10KHz

10KHz

10KHz

Power Efficiency

95%

95%

95%

Supply Voltage Range

Voltage Conversion
Efficiency

97%

99%

97%

Output Source/Resistance

1000

1000

1000

Supply Current

500J,lA

160J,lA

600J,lA

Applications:
Inexpensive Negative Supplies FDr Data Acquisition
Systems
Package Availability - 8 pin SOIC (7660, 7660S)
8 pin MiniDIP (ALL)
8 pin TO-99 (ALL)

a.)

b.)

Simple Negative Converter and its Output Equivalent

Drivers - Half Bridge
SP600/601, HIP2500
Intelligent Power- Circuitry Provides Interface Between Low Voltage Logic and High PowerNoltage Half Bridge Configuration.

Features:

SP600

Device No.

SP600

SP601 HIP2500

~ictoHigh

Yes

Yes

Yes

Upper Rail Drive
Supply on Chip

Yes

Yes

Yes

Vo age Interface

Single S'8'~IY Latch
FreeCM

Yes

Yes

Yes

Output Drive

>.5A

>.5A

2.0A

Simultaneous
Conduction Lockout

Yes

Yes

No

PWM Frequency

OCto
20KHz

OCto
20KHz 100KHz

Shoot-Through
Protection

Yes

Yes

'Bootstrap Capacitor

No

Applications
• Power Supplies/UPS - Ballast Supplies
• PWM Motor Drives
• Motor Controls
°IR2110 Alternate Source (HIP2500)
Package Availability - 22 pin DIP (SP600/601)
14 pin DIP (HIP2500)

HIP2500
;0 ....................................................................................................

HIP2500

r--~v.

Voo

HO

HIN

'----0 VI

SD
UN

LO

iOOM

...................................................................................................

\

Simplified 1/2 Bridge Resonant Mode Controller
For Power Supply Applications

Drivers - Half Bridge
CA3169
Logic Driven "Intelligent" Automotive Solenoid/Lamp Driver

Features:
•
•
•
•
•

Input TTLJCMOS Compatible
lout. SOOmA (Typ.)
Supply Voltage Range 10.5V to 1av
Thermal Overload Plus Output Short Circuit Protection
Inductive "Kick" Protection

Applications:
•
•
•
•

Solenoid Driver
Relay/Lamp Driver
Motor Controller
TriaC/SCR Driver

Package Availability - 5 LEAD to -220
Vertical and Horizontal Mount

Drivers - Power MOSFET
HV400/1 CL 7667
Provides Interface Circuitry Between Logic and Power MOSFETS

Features:

ICL7667

Part No.

HV400

ICL7667

Peak Output
Source Current

6A

aOOmA

Peak Output
Sink Current

30A

aOOmA

Switching
Frequency

300KHz

1.0MHz

Supply Current in
Quiescent State

OA

2.5mA

Fall TIme

22ns

30ns

TTLJCMOS Input
Compatible

Yes

Yes

Supply Range

20V

15V

HV400

"-:;-- - - - - - - r

Applications:
•
•
•
•

Switching Power Supplies
DC/DC Converters
Motor Controllers
Uninterruptible Power Supplies

Package Availability - a pin plastic SOIC
a pin plastic Mini-DIP
TO-99 CAN (ICL7667)

TO
MOSFET
LOAD

High Side Switches
CA3273
Provides Drive and Control Functions in "ON" and ·OFP Modes

Features

CA3273

• Current limit (1.2A)
• Supply range (4-24V)
• Ron - 400hms
• Overvoltage shutdown (25-40V)
• Thermal shutdown (150'C)

Applications
• Power SupplieslUPS - Ballast Supplies
• PWM Motor Drives
• Motor Controls
*IR2110 Altemate Source (HIP2500)

PIN>
Vsw

J

CONTROL
INPUT

Package Availability - 22 pin DIP (SP600/601)
14 pin DIP (HIP2500)

Low Side Switches
CA3274
Provides Drive, Control with Over Current Sensing Functions between Loads and Power

Features

Applications
• Solenoid/Relay Driver
* Lamp Driver
• Ignition Coil Driver

• Current Limit (200mA)
• Control Input Logic Compatible
• Overcurrent Flag Output
• Switching Time (5jlS)

VCC

CA3274

8
r - CA3274- -

CONTROL
IN

I BLOCK DIAGRAM
I
7

-

-

CONTROL
LO~IC

-

-

-

-

-,

I

01

R4

r.::::----r6-_-~=;;!

RELAY OR
SOLENOID
LOAD

DRIVE IN

CURRENT
LIMITER

DRIVE OUT

R3
R2

1---.="""'-+':"2---"Y\---~
SIGNAL
GROUND

SENSE

R1

POWER
GROUND

Low Side Switches
CA32421621Al72
Quad Power Drivers Supply Drive Plus Supervisory Functions for Ground Reference Loads

Features:

0-

Applications:
• Relay/Solenoid Drivers
• Motor Drivers
• Heater Control
Package Alailability - 16 pin DIP Plastic

C;;:;:: ••

Out 0

CMOS
OR

TTL

LOGIC
LEVEL
INPUTS

Low Side Switches
CA3059/3079
Provides Triac Drive Signals when AC Power Input
Crosses OV
Features:
• Wide Operating Range - 24V, 120V, 208l230V, 277V
(50,60 or 400Hz)
• On Chip Owner Supply (Self Powered from AC Input)
• High Power Current up to 240mA
• RFllnterference Eliminated

Applications:
•
•
•
•

RelayNalue Control
Heater Control
Photosensitive Control
Lamp Control

NEGATIVE TEMPERATURE COEFFICIENT

Electronic Protection Array
SP720 - Electronic Protection Array
Provides ESD & Overvoltage Protection Using
SCA/Diode Circuit Structures

v.66>-...,...._---_,......-

Features
• 1A Current Capability
• Low "ON" Resistance
• +5V to +2SV Supply Range
• Designed to Provide Over Voltage Protection
• Fast Switching - Bns Risetime
• An Array of 14 Diode Pairs
• Proven Interface Protection for ESD
• -40·C to +125·C Operating Temperature Range

AppUcations
•
•
•
•

Microprocessorn..ogic Input Protection
Data Bus Protection
Analog Device Input Protection
Voltage Clamp

Voltage Detectors
ICL7665S - Voltage Detector
Detects Under And Over Voltage Condition. User ProgrammableTrip Points Prevent Oscillation

Features
• Low Power CMOS Construction (10JlA)
• Wide Operating Range (1.6V to 16V)
• On Chip Precision Reference
• Improved Direct Replacement for Other
Second Source Devices

, - t - - -.. u::!!:..........-. . v.

r----------~--~_SET1

_-~---~"

SE. .

---+----1

MYI"

r-,--t--11!------... MYIT1

Applications
• Battery Powered System
• Portable Computers
• Charging Systems

ICL8211/8212 - Voltage Detector
Provides Precision Voltage Detection

Features
• Precision Intemal Reference
• Wide Operating'Supply Range (1.8-30V)
• Output Current: ICL 8211 - 12mA
ICL 8212 - 35mA
• Stable Operation Under Temperature and Supply Variation
• Easy to Set Hysteresis Range

Applications
• Low or High Sensornndlcator
• Programmable Voltage Reference or Zener
• Series or Shunt Power Supply Regulator
Package Alailability - S pin Mini DIP
Slead SOIC
TO -99 Can

-+__

L-_ _ _

~~.---oo~

L........_ _ _ _ _ _ _ _ _ _......_ _......_

... GND

Pulse Width Modulators
CA1524,CA2524,CA3524
Control Circuitry For Use In Switching Regulator (Power Supply) Circuits

Features:

CA1524
CA2524
CA3524

Load

V+Range
V

VoRange
V

R~ualtion

8to40
8to40
8to40

4.8 to 5.2
4.8 to 5.2
4.6 to 5.4

0.2
0.2
0.2

Regulatln~

pulse-wld
modulators

% o(Typ)

Ripple
Rejection
dB (Typ)

Total Standby
Current os (mA)
(Max)

VC\.SAT
(Typ)

10
10
10

66
66

66

0.8
0.8
0.8

Electrical characteristics at V+ - 20V, I - 20kHz.
TA - -55 to +125'C for CA1524; 0 to +70'C lor CA2524, CA3534.
16-lead dual-in-line IE) & (F) packages.
Short-circuit current I mit: 100mA typo Temperature stability: 1% max.

Applications:
• Positive and Negative
Regulated Supplies
• Dual Output Regulators
• Flyback Converters
• Variable Power Supplies

... .
V.

lNI34!8

lDOpF

Package Availability - 16 Pin DIP
Flyback Converter
Flvback converter circuit for generating a dual
15-vo~ output at 20 mA lrom a Sovolt regulated
line. Reference voltage Is provided by tile Input
and the Intemal reference generator Is unused
Current limiting in this circUit Is accomplished
by sensing current in the primary line and
resetting the soft-start circuit.

....

""".

"".
,
,

'I'll

~

)CA3524

~

))-

T

Features:
• Combines Variable-Pulse Interval (PIM) and
Variable-Pulse Width (PWM) Control
• Reduced Losses and Low Ripple at Minimum
Frequency with Improved Efficiency and
Regulation
• Operates up to 200Khz
• Overcurrent Sensing
• Lower Peak Currents than PWM RegulatorLess Prone to Magnetic Saturation
(See App Note ICAN-8614)

'- ..
Z1

INI3.'8

·t

2NI2IO

lNI3418

t··~t;:

tI200
. " ......

1"",1oIJ . . .

COFe f"EAOX CUBE
221.·A2!IO-3Bf

,.

QREQUIVAU!!NT

~tpp

fZ10Z
IIICM-3Z8B4

Control Circuit Variable-Interval Pulse
Regulator (VIPUR) for Switch Mode Power
Supplies

• Positive and Negative Regulated Supplies
• Flyback Converters
• VCO Pulse Generator and Driver

1

~.

00211F

'_"'T

.t

..

~

~1

, •••~l'"

J:rr !II."

,....--

CA1523

Applications:

."Ft:

t~.

Battery Back-Up Switch
ICL7673
Automatically Connects Battery or Supply to Output Whichever is Greater

Features:
•
•
•
•

Wide Supply Range (2.5 To 15V)
Status Indicator of Mainpower
low Internal Power Consumption (51JA)
low Impedance Switches

I

PMP

R.

IIAIN
SUPPLY

1v.

Applications:

•

v.

• Battery Backup For Realtime Clocks
Timers or Volatile Rams
• Over/Under Voltage Detector
• Peak Voltage Detector

2

T
-

1I

PHP

A.

, _Ne

•
• •

..
p.

-

EXTERNAL
EQUlPIIENT

I

I

BACKUP
SUPPLY

Voltage Regulators
ICL7663S (Low Power) - CA3085/A/B - CA723
Provides Regulated Output DC Voltages from Raw Input DC Voltages

Features:

Type

Description

10

VI
Range
V

Vo
Range
V

(Max)
mA

Load
Regulation
%VO (Max)

VI-VO
V
(Min)

Short-Circuit
Current Limit
mA(Typ)

7.5 to 30

1.8 to 26

12·

0.1

4

96

CA3085A

7.5 to 40

1.7 to 36

100

0.15

4

96

CA3085B

7.5 to 50

1.7 to 46

100

0.15

3.5

96

CA723

9.5 to 40

2to37

150··

0.03

3

65

CA723C

9.5 to 40

2to37

150··

0.03

3

65

1.5to 16

1.3 to 16

40

100

NlA

40

CA3085

ICL7663S

Voltage Regulators

Low Power

• This value may be extended to 100 mA; however, regulation Is not &pecWled beyond 12 mAo
•• Output current can be Increased beyond lOA with suitable extemal transistor (see application circuit below).

Applications
•
•
•
•
•
•

Pagers (ICl 7663S)
Portable Instrumentation (ICl 7663S)
Battery Powered Systems
Shunt Voltage Regulator
Current Regulator
Dual Tracking Regulator

Package Availability - 8 Pin Minl-DIP/sOIC - ICl7663S
8 Pin Mini-DIPITo-99 CAN - CA3085
14 Pin DIPITO-5 CAN - CA723

Special Analog Circuits
Analog Multipliers
Multiplies analog input voltages X and Y to obtain an output
o Wide signal bandwidth: 100 MHz current and 30 MHz voltage output
o Low multiplication error: 1.6% typo
o Two and four quadrant type available.
o Applications: MIXERS AGC (Automatic Gain Control), division,
root sum square and analog computation

Switches between two DC inut voltage levels at high data rates providing
variable amplitude digital pulse
o 350M Hz digital data rate
o Wide output range of + 7V to -2V
o Target application: IC tester PIN electronic

Transistor and Diode Arrays
Multiple, uncommitted transistors or diodes on a common monolithiC substrate
o NPNs, PNPs and differential pair configurations available
o Gain Bandwidth product (IT) up to 6 GAz typical
o Applications: Custom amplifier design, bandgap circuits, translinear
circuits and more.

Comparators
Compares the amplitude of the analog input voltages at the (+) and (-) terminal
to obtain an output. If V(+» V(-), then Vout is High; If V(-)< V(+), then
Vout Is Low
o Propagation delays down to 3.1 nsec maximum
o Applications: Voltage detection, interpreters

Timers (Square-Wave Generators & Pulse One-Shots)
Generates square-waves up to 2 MHz typical (astable operation)
Generates a one-shot pulse for triggering events (monostable)
o Low power CMOS and long-range timing options available
o Applications: Switching supplies, clockboards, output triggers

Waveform Generator
Generates Sine, square or triangle waveforms
o Frequency range from .001 Hz to 100 KHz maximum
o Variable duty cycle from 2% to 96%
o Applications: Telecom ring generator, function generator and more.

Log/Anti-Log Amplifiers
Compresses a wide input-voltage dynamic range (10mV-l0V) to a linear, narrow
range output voltage (0-3V) for a log amp. Anti-log amplifiers do the inverse.
o Input current range of six decades and input voltage range of th ree decades
o Applications: Wide range transducer input command

Temperature Transducer
o
o
o
o

Produces an output current proportional to absolute temperature
Linear current output: I~K
Small, two terminal TO-52 package
Applications: Temperature compensation and monitor circuits

Voltage Reference
Produces a 1.2V voltage reference band-gap type
o Low bias current: 50uA
o Small, two terminal TO-52, T092 or SOIC package
o Applications: Reference for ICL 71 XX AID converter panel meters

V<+l~UT
V~L______
~::-:-::::~C=~R~IP:~~O:P~I-'-+-Cr---~I~D~
_____________
.::::.._J
b
GND

ICL8038 Precision Waveform GeneratorNCO
Provides high accuracy sine, square, triangular, sawtooth
and pulse waveforms.

,....----------08 v.

Features
• Wide Operating Frequency Range (0.001 Hz - 300kHz)
• Low Frequency Drift (250ppmrC)
• Low Distortion (1% - Sinewave, 0.1% Tri-Wave)
• Simultaneous Outputs

Applications
• Ring Generation (Telecom)
• Frequency Synthesis
14 Pin CERDIP and Plastic DIP
Square Triangle .In. Wweform Outputs

2C

Timers
ICL 8048/8049 Log/Antilog Amplifiers
Compresses a wide dynamic range at input voltage/current to a linear
narrow range output voltage (OV - 3V). Antilog amplifier does the inverse.
Features:
• 1/2% Fu" Scale Accuracy
• 120c1B Dynamic Current Range (8048)
• SOdB Dynamic Voltage Range (8048 & 8049)
• Scale Factor 1V1Decade (Adjustable)
• SOkHz Input Bandwidth With 1rnA Input Current

I YOUT

Applications:
• Logrithmic Signal Compression/Expansion
• Analog Computation

7

15

GAIN

", OUTPUT

ICL8048

1S Pin CERDIP

.---=:..:---:t.t.L.::~----, "2 INPUT

,.
GAN

A, INPUT

", OUTPUT

ICL8049

AD590 2-Wire, Current Output Temperature Transducer
Produces an output current proportional to absolute temperature (1 jJAlK)
Features:
• Current Output for Noise Immun~
• Wide Supply Range (+4V to +30 )
• Easy to Use
• Wide Temperature Range (-SS'C to +12S'C)

+

-

~.I'~

=-

Applications:
• General Purpose Temperature Sensing
• Remote Temperature Sensing

"".:

AD590

ICL8069 Low Voltage Reference (1.2V)
Produces a stable, temperature compensated voltage output (1.2V)
+5V

Features:
• Bandgap Principle Achieves Low Noise, Excellent Stability
• Low Bias Current (SOf,IA Min) - Good for Battery Powered Applications
• Low Cost
Applications:
• AID and D/A Reference Voltages
• Threshold Detectors
• Voltage Regulators

r - - - --i>-----,
I ICL8069

4.7pF

*

\

1

..,~

~

-,1_ _ _.......
2_ _

ICL8069

10kll

~}

~>----o

VOUT

Sample & HoldlTrack & Hold
J-----,

I
I
ANALOG
SIGNAL

s/H

I SAMPLE I

C~""':J
~..._ _

;,>-I

DIGITAL
SIGNAL

::b

I

V

Definition:
Looks at an analog signal at some point in time (sample) and holds that value of
analog voltage upon command from the sample/hold digital input signal

Key Parameters:
Acquisition Time:

Time to acquire "sample" signal

Aperture Time:

Time required

to go from sample to hold

Aperture Uncertainty: Determines accuracy of held voltage
Drift Current:

Leakage of hold capacitor

Hold Step Error:

Offset error caused by S/H switching

Features:

HA-2420/5

Internal Hold Cap
External Hold Cap
Advantage

HA-5320
X

X
Low Cost

Applications:
Precedes AID convertor in data acquisition system
Peak detector
Deglitcher
Auto zero systems

HA-5330
X

X
0.1 mVat 1000pf
Low Hold
Step Error

HA-5340
X
X

500ns (0.01 %)
Fastest

-72dBc at 200 kHz
Low Distortion

Sample & Hold

Part Description:

HA

1

5

5J2.Q

I
Prefix

Part Number

Package
1 : DIP, ceramic
3 : DIP, plastic
4 : LCC, metaVceramic
4P :PLCC
9P :SOIC
o :CHIP

I
Temperature
-2
-4
-5
-6
-8
-9

:-55'C TO +125'C
:-25'C TO +85'C
: O'C TO +75'C
: +25'C DICE PROD
: DASH 8 HIGH REL
: -40'C TO +85'C
1883 : MIL STD

'l.

"

Sample-and-Hold Amplifiers
ACQUISITION
TIME
CHARGE
12 BII (0.01%) TRANSFER
TYP,+250 C TYP,+25 0 C

SAMPLElHOLD
TYPE

TEMPERATURE
RANGE

PACKAGE"

HAl-2420-2
HAl-2425-5
HA3-2425-5
HMP2425-5

General Purpose

-5SOCto+1250C
OOCto +750C
00Cto+750 C
00Cto+750 C

14-Pin Cerdip
14-Pln Cerdip
14-Pln Epoxy
20-Pln PLCC Epoxy

HA1-S320-2
HAl-5320-S
HAl-5320-8
HA4-5320-8

Industry Standard

-55OC to +125OC
OOCto+7SOC
-SSOCto+12SoC
-SSOCto+12SOC

14-Pin Cerdip
14-Pin Cerdlp
14-Pin Cerdip
20-Pln LCC Ceramic

HA1-S330-S
HA1-S330-4
HA1-S330-2

High Speed

00Cto+7So C
-2SOC to +8So C
-SSOCto+12SoC

14-Pin Cerdip
14-Pln Cerdip
14-Pin Cerdip

HAl-5340-5
HA1-S340-4
HA1-S340-9

Low Distortion

00Cto+750 C
-2S0C to +8So C
-400C to +8So C

14-Pln Cerdip
14-Pln Cerdip
14-Pln Cerdlp

TYPE

HA-2420/25

APERTURE
TIME
TYP,+25 0 C

GAIN
BANDWIDTH
PRODUCT
TYP,+250 C

10pC

30ns

2.5MHz

0.1 pC

2Sns

2.0MHz
CH=100pF

SOOns

O.OSpC

20ns

4.SMHz

0.7~s

O.SpC

15ns

10MHz

3.2~s

(CH =1 ,000pF)

l~s

(CH = Internal)

HA-5320

HA-5330

HA-5340

INTERNAL HOLD CAP

-

X

X

X

EXTERNAL HOLD CAP

X

X

-

X

SEE FIGURE

3

1

2

1

All Harris Sample and Hold's are monolithic and are specified at 12-bit accurancy for Settling Time (0.01%)

OFFSET

OPTIONAL
EXTERNAl HOLD CAP

~

r····~~····~

ADJUsr
3

OFFSET

OFFSET
ADJUST

4

ADJUST
....-A-o.

....-A-o.
3

4

+v

10

...
·IN
+IN

> - -.....f-'-OOUT

CONTMt'g.'.::4+-_[>...:

+1.

·IN

CONT~ 09f--I>.....

+IN

CON~ o-2I8 f--I>-'
11
SUPPLY

GND

FIGURE 1. HA-5340 AND HA-5320

•V

11

13
GND
SIGNAL

-

v

EXTEnNAL
HOLD CAP ONLY
(OTHER END OF CAP TO OND)

OND

FIGURE 2. HA-5330

FIGURE 3. HA-2420/2S

Sample-and-HoldlTrack-and-Hold Amplifiers
HA-5340 - LOW DISTORTION, HIGH SPEED
• Includes Internal Hold Capacitor
• Provision for External Hold Capacitor to Reduce

D~ft

Current

• Ampiify Signals as Well as Sample-and-Hold

f

= 200kHz

-30 r---.-"T""'1n-r"TTTl--'-""I"""T"TTTTlT-.-T""'TTTrm

KEY FEATURES

HA5330

·40

• Low Distortion (Sample Mode) ••••••••••••••••••• -76dB
VIN 200KHz, 10Vp-p

=

1

-SO

• Fast Acquisition Time (12-BitO.01%) •••••••••••• 700ns

'I

..,'U

• Fast Hold Mode Settling Time (0.01%) •••••••••••• 200ns

:2.·60

• Bandwidth (Internal Hold Capacitor) ••••••••••••• 10MHz

1 'fHA5320
III

c

i!:

• Droop Rate (Internal Hold Capacitor) ••••••••••• 0.111V1I1S
• Aperture Uncertainty •••••••••••••••••••••••••••• 0.2ns

-70

APPLICATIONS

-80

HA5340

• Wideband/Precision Data Acquisition
• Signal Processing and Conversion

"]GENERATOA

·90
0.01

• Computer Add-On Boards

10

0.1

INPUT VOLTAGE
(Vp-pl

• Navigation and Guidance Systems
• Precision Peak Detector
• Auto-Zero Systems

COMPARABLE TO
GENERATOR

TOTAL HARMONIC DISTORTION
THO - Distortion at the Output due to nonlinear characteristics
of the device when a pure sine wave of a specific frequency and
amplitude Is applied to input.
Measure in dB as the ratio of sum of the power of all harmonics
to the power of the fundamental.
HA-5340
VIN
FUNDAMENTAL
(kHz)

VIN
AMPUTURE
(Vp-p)

SAMPLE MODE THO
WORSE CASE
(dB)

200

5

-90

200

10

-76

200

20

-70

500

5

-66

Data Converters

Analog to Digital converters (AIDs or ADCs)
Produces a digital output code that is proportional to the magnitude of the
analog input signal at the time of the conversion.

Key Parameters:
Resolution - Precision by which the digital code represents the analog signal's magnitude.
Specified as: - # bits (Binary Format)
- # digits (Decimal Format)
- # counts (Integrating Types)
- Minimum Resolvable Input Voltage
ANALOG"v
INPUT
SIGNAL

Speed - Maximum number of conversions per second
Specified as: - Hz (Hertz) - cycles per second
- XSPS - samples per second
- Throughput - samples per second
- tconv. in units of time

0011 Digital
0100 Output
0110 Code

_

Architecture

Internal Structures

Harris Features

Flash
Subranging
Successive Approximation
Integrating

Fastest, limited resolution
Fast, two-step Flash
Med. speed, med. resolution
Slow, high resolution

4 to 8 bits; up to 25M
10 bits; internal track & hold
8 to 14 bits; up. to 25 KSPS
Up to 5.5 digits (18 + bits)

AID Converters with Display Outputs
Special purpose integrating ADC's for use with LED, LCD, and
LCD-bargraph displays
,-_ _ _ _ _ _ _ _ _ _ _ _ _ _ _---'-)

Features:
Directly drives display
Integrating architecture - up to 41/2 digit resolution; 30 SPS

ANALOG

~~tN'iI

f\J G
_

AID

-

@]
1,-1
-

DISPLAY
DRIVER
OUTPUTS

Digital to Analog Converters (DACs or D/As)
Produces a discrete analog output level proportional to the digital input code

Key Parameters:
Resolution - Converter Precision (#bits) - see definition above
Settling Time (1&) - time for analog output to reach its final value
Output Types -lout (Current Output) or Vout (voltage output)
Type - Multiplying: Needs external reference
- Non-multiplying: Has internal reference

DIGITAL 0011
INPUT 0100
CODE0110

Features:
Wide selection of speeds (to 1$ < 20ns) and resolutions (up to 16 bits)
Many second sources to industry standard devices

ANALOG
OUTPUT
SIGNAL

Data Converters
H SERIES

CA SERIES
Prefix

H I

Device

Suffix

7151

5

3 •

:. :r r
Prefix

:4J~
Family:

Package:

A Analog
C CommumcatlOns
o

Digital

I Interface
M

Memory
Programmable Logic
S MIlitary/Aerospace
V High Voltage

Pl

1
1B
2
3
4'
4P

Electrical Option

5 Ceramic Substrate
Mini DIP
Flat Pack
9P Small Outline
a Chip Form

Prefix:
CA Linear ICs

1 -55"G to +20Q"C
2 -55°Gto+125°C

Package:

-25"C to t85"C
D·G to t?SOC
6
7
8

100% 25"C Probe (Dice Only)
DOG to +?SOC with 96 hour burn-m
Dash 8 Program, hi-rei processing
with burn-In

9 -40"C to +8S o C

D Ceramic DIP
E PlastiC DIP
F CEROIP
ChiP
3-Layer Ceramic Leadless Chip Camer
K CeramiC Flat Package
L Single Layer Ceramic Leadless Chip Carner
M Smail-Outline PlastiC Package
Plastlc-Chlp-Carner Package

o

ICl SERIES
Prefix

Device

Suffix

Prefix:
AD
ADC
DG
ICL
ICM
IH
1M

Analog DeVices Alternate Source
National Semiconductor Alternate Source
Slllconlx Alternate Source
Linear IC
MlcropenpherallC
Analog SWitch Family
Mlcrocontroller Ie

Temperature Range

Package

------~

Number of PinS - - - - - - - - '

Pin-Count Designators
SuffiX

Number of PinS

10

12
14
16
22

24
42
28
32
35
40
18

20
3

w
44

,10 (0 230" pin circle, Isolated case)
44

Suffix

Package _ _ _ _ _ _ _---'

Temperature:

CeramiC DIP
Brazed Seal
TO-5
Epoxy DIP
Leadless Carners
Plastic Leaded Chip Gamer

Device

C Commercial QOG to 70"C
I Industnal -2SoC to 8SoC or -40°C to 8SoC
(Specified on Datasheet)
M MIlitary -SsoG to +12SoC
Package,

B SOIC
Ceramic (Side-Brazed) Dual-In-Llne
Ceramic Flat-Pack
I 16-Pln (6 x 7 Pin Spacing) Hermetic Hybnd DIP
J CERDIP Dual-in-line
L Leadless Ceramic Quad-Pack
M PlastiC Quad-Pack (PQFP)
P PlastiC OuaHn-llne
S TO-52
T TO-S (Also TO-78, TO-99, TO-l00)
U TO-72 (Also TO-18, TO-71)
Z TO-92
fW Wafer
ID Dice

Exceptions to Package-Type Designators

AD (D'~ Converter) Series
TO-52
CERDIP Ceramic Dual-in-line Package
EpOKY Dual-ln-LlI'le Package
TO-92

Analog to Digital Converters
ANALOG
INPUT
SIGNAL

'V g
-

NO

_

oollDlgIlaI

0100=...
0110

r-

Part
Number

Resalution
(bits)

Conversion
Time
(Sample
Rate)

CA3304

4

CA3306

HI-5700

Power
Supply
Requirements

Package
Types

Temp
Range

40ns
(25MSPS)

+5V
@10mA

16-pln ceramic DIP
16-pln plastic DIP

IND
MIL

Low~er - 25 mW typ @25MSPS
SO Flash

6

67ns
(15MSPS)

+5V
@20mA

18-pln ceramic DIP
18-pln ~Iastlc DIP
CC
SOIC

IND
MIL

Low power - 70 mW typ @15MSPS
1k U ladder resistance
Reillaces mlcropower MP76
SOS Flash

8

(2g~~~S)

+5V
@110mA

28-pln plastic DIP

COM
IND

Flash,!I~==~:J~
9
MHz
Lowest power 8 bit flash
2.5 MHz Input bandwidth

Features

CA3318

8

67ns
(15MSPS)

+5V
@60mA

24-tn ceramic DIP
4-plastlc DIP

IND

ADC0802L

8

110 JJS
(9KSPS)

+5V
@2.5mA

2o-rrln CERDIP
20-p n plastic DIP

COM
IND
MIL

ADC0803L

8

110 J)S
(9KSPS)

+5V
@2.5mA

20-pln CERDIP
2O-pln plastic DIP

COM
IND
MIL

Single 5V s,:~, dillerentlallnputs
VREF/2 adju
for correct F.S.
reading
1/2 LSB unadjusted error

ADC0804

8

110rs
(9KS S)

@2~VmA

2~~npf;;:'D8:p

COM
IND
MIL

Single 5V sure~' differential Inputs
V l2- 2.50
C.
B unadjusted error

Single 5V su~ dillerentlallnputs
C
1/4 B unadjusted error

VRE~-2.5

lIi

HI-7152

10

5JJS
(200KSPS)

+5V
@30mA
-5V
@15mA

28-pln plastic DIP

COM
IND

200 KSPS thr0::Shput rate
Internal track a liold amplifier
1.5 MHz TIH bandwidth
2 step flash

HI-7153

10

5JJS
(200KSPS)

+5V
@30mA
-5V
@15mA

4O-pln plastic DIP

COM
IND

8 Input mux, Intemal track & hold
200 KSPS throughput rate
2 step fiash

HI-7151

10

10J)s
(100KSPS)

+5V
@30mA
-5V
@15mA

28-pin plastic DIP

1~/t

100 KSPS thro::shPut rate
Intemal track a hold amplifier
~.:~~ bandwidth

CA3310

10

13 JJS
(77KSPS)

+5V
@8mA

24-pln ceramic DIP
24-pind:lastlc DIP
OIC

IND
MIL

CDP68HC68AZ

10

14~
(71K PSI

+5V
@2mA

l~ln ~lastiC DIP

IND

HI-774

12

'1?~

28-pln sldebraze

(12:.rsPS)

@17mA/28mA
+5V@17mA

C~~

Internal reference, low noise
Faster version of HI674

~
~

O-pnSOIC

c&

m

Low cost, low power CMOS

SAR
serial output
81npu MUX

HI-674

12

12~
(83K PSI

@15;~nmA
+5V

28-pin ~crlc DIP

C~~

Low noise, faster version of HI574

HI-574

12

25J)s
(40KSPS)

.15V
@ 15 mA/28 mA
+5V@15mA

28-pin sidebraze
LCC

COM
MIL

Low noise, Improved AD574A,
Faster Interface logic

ICL7112

12

40J)s
(25KSPS)

.5V
@4mA

4O-pln ceramic DIP

COM
IND
MIL

1: 1.85 radix, errorcorrectl!IR'
calibration PROM, low dr"

ICL7109

12

133ms
(7.5SPS)

.5V
@1.5mA

4O-gin ceramic DIP
4 -rrln CERDIP
40-p n plastic DIP

COM
IND
MIL

Il1tegratlng type
Rollover error .1 count
Differential Inputs

Analog to Digital Converters
(continued)

NfAlOG'
V:t~

8 - ~M~
0110

I--

Conversion
Time
(Sample
Rate)

Power
Supply
Requirements

Package
Types

Temp
Range

40-pln ceramic DIP
LCC

COM
IND
MIL

SA.R.
No missing codes to 14 bits
~galn or offset adjust
M corrected
Integrating lyJle
Low lea~e 30 pA max
30 IN typICal nOise

Part
Number

Resalution
(bits)

ICL7115

14

40~
(25K PSI

.5V
@4mAl6rnA

ICL71 04
and
ICL8052

14

164ms
(6SPS)

.15V@13rnA
+5V@600pA

2 chip set
14111n plastic DIP
14-pln ceramic DIP
14-pln CERDIP
and
40-pln plastic DIP

COM

ICL71 04
and
ICL8068

14

164ms
(6SPS)

.15V@15mA
+5V@600pA

2 chip set
14-pln ceramic DIP
14-pln CERDIPand
40-pln plastic DIP

COM

4112

250ms
(4SPS)

.5V@3mA

28~ln plastic DIP

COM

655ms
(1.5SPS)

.15V@13mA
+5V@600pA

2 chip set
14-pln plastic DIP
14-pln ceramic DIP
14-pln CERDIP
and
4O-pln plastic DIP

COM

655ms
(1.5SPS)

.15V@15rnA
+5V@600pA

2 chip set
14-pln ceramic DIP
14-l'ln CERDIP
4O-P1R plastic DIP

COM

.5V
@10mA/4.5rnA

28-pln plastic DIP

COM

ICL7135

D~ts

2 -pin CERDIP

(15 Its)
ICL7104-16
and
ICL8052

16

ICL71 04-16
and
ICL8066

16

HI-7159

5.514.5

D~ts

(1 15
bits)

67ms

(5.5dl~t)

(15SP)
17ms

(4.5dl~t)

(60SP )

Features

Integrating tvlll

Low noise 2 iN~p.
165 pA max Ie age
100JN resolution
Dilferentlallnputs
Muxed BCD output
Intagrating type

Low leakage ~ max

30 IN typICal n se

Integrating lyJI8

Low noise 2 iN

165 pA max. Ie

!rC.ge

Integrating ~
5.5 or 4.5 dig modes
10 IN resolution In 5 112 digit mode
ParalleVseriai output

Analog to Digital Converters
with Display Outputs

ANALOOf\j

INPUT
SIGNAL

...

G

AID

--

@]DIS~Y
n DRIVER
-

OUTPUTS

r--

Conversion
Time
(Sample Rate)

Package
Types

101 Bargraph
Segments
Plus Zero

40 ms
(25SPS)

40;e:n plastic DIP
-pIn SOIC

1% resolution,
0.2 to 1.1 V Infaut range
ICL7182 Dlsp ay Kit available

LED,CA
BCD

3 Digits

10 ms/250 ms
(96SPS/4SPS)

16-pln plastic DIP
and
16-pln plastic DIP

BCD to 7 Segment Converter
2 chip set makes a complete bPM A-D
Converter,
3 digit output, "EEE": positive over-range
IndICation, "-":negatlve over-range display.

ICL7106

LCD,DD

31/2 Digits

333ms
(3SPS)

40;e:n I?lastlc DIP
-pm SOIC

Low cost
Low noise !15JN~-p)
Direct Dlsp a~ ve
Auto-Zero In rating

ICL7107

LED,CA

31/2 Digits

333ms
(3SPS)

4o-pln plastic DIP
4o-gin ceramic DIP
4 -pin CERDIP
44-plnSOIC

Low cost
Low noise !15JN

Part
Number

Output
Type

ICL7182

LCD,3X

CA3162

and

Resolution

CA3161

Features

lvt)

Direct Disp ay 0 ve
Auto-Zero Integrating

ICL7116

LCD, DO

31/2 Digits

333ms
(3SPS)

4o-pin plastic DIP
44-pin SOIC

ICL7106 wtth Display Hold function

ICL7117

LED,CA

31/2 Digits

333ms
(3SPS)

40-pin plastic DIP

ICL7107 with Display Hold function

ICL7126

LCD, DO

31/2 Digits

333ms
(3SPS)

40-pln plastic DIP
40-gin ceramic DIP
4 ·pln CERDIP

Low power version 01lCL71 06
"Not recommended lor new designs
(see ICL7136)

ICL7136

LCD,DD

31/2 Dlgtts

333ms
(3SPS)

40-pln plastic DIP
4048ln ceramic DIP

Low power version 01 ICL71 06
"ImtoVed version 01lCL7126
o lor new designs

~lnCERDIP

-pinSOIC

ICL7137

LED,CA

31/2 Digits

333ms
(3SPS)

4o-pln plastic DIP
40-gin ceramic DIP
4 -pin CERDIP

Low power version 01lCL71 07

ICL7139

LCD,2X

33/4 Digits

400ms
(2.5SPS)

40;flin plastic DIP
O·pin SOIC

13 Ran2es, Autoranp.ln~ Multimeter
ICL713 EVKIt, ICL 13 Display K"~
available

ICL7149

LCD,2X

33/4 Digits

400ms
(2.5SPS)

40;e:n plastic DIP
-pin SOIC

18 Ran2es, Autoranging Multimeter
ICL714 DIS~ay Ktt available (Use
ICL7139 E Kit to evaluate)

ICL7135

MUXED
BCD

41/2 Digits

250ms
(4SPS)

28-pin plastic DIP
28-pln CERDIP

100 pVolt resolution, dilferentlallnputs

ICL71C03
and
IC18052

MUXED
BCD

41/2 Digits

333ms
(3SPS)

28-pln plastic DIP
and
14-pln plastic DIP
14-pin ceramic DIP
14-pln CERDIP

2 chiC set
Low eakage 50 pA max

ICL71C03
and
IC18068

MUXED
BCD

41/2 Digits

333ms
(3SPS)

28-pin plastic DIP
and
14-pln ceramic DIP
14-pln CERDIP

2 chip set
Low noise 2 pV typ

ICL7129

LCD,3X

41/2 Digits

500ms
(2SPS)

40;e:n I?lastic DIP
-plnSOIC

10 IN resolution, lX, lOX range selection
Evaluation Kit ICL71291EVKIt available

HI-7159

MUXED
BCD

51/2 & 4112
Di its

67 ms

28·pln plastic DIP

(18~lts)

(51/2D~it)

(15SP )
17 ms
(41/2 Digit)
(60SPS)

Int~rating ~e

5 1 or 4 1 Digit Modes
10 pV resolution

Digital to Analog Converters
DIGITAL 0011
INPUT
0100 _
CODe 0110

-~
DAC...

~

ANALOG
OUTPUT
SIGNAL

r-

Part
Number

Resalution
(bits)

CA3338

8

Conversion
Time
(Sample
Rate)

Power
Supply
Requirements

Package
Types

Temp
Range

2OnS~~P\
S

+5V@25mA
(dynamic)

16-pin plastic DIP
16-pln ceramic DIP

IND
MIL

(50M

Features
Video speed, volt:!e output
2 performance gr es
External reference

AD7523

8

200ns ~ax)
(5MS S)

+5Vto +16V
@2.5mA
(excl I ladder)

16-pin plastic DIP
16-pln CERDIP

COM
MIL

Second source
3 performance grades
External reference
Current output

AD7520/30

10

500ns~~)
(2MS

+5V to +15V
@2mA
(exel I ladder)

16~In plastic DIP
1 pin CERDIP

COM
MIL

Second source
3 performance grades
External reference
Current output

A07533

10

800ns (maxi
(1.25MSPS

+5Vto +15V
@2.5mA
(exciliadder)

16 pin plastic DIP

COM

Second source
Low cost
Exernal reference
Current output

HI-565A

12

250n8~ax)

.15V
@+12mAl
-15 mA

24 pin ceramic DIP

(4MS S)

COM
MIL

Industry standard
01 process
Internal r~~~nce
Current ou ut

HI-562A

12

400n8 ~max)
(2.5M PS)

+5V@15mA
-15V@23mA

24 pin ceramic DIP

COM
IND
MIL

Indus.try standard
01 process
External reference
Current output

A07521131

12

500n8 ~'U)
(2MS

+5V to +15V
@2mA
(exciliadder)

18 pin plastic DIP
18 pin ceramic DIP

COM
MIL

12 bit versions of A07520130

AD7541

12

lps ~max)
(1M PS)

+5V to +16V
@2mA
(excl I ladder)

18 pin plastic DIP
18 pin ceramic DIP

COM
IND
MIL

Second source
External reference
Current output

HI-OAC80V

12

1.5ps J~)
(667K S)

.12V to .15V
@+15mAl
-20mA

24 pin ceramic DIP

COM

Second source
Low cost
01 ~rocess
Vo tage output
Internal reference

HI-DAC85V

12

1.5PS~~)

.12V to .15V
@+15mAl
-20mA

24 pin ceramic DIP

IND

S)

Second source
Low cost
01 ~rocess
Votage output
Internal reference

(667K

AD7545

12

2JJS ~ma~
(500 SP )

+5V to +16V
@2mA

20 pin plastic DIP
20 pin CERDIP

COM
IND
MIL

Second source
External reference
Current output

ICL7134

14,13,
12

U~J~g\

+5V@2.5mA
(exciliadder)

28 pin CERDIP

COM
IND
MIL

Bipolar and unipolar version
External reference
Current output

HI-OAC16

16

U~J~g»

.15V@18mA

40 pin ceramic DIP

COM

Extension of HI-562
01 process
External reference
Current output

ICL7121

16,15,
14

3ps (ma~
(333KSP )

+5V@1.5mA

28 pin CERDIP

COM
MIL

PROM corrected
External reference
Current output

Analog Switches and Multiplexers
Analog Switches:

FF

I

~
I ••- - - - 0

An Analog Switch will pass or block an analog signal. It is
commanded by a digital signal to pass (turn-on) or block
(turn-off) the Signal.

IN

ANALOG
SIGNAL
ON
~

DIGIT~

SIGNAL

Key Parameters:
Rds(on) or Ron:

Resistance of Switch when closed

Toff/on or Taccess:

Time to open/close switch

Id or Is or loft:

Leakage current when switch is open

Vs or Vanalog

Analog input signal range

Features:
Fast Switching - 30ns - HI-201HS
High Off Isolation - 65 dB at 10 MHz - HI-222
Low On Resistance - HI-201 HS, HI-30X, DG-30X

Types, Configurations ( i.e. Single Pole Single Throw)
SPST - Single Dual, Quad
4PST, SPDT - Single, Dual
DPST, DPDT - Single, Dual
Video Switches
Virtual Ground Switch, Switch Driver

Analog Multiplexers (MUX)
An Analog Multiplexer is a group of analog switches with their analog outputs
tied together.

ANALOG
INPUT 1

-A_--....,

Key Parameters
Same as above

Features
70 Volt Peak-to-Peak Overvoltage Protection - HI-54X
High Channel Impedance in Fault Condition - IH51XX
Digital Input Latches - DG52X

Types, Configurations
1.1~~~~1~
.
Dual1x8, Latchable, Programmable Configuration
Overvoltage Protected, Fault Protected, Video

LOGIC
INPUTS

SELECTOR

1x4 or 4:1 MUX

Applications of Switches and Muxes
Route analog signals in:
- Data Acquisition systems
- Video Equipment
- Instrumentation and Control Circuitry
- Signal Processing
- Data Processing and Control Systems

LOG I C
DECODER
CHANNEL

ANALOG
INPUT 4

-A_---'

VOLTAGE
OUT

Analog Switches and Multiplexers
Part Description:

HI

1

5

0506

I
Prefix

I

Part Number

Temperature
-2: -SS'C TO +12S'C
-4 : -2S' C TO +8S' C
-S : O'C TO +7S'C
-6: 2S'C DICE PROBE
-8: DASH 8 HIGH REL
-9 : -40' C TO +8S' C
/883: MIL STD

Package
1 - Dual-in-line, ceramic
2 - TO metal can
3 - Dual-in-line, plastic
4 - Leadless chip carrier
4P - Plastic leaded chip carrier
9 - Flat pack
9P-SOIC
0- Chip form

Prefix

Device

Suffix

ICL

7115

J COL

-LTU

PrefiX'

AD
AOC
DG
ICL
ICM
IH
1M

Analog Devices Alternate Source
NatIOnal Semiconductor Alternate Source
Sll!COnlX Alternate Source

linear

Ie

MlcropeflpherallC
Analog SWitch Family
Mlcrocontroller Ie

BasIc Device Type
Electrtcal Option

Temperature Range

Temperature Range
Package - - - - - - - - '
Number 01 PinS - - - - - - - '

Pin-Count Designators
SuffiX

Number 01 PinS

10
12
14
16

22
24
42
28
32
35
40
18

20
R
W
44

10 (0 230' pin Circle Isolated case)
44

C CommerCial aoc to ?DOC
I Industrial ·25 C to 85°C or -40°C to 85 G
(Specified on Datasheet)
M Military -55 C to +125°C
Q

Q

Q

Package

B
o
F
I
J
L
M

sOle
CeramiC (Side-Brazed) Dual-in-Line
Ceramic Flat-Pack
16-Pm (6 x 7 Pm Spacing) Hermetic Hybrid DIP
CERDIP Dual-in-line
Leadless CeramiC Quad-Pack
PlastiC Quad-Pack (PQFP)
PlastiC Dual-in-Line

TO-52
T TO-5 (Also TO-78, TO·99, TO·l00)
U TO·72 {Also TO·18. TO·7')
Z TO-92
NJ Wa1er
10 Dice

Exceptions to Package-Type Designators

DG (Analog SWitch & MUX) Series
, O-Pin Metal Can
t 4·Pln Flat Pack
Ceramic (Duai-in-Llne Package (Special Order Only)
CEROIP
SOIC
AD lOlA Converter) Series
TO-52
CEROIP Ceramic Oual-ln-Lme Package
Epoxy Dual-in-line Package
TO·92

Analog Switches
All Switches with Prefix "HI" are Olelectrlcally Isolated (01)

1

2

~~

4

3

~
o
I.y('A-...o
o
: I
i o-1t...o

~~~
I

,

,

5

6

o-----v)-o--o
~

SPST
(1)

OUAL
SPST
(2)

OG180

~

0
0

A

•

I

~

I

7

~A

~

QUAD
SPST
(3)

8

0

SPOT
(5)

IH401A

cY1"~

A

o

~
I

4PST
(4)

9

~

~

c;::?~
~

~~

~~

I

I

I

~"

OUAL
DPST
(6)

I

c;:::p~
I
I

OPST
(7)

0

~

.~~

~

~4---o

DUAL
DPST
(8)

OG186

OG189

OG183

OG181

OG187

OG190

OG184

OG182

OG188

OG191

OG18S

DPOT
(9)

HI-S040

OG200

OG201A

HI-S047

OG301A

OG303A

HI-S044

OG302A

IHS040

OG300A

OG202

HI-S047A

HI-301

HI-303

IHS044

HI-302

HI-S046A

IH5140

HI-200

OG211

IHS047

HI-30S

HI-307

IHS144

HI-306

IH5046

HI-222

OG212

HI-387

HI-390

HI-300

OG308A

HI-5042

HI-5043

HI-504S

HI-304

OG309

HI-SOSO

HI-SOSl

HI-S049

HI-381

HI-201

IHS042

IHS043

IH504S

HI-S041

HI-201 HS

IHS142

HI-5048

IH5052

IH5150

IHS143
IHS1S1

IHS14S
IH5149

IHS041

IHSOS3

IHS148

IHS3S2

HI-384

IHS341

SINGLE

DUAL

TRIPLE

IHS017
IHS022

IHS018

IHS024

IHS020

QUAO
IHS009

IHS014

IHS019

IH5010
IHSOll

IH5016

IHS012

HI-S046

Analog Switches

(Continued)

All Switches with Prefix "HI" are Olelectrlcally Isolated (01)
VL

S

,.

v+

12

v+

VL

11

"

°

11

A1
A2

a

0,

"1
15

--

10

A 15

,.
VR

VR

SPST

Device

Suffix Codes

02

"2

"
V-

v-

QUAD SPST

DUALSPST

MIL Spec

RdsION)
OMax(1)

Switch
"ON" V

SWitch
"OFF"V

AnalO1l Slg.
Range V

$INOUH'OLE SINGLE THROW·!$P$T) ..
H11-5040

-2,-5,-7

HI1-5040/883

IH5140

MJE, CJE, CPE

IH5140MJE/6838

IS Max:
'

50 Typ,

3.0

0.6

V-toV+

50

2.4

0.6

±11

..

0.8 Typ.

I

TON

nSec

TOFF

nSec

Fealures

..;'

5.0

..... '.,"':

OUAL SINGLE POLE SINGLE THROW (DUALSPSll'

Is MallO!
15/8SoC "A

2SQC nA

I

',:=:',::';

500

370

260

100

I ....
175 I

150

300

350

Lowest Rds(ON)
Low Rds(ON)

.:: ......:.

I
::.:

:.' .,.:.:..." .:.'... '

(V-)+7.5toV+

1

300

2.0

(V-)+5to V+

1

100

150

180

2.0

(V-)+7.5toV+

1

100

250

300

2.4

0.8

V-toV+

5

100

1000

500

80

0.8

2.4

V-toV+

50

500

240

500

Hll-0222/883

50

0.8

2.4

V-toV+

2.5

200

100

70

DG300MK/883B

50

4

0.8

V-toV+

5

100

150

130

-2,-5
-2,-5

H11-oa04/883

50

11

3.5

V-toV+

5

100

210

160

CMOS Logic, Very
Low Leakage
Very Low Leakage

DG180

AA.BA

DG 180M/BS3B

AP,B?

DG180AP/883B

OG161

AA,BA
AP,B?

DG181AP/883B

OG182

AA,BA
AP,BP

DG182AA/883B
DG162AP/883B

OG200

AA,BA

DG200AA/683B

AK,BK,CJ

DG20QAK/883B

DG181M/883B

H11-0200
H12-0200

-2, -4, -5,-7

Hll-0200/883

-2, -4, -5, -7

HI2-0200/883

H113-0200

-5

HJ1-0222
HJ3-0222
HI4P0222

-5
-5

OG300A

AA,8A,CA

-5,-9

10

0.8

2.0

30

0.8

75

0.6

100

RF Video T-Switch

PLCC

BK,CK,CJ
H11-0304
H12-0304
H11-03B1
H12-03B1

-2,-5
-2,-5

HI1-o3B 1/8B3
HI2-03B1/BB3

50

•

0.8

V-toV+

5

100

210

160

H11-s041
H13-5041

-2,-5, -7
-5

HI1-5041/BB3

so Tvp.

3

0.8

V-toV+

0.8 Typ.

500

370

280

1011 Rds(ON)
Matching

25 Typ.

3

0.8

V-toV+

O.BTyp.

500

370

280

-5
MJE, CJE, CPE

IH5141 MJE/B83B

75

2.4

0.8

±10

5

100

150

125

IH5341

MTW,ITW, CPO

IH5341 MTW/883B

75

2.4

0.8

V-toV+

1

100

150

60

RFVideo T-Swltch

100

480

370

Very Low Leakage

480

370

Very Low Leakage

185

220

-2,-5, -7

H11-5048/883

511 Rds(ON)

H11-504B
H13-504B
IH5141

Matching

QUAD $INGLE! I>OLi!1$lt,U;H,E!,THROW

,'.

OG201

AI<, BK,CJ

DG201AK/883B

125

2.4

0.8

V-toV+

5

DG201A

AI<, BK, CK, CJ

DG201AAK/883B

75

0.8

2.4

V-toV+

5

H11-0201
H13-0201
HI4P0201
HI9P0201

-2,-4,-5,-7

80

0.8

2.4

V-toV+

50

250

-5
-5
-5

PLCC
SOle

H14-0201/883
H13-0201HS
HI4P0201HS

-4,-5

H11-Q201 HS/883

LCC
50

0.8

2.4

V-toV+

1

50

30

50

High Speed

-5
HI9P0201HS -5,-9

PLCC
SOIC

LCC

HI4-0201HS/883
DG202

AI<, BK,CK,CJ

DG202AK/883B

175

2.4

0.8

V-toV+

5

480

370

DG211

CJ
CY

175

0.8

2.4

V-toV+

5

460

360

Low Cost

OG212

CJ
CY

175

2.4

0.8

V-toV+

5

460

360

Low Cost

OG308A

AI<, BK,CK,CJ
CY

OG306AAK/BB3B

100

11

3.5

V-toV+

5

100

130

90

CMOS Logic, Single
or Dual Supply Oper.

SOIC

OG309

AI<, BK,CK,CJ
CY

DG309AK/883B

100

3.5

11

V-toV+

5

100

130

90

CMOS Logic, Single
or Dual Supply Oper.

SOIC

30Typ.

4

0.8

±11

0.5

50

50

150

Quad VaraFET'"
Switch. Use IH6201
Driver

SOIC
sOle

IH401A

IH5052

MDE,CDE

IH5052MOE/8838

80

0.8

2.4

±10

5

100

1000

500

IH5053

MDE,CDE

IH5053MOE/BS38

60

2.4

0.8

±10

5

100

1000

500

IH5352

MJE,IJE, CPE

IH5352MJE/883B

75

2.4

0.8

V-toV+

2

100

150

80

RFVideoT-Swltch

Analog Switches

(Continued)

All Switches with Prefix "HI" are Olelectrlcally Isolated (01)
~

~

~

~

12

8,-,.+---,..,.,'-1':.... 0,
82-+---~'+:....

83

s:

15

11

8,....:'·=i---"'!'4:..... 0,

o.

A2

D4

13

A.,..;.:'5+t::>-I,>J

14

13

y-

VA

4PST

sunfleade.

MILSpe.

-2.-5,-7
-5

HI1-5047/883

HI1-S047A
H13-5047A

-2.-5,-7
-5

Hll-S047A/883

sunfl; Codl.

14

y-

MIL SPII

OUALSPOT

Swlt.
"OFr'V

Anllog SII.
Ring. V

so

0.8

V-toV+

2STyp.

0.8

Rd.(ON)
OMII!ll

Rds(ON)
OMIl (1)

OG186

AA,BA
AP.BP

OG 186AA/883B
OG 186AP/883B
OG 186ALl883B

10

OG187

AA,BA
AP.BP

OG187AA/883B
OG187AP/883B
DG187AL/8838

30

OG188

AA,BA
AP.BP

OG 186AA/883B
OG 186AP/883B

75

OG301A

AA,BA.CA
AK.BK.CK.CJ

OG301AAA/883B

so

HIH)30S1883

awltch
"ON"V

10

8. 0-'::1--"'-"""'-1'::"'" O.
84
°4

SPOT

H11-5047
H13-5047

Device

V+

12

D3

VR

Oevlc.

VL

11

IS Mil 70/
7518S oC nA

TON
nSle

T OFF
nSec

O.8Typ.

500

370

280

10n Max Rds(ON) Matching

V-toV+

0.8 Typ.

SOO

370

260

5Cl Max Rds(ON) Matching

Vial
MlxV

A"llog Sig.
Rangl V

IS Mil
2S DC nA

IS Max 701
7S/85DC ftA

TON
nSec

T OFF
nSec

0.8

(V-I+ 7.5 to V+

IS

300

3S0

300

Channell "ON",
Channel 2 "OFF"

2

0.8

!V-1+7.StoV+

S

100

ISO

180

Channell "ON",
Channel 2 "OFF"

0.8

(V-)+StoV+

100

2S0

300

Channell "ON",
Channel 2 "OFF"

2.4

0.8

V-toV+

100

150

130

YlnH
Min V

S

Feature.

F.,tures

Channell "ON",
Channel 2 "OFF"

so

HI1-030S
H12-0305

-2,-S
-2.-5

Hll-0387
H12-0387

-2.-S
-2.-5

Hll-S042
H13-5042

-2. -5,-7
-S

HI1-5042/883

Hll-S050
H13-S0S0

-2. -5,-7
-5.-7

HI1-50501883

25Typ.

3

0.8

V- to V+

0.8 Typ.

SOO

370

280

Channell "ON",
Channel 2 "OFF"
sn Max Rds(ON)
Matching

IHS142

MJE.CJE.CPE

IHS142MJE1883

7STyp.

2.4

0.8

::1:10

5

100

370

250

Channell "ON",
Channel 2 "OFF"

OG189

AP.BP

OG 189AP/883B

10

0.8

(V-)+7.5to V+

15

300

350

300

Channell "ON",
Channel 2 "OFF",
Lowest Ads(ON)

OG'90

AP.BP

OG 190AP/883B
OG190AL/883B

30

2

0.8

(V-)+7.5toV+

100

180

150

Channell "ON",
Channel 2 "OFF",

OG'91

AP,BP

DG191AP/883B
OG191AL/883B

75

2

0.8

(v-I+StoV+

100

300

lS0

Channell "ON",
Channel 2 "OFF"

OG303A

AK. BK, CK, CJ

OG303AAK/883B

50

0.8

V-toV+

100

150

130

Channell "ON",
Channel 2 "OFF"

HI1-0307

-2.-5

50

11

3.S

V-toV+

100

160

100

Channell "ON",
Channel 2 "OFF"
CMOS logic

Hll-0390

-2.-5

50

4

0.8

V-toV+

100

2'0

160

Channell "ON",
Channel 2 "OFF"

H11-5043
H13-5043
HI4P5043

50 Typ.

2.4

0.8

V-toV+

SOO

370

280

-S
-5

IH5043

MJE.CJE.CPE

11

50

SOTyp.

3

3.5

V-toV+

5

100

210

160

CMOS Logic, Very
Low Leakage

0.8

V-toV+

5

100

210

1eo

Channell "ON",
Channel 2 "OFF"

0.8

V-toV+

0.8 Typ.

500

370

280

Channell "ON",
Channel 2 "OFP'
1
Max Rds(ON)
Matching

on

Low Rds(ONI

-2. -5,-7

Hll-S0431883

S

0.8 Tyo.

HI4-S0431883
IH5043MJE/883B

75

0.8

±10

-2,-5,-7
-S

H11-50511883

2STyp.

0.8

v- toV+

0.8 Typ.

LCC
SOIC

100

1000

SOD

Channell "ON",
Channel 2 "OFF"

SOO

370

280

Channell "ON",
Channel 2 "OFF"
50 Max Rds(ON)
Matching

CY
Hll-S051
H13-5051
H14P5051

Channell "ON",
Channel 2 "OFP'
10n Max Rds(ON)
Matching

H14-50S1I883

IH5143

MJE,CJE,CPE

IH5143MJE/883B

7S

2.4

0.8

±10

100

2S0

lS0

Channell "ON",
Channel 2 "OFF"

IH5151

MJE. CJE, CPE

IHS1S1MJE/883B

30

2.4

0.8

V-toV+

100

500

250

Channell "ON",
Channel 2 "OFP'

PLCC

PLCC

LCC

Analog Switches

(Continued)

All Switches with Prefix "HI" are Oielectrically Isolated (01)

'1
·0

A

,.

YL

Y+

10

11

8,

0,

4

D.

"0

••

13

Y-

••
••

Suffix Codel

O.OUB~POtE$INGt.1iI·:f'HR6W::{~~$r)
-2,-5,-7

Switch

"d'IONI
OMax(1)

MIL Spec

,:.::.

:':::::::.,.:

"ON"V

::'::

. '. . . ............

50 Typ.

H11-S044/a83

3

:~:.

D•

~:'.~'

..
......
:.....,.
~

YR

IIHS144MJE/aa38

I

I

75

2.4

I

.... ,

"':"~ '

..

IS Max

IS Max:701

TON

2S 0e nA

76/8S0e

nSec

:. ":"" ..:.:....'

~ '~.,.:

TOFF
nSec

Features

..........".: ... ,..

..

,,:,:,:.'

"

,'.

o.a

V-toV....

0.8 Typ.

SOO

370

2aO

o.a

±10

S

100

250

1S0

I

y.

DPDT

I

I

I

6IJAI.:0001\\~1i!'.PQj.iit $INQI.~)lIAQW.(QOM;..QP$1i '..' ., .. :.,;'.. :: .. :':::.:'.;:::},: ....:.:.:.'.:.. .: ..' ..:.:::::. '.' '.:"?::"':':'..:.,' . :.

I

,"

100 Max Rds(ON)
Matching

-5
I MJE,eJE,CPE

,.

13

"

Analog Sig.
RangllY
:.

0,
Do
D•

DUAL DPST
Switch
"OFF" V

Y+

1S

A

y.

YR

YL

·0

DO
0,

DPST

IH5144

0,

•• ,.
,.

,.

13

H11-5044
H13-5044

., ,.

11

AO

YR

Device

10

A1

DO

,.

Y+

YL

,.

I

.: .. :.: .. ,':':, ... ,...:':,:

OG183

AP,BP

DG 1a3AP/aa3B
DG 1aSAL/aa38

10

2

o.a

(V-)+7.5to v+

15

300

300

3S0

Lowest RdsON)

DG1a4

AP,8P

DG 1a4AP/aa3B
DG 1a4AL/aa3B

30

o.a

2

(V-)+7.51.V+

S

100

300

3S0

Low Rds(ON)

OG185

AP,BP

DG1aSAP/aa3B

SO

o.a

2.4

(V-)+S toV+

5

100

100

70

DG302A

BK,eK,CJ

DG302AAKlaa3B

50

4

0.8

V-toV+

S

100

150

130

H11-0306

-2,-5

SO

4

o.a

V-toV+

5

100

1S0

130

H11-0384

-2,-5

50

11

3.5

V-toV+

5

100

210

160

CMOS Logic,
Very Low Leakage

H11-5045
H13-5045
H14P5045

-2, -5,-7
-S
-5

50

4

o.a

V-toV+

0.8 Typ.

SOD

210

160

Very Low Leakage

Hl1-S049
H13-5049
HI4P5049

-2, -5,-7
-5
-S

HI1-5049/B83

25Typ.

3

o.a

V- to V+

0.8 Typ.

500

370

2ao

50 Rds(ON) Matching

IHS14S

MJE, CJE, CPE

IH514SMJEf883B

75

2.4

o.a

±10

5

100

150

125

HI1-504S/883

RF Video T-Switch

PLee
Lee

H14-504S/aa3

PLee
Lee

HI4-5049/883

Device

MllSpec

Suffix Codes

Rd'IONI
OMax(l)

VlnH
MlnV

Vlnl
Max V

Analog Sig.
Range V

Is Max 701
7S/8SoC

IS Max
2SoC nA

TON
nSec

TOFF
nSec

DQOBLE.POUt t'iOOIiIt.!!/ ttlA()W. (!:If'D1'j,
Hl1-S046
H13-5046

-2, -5,-7
-5

H11-5046/aa3

HI1-5046A
HI3-5046A

-2, -S,-7
-5

HI1-5046NB83

YL
10

A2

S

o.a

2.4

-Vto+V

O.aTyp.

500

370

2aO

Channell "ON", Channel 2 "OFF"
100 Max Rds(ON) Matching

25

3

o.a

±10

0.8 Typ.

500

370

280

Channel 1 "ON", Channel 2 "OFF"
SO Max Rds(ON) Matching

Y+
11

a

D,

"1

A,

15
10

80

02
YR

y.

DUAL SPST
HI-222, IH-5341

Device

Features

.........

RF/VioEO .. t';.$W~'rCHE$";

~~
L ___ J

(INI

CONTROL
IN

...... ...::.::.,: .
.........

H11-0222
H13-0222
HI4P0222

-5,-9
-5
-5

H11-Q222f883

IHS341
IHS341

MTW,ITW
CPD

lHS352
IHS352

MJE,IJE
CPE

"d'IONI
OM"111

Switch
"ON" V

::}.:.·:.';·:'i::· ''',

Switch
"OFF" V

Analog Sig.
Range V

P"~

0--0 DRAIN
(oun

I
o--C>-+-C>oQRIVER
TRANSLATOR

~

"Tn SCHEMATIC

QUAD SPST
IH-5352

Mil Spec

SUnlx Codes

~

SOURCE 0 - - -

IS Max
25 0e nA

:'; ',.;>.:. ',;: ,.!" ..... ::':.. :::'.'. ':'

IS Max 701
7S/8S oe

.... :: ..

TON
nSec

:;':.';'::':'

TOFF
nSec

Fealures

':,.;:':0:',:':'.' .: . :

5

0.8

2.4

-Vto+V

2.5

200

100

70

DualSPST

IHS341MTW/aa3B

7S

2.4

o.a

-Vto+V

1

100

150

80

DualSPST

IH5352MJEf8838

7S

2.4

o.a

-V to +V

2

100

150

ao

QuadSPST

PLee

Analog Switches

(Continued)

All Switches with Prefix "HI" are Olelectrlcally Isolated (01)

'.......--u-"

t. !.
•.......--u-'.
T. L
"~.

T12 Al0

Davfe.

Suffix Cad..

MllSp..

DUAL CMOS DRIVER
(ONE OF 2 SHOWN)

VIRTUAL GROUND
COMPENSATION FET

VIRTUAL GROUND
SEPARATE OUTPUTS
Rd'ION)
OMu:(1)

aw,teII

Swltclt
"ON"Y

"OFF'"

AnIIOI81,.
Ring. V

10 M..
ISoCnA

'0 MI.
lOoCnA

, ON

T OFF

as..

nSI'

",'0

, ..turel

100

1.5

11

0.5

20

500

500

4 Channels, CMOS Logic

150

0.5

4.5

0.5

20

500

500

4 Channels

MOE,COE
CPE

150

0.5

4.5

0.5

20

500

600

3 Channels

MOE,COE
CPE

100

1.5

11

0.5

20

500

500

2 Channels. CMOS Logic

IH5020
IHs020

MOE,COE
CPA

150

0.5

4.5

0.5

20

500

500

2 Channels

IH5021

CPA

100

1.5

11

0.5

20

500

500

1 Channe~ CMOS logic

IH5022
IH5022

MOO,COO
CPA

150

0.5

4.&

0.5

20

500

&00

1 Channel

IH5024
IH5024

MOE,COE
CPA

150

0.5

4.5

0.5

20

500

500

1 Channel, Compensating FET

IH5009
lH5DOS

MDD,CDD
CPO

IH500BMOO/BB3B

100

1.5

11

0.5

20

500

500

4 Channel., CMOS Logic

IH5010
IH5010

MDO,CDD

IH5010MOO/BB3B

150

0.5

20

500

600

4 Channels

CPD

4.'

0.&

IH5014
IH&014

MOO,COO
CPO

150

0.5

4.5

0.5

20

500

500

3 Channels

IH5017
IH5017

MOO,COO
CPA

100

I.'

11

0.5

20

500

500

2 Channels, CMOS Logic

IH501B
IH50'S

MOO,COO
CPA

150

0.5

4.5

0.5

20

500

500

2 Channels

IH5011
IH50"

MOE,COE
CPE

IH5012
IH5012

MOE,COE
CPE

IH501e
IH501e
IH5019
IH5019

Device

I

Suffll Codas

IH5012MOE/BB3B

I

MILSp••

I 0""",, I... I
YlnN
Min Y

DtJAt.CMOS ORIVER/Vo\'1'AGfiIi 'tAANst,A1'OR
IH6201

.I

MJE, CJE, CPE

I

I

V+,V-

VlnL
Mil V

. ......
"

I

,,'

I I I
2.4

Analog Sig.
Ran •• V

O.B

,

~,""

N/A

I

I..... I
TON

IS 011

:tn.

',: "', ' . .

I

N/A

I

'

400

I

TDFF
nSI'

nSea

:\

'.

, ,

I

300

.'

I

Feltufts

.

.

'.:
'

:: .. :(

DuaJ Complementary Outputs.
DrlveslH401A

:'"

,

Analog Multiplexers
All MUX's with Prefix "HI" are Dielectrically Isolated (DI)
GENERAL PURPOSE
DEVICE NUMBER (S)
DG508A, IH61 08

CONFIGURATION

Rds(ON)-OHMS

8-Channel Single Ended

450

DG506A

16-Channel Single Ended

450

DG509A, IH6208

4-Channel Differential

450

DG507A

8-Channel Differential

450

ACTIVE OVERVOLTAGE
PROTECTION
HI508A

CONFIGURATION

Rds(ON)-OHMS

8-Channel Single Ended

1800

HI-506A

16-Channel Single Ended

1800

HI-509A

4-Channel Differential

1800

HI-507A

8-Channel Differential

1800

FAULT PROTECTED
DEVICE NUMBER (S)

CONFIGURATION

Rds(ON)-OHMS

IH5108

8-Channel Single Ended

1800

IH5116

16-Channel Single Ended

1800

IH5208

4-Channel Differential

1800

IH5216

8-Channel Differential

1800

LATCHABLE
DEVICE NUMBER (S)

CONFIGURATION

Rds(ON)-OHMS

DG526

16-Channel Single Ended

450

DG527

8-Channel Differential

450

DG528

8-Channel Single Ended

450

DG529

4-Channel Differential

450

MODE PROGRAMMABLE
DEVICE NUMBER (S)

CONFIGURATION

Rds(ON)-OHMS

HI-516

16-ChanneI/DuaI8-Channel

750

HI-518

8-ChanneI/DuaI4-Channel

750

SPECIAL PURPOSE
DEVICE NUMBER

CONFIGURATION

Rds(ON)-OHMS

HI-524

4-Channel Video, Low Crosstalk

1500*

HI-539

Differential, 4-Channel, Low Level Matched

900

NOTE: Most Rds(ON) Values are Maximum at +25OC •
• This 18 the Maximum Value Over the Entire 00 to +700c Temperature Range.

Analog Multiplexers

(Continued)

All MUX's with Prefix "HI" are Olelectrically Isolated (01)

".~
.

"'.,...-':
"'.,...-':

,~
,"'~
~

.

'''~

...

~
Do

.
'''~
..

" .,...-':
,,,.,...-':

...
,..
...
...

~
~

~

,,.~

SINGLE 1 X 16

SINGLE 1 X 8
Devici

Sufthr:Cadl'

MILSpl.

Rds(ONI

VlnN

O.alm

MlnV

DUAL1X8

DUAL 1 X 4
VlnL
MaxV

AnalogSlg.

Ran,. V

TON

IDOff
25°C ±nA

nS.c

TOFF
nSlc

..

AN~G. M4LnPlEXSRS(S!NGt.e 1Jt4}.

~

Flltule'

'TABU!:H

..

DGSOBA

AK,BK,CK,CJ

DG506AAK/883B

450

2.4

0.8

V-toV+

0.01

2S0

2S0

Hll-0S06
H13-0S06
HI4POS06
HI90POS06

-2, -4, -S,-7
-5
-S

Hll-QS06/883

450

2.4

0.8

V-toV+

0.3

1,000

400
PLCC
SOIC
LCC

-5,-9
HI4-Q506/883

Hll-0S0BA
H13-0S0BA

-2, -5,-7

1800

4.0

0.8

V-toV+

0.1

300

300

70 Volt AcUve Overvoltage

H10-OS16
Hll-0S18
H13-1S18
HI4POS18

-8
-2,-S
-S
-5

7S0

2.4

0.8

V-IOV+

O.OlS

120

140

Programmabte 1 of
Differential 2 of 4. See

DGS28

AK, BK,CK,CJ

DG528AK/883B

450

2.4

0.8

V-toV+

O.OlS

1000

400

HI1-0548

-2, -4,-S
-S
-S

Hll-QS48/883

1800

4.0

0.8

V-toV+

0.1

300

300

H13-0548

HI4POS48
HI9POS48

Protection. See Table 2-6 (5)

a.

DICE

Table 2-7

PLCC
Microprocessor Compatible
Active Overvoltage Protection
796 Rds(on) Matching. See

TabIe2-8(SI

PLCC
SOIC
LCC

HI4-QS46/863
HI1-1818A
HIS-1818A
HI4P1818A

-2,-5,-7
-5
-5

HI1-1818A/883

400

4.0

0.4

V-toV+

0.1

SOO

soo

IHS108

MJE,IJE, CJE, CPE

IHS108MJE/883B

1200

2.4

0.8

%13

.os

600

400

IHal08

MJE, CJE, CPE

IH6108MJE/883B

350

2.4

0.8

%14

0.03

1500

1000

LCC

HI1-0506
HI3-0506
HI4P0506
HI9P0506

-2,-4,-5
-5
-5
-5,-9

Hll-Q506/883

400

2.4

0.8

V-toV+

DG50BA pin for pin,
ENable strobe

,

'~~~CG tv'IUL't1Pi.EXlltl ($t~(lLS j J( '$)

50 Volt Fault Protection.
See Table 2-9 (6)

'fA8Uil2~2

0.3

250

2S0
PLCC
SOIC
LCC

HI4-Q506/883
DG506A

AK, BK, CK, CJ

4S0

2.4

0.8

V-loV+

0.02

2s0

2S0

Hll-050BA
H13-0S0BA

-2,-5,-7
-5

1800

4.0

0.8

V-toV+

0.1

300

300

Hll-0518
H13-0S16
HI4P0516
HI9P0516

-2,-5
-S
-5
-5,-9

750

2.4

0.8

V-toV+

0.03

120

140

DG528

AK,BK,CK,CJ

400

2.4

0.8

V-toV+

0.2

700

400

Hll-0S46
H13-0S46
H14POS46
H19P0546

-2,-4,-S
-5
-8
-5,-9

1800

4.0

0.8

V-toV+

0.1

500

500

Active Overvoltage Protection

See Table 2-8 (S)
Programmable, 1 of16,
Differential 2 of 8. See

Table 2-8(SI

Hll-DS46/883

Active Overvoltage Protection

See Table 2-8(SI
7'1& Rds(on) Matching

HI4-0S48/883
1H511e

MJI, CJI, CPI

PLCC
SOIC

Microprocessor Compatible

IH5116MJV883B

1200

2.4

0.8

%13

o.os

600

400

Fault Protection.

See Table 2-9(61

PLCC
SOIC
LCC

Analog Multiplexers

(Continued)

NOTE: All MUX's with Prefix "HI" are Olelectrically Isolated (01)
Device

ND1es: (2.3)

SUffix Cod..

MILSPIC

Rd.(ON)

VI.K

VInL

OMn(1)

Min V

Mil'

Analog SI..

400

2.4

0.8

V-toV+

0.01

250

250

450

2.4

0.8

V-toV+

0.3

300

300

Ring"

ID Oft

zs·e ~nA

TON

T OFF

nSH

as..

Features

DG509A

AK,BK,CK,CJ

Hll-0509
HI4P0509

-2. -4,-5,-7
-5
-5

HI1-0509A
H13-0509A

-2,-6,-7
-5

1800

4.0

o.e

V-toV+

0.1

300

300

Active Overvottaga Protection
Saa Tabla2-8 (5)

H10-OS18
Hll-0518
H13-0518
HI4P0518

-e

750

2.4

0.8

V-toV+

0.015

120

140

Programmable 1 of a.
~ifferential 2 of 4.
See TabJa 2-7

DG529

AK,BK,CK

450

2.4

0.8

V-toV+

0.005

1000

400

Microprocessor Compatible

Hll-0539
H13-0539
HI4P0539

-2, -4,-5
-5
-5

850

4.0

0.8

"'10

0.001

250

160

Low Lavel Signals
:HIo Ma. Rds(on) Matching

Hll-0549
H13-0549
HI4P0549
HI9P0549

-2, -4,-5
-5
-5
-5,-9

HI1-D549i883

1800

4.0

o.e

V-tov+

0.1

300

300

70 Volt Active Overvottaga
Protection. ~ Rd.(on)
Matching. Sea Tabla 2-8 (5)

H11-1826A/883

400

4.0

0.4

V-toV+

0.05

300

300

800

400

H13-0509

Hll -05091883
HI4-D5091883

LeC

-2,-6
-5
-5

PLCC
DG529AK/883B

PLCC

H14-o5491883

HI1-1826A

-2, -6,-7

HI3-1828A
H14P1828A

-5
-5

IH5208

MJE,IJE, CJE, CPE

IHS208MJE1883B

1200

2.4

0.8

",13

0.02

IH6206

MJE,CJE,CPE

IH6206MJE1883B

300

2.4

0.8

",14

0.03

H11-0S07

-2,-4,-5,-7
-5
-5
-5,-9

HI1-06071883

400

2.4

0.8

V-toV+

0.3

H13-0507
HI4P0507

H19P0507

PLCC

1500mB. 1000 max

250

250
PLCC
SOIC
LCC

DG507A

AK,BK,CK,CJ

450

2.4

0.8

V-toV+

0.02

250

250

HI1-0507A
H13-0507A

-2, -S,-7
-5

1800

4.0

0.8

V-toV+

0.1

300

300

Active Overvoltage ProlectJon

Hll-0516
H13-0518
HI4POS1S
HI9P051S

-2,-5
-5

750

2.4

0.8

V-tov+

0.03

120

140

Programmable, I 01 Ie.
Differential 2 of 8.

-.

PLCC
SOIC

-5,-9

OG527

AK, BK,CK,CJ

-2, -4,-5
-5
-5
-5,-9

Hll-05471883

400

2.4

0.8

V-toV+

0.2

700

400

Microprocessor Compatible

1800

4.0

0.8

V-toV+

0.1

300

300

Active OvervoJtage Protection
7'16 Rds(ON) Matching.
PLCC
SOIC
LCC

HI4-D547/883
IHS216

MJI,CJI,CPl

DG526

AK,BK,CK,CJ

LCC
SOIC

50 Volt FauR Protection
Saa Table 2-9 (8)

HI4-0507/883

Hll-0547
H13-0S47
HI4P0547
HI9P0547

DICE

IH521SMJI/883B

1200

2.4

O.S

"'13

0.0.

800

400

400

2.4

0.8

V-toV+

D.2

700

400

.0 Volt Fault Protactlon

1 of 16 Channels. Microprocessor Compatible. See
Table 2-2

DG521

AK, BK,CK,CJ

DG528

AK,BK,CK,CJ

DG529

AK,BK,CK,CJ

400

2.4

0.8

V-toV+

0.2

700

400

Differential 1 of 8 Channels,
Mlcroproceaaor Compatible.
Sea Tabla 2-4

DGS28AK/883B

450

2.4

0.8

V-toV+

0.015

1,000

400

1 of 16 Channels, Microprocessor Compatible. See
Table 2-1

DG529AK/883B

450

2.4

O.S

V-tov+

0.008

1.000

400

Dual 1 of 4 Channell. Microprocessor Compatible. See
Table 2-3

H11-0516
H13-0516
HI4P051e
HI9P0516

-2,-5
-5
-5
-5, -9

750

2.4

o.a

V-tov+

0.03

120

140

Programmable 1 of18.
Differential 2 of 8. See

Tables 2-2 and 2-4

PLCC
SOIC

PROG~M~BLI:('i Pf.S·OR)t O~',4.:::;';';:i;'~' ··::t;;;::·.r::t:~'·i';'~"} ':j;~;j)/~';Hi£~::~«f:~)!:i"0iii~P\:': :F::~r3i'~ ~:; ··.i{.:Y. );:> :·;.::~A~~·2~7.
HI0-OS1S
-e
750
2.4
V-toV+
0.015
120
140
Programmable 1 of 8,
0.8
DICE
Hll-051S

-2,-S

DlffatanUaJ 2014. Soe

H13-1es18

-5
-5

Tables 2-1 .nd 2--3

HI4POS1S

PLCC

Analog Multiplexers

(Continued)

All MUX's with Prefix "HI" are Olelectrlcally Isolated (01)
Device

Sunlx Codel

Nolel: {2.3}

MIL Spec

Rd.(ONI

VlnH

OMax(11

MlnV

Vlnl
MIIY

Analog Sig.
RlngeV

TON
nSec

IDon
2S DC :t:nA

TOFF

#Of

nSec

Chlnnels

Tlb

.... .: ),...,..

Features

~~!iQ.~;:Ml;II41.!!I,~i.~V9:¥~r'~~K:rpfJ.aK·9v.~y.~rAQt1:.PR9t~¢1tt;l,~lJ~t!PI.~"'RS. ... : ...:/
1800

4.0

0.8

V-toV+

0.1

300

300

1 x 16

2-2

-2, -5,-7
-S

1800

4.0

0.8

V-toV+

0.1

300

300

2x8

2-4

HI1-0S0SA
HI3-0506A

-2, -5,-7

1800

4.0

0.8

V-toV+

0.1

300

300

lx8

2-1

H11-050BA
H13-0509A

-2, -5,-7

-s

1800

4.0

0.8

V-to V+

0.1

300

300

2x4

2-3

H11-0546

-2,-4, -5

1800

4.0

0.8

V-toV+

0.1

500

500

1 xIS

2-2

H13-0S4S
HI4POS4S
HI9POS46

-S
-5
-5,-9

HI1-0506A

-2, -5,-7

HI3-0S06A

-S

H11-0507A

H13-0S07A

. TASLE2-6

-S

HI1-oS46ta83

7% Rds(on}
Matching

PLCC
SOIC
LCC

HI4-QS4S/883
H11-0547
H13-0547
HI4P0547
HI9P0547

-2,-4,-5
-S
-5
-5,-9

Hll-QS47/883

1600

4.0

0.8

V-toV+

0.1

300

2x8

300

2-4

7% Rds(on)
Matching

PLCC
SOIC
LCC

HI4-0547/883
H11-0548

H13-0S48
HI4POS48
HI9POS48

-2. -4,-5
-5
-5
-S,-9

Hll-QS48/863

1800

4.0

0.8

V-to v+

0.1

300

lx8

300

2-1

7% Rds(on)
Matching

PLCC
SOIC
LCC

HI4-QS48/883
Hll-0S49
H13-0S49
HI4P0549

HI9POS49

-2,-4,-5

Hll-QS49/883

1800

4.0

0.8

V-toV+

0.1

300

2x4

300

2-3

-S
-S
-S,-9

7% Rds(on)
Matching

PLCC
SOIC
LCC

H14-oS49/883

1i;~J¢.~~.~~~l'Ir~~F.t~pY6.£!;~EAki:PJ?4i(F~Ut':rPRbTEP.TEb·MULtIPi,I;XERs·.·

':','

TAaLE~-9

"::,

IH5108

MJE,IJE, CJE, CPE

IHS108MJE/883B

1200

2.4

0.8

±13

0.05

600

400

h8

2-1

IHSl18

MJI, CJI, CPI

IHSl16MJI/883B

1200

2.4

0.8

±13

0.05

600

400

1 x 16

2-2

IH5208

MJE,IJE, CJE, CPE

IH5208MJE/883B

1200

2.4

0.8

±13

O.OS

600

400

2x4

2-3

Differential Input

IH5216

MJI, CJI. CPI

IH5216MJI/883B

1200

2.4

0.8

±13

O.OS

600

400

2x8

2-4

Differential Input

18

IN 1 ~~-~-_--""b-~

SIG GND

FBIINI

>-'::.j-----'

IN Z

FBIDUTI
SIG GND

>-''''t-----'

-+-+-< OUTPUT

IN 3

SIG GND

>--"+----'

IN 4

/~0--0 SWITCH
DRAIN

SWITCH <>-----0"""1 (
SOUNCE

SIB GND

>--'t-----'

SlG GND "--"-r.",..~......~+'-:-+-::-~

_ J

L_ _

(IN)

(OUT)

I

CONTROL ..... ~~o­
IN~

·15V SUP .,5V
GND

EN

"T"MUX
Derici
Noltl: (2.3)

Hll-0524
H13-0524
HI4POS24

AD

Al

DRIVER
TRANSLATOR

":"

"T" SCHEMATIC
Flalur••

1 of 4 Video, -60dB OFF
Channel Isolation @l10MHz

PLCC

.

}

'

4 - Digital
4A -Microprocessors. Microcontrollers
and Memory
4B -Peripherals
4C -Logic

.",~~-;----------;r-::---_--.J

Microcontrollers, Microprocessors & Memory

6805 8-Bit Microcontroller Family
6805 Devices
3 Micron
4 Transistor Ram Cells
4 MHz Only

68HC05 Devices
1.2 Micron
6 Transistor RAM Cells
HC Devices- 4 MHz
HSC Devices- 9 MHz
HCL Devices- Low Power Version

General 6805 Core Features
Hardware
- 8-bit architecture
- Fully static operation
- Self-check mode
- Master reset and power-on reset
- Single 3-6V power supply
- Power-saving stop and wait modes

Software
- Software compatible with entire 6805 family
- 61 instructions and 10 addressing modes
- Indexed addressing for tables
- True bit manipulation
- Memory-mapped I/O
- Efficient use of program space
- Versatile interrupt handling
- 8 x 8 multiply instruction in C4, C8 and 02 versions

80C86/88/286 Microprocessors
- Pin-and function-compatible with NMOS equivalents
- Fully static CMOS designs
- Bus hold devices eliminate pull up resistors on bus and control lines
- Available in /883 compliant versions
80C86
80C88
80C286

5 & 8 MHz Commercial
5 & 8 MHz Commercial
12.5 & 16, 20, 25 MHz Commercial

5 MHz Military
5 MHz Military
10 & 12.5 MHz Military

CDP-1800 8 Bit Microprocessor/Microcomputer Family
-7 Micron
- Fully static low power CMOS
- Six transistor RAM
- 16x16 register array for use as program
counters, data pointers, or data registers
- On chip DMA, interrupt, and flag inputs
- One output and four input bits

Memories
RAMs
Densities 1K-64K
Commercial -/883-DESC-JAN
Low Power CMOS
Six Transistor Arrays

Modules
Densities 64K - 1MEG
Mil Temp Range

PROMs
512x8

2Kx8

Microcontrollers, Microprocessors
& Memory
68HC05 Microcontrollers

\.

w.~\

}~

ToJ

t

PACKAGEIOPTION
SUFFIX LETTER
Dual-In-llne lldebrazad ceramic DIP
D
E
Dual-ln-llne Dlutlo DIP
M
Small outline Dlutlo SOP
Plastic leaded Chip carrier PLCC
N
Q
MetrIc DI88IIC quad IIIIID8Ck MPQFP
H
ChiD I wh.n 8DIIIICIIbI'
Enhanced product _Ing I.... bum-ln
X
(ODIIonal for D E packag. tVoeel
Slngla-ln-llne DIIlk8a8 (SIP
Z
Elactr10aI optIOn
1.2,4

r

Electrical
Option

Enhanced
Product Option

Package
Deslgnallon

,

.'

"

.

.Iiv .'.

.' """'''',; ,j.-;)":e-i()tt~"ViHJfl.~<;~,, .... :r.... '?;tIf'

~
:(,

\
.' .... \,,&""
I~;

Memories
Synchronous
• Latched address bus
• Address must be valid
prior to chip select
As~nchronous
• 0 address set up time

.. I

-~J

.

H (Harri.)

Fernll
M : lIemory

PackaJ.
1 : Ciramlc DIP
1B : Brazed lIaI
3 :PlullcDIP
4 : Laall... carriers
5 : Ceramic slDllral8
8 :SUmllne
0 : Chip form

~~.

.'

'.

1I~lc

_".!:.

Perfonn_ Gracia
B : H~h performance
C : R aXed apacIIIc;aIIon
X : Very high ipaad

..1', .. "",- ,,'":""'MMtI,'t.

5

8

8
9

: 0'Cto+70'C
: 100'J(. 25'C probe
~only)
Cto+125"C
:
with bum-ln
: -40" C +85'C

eo

1883:~=teo

."
,,'

.-."

~~~
t~

-

';:'

~
1<

~!},
?'

:,.,
:

t.

..

I~

';.<
__ ~'

~--{

•,

..

'.~ _ .:!""
... ..............
-rt.-r,,~
." --:-.: -.- ., .... _
:::..} .. I"fI.. '!J.;. .", __:5--.X

~i

..... ,""(
,

6805/68HC05 Microcomputer Family
All members of the 6805 family are designed around a common core which consists of CPU, timer, oscillator,
control, bidirectionalI/O, RAM and ROM. This common core is expanded to provide versions of the 6805 with
additional memory, I/O lines, Interrupt capability, timer counters, and serial Interfaces.
EXTERNAL
ADDRESSIDATA
BUS

I

ADDITIONAL RAM

-- ---

I--

RAM

ADDITIONAL
TIMER
CAPABILITY

I
TIMER

II II

SERIAL
PERIPHERAL
INTERFACE

--- --

ADDITIONAL
INTERRUPTS

OSC
CONTROL

CPU

110 PORTS

--

I

,/'/

SERIAL
COMMUNICATIONS
INTERFACE

_-

.....................
..............

ADDITIONAL

ROM WITH
SaF·CHECK

VO
PORTS

CDP6805 CMOS Family Core Architecture Block Diagram

Harris 68HC05 Quick Reference
PART~UMBER

RAM
BYTES

CDP6805E2
CDP6S05E3
CDP6S05F2
CDP6S05G2
CDP68HCIHCLJHSC05C4
CDP68HCIHCLJHSC05CS
CDPSSHC05D2

112
112
64
112
176
176
96

ROM
BYTES

EXTERNAL
ADDRESS
SPACE
SK
64K

1089
2106
4160

n44
2176

I/O LINES
16
13
16
32
24
24
28

E suffix denotes DIP, Q suffix denotes PLCC, X suffix denotes 10 hour burn-in.
Stendarcl part Is -40"C to +85" C, /3 suffix denotes -55" C to +125"C

Additional 110 Features Available
° S & 16 Bit Timers with Prescalers

oUART'

,

° Serial Peripheral Interface (SPI), Provides Interface to Expanded Off Chip I/O.

See Peripheral section for Available Functions

° Keyboard Interfaces
° purse WeIth Modulators
° Watch Dog Timer

OTHER FEATURES
SBitTimer
S Bit Timer
SBitTlmer
S Bit Timer Counter
16 Bit Timer, SCI, SPI
16 Bit Timer, SCI, SPI
16 Bit Timer, SPI

6805/68HC05 Microcomputer Family (Continued)
6805

68HC05
68HC05C4 68HC05C8
68HCL05C4 68HCL05C8
68HSC05C4 68HSC05C8

FEATURES
Technol~

Package s

CMOS
E ,Q N

CMOS
E Q N

CMOS
E Q N

Pins
On-Chip RAM (Bytes)

404444
176

404444
176

40 44 44
96

4160

-

n44

-

2176

24

24

28

Extemal Address So;:.
~Ip User ROM
)

-

Bidirectional 110 Unes
Unidirectional I/O Unes

71n

71n

31n

Memo~M=1/O
Timer lze Is)

Yes
16

Yes
16

Yes
16

.

.

6805E2

6805E3

6805F2

6805G2

Techno~r
Package s

CMOS
E Q

CMOS
E Q

CMOS
E ,Q

CMOS
E

Pins
On-Chlp RAM (Bytes)

40 44
112

4044
112

2826
14

40
112

External Address S =
On-chlp User ROM ytes)

6K
0

14K
0

1069

-

2106

BIdrectIonaI flO Unes
Unidirectional 110 Unes

16
0

13
0

16
41n

32

=~IIO

Yes
6

Yes
8

Yes
8

Yes
8

Preecaler SIze ~
Extema! Timer
llator

7
No

7
No

7
No

7
No

SerIal Perlpher:allnterfacl
SerIal ConIm. InterfacI

No
No

No
No

No
No

No
No

Ke~ Scan k1tera
110
Handshaking

No
No

No
No

No
No

No
No

t

Extrnl
Timer
SWI

Extml
Timer
SWI

Eldrnl
Timer
SWI

Extrnl
Timer
SWI

Compulllr gg;.rellng

No

No

No

No

No

No

No

No

No
No

No
No

No
No

No
No

FEATURES
68HC05J3

.

-

0

Prescaler Size ~
Extemal Timer
Ilator

No

No

Yes

Serial Perlpherellnte~
Serial Comm. Inte~

Yes
Yes

Yes
Yes

Yes
No

Ke~ad

No
No

No
No

Yes
No

Extrnl
Timer
SCI SPI
SWI

Extrnl .
Timer
SCI SPI
SWI

Extrnl
Timer
SPIPortB
SWI

Computer O~ratlng
Properly (C P
Illegal Opcode rap (lOT)

No

No

No

No

No

No

8 x 8 Unsigned Mult InsIruc
PWM

~tUnsigned Mult Inslruc

Yes
No

Yes
No

Yes
No

aeW-Check Mode
0scI1IaIor Mode

No
Quartz

No
Quartz

aeW-Check Mode
OsclMatDr Mode

Yes
ROor
Quartz

Yes
RCor
Quartz

Yes
RCor
Quartz

'Yes
RCor
Quartz

Yes
RCor
Quartz

OscIllator Startup

-

-

No

No

Oscllalor=
DelayMsk

No

No

Yes

Scan Interfaca

110 ort Handshaking
Interrupts:

t

t

1ntarrupIs:

l~etrap(IOT)

"Prescaler tilled as divide by4

HC05C4
HC05C8

FEATURES

HCL05C4
HCL05C8

HSC05C4
HSC05C8

rm

Run
Wa~Mode

Stop Mode

6805E2 6805E3 6805F2

6805G2

35mW
5mW
2SlA-W

12mW
4mW
5IA-W

Typical Power DISS~atlon
atT~-25"C Max
SV: ( OL shown aU.4 &
FOSC- 1MHz)
17.SmW
6.0mW
10.01A-W

Additional Features
•
•
•
•
•

FEATURES

rm

Typical Power Dlss~atlon
atT~-2S·C Max
5V: ( CL shown at 2.4 &
FOSC-1MHz)

1.2 Micron
6 Transistor RAM Cells
HC Devices 4MHz
HSC Devices 8MHz
HCL Device Low Power Version

1.2mW
O.SmW
<2.4IA-W

33.SmW
1S.0mW
10lA-W

Run
Walt Mode
Stop Mode

Additional Features
·3 Micron
• 4 Transistor RAM Cells
·4MHzOnly

35mW
SmW
26jlW

10mW
3mW
SIA-W

SOCS6/SS and SOC2S6 CMOS Static Microprocessors
80C286
Features:
• Compatible With NMOS 80286
• Static CMOS Design for Low Power Operation
- ICCSB .•...••..............•.............................. SmA Maximum
- ICCOP ....•.....•........................•..•. 220mA Maximum (8OC286-12)
• High Performance Processor (up to 19 times the Throughput 8086)
• Large Address Space
- 16 Megabytes Physical
- 1 Gigabyte Virtual per Task
• Integrated Memory Management, Four-Level Memory Protection and Support for
Virtual Memory and Operating Systems
• Two 80C286 Real Address Mode
- 80C286 Real Address Mode
- Protected Virtual Address Mode
• Compatible with 80287 Numberic Data Co-Processor
• Wide Range of Clock Rates
- DC to 2SMHz (8OC286-2S)
- DC to 20MHz (80C286-20)
- DC to 16MHz (80C286-16)
- DC to 12.SMHz (80C286-12)
- DC to 10MHz (8OC286-10)
• High Bandwidth Bus Interface (2S Megabyte/Sec)
• Available In a 68 Pin PGA and PLCC Packages

80C86
Features:
• Compatible With NMOS 8086
• Completely Static CMOS Design
- DC to SMHz (80C86)
- DC to 8MHz (80C86-2)
• Low Power Operation
- ICCSB ....•.........•..................................... SOOItA Maximum
- ICCOP ••.........•...........•....•..................... 1OmA/MHz Typical
• 1M Byte of Direct Memory Addressing Capability
• 24 Operand Addressing Modes
• Bit, Byte, Word and Block Move Operations
·8 Bit and16 Bit SlgnedlUnsigned Arithmetic
• Bus Hold Circuitry Eliminates Pull-Up Resistors
• Available In 40 Pin DIP and 44 Pin PLCC/LCC

80C88
Features:
•
•
•
•
•
•
•
•
•
•
•

Compatible with NMOS 8088
Direct Software Compatibility with 80C86, 8086, 8088
8-Bit Data Bus Interface: 16 Bit Internal Architecture
Completely Static CMOS Design
- DC SMHz (80C86)
- DC 8MHz (80C86-2)
Low Power Operation
- ICCSB .....•.....................•......................... SOOItA Maximum
-ICCOP .....•........•.........•.........................10mA/MHz Maximum
1 Megabyte of Direct Memory Addressing Capability
24 Operand Addressing Modes
Bit, Byte, Word and BlOck Move Operations
8 Bit and16 Bit Signed/unsigned Arithmetic
Bus Hold Circuitry Eliminates Pull Up Resistors
Available In 40 Pin DIP and 44 Pin PLCC/LCC

CDP1800-Series Microprocessors and Microcomputers
Features:
• 16 x 16 Matrix of Registers for Use as Multiple
Program counters, Data Pointers or Data Registers
• Single-Phase clock; Optional On-Chip CrystalControlled Oscillator
• Flexible Programmed I/O Mode

•
•
•
•

Four Flag Inputs Directly Tested by Branch Instructions
P~rammable Single-Bit Oulput Port
Static Circuitry - No Minimum Clock Frequenc:y
8-Bit Parallel Organization with Bidirectional Data Bus and
Multiplexed Address Bus

I/O REOUESTS

CONTROL

•
STATE
CODES

BUSO

BUS 1
BUS 2

I/O
NO}
Nl
COMMANDS
N2

BUS 3
BUS 4
BUS 5

BUS6
BUS 7

L~:::::::::::::::iWIji~~~~~~Wi!:~~::~::::::j

Specifications
ONONMAX INSTRUC.
CHIP
CHIP CLOCK
TIME
TIMER!
ADD
RAM
FREQ MINillAX COUNTER
ROM
PRE·
(BYTES) (BYTES) (BYTES) (11Hz)
SCALERS
Brrs
(J.IB)

MEM~RY

TYPE
CDPI802A
COP1802AC

84K

-

-

3.2

S.on.S

-

-

BUS
STRUCTURE

M:ed

INTER- LATCH
RUPTS
I/O

./

011Chip

Lines
COPI802BC

84K

-

-

5.0

3.2/4.8

-

-

Mu=exed
A

ress

./

011-

Chip

Lines
COP1804AC

84K

84

2K

5.0

3.2116.0

8

+32

Mu=exed
A resa

./

011Chip

Lines

COP1805AC

84K

84

-

5.0

3.2/16.5

8

+32

M:eXed
ress

84K

-

-

5.0

3.2/16.0

8

+32

M:rr:.ed
Lines

-55'C

40D

+12S'C

400

to

-55'C

40E

400

./

011Chip

./

011Chip

91

91

to

40E

+125'C

400

-55'C

400

123
Includes
CallRelUm

·55·C

400

+125'C

400

8-BIt
123
Includes Tlmerl
CallRetum Counler

to

40E

+12S'C

Lines
COP1806AC

MAX
ADD~
TIONAL
OPER PKO
TEMP NO. OF INSTRUC- FEA('C)
PINS
TIONS TURES

to

-55'C

40E

400

to

40E

+125'C

40Q

123

8-BIt

Includes Tlmerl
CallRetum Counter

CMOS Static RAMs
PART
NUMBER

SIZE

SPEED
DESIGNATION

ACCESS
TIME

STANDBY
CURRENT
ICCSB

DATA RET.
CURRENT
ICCDR

OPERATING
CURRENT
ICCOP

PACKAGES

~ 1K - SYNCHRONOUS

CDP88HC68R1

128x8

Serial

100ns

15pA

1pA

10mA

8-Pln PDIP

CDP88HC88R2

256x8

Serial

100ns

SOpA

1pA

10mA

&-pIn PDIP

~1K-SYNCHRONOUS

CDP1821C

1Kx 1

13

255ns

1000pA

200pA

10mA

16-Pln CERDIP

CDP1822

256 x 1

13

4SOns
500ns

1S:~

100JIA
380jAA

SmA
10mA

22-Pln PDIP
22-Pln CERDIP

CDP1823

128x8

13

450ns
SOSns

8mA

1=

400JIA

10mA

24-PlnPDIP
24-Pln CERDIP

710ns
825ns

~~

:g~

8mA
10mA

18-Pln PDIP
18-Pln CEROIP

1000ns

SOpA

25pA

10mA

22-Pln PDIP
22-Pln CEROIP

10pA
SOpA

8mA
8mA

22-Pln PDIP
22-Pln CEROIP

CDP1824

32x8

CDP1826C

64xS

MWS51 01

256x4

13

12
L3

2SOns
3SOns

1K-SYNCRONOUS

100pA

=

HM-6508

1Kx 1

B

180ns
250ns

~g~

15~

4mAlMHz
4mAlMHz

1S-Pln PDIP
18-Pln CERDIP

HM-6518

1Kx 1

B

180ns
250ns

10pA
10pA

15~

4mAlMHz
4mAlMHz

1S-Pln PDIP
18-Pln CERDIP

HM-6561

256x4

B

220ns
300ns

10pA
10pA

10pA
10pA

4mAlMHz
4mAlMHz

22-Pln POIP
22-Pln CERDIP

HM-6561

256x4

B

220ns
300ns

10pA
10pA

10pA
10pA

4mAlMHz
4mAlMHz

1S-P1n PDIP
18-Pln CERDIP

3
2
1

200ns
2SOns
300ns

~gg~

SOIiA

8mA
8mA
8mA

18-Pln PDIP
is-Pin CEROIP

S

120ns
120ns
200ns
200ns
300ns
300ns
120ns
120ns
200ns
200ns
300ns
300ns

15JIA

1S-PlnPDIP
18-Pln CERDIP
18-Pln lOC

4K - ASYNCRONOUS
MWS5114

1Kx4

250pA

1~~

4K - SYNCRONOUS
HM-6504

4Kx1

SI863

B

BIII83

HM-6514

1Kx4

/883
S

SI863
B

BIII83
/883
16K - SYNCRONOUS
2KxS
HM-6516

~~
~~

25pA
15pA
25pA

25pA

~~

15JIA
25jAA
15pA

~C

7mAlMHz
7mAlMHz
7mAlMHz
7mAlMHz
7mAlMHz
7mAlMHz
7mAlMHz
7mAlMHz
7mAlMHz
7mAlMHz
7mAlMHz
7mAlMHz

~~

10mAlMHz
10mAlMHz

24-Pln CERDIP
32-PlnlOC

~~

10mA
10mA
10mA
10mA

24-P1n CERDIP
32-PlnlOC

~~

SOmA
SOmA
SOmA
SOmA

2O-Pln CERDIP
32-PlnlOC

75~

20mA
20mA
20mA

28-Pln CERDIP
32-PlnlOC

~~

~~
SOpA

~~

B

120ns
200ns

1~

S
B

55ns
70ns
90ns
90ns

=
==

18-Pln POIP
18-Pln CEROIP
1S-P1n lOC

16K - ASYNCRONOUS
HM-65182

2KxS

C
HM-65262

16Kx 1

S
B
C

85ns
70ns
85ns
85ns

64K • ASYNCRONOUS
HM-65642

8Kx8

B

C

1SOns
150ns
200ns

1001A!-

1=
900jAA
SOpA
SOpA

100pA

~~

15 pA
250jAA

CMOS Static RAM Modules
PART
NUMBER

SIZE

SPEED
DESIGNAnON

ACCESS
TIME

STANDBY
CURRENT
ICCSB

DATA RET.
CURRENT
ICCDR

OPERATING
CURRENT
ICooP

PACKAGES

64K-SYNCHRONOUS
HM-6564

8Kx8or
18Kx4

-8

350ns

80011A

40011A

28158mA/MHz.

40 Pin Substrate

5

lOOns
120ns
150n8

~~~

12511A
12511A
4OO11A

70mA
70mA
70mA

28 Pin Substrate

9OO11A

IB

5

lOOns
120ns
150ns

25011A
25011A
90011A

l~~

12511A

70mA
70mA
70mA

28 Pin Substrate

IB

70ns
85ns

80011A
80011A

320pA
320pA

400mA
400mA

48 Pin Substrate

14K - ASYNCRONOUS
HM-8808

HM-8808A

8Kx8

8Kx8
(2 Enables)

IB

128K - ASYNCRONOUS
HM-8818H

18Kx8

256K - SYNCRONOUS
HM-92560

32Kx8
18Kx8

l50ns

500pA

35011A

15mAIMHz

48 Pin Substrate

HM-92570

32Kx8or
18K x 18
(Buffered)

250ns

80011A

450pA

15mA/MHz

48 Pin Substrate

B

180ns
180ns

~gg~

~gg~

15mA
15mA

28 Pin Substrate

-8

8

180ns
200ns

2.0mA
2.0mA

1.0mA
1.0mA

20mA
20mA

48 Pin Substrate

ACCESS
TIME

STANDBY
CURRENT
ICCSB

DATA RET.
CURRENT
ICCDR

OPERATING
CURRENT
ICooP

256 - ASYNCRONOUS
HM-8832

32Kx8

1 MEG - ASYNCRONOUS
HM-91M2

128Kx8or
84Kx18

CMOS Proms
PART
NUMBER

SIZE

SPEED
DESIGNAnON

PACKAGES

4K - SYNCHRONOUS - FUSE UNK
HM-8842

512x8

B

16K - SYNCHRONOUS - FUSE UNK
HM-8817
2Kx8
B

120n8
200ns

l00pA
lOO!1A

90ns
120ns

l00pA
l00pA

3OOnS@10V

&Ons
12On8

--

20mA1MHz
20mAIMHz

24 Pin Mlnl-CERDIP
24Pln CERDIP
28 Pin LCC

--

20mAIMHz
20mAIMHz

24 Pin Mlnl-CERDIP
24 Pin CERDIP
32 Pin LCC

-

8mAIMHz

4K-UVEPROM
IM8854

512x8

450ns@5V

24 Pin CERDIP

Peripherals
Real-Time Clock/Clock Generators
•
•
•
•

Keeps track of time from 11100 second to 128 years
Starts system or application programs at specific times
Generates clocks, reset, & synchronization for processors and peripherals
Events can be time and date stamped

Bus DriversJLatches
•
•
•
•

Dual RS232 transmitter/recelver with single +SV supply
Address, data bus latch, driver & decoder functions with tri-atate output control
300 pF drive capability
Differential drlver/reciever for small area networks

Timer/CountersJDlsplay Drivers
• Generates accurate time delays under software control
• Provides delay timers, + N counters, square wave generator, and
retrklgerable one-shot
·10 MHz count frequency
• 7, 14, & 18 segment display drivers for LCD or lED Alphanumeric displays
• 10 digit or 8 alphanumeric character display

Parallel I/O 4-VVire Interface
• Programmable parallel VO ports for Interlacing peripheral equipment to the
microproceaaor sYStem
• Provides printer, keyboard, and display Interlaces

UARTSIBaud Rate Generators

• Full duplex serial communication channel between microprocessor and
extemal peripheral ~ulpment
• Parallel-ta-Serlal & Serial-ta-Parallel conversion of data at 1 Mbaud data rate
• Programmable woRilenath, stop bits, parity, and modem controls
• Low power operation of l mA/MHz

Bus Controllers
• Generates all control, command, & arbitration signals for 8OC88188 & 80C288
• 18-b1t, 4 channel, 12.S Mblt (data transfer rate) DMA
• Services prioritized Interrupts from peripheral components In polled or Interrupt
driven fashion

SpeCial Functions
Keyboard Encoders
• 53 key ASCII + 32 HEX
SPI Bus Peripherals
• 4wire 880S SPI serial bus peripherals (RAM, AID, ClK, PWM, Pori)
Manchester EncOder Decoders
• Bi-Phase serial data protocol with error detection, seH clocking & bit
synchronization
• Variable data length at 2.S Mblts per second
• No DC or low frequency component generated from serial data

ARINC Interface
• ARINC Specification 429 Competible
• Duel Receiver, One Transmitter on One Chip
• Up to 100K BItsISec

Peripherals
.Ordering Information
MicrolMemory. 1800 Series

CDPPSC151

l

f 1

Family Part No,
lBum-ln

Package Designation

Package Designation
Plastic
E
F
Frll
D Ceramic
Q= PCC
M= SOP

=
=
=

Voltage Designation - C
Lack of C 10 Volle

=

f

Enhanced
Product
Option

Electrical Option

PACKAGEIOPTION
SUFFIX LETTER
Dual-ln-lln. eldebrazed Clramlc DIP
D
Dual-In-Hne Dlastlc DIP
E
M
Small oulline plastic SOP
Plastic leaded chip carrier PLCC
N
Q
Metric Plastic quad lIa1pack MPQFP
H
cnlp (wnen BPPIlceble
Enhanced product ICIHIIlng I•••• bum-In
X
/ODtional for D E D8CkaaI tYoesl
Slngl"'n-lin. packag. (SIP)
Z
1.2. 4
Electrical OPtion

=5 Volts

Performance Upgrade or Modification
Type Designation
Circuit Digital Processor

aocxx Family Product Code

J

~I

or

i

L

Temperature Range:
B : -55'C to +125'C
Part Number:
wllhbum-In
C : O'C to +70'C
CMOS MlcroJllOC!lBSOr
I : -40'C to +85'C
Paclcage Type'
82CXXX : CMOS P.rlpnerals
M:
to +125'C P: PIIis\tc DIP'
X : +25 C D : Ceramic DIP
Speed Designation
X : Unp~ Device
Peripherals
uProcessors
R: Laidles8 Chip Camer
5
: 5MHz
Blank: 511Hz
S : Plastic Laadid
Blank : 8MHz
2
: &MHz
Chip Carrier
G: Pin Grid Array

-55'.0

eoexxx :

Prefix
Prefix

paylee

Suffix

Deyjce

Suffix

lice T~
Family
Basic Device Type

Electrical Option
Temperature Range
Package - - - - -.....
Pack~e------~

Prefix:
CA : Unear IC.

Number of Pins - - - - - '
Pin-Count Designators
Suffix Number of Pins
A

B.

Package:
D : Ceramic DIP
E : Plastic DIP
F : CERDIP
H : Chip
J : 3-l.8yer C.ramlc Laadiess
K : Ceramic Flat Packag.
L : Singi. La~r CeramiC
Lalilles. Chip Carrier
M : Small-outlln. Plastic
Q

P~

: PI~hIp-Carrier
Packag.

o
D
E

F
G

8
10
12
14
16
22

24

H

42

I
J
K
L
N
P
R
W

28
32
35
40
18
20
3
10(0.230' pin c:lrde.
isolated esse)

44

44

P..flx:

==

AD :
De'" AllBmate
ADO: NaIIonaI Semlcanductor
AIt8msIe Scuce
00 : SIIcanIx Allemate SouICe
OL : ~IO
10M : MIcIoiIe!IPheraIIO

t'

;==r~

~.m~C:m=-ci-01ll70·0
I

: Indullrllll +25"0 III 85'0 or
-40'011185"0
(Spec:IIIed on dallllheet)

Package:

B
D
F

I

: SOlO
: Oeramlc (Slde-Brazed)

DuaHn-LbI
: Oeramic Flat-Pack

: 16-pIn~ x 7 pin ~1ICIng)

J

=DIP=.I:,
LesdIeBs CeramIc Quad-Pac:k

S
T

TO-62

U
Z
W
D

TO-72 (allo TO-18. TO-71)
T0-82
Wafer
DIce .

L
II
P

=g:~'lrn.(PQFPl

t8:fJr'nH8. TO-98.

Clock Generators - 80C86/88/286 Family
82C84A - Clock Generator Driver
Generates system clock, reset, & ready
synchronization for 80C86188 systems.
Ms~------------------,4>----1

Features:
- 8 MHz system clock (33% Duty Cycle)
- Parallel crystal inputs
.
- Iccop: 40 mA @ 8 MHz
PClK
AENl
ROY1
READY

Applications:

'" i::=====~~=~~~~t-=:5

cnNe -:
X2
ASYNC

- SystemlPeripheral clock generator

~c~--------------~

82C85 - Static Clock
Controller/Generator
Generates complete static clock control, reset,
& ready synchronization for 8OC86/88 systems.

Features:
- DC to 8 MHz system clock
- Supports stop-clock,
stop-oscillator & low freq.
operation
- Generates both 50% & 33%
duty
cycle clocks
- 100 uA standby mode

CSVNC
PCLK

ATIii
RDYI
IIUDY
HDY26

Am
eLK

Applications:
- Systemlperipheral clock generator
- System power control

82C284 - Clock Generator/Driver
Generates system clock, reset, & ready
synchronization for 80C286 systems.

1----_+_o

RESET

Features:
- DC to 12 MHz system clock
- XTAL or EFI source
(dynamically switchable)
- 2 mA/MHz ICCOP

Applications:
- Systemslperipheral clock generator
- Multibus clock generator/Sync

eLK
EF!

-+---------'

I--:=~==::"",

FIe
ARDYEN

--'----A"_

SROYEN

--'----A"_

SflDY-r--;.~ t 
tf!
i
1
~ g
2.l!1 ~tjf! .!! I -c liI!
'2
!l 61 ;1~
'l~ i 1 w
OSI!
AI!
I~i
D

i

.!!

J!

I!

f

'I:

!

I

8" 8

r I J
"
1°cli
;
8
•
8~
rl
• 8 li !
J
JI S!! 1=88 Iii 8! .! J!l 8 2 J .. .. i ..
2'
i

A

!I

1:! ..

~

0

/II

..

'Ii

1800Seri..

CDP1878

Dual Tlmer/Counlllr
Power !IuPPIY. Molar Control. ~uare
Wave Genera1Dr. One-Shot 4V III 10.sv
Operallon CDP1800 SerIes Peripheral

• • •

•

•

• • •

•

•

•

•

1 2 •

2 2 •

2

3 • 3 3 •

• 3

8OC86188 Series
82C54

=.

=mable InlllrVal TImer/Counter
for eneratIon 01 Accuralll11me Delays

Under SoIIware Control In 8OC86/88
Real-TIme Clock.
Control. WaIChdag TImer. Square
Wave Gen.

•

•

•
note 1

1(]

6805 Series

68HC68W1

1=

D~ltaI Pull. WId1h ModulalDr Modulal8S
a lock
a Variable
Freq.
and
~
e ~ ~nal.
8-PIn
.
Mnl-DI
SPI
S8iIaI UF Molar
Control

•

Note 1: Reloading of count regleter will aUow Y1Irlabie duty cycle. Otllerwl.. thl. mode _ _ • square wave output.

8 1

1 .•

2

Timer/Counters with Display Drivers
FunctlOM

Dlepay
LED

Type

LCD VI

Unit
Count

Unlveral
Counlere

Comlllllnte
UId
AppIloatione

4 DIGIT

~1;;;C;;;M721~7~~
ICM7217A
1-'-'-""'-'''''''''"'--1
ICM72178
'"";':;C"'M':":72:":"':":7C=---I

Ind...... oon1nII: prwetpredetlnnlring
coun\1n,~OIIo11de1ay
1Imers, balCh counIIrI. ........ and
IoadI compaIII regIItIIr from

I-+-+·-+_+-+_·-I-+-·+-+I.....!
0_1-+-+.-+_.+-.+-+_.+-.-+.-4_.+2::-1--1
•

••

10

• • •
• • •

lhumbwheellWllcla.

• ••

• • •

•
•

• •
• •

••
••

•
•

• 2
• 2
• 2

4.5 DIGIT
1CM7224

, OJ#. operating culJ8l1t. Can be
C88CIIdecI for more digits.

ICM7226

Has brIg/I1nea a$I1mIInt. , OJ#.
cunent wIIh dIIpIay blanked,

C8ICIIdabI,.

•
•

• •

•

• •

15

•

• •

• • • •

15

•

5.5 DIGIT
ICM7241

~~;';;;'--I

•

Event timer oounIBr, hour meIIIr. '4
programmable mod... SeIecIabIe Input
ftIIerIng.

• •

•

I

•

7 DIGIT
I-I_C_M7208
_ _~ \he wIIh ICM7207A for. 7-d1g1t
frequency caunlllr.

• •

•

-I • • •
I

2.5

•••

10

•••

10
10

8 DIGIT
ICM7216A
UnIvanaI frequency caunter wI1II display
'"'1-C-M721-S-B--I drivers. 41n111rn111 gale tim... aulD
r---~ dacha! pa/nl,1eadIng zero blanking,
ICM721SC
ovartIow 1ncIcaIIon. OIIpIay oil, hold,
~~;';';';:"'--I and I'8I8t Inputs.
ICM7216D

~1;;;C;;;M7226A=::::"-I
ICM7226B

S..... 1CM72'6
InIIIrvaI awragIng.
compatible.

o Th_ counIIn ...

=

•

•••

•

•••

•

••

1-+-.+-+-+-+-+.-4-.+-+-.+.+-.+-.+-+-.+-.+-+-.+-+-4-11-::1"'0:+-1
• • • • • • •

peroId & tim.
1-+-+.-+_+--+-+.-4_.+-+_.-I-.+_.+--.+-.-+_.+--I.I-.-I-.-I--+-4--1~1..;O+_.-I
0U1pU1S, JI' P I A .
••• • • • •
• • • •
10

m_

frequency wilen ulBd wI1II the ICM7207 (0.0' and 0.' second tImebase) or the 1CM7207A (0.' and , second tlmebase)

Display Drivers
Dlaplay Type

IOfChaI'llCl...
OrDlglte

Type

I C M 72 1 1
ICM7211 A
ICM7211M

Comm_
and
Applications

OrlVB8 conventional LCD displays. Includes RC h4+-+++-+-t---lr--t-+-:·+++_·+:-++·:+-+--t---lt-1;.:0.,;;.00,,-!
~I~~ve::~der chain, latclias, Interface and
4
• •
•
1000
4
200

•

ICM7211AM
OrlvB8 common anode LED displays. 28
currentcontrollad oulputs.lnchides latches,
I=::::":'=-:":::.::!..j Intarface and brightness control.
ICM7212M
ICM7212AM

ICM7218C
ICM7218D

3dec:cdeformatsdrlves up to 64 Indapendent
LEO's.lncludes8x8memory,multiplexad
LEDdrivers, decoders, Intarface and control.
applications Include bar graphs.

•
•
•
•

4

4
4
4

8 8
8 8
8 8

•
•

ICM7228A
ICM7228B

8 8

•

ICM7228C

8 8

ICM7228D

8 8

•

8 14
8 11
I CM 7 2 31 C 8 digits, 18 annunciators on COM 1 +3, codeB
8 14
I CM 7232A 10 dlglts,2OannunclatorsonCOM3,hexadeclmai 11 21
11 21
ICM7232B 10 digits, 20 annunciators on COM 3, code B
ICM7232C 10 digits, 20 annunciators on COM 1 +3,codeB 11 21
I C M7 231 A
ICM7231 B

4 alphanumeric characters.

4
4

ICM7233B

4 alphanumeric characters. Full-wldth numbers

ICM7243A

8 alphanumeric characters + decimal pt can

ICM7243B

bedalsychalnad orcaecadad.

CA3161

BCO-TO-6aver IIgmenl decoder driver

1

CA3168

2 dlgll BCD-TD-saver IIgment dec:cder driver

2

8
8

•
•

•

•
•

•
•

• •

•

• •
• •

•
•

•
•

• •
• •
• •
• •
• •
•
•
•
•
•
•

•
•

•

•

•
•

200
1000

•
•
•

• •

3
3
3
3
3
3
3
3

8 digits, 18 annunciators onCOM,hexadeclmai
8 digits, 18 annunciators on COM 3, code B

ICM7233A

•

•

8 8
8 8
8 8

ICM7218E

•

•

4

I C M 72 1 2
ICM7212A

leM7218A
ICM7218B

1_.

Font

•
•
•

•
•
•

1000
200
200

•

550
550

•

500
500
500

•

550
550

•

500
500
500
500

•
•
•
•
•

500

•

•
•

·••

•

350

•

350

•

350
500
500

,

250
250
2600
2600

Bus Drivers/Latches
CDP1853 - N-Bit 1 of 8 decoder
1800 series 3 to 8 decoder for 110 and memory systems

Features:
- Direct 110 command decoder
for 1800 jlP'S
- 4 to 10.5 V operation
- 1.6 mA drivef200 ns prop delay

:
IOF8
OECODER

CLOCK A ---"",,-,,;-,,'"- VDD

Applications:
- Vb & memory address decoder

NO
NI

OUT
OUT
OUT
OUT

- Chip select decoder

0
I
2
5

Vss

I!

CLOCK 8

3

14

HZ

4
5
6

15
12
II
10

CE
OUT4
OUT 5
OUT 6

'-"-_-=.J9

6
7
12
II
10
9

OUT 0
OUT I
OUT 2
OUT 3
OUT 4
OUT 5
OUT 6
OUT 7

OUT 1

CLOCK A I
(TPA)

CDP1881/188211883 - 6-Bitl7-Bit Latch and Decoder
Memory system address latches & chip select decoder for 1800 series.

Features:
- 4-bit latch/2 to 4 decoder (1881/82)
- 5-bit latch/2 to 4 decoder (1883)
- Decodes 161<1321< of memory
(1881, 1882)/ 1883
-175 ns prop delay

j-------t..>--(!'9 AS

,j--------L>--{17 AIO
CLOCK

20

I.
I.

MA.

"

Applications:
- I/O & mem~ address
latch &de
er
- Chip select decoder

17

MA'
MA2
MAl

"

MAO

"

MRD

MWR

ss

V

10

"12
"

VDD

AB
A.
AID
All

m
CSi

ill
CS3
CE

VOO"@

V55'

@)

Bus Drivers/Latches - 6805 Series
CDP68HC68P2 - Octal Serial Solenoid Driver
Octal open collector high current output driver.

Features:
- 6805 SPI bus serial peripheral
- 0.5 A per output with overvoltage/
overcurrent protection
- Current limiting & transient protection
- Fault feedbae!( & unlatch feature

Applications:

- Lamp. relay. or solenoid driver
- Automotive
- High breakdown voltagelhlgh
output current

'0

[:(.:

';.RCOUM

."cs
MODE

CDP68HC68S1 - Serial Bus Interface
Differential driver/receiver for small area networks.
Voo
All

Features:

Al2

- Differential bus for minimum EMI
- Data-collisionlidle detection
- Bus arbitration
- Power-on reset

AI3

Al4

Alii
AIO/

EXT.REF.

All

Applications:
- Small area networks
- High speed serial communications
- Multipre 6805 bus system

STAtUS
RtGlSl'£A8

CONTROl.
RtGlSTtRS

-USED AS VOLTAGE INPUT
.. EXl'CRNM. REfCR[NCE

MOO<.

c§ ..... -8

Bus Drivers/Latches - 80C86/88/286 Series
82C82183 - Octal Latching Bus Driver

-

High drive octal latching buffer.

~
rrt-.-~-----1r.:'l
~: eu
:~
,

0'1

- Tri-state non-Invertinglinverting outputs
- 25fdSns prop delay, 300pfl20 mA drive
- 2.0 volt operation
- Gated inputs for low power operation

I'

:
@ r_ L~ ______l __
_
~L------*t--t
~
~
1--------.

0'0

Features:

I

0'2
0'3
0'4

~1-1-------.

~
~

III,

1--------1

-r-------

- Address latch for 8OC86J88 system
- Data storage

S

.

{5]
l1li,

S- {~======:

Applications:

DII,
l1li,

l1li,

(:J

82C86/87 - Octal Bus Tranceiver
High drive octal bi-iiirectlonal bus tranceiver non-latching.
82C66H

Features:

82C86H

- Trl-state non-invertingllnverting
outputs
- 30 ns
delay, 300pf120 mA drive
- 2.0 vo operation
- Gated Inputs eliminates bus pullups &
greatly reduces power consumption

Vee

Vee

DE

80
81
8Z
8a
84
85
8s
87

15
Bs
B7

GND

T

AO
A1
AZ
Aa

Ir:

A4
AS
AS
A7

Applications:

- Multimuter JP systems
- Isolated bus systems, 10caVremote

82C87H

Bii
B1
B2
B3
B4

Bus Drivers/Latches - General
ICL 232 - Dual RS-232 Transmitter/Receiver
Features:
- Single +Sv power supply, • 10Y outputs
- 2 drivers with 300 ohm power off Impedance
- 2 receivers with • 30Y Input range and
O.S v hysterisis

Applications:
- Computers, modems
- Printers, tennlnals, peripherals

1 Vee

GND

e2v120UT

R2..

R20UT

8?C87H

,r-------,,

Bus Controllers - 1800 Series
CDP1877 - Programmable Interrupt Controller
Expands interrupts in CDP-1800 JlP series to 8
priority interrupts relieving the 1800 from polling.

Features:
- 8 programmable/prioritized interrupt levels
- Edge sensitive interrupt inputs
- Individual interrupt masking
- Interrupt vector address generated

"",

WRITE
PAGE
REGISTER

WRITE
MA$I<

REGISTER

"'0

LONG BRANCH

Applications:
- Alarm systems
- Communication systems
- Industrial control

80C86/88/286 Series
82C59A - Priority Interrupt Controller

.."

Expands interrupts to 8 priorities relieving the system of polling.

AD

,

,
,

·
··,,

26 INlA

"' ,

Features:

DO

"

- 12.5 MHz bus
- 8 programmable/prioritized interrupts
expandable to 64 in master/slave
configuration
- Edge or level sensitive IR inputs
- 8085/86188 INT vecto!'s generated
- 8 prioritized modes of operation

'"
0,
0,

00"
16 &PIal

""1._ _ _ _-11!!l-5 CAS2

INTA

INT

Applications:
- Alarm systems
- Industrial control
- Telemetry systems

~
WR
AO

, - - - . . , . - IRO
IR'

.

,''RR''
IRS
IR6

....._ _J'-'R7

Bus Controllers - 80C86/88/286 Series
82C37A - DMA Controller
Allows external devices to transfer data directly to/from system memory at a very high rate.

Features:
- 6 Mbyteslsec data transfer rate
- 8 or 16 bit data transfer mode
- Four independent maskable channels
- Memory-to-memory, block, &
demand transfers
''" ,
lOW I.

Applications:
- Disk drive systems
- High speed peripherals
- Multimaster systems

MOOi

J

MEMW
Nt
RUDY

6

J6

35

HlDA
Ausn

AIN
HRO

34
33

DICK2
OACIl]

A2
AI

82e371 n

AD

10

31

~cc

J(J

080
OBI
082
DR]
084
DleKO
OACKI
085
OB6

13

29
28

14

1I

(6
25

oREOl
ORE02
OAEOI
OREOO
IGHOIVSS

AJ

Q

fi
CU
RESH

.. ." ""..
. ow
" rn..
J9
JO

Ii
18
19
0

l4

n

n

82C88 - Bus Controller
Provides control & command timing signals for
80C86188, 801861188 systems in MAX mode.

MUlTl8US'M

Features:
- Three-state command outputs
- 8 MHz Multibus compatible
- 20mA command output drive
N

AODA~SS

LATC', DATA
TRANscEiVER AND
INTE~AuPl CONTROL

'!GNAlS

Applications:
- Multimaster bus systems
- Max mode 80C86188 system

82C89 - Bus Arbiter
Provides full bus arbitration and control for mUlti-processor 80C86/88 system

r.==::::;;:::::;:;:===;--,

I

Features:
- ParalleVserial/rotating priority resolving
- Synchronization with Multi-Master bus
- Bus locking capability
- Supports I/O JJP's (ie 8089)

Applications:
- MUlti-Master systems

iOi
sysaliim 3
REsa

m

iliil8

mol
rna

Multibus

N

·Ull"U••

COMMAND

SIGNALS

IOCU/IOC18
IUTUI

17
18
15

00
ffiLCii

14

ANYRQST

13
12
11

iiii

is a registered trademark of Intel Corp.

eLK

CaRo
lim

CONTROLI
ITII."IIIO
OI'TlOIIS

I

rna
eLK
mm
IIUI

U1VRO..!!

10.

;::=====:::r==:t-

L __

I. ".

SIGNALS

SVII/ImI

Parallel 1/0 Interface
pP

Interface

Port
Configuration

InputlOutput
Ports

Type
Comments

and
Applications

Programmable I/O Interface

CDP1861

CDP1852
CDP1872
CDP1874
CDP1876

CDP6823

68HC68Pl

Interfaca. Peripheral Equipment to the 1800 pP
Keyboard. Display Interface, Printer or CRT Interface,
LED Driver. 40 to 10.6V Operating Range.

•

•

8-Bit Input/Output Port
Interfaces 8-Bit Parallel Peripheral Equipment
to the 1800 liP. Addre88 latched, Keypad Interface, Printer Interface.
4V to 10.6V Operating Range
Parallel Interface
Peripheral Equipment Interface for 6806 pP.
Motel Interface Circuit. KeyboardIDlspiay ifF, Floppy Disc Interface,
Printer/CRT Interface.

• • •

Single Port InputiOutput
6805 SPI Bus Serial Interface. 3-6V Operating Range. On Board
Capacitor (PR • Port Pln8) Port Expander, KeyboardlPrinter

2 2 2 1 ~O

• •

• •

1
1
1
1

1 1
1
1
1

• • •
•
•
• •
•

•

3 3 3

~4 • • • •

•

1 1 1

8

• • • •

Interface.

82C55A

Programmable Peripheral Interface
Bus Hold Circuitry Eliminate. Pull Up Resistors For Switch and LED
Configuration.

•

•

3 3 3 1

• • • • • • • •

UARTS/Baud Rates Generators
pP
Interface

Type

.ta/Setl
~onlrols
adem

Serial
Interface

ClklBaud
Rates

Comments

and
Application.

CDP1854

Programmable UART with Fal.. StartBit D.tectlon 4 V - 10 V Operation

CDP6SCS1

1S Programmable Baud Rate.
(250KBand wlEldemal Clk)
Data SetIModem Control FUnctions

CDP6863

Programmable UART with Moter Bu.

•

40 •

• 4 • • • • •

28 •

• 6 • • • • • • • •

(250K Baud w/Eldemal Clk)
Data SetIModem Control Function.

28 •

82C50A

IBM PC Compatible
Modem Interface

40

82C52

1M Baud Eo.y-to-Program UART/Brg.
72 Selectable Baud Rate.

HD6406

Programmable UARTlBrg w/Complete
Modem IIF DMA Capability

HD6402R
CDP6402

Industry Standerd Stand-Alone UART
6402R - TTL Compatible Inputa

HD4702

Programmable Bit Rate Generator
Provide. 13 Commonly Used Baud
Rate.

28
40
4(]

40
16

•

•

•

6 •

•

•

•

•

•

•

•

200 16
• •
•

•

•

• 4_16 16 • •
• 4.16 16 • •

• • • 11 • • • • • • • • • • •

• 625 16 • •

•

• 1M

1 •

• • • • 1M

1 •

• 8 • • • • • • • •

• • • 8 • • • • • • • •
3
••••
3
••••

•

500 16
200 1!6
• 195 1E •

•
•

Manchester EncoderlDecoders
HD-6408,6409, 1550, 15531 - CMOS Manchester Encoder/Decoder
Manchester (BI-phase) encoding and decoding of a serial data stream intended to service the requirements of tJlIL-STD-1553
and similar Manchester II self clocking, encoded, time division multiplexed serial data protocols.

Features:
- Independent encoder and decoder
- No DC component allowing transformer coupling
- High noise immunity
- Complete clock recovery and phase lOCk-in
- Encoder converts NRZ data to Manchester II data adding a command or Data sync
pulse and parity. (6408,15530,15531)
- Encoder converts NRZ data to Manchester II data adding eight Manchester zeroes
and a command sync pulse (6409)
- Decoder recognizes sync pulse as a command or data sync, decodes the data into
NRZ, checks for parity (except 6409), and checks for Manchester errors.
- On-Chip oscillator (6409 only)
- Repeater mode reconstructs Manchester code Input with a recovered
clock (6409 only)

Part
Number

Pin
Config.

Data Frame
Length

HD-6408

24-Pin

HD-15530

24-Pin

HD-15531

Data
Rate

Temperature
Range

16 Bits

1.0 Mbitlsec

-40'C to +85'C

16 Bits

1.25 Mbit/sec

-40'C to +85'C
-55'C to +125'C

4O-Pin

2-32 Bits

1.25 Mbitlsec

-40'C to +85'C
-55'C to +125'C

HD-1553B

4O-Pin

2-32 Bits

2.5 Mbitlsec

-40'C to +85'C
-55'C to +125'C

HD-6409

2O-Pin

Complete
Variable

1.0 Mbitlsec

-40'C to +85'C
-55'C to +125'C

BIT PERIOD
BINARY CODE

Applications:
- Military aircraft data bus standard
- Telemetry systems
- Magnetic tape recordinQ
- Fiber optic communications
- Security systems
- High speed modems

NON RETURN
TO ZERO

2

I
I

I

BIPOLAR ZERO

I

LJ
I
I

BIPOLAR ONE

0

I
I

3

4

5

0

0

Keyboard Encoder
CDP1871 - CMOS Keyboard Encoder
Interfaces Between a CDP-1800 Series ItP and a Keyboard Array.

Features:
• Scans and Generates Code For 53
Key ASCII Keyboard Plus 32
HEX Keys (SPST Mechanical
Contact Switches)
• RC-Controlled Debounce Circuitry
• N-Key Lockout
• 4 to 10.5 Volt Operating, sOItA

Applications:
• Keyboard Encoder
• Keypad Encoder

VDD

01

0'
0'
D.

SHI'T

.....H.
"""
"'.
IllI

CONTROL

D.
D.
DT
D.
D.
010

DEBOUNCE

S.

BUST
BUS.
BUS'
BU54
BUS'
BUS'
BUS 1
BUS.
C54

VSS

CS'
CS'
e§'!

on
51
S,
S,

54

50

S.

ST

SPI Bus Peripherals
CDP68HC68A2 - CMOS Serial 10-Bit AID Converter
Interfaces Extemal Analog Signals to 680s-Series !tP via 4-Wire SPI Serial Bus

Features:
•
•
•
•

esc

Applications:

10-Bit Switched CapaCitor, Successive Approximation AID
14 ItS 1O-Bit Conversion Time
8 Multiplexed Analog Input Channels
Intrinsic Sample and Hold

....
Voo

IRT

Ali

"'ISO

AI2

MOSI

• Sensor Interfaces
• Communication Systems
• Telemetry Systems

AI3

SCK

AI4

CE

AI5

A~
EXT.REF.

AI8

V~ """'1.._ _ _ _..1-' A~

READ

N.V

AJC CONVERTEA LATCH

......,....
CONTROl.

ABVOLTo\GE IN"VT
IN EXTBtIIAl REF"I!iAENCE
UOCE

"USB)

SPI Peripherals
CDP68HC68P1 - CMOS Single Port Input/Output
CDP68HC68P2 - CMOS Octal Serial Solenoid Driver
CDP68HC68R1 - CMOS 128 Word by 8-Bit Static RAM
CDP68HC68R2 - CMOS 256 Word by 8-Bit Static RAM
CDP68HC68S1 - Serial Bus Interface
CDP68HC68T1 - CMOS Real-Time Clock with RAM & Power
Sense/Control
CDP68HC68W1 - Digital Pulse Width Modulator

ARINC Interface
HS-3282 - CMOS ARINC Bus Interface Circuit
Programmable Bus Interface Circuit for ARINC Specification 429 and Similarly Encoded Serial Data Protocols

Features:
• Data Rates Up to 100K BitslSec
• Dual and Independent Receivers,
Connecting Directly to ARINC Bus
• Separate Receiver and Transmitter
Sections
• 16-Bit Parallel Data Bus
• 32 or 25 Bit Serial Word Length
• FIFO Holds Up to 8 ARINC Data
Words for Transmission
• Single 5V Supply
• 40-Pin CERDIP and 44-Pin LCC
• Full Military Temperature Range

"I """
I
I

lJlEm

I
I

HS-3282 Functional Diagram

HS-3182 - ARINC Line Driver
Features:
•
•
.•
•
•

Converts HS-3282 Transmit Outputs to ARINC Bus Levels
Adjustable RiseJFall Times
Programmable Output Differential Voltage
16-Pin CERDIP and 28-Pin LCC
Full Military Temperature Range

Applications:
• Commercial Aircraft Data Bus Standard

CMOS Logic
Logic Families
FCT Bus Interface Devices
Features:
- 8, 9 and 10 bit high current drive bus Interface devices
- 1.5 micron, low power BiCMOS process
- Drop-in replacement for bipolar FAST and AS logic
- TYPical gate propagation delay - 3ns @ 5V
- JEDEC standard 64148 mA sink current capability
- 4.75 to 5.25 volt operation
- No inputloulput diodes to Vcc - eliminates bus contention
- allows hot card insertion
- Low groundbounce - Vol p - 1V typical

Advanced CMOS (AC/ACT) Logic - SSI, MSliogic functions and medium current bus interface
devices

Features:
- 1.5 micron CMOS process
- ACT provides drop-in replacement for bipolar FAST
- AC provides direct interface to CMOS
- TYPical gate propagation delay - 3ns @ 5V
- JEDEC standard 24 mA sink/source drive current capability
- 1.5 to 5.5 volt operation for AC devices
- 4.5 to 5.5 volt operation for ACT devices
- Low groundbounce - Vol p - 1V typical

High Speed CMOS (HC/HCT) Logic - SSI, MSIlogic functions and low current bus interface

devices

Features:
- 3 micron CMOS process
- HCT provides drop-in replacement for LSTTL
- HC provides direct interface to CMOS
- Typical gate propagation delay - ans @ 5V
- JEDEC standard 4/6 mA sink/source drive current capability
- 2 to 6 volt operation for HC devices
- 4.5 to 5.5 volt operation for HCT devices

CD4000 Logic - SSI and MSllogic functions
Features:
- 7 micron CMOS process
- CMOS input compatibility
- Typical gate propagation delay - 60ns @ 5V
- JEDEC standard 0;4 mA sink/source drive current capability
- High voltage operation:
3 to 18 volt operation for B series
3 to 12 volt operation for A series
- High noise immunity at 1Q-15V; ideal for noisy environments

CMOS Logic
FCT Nomenclature (Example: 74 FCT 245 ATE)
~

xx.

x.

Ek[

I

I

Bu. Interface Family.
TTL Input Levals

High Reliability .crewllng
SA • Ful~ compliant with
MIL STD 883

LownolslJlow
groundbounce

output Itructurs

Temperature Range 74- standard temperaturs range:
0·Cto70·C
64- Extended I8mp'eraturs range:
-55·C to +125 C

Paclalae Designation

E- Plastic D1P

Speed Grade

EN- Plastic Illm-ilne 24 lIed DIP
F- Ceramic frlt..... DIP
M- Plastic surface mount SOlO
H- Chip

Blank - Standard equivalent to FAST

than standard or standard speed
for 8xxI29xxx series
B - 3O'J(, fast than standard 8xxI29xxx 88I'Ies

A-

xx.

I

I

TYJ18 deslanatlon
up to 5dlglts

Prefix deslanatlon

for Harris digital
logic 10

.x

XXXXX.I

3O'J(, faster

High Speed CMOS/Advanced CMOS Nomenclature
(Example: CD 74 ACT 245E, CD 54 HC 245E)

..ell

xx.

xxx.

Log~L~~

I

Prslix designation
for Harris digital
logic 10

He - Hlah Soeed CMOS
I ! CMOS Input llvais
HCT - H h So88d CMOS
Innl ut IlVels
HCU - High
CMOS
logic C S Input IlVIls,
unbullered
AC - Advanced CMOS logic
CMOS Input levals
ACT - Advanced CMOS logic
TTL Input levals

1911" TTLi3

Temperature Range
74- standard temperaturs
range: -4O"C to +85·C
54- Ext8nded I8mperaturs
range: -55"C to +125·C

xx

XXxxx

I

I

High Reliability I_ing
SA • Ful~ comDilant with
MILSTD883

Type

dflil/gnatlon
up to 5 digits

Package De.lanation
E- Plastic D1P
EN- Plestlc sllm-ilne 24leed DIP
F- Ceramic rrtt-eaal DIP
M- Plastic IUrface mount SOIC
H- Chip

CD4000 Nomenclature (Example: CD4011 BE)
~

I

4XXXX

xx.

I

Prefix deslanatlon

Type
d8i1gnatlon
up to 6 digits

..xx.

I

x.

I

High Reliability screening
MS • Fully compliant with
A - ~2 volts max.
Whenueed
MIL: STD 883 class S
B - 18 volts max.
indicates
3 • Non-comDilant with
UB - 18 volts max.
redlatlon leval
MIL STD 883 clas, B
unbuffered
SA • Fully colllJlllant with
Package Designation
MIL: STD 883 CI888 B
0- Ceramic ,Ide brazed DIP
E- Plestlc DIP
F- Ceramic rrtt-eaal DIP
Hardness Assurance Lev~
K- Ceramic flatpak
R - 100 Rads SI
H- Chip
H - 106 Rads SI

SupDlY Voltage

for Harris digital
logic 10

.x

FCT Bus Interface Logic Family
4000B
• CMOS

• HCJHCT

LSlTL
ALS.

CMOS

•

AC/ACT CMOS
BCT FAST
FCT
eBC· •
FCTA

AS

•

S

•

1(1(

·ECL
lOOK

ECL.
0.001 0.1
1
2 3
5
10
20
AVERAGE DC POWER DISSIPATION (mW)
NOTE: FCT. BC AND BCT ARE BiCMOS

Focus
Replace FAST and AS bipolar logic in existing and new bus Interface
applications
~

Similar speeds as AC/ACT

~

Drive capability meets VME and MULTI BUS requirements

FCT Family Description
Harris FCT is a broad family of 8. 9. 10-bit computer-bus interface logic
ICs. Harris FCT BiCMOS Bus-Interface ICs are designed to satisfy four
major requirements of modern bus-oriented computer systems. namely:
1. High speed/low propagation delay
2. High drive. to meet specified bus-interface requirements for clock and
data lines
3. Low power consumption (CMOS-like)
4. Minimization of switching noise

• CPU
• MEMORY
- PERIPHERAL

FCT

COMPUTER
PCB BUS OR
BACKPlANE
.VMEBUS
• MULTIBUS U
• NUBUS
• PC/AT BUS

FCT Family Description

(Continued)

Features of the Harris FCT Family
• High Speed, Typical Delay = 3.5ns
~ FCTXXXAT AND FCTXXXBT are faster than FAST'"
• Low Power;
Typical Power/Function
~ Quiescent. . . • . . . • • . .
0
~ 5MHz. . . . . . • . . . . • . . •
5.6mW
~ 10MHz..............
11.2mW
• Output Sink Current;
Buffers - 64mA, 700C
FF/Latches - 48mA, 700 C
• Limited Output Voltage Swing (for Reduced Noise Generation); 3.5V Typical
• No Diode Clamps From Inputs or Outputs to VCC
• Minimized Switching Noise Design, Layout, and Packaging
~ Low Ground Bounce - Typically 1.2V (vs. > 2V with lOT
FCT)
~ Reduced EMI Due to Slowed Output Edges
~ Good Input Dynamic Noise Immunity (via Isolated Ground
System and Input Hysteresis)
• ESD: ±2kV (HBM)
• Variety of Bus-Inferface Functions:
~ Buffers. ..•.. .. .•... . .•.
5 Octal
2 10-Bit
~ Flip-Flops/Registers ...•
6 Octal
2 9-Bit
2 10-Bit
2 Special Registers
~ Transceivers. . . . . . . . . . . . 17 Octal
2 9-Bit
2 10-Bit
~ Latches . . . . . . . . . . . . . . . .
4 Octal
2 9-Bit
2 10-Bit
TOTAL 48 Device Types

Power Comparison
•

SMHz

[J

OUIESCENT. OUTPUTS ACTIVE

2.S

NOTE: 0 -

50'1(, FOR 0

<

FAST- Is a registered trademark of Fairchild Semiccnduclor Corporation

14C021

50'1(, (JUL) POWER OF BC

>

6SmW

FCT Product Selection Guide
TYPECD54/74
INVERTEASIBUFFERS/BUS DRIVERS
FCT240/AT
FCT241/AT
FCT244/AT
FCT540/AT
FCT541/AT
FCT827A1BT
FCT828A/BT

Octal BufferA.ine Driver; 3-5tate; Inverting
Octal BufferA.ine Driver; 3-5tate
Octal BufferA.ine Driver; 3-5tate
Octal BufferA.ine Driver; 3-State; Inverting
Octal Buffer/llne Driver; 3-State
1O-Blt Buffer/line Driver; 3-5tate
1O-Bit Buffer/llne Driver; 3-5tate; Inverting

FLIP-FLOPS/REGISTERS
FCT273/AT
FCT374/AT
FCT377/AT
FCT534/AT
FCT564/AT
FCT574/AT
FCT821 AlBT
FCT822A1BT
FCT823A1BT
FCT824A1BT
FCT29520AlBT
FCT29521 AlBT

Octal 0-Type Flip-flop with Reeet; PoeItIve-Edge Trigger
Octal 0-Type Flip-flop; Positive-Edge Trigger; 3-5tate
Octal 0-Type Flip-Flop; Positive-Edge Trigger wilh Dala Enable
Octal D-Type Flip-flop; Positive-Edge Trigger; 3-5tate; Inverting
Octal 0-Type Flip-Flop; Positive-Edge Trigger; 3-5tate; Inverting
Octal 0-Type Flip-Flop; Positive-Edge Trigger; 3-5tate
1O-Blt D-Type Flip-Flop; Positive-Edge Trigger; 3-5tate
1O-Blt D-Type Flip-Flop; Positive-Edge Trigger; 3-5tale; Inverting
9-Blt D-Type Flip-Flop; Positive-Edge Trigger; 3-5tate
9-Blt D-Type Flip-Flop; Positive-Edge Trigger; 3-5tale; Inverting
Multilevel Pipeline Register
Multilevel Pipeline Register

BUS TRANSCEIVERS
FCT245/AT
FCT543/AT
FCT544/AT
FCT623/AT
FCT640/AT
FCT643/AT
FCT646/AT
FCT647/AT
FCT648/AT
FCT649/AT
FCT651/AT
FCT652/AT
FCT653/AT
FCT654/AT
FCT861A1BT
FCT862A1BT
FCT863A1BT
FCT864A1BT
FCT2952A1BT
FCT2953A1BT
FCT7623/AT

Octal Bus Transceiver; 3-51ale
Octal Register-Transceiver; 3-5tate
Octal Register-Transceiver; 3-5tate; Inverting
Octal Bus Transceiver; 3-5tate
Octal ReglsterTranscelver; 3-5tate; Inverting
Octal RegisterTranscelver; 3-5tate; True/lnverting
Octal Register Transceiver; 3-5tate
Octal Bus Transceiver/Register with Open Drain
Octal Bus Transceiver/Register; 3-5tale; Inverting
Octal Bus Transceiver/Register with Open Drain; Inverting
Octel Bus Transcelver/Regisler; 3-5tale; Inverting
Octal Bus Transceiver/Register; 3-5tale
Octal Bus Transceiver/Register; Open-Drain (A 5ide); 3-5tate (B 5ide); Inverting
Octal Bus Transceiver/Register; Open-Drain (A 5Ide); 3-5tate (B 5ide)
1O-Blt Bus Transceiver; 3-5tate
1O-Bil Bus Trensceiver; 3-5Iate; Inverting
9-Bit Bus Transceiver; 3-5tate
9-Bit Bus Transceiver; 3-5tate; Inverting
Octal Register-Transceiver; 3-5tate
Octel Register-Transceiver; 3-5tate; Inverting
Octal Bus Transceiver; 3-5tate (B 5ide); Open-Drain (A 5ide)

LATCHES
FCT373/AT
FCT533/AT
FCT563/AT
FCT573/AT
FCT841A1BT
FCT842A1BT
FCT843A/BT
FCT844A1BT

Octal Transparent Latch; 3-5tate
Octal Transparent Latch; 3-5Iate; Inverting
Octal Transparent Latch; 3-5tate; Inverting
Octal Transparent Latch; 3-5tate
1O-Blt Transparent Latch; 3-5tate
1O-Blt Transparent Latch; 3-5tate; Inverting
9-Blt Transparent Latch; 3-5tate
9-Bit Transparent Latch; 3-5tate; Inverting

FCT Performance Comparisons
Vender Comparisons
HARRIS
BASE
FCT
FAST Speed Compatible

lOT
SF
AT

X

Approximately 30% Higher Spead than FAST

BASE
FCT

X

X

limitad Output Swing; 3.6V Maximum
No Output Clamp Diode to VCC

NATIONAL
SF
T

SF
AT

X

BASE
FCT

SF
A

X

X

X

Controlled Swnchlng Noise; Under 1.5V
Ground Bounce

SF
A

X

X

X

X

X

X

X

X

X

X

X

Comparing FCT, BCT, BC ABT
CRITERIA

FCT

Zero (Typical) Static Power

X

Controllad Switching Noise

X
(All Harris)
(lOT Suffix T)

Spead> FAST

X
(Suffix NAT)

Economical CMOS Based Process

X

BCT

BC

ABT

X

X

X

X

The Advanced CMOS Logic Family (AC/ACT)
• FOCUS: Replace Fast Logic In Old or New Designs
~ 75MHz Flip Flops (typical)
~ 3ns Gates (typical)
• COMPETITION:
~ Motorola
~ TI (center pin)
~ National
~ Signetics (center pin)
~ Toshiba

• MAIN ATTRIBUTES:
~ Comer pin power and g round allows direct TTL drop- In
compatibility
~

Modifications to the output structure and package
provide devices with
low ground
bounce
(approximately 1 volt)

~

Functional, performance, and drop-in replacement for
FAST TTL

Performance Comparison of AC/ACT and FAST Logic Functions:
CHARACTERISTICS
1. Power Consumption (mW)
Four-stage counter (191)
Octal transceiver (245)

74 SERIES AC/ACT

74 SERIES FAST

Frequency (MHz)
0
1
10
5.5
55
0.44
0.44
39
390

Frequency (MHz)
0
1
10
204
224
306
46S
514
702

2. Operating Voltage (volts):
3. Operating Temperature Range (OC):
4. Noise Margin (volts):
FAST to FAST
AC to AC (Hlgh/Low)
ACT to ACT

(VCC = 4.5V, rated load)

AC: 1.5 to 5.5
ACT: 4.5 to 5.5

4.75 to 5.25

-550 C to +1250 C

00Cto+700 C

-

0.4/0.3

1.25/1.25
1.8/0.36

-

VS:l:50

VS:l:200

:1:24
:1:24
:1:24

(IOLlIOH)
+20/-1
+24/-3
+64/-15

7.Sn.8
9.4/9.4

6/9
10.5/S.5

8. Input Current (,.A):
IlL
IIH

+1
-1

+1600
-20

9. Three-State Output Current (,.A):

:1:5

:1:50

5. Input Switching Voltage Variation Over the
Operating Temperature Range (mV)
6. Output Drive Current (mA):
SSI/MSI Logic
3-State Buffers
Bus Drivers
7. Propagation Delay (ns):
Octal Buffer (240)
Flip-Flop (74)

(VCC=4.5V)

(IpHL/tpLH)

CD54/74AC/ACT Series Function Selection Chart
CLASSIFICATION

NO. OF PINS

AC/ACTOO
AC/ACT02
AC/ACT10
AC/ACT20

NAND/NOR Gates
Quad 2-lnput NAND Gate
Quad 2-lnput NOR Gate
Triple 3-lnput NAND Gate
Dual4-lnput NAND Gate

551
551
551
551

14
14
14
14

AC/ACTOS
AC/ACT32
AC/ACTS6

AND/OR/Exclusive-OR Gates
Quad 2-lnput AND Gate
Quad 2-lnput OR Gate
Quad 2-lnput Exclusive-OR Gate

551
551
551

14
14
14

AC/ACT04
AC/ACT05
AC/ACT240
AC/ACT244
AC/ACT540
AC/ACT541

Inverters/Buffers/Bus Drivers
Hex Inverter/Buffer
Hex Invertar/Buffer with Open-Drain Outputs
Octal Buffer/Line Driver; 3-State; Inverting
Octal Buffer/Line Driver; 3-State
Octal Buffer/Line Driver; 3-State; Inverting
Octal Buffer/Line Driver; 3-State

551
551
MSI
MSI
MSI
MSI

14
14
20
20
20
20

AC/ACT373
AC/ACT533
AC/ACT563
AC/ACT573

Latches
Octal Transparent Latch; 3-State
Octal Transparant Latch; 3-State; Inverting
Octal Transparent Latch; 3-State
Octal Transparent Latch; 3-State

MSI
MSI
MSI
MSI

20
20
20
20

AC/ACT14

Schmitt Trigger
Hex Inverting Schmitt Trigger

551

14

AC/ACT297

Phase-Locked Loop
Digital Phase-Locked Loop

MSI

16

TYPE CD54/74

FUNCTION/DESCRIPTION

CD54/74AC/ACT Series

(Continued)

Function Selection Chart (Continued)

Type CD54/74

FunctlonlDescrtptlon

Classification

No. of Pins

FF

14
18
18
18
18
20
20
20
20
20

AC/ACT74
AC/ACT109
AC/ACT112
AC/ACT174
AC/ACT175
AC/ACT273
AC/ACT374
AC/ACT534
AC/ACT564
AC/ACT574

Fllp-Flope/Latch.
Dual D-Type Flip-Flop with SET and RESET; Positive-edge Trigger
Dual JK Flip-Flop with SET and RESET; Positive-Edge Trigger
Dual JK Flip-Flop with SET and RESET
Hex D-Type Flip-Flop with RESET
Quad 0-Type Flip-Flop with RESET
Octal 0-Type Flip-flop with RESET
Octal 0-Type Flip-Flop; Positive-Edge Trigger; 3-State; Non-Inverting
Octal 0-Type Flip-Flop; Positive-Edge Trigger; 3-State; Inverting
Octal 0-Type Flip-Flop; Positive-Edge Trigger; 3-State; Inverting
Octal 0-Type Flip-Flop; Positive-Edge Trigger; 3-State

AC/ACT184
AC/ACT299
AC/ACT323
AC/ACT7202
AC/ACT7201

Shift/FIFO Buffer/Multlport Registers
8-Bit Serial-In Parallel-Out Shift Register
8-Blt Universal Shift Register; 3-State
8-BIt Universal Shift Register; 3-State (w/Synchronous RESET)
1024 x 9 Bit Parallel In-Out FIFO
512 x 9 Bit Parallel FIFO

MSI
MSI
MSI
MSI
MSI

14

AC/ACT280
AC/ACT283

Arithmetic Circuits
8-BIt Odd/Even Parity Generator/Checker
4-Blt Full Adder with Fast Carry

MSI
MSI

14
18

AC/ACT161
AC/ACT163
AC/ACT191
AC/ACT193
AC/ACT7060
AC/ACT7061

Counters
Presetable Synchronous 4-Bit Binary Counter; Asynchronous RESET
Presettable Synchronous 4-Blt Counter, Synchronous RESET
Preaettabls Synchronous 4-Blt Binary Up/Down Counter
Presettabls Synchronous 4-Bit Binary Up/Down Counter
14-Stage Binary Ripple Counter with Oscillator
14-Stage Binary Ripple Counter with Oscillator

MSI
MSI
MSI
MSI
MSI
MSI

18
18
18
18
20
20

AC/ACT138
AC/ACT139
AC/ACT151
AC/ACT153
AC/ACT157
AC/ACT158
AC/ACT238
AC/ACT251
AC/ACT253
AC/ACT257
AC/ACT258

Analog and Digital Multiplexers/Damultlplexers
3-to-8-Line Decoder/Demulliplexer, Inverting
Dual2-o1-4-Une Decoder/Demultiplexer
8-lnput Multiplexer
Dual 4-lnput Multiplexer
Quad 2-lnput Multiplexer
Quad 2-lnput Multiplexer, Inverting
3-to-8-Line Decoder/Demultlplexer
8-lnput Multiplexer; 3-State
Dual4-lnput Multiplexer; 3-State
Quad 2-lnput Multiplexer; 3-state; Non-Inverting Outputs
Quad 2-lnput Multiplexer; 3-State; Inverting Outputs

MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI

18
16
18
18
16
18
18
18
18
18
18

AC/ACT138
AC/ACT139
AC/ACT238

Decoders/Encoders
3-to-8-Line Decoder/Demuiliplexer Inverting
DuaI2-of-4-Line Decoder/Demultiplexer
3-to-8-Line Decoder/Demultiplexer

MSI
MSI
MSI

16
18
16

MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI

20

AC/ACT245
AC/ACT623
AC/ACT646
AC/ACT647
AC/ACT648
AC/ACT649
AC/ACT651
AC/ACT652
AC/ACT653
AC/ACT654
AC/ACT7623
AC/ACT7651

BUB Transceivers
Octal Bus Transceiver; 3-Stste
Octal Bus Transceiver; 3-State; Non-Inverting
Octal Bus Transceiver/Register; 3-State
Octal Bus Transceiver/Register with Open Drain, Non-Inverting
Octal Bus Transceiver/Register, 3-State; Inverting
Octal Bus Transceiver/Register with Open Drain, Inverting
Octal Bus Transceiver/Register with Open Drain, Inverting
Octal Bus Transceiver/Register, 3-State; Non-Inverting
Octal Bus Transceiver/Register, 3-State (B Side), Open-Drain (A Side); Inverting
Octal Bus Transceiver/Register; 3-State (B-Side), Open-Drain (A-Side);
Non-Inverting
Octal Bus Transceiver; 3-State (B-Slde), Open-Drain (A-Side); Non-Inverting
Octal Bus Transceiver/Register; 3-Stete; inverting

FF
FF
MSI
MSI
FF
FF

FF
FF

FF

MSI
MSI

20
20
28
28

20
24
24
24
24
24
24
24
24

20
24

High-Speed CMOS Logic ICs
CD54/74HC/HCT Series
Function Selection Chart
Type CD54/74

Classification

No. of Pins

HC/HCTOO
HC/HCT02
HC/HCT03
HC/HCT10
HC/HCT20
HC/HCT27
HC/HCT30
HC/HCT4002

NAND/NOR Gates
Quad 2-lnput NAND Gate
Quad 2-lnput NOR Gate
Quad 2-lnput NAND Gate with Opan Drain
Triple 3-lnput NAND Gate
Dual4-lnput NAND Gate
Triple 3-lnput NOR Gate
8-lnput NAND Gate
Dual4-lnput NOR Gate

Function/Description

SSI
SSI
SSI
SSI
SSI
SSI
551
SSI

14
14
14
14
14
14
14
14

HC/HCT08
HC/HCT11
HC/HCT21
HC/HCT32
HC/HCT86
HC/HCT4075
HC7266

AND/OR/EXCLUSIVE-OR Gates
Quad 2-lnput AND Gate
Triple 3-lnput AND Gate
Dual4-lnput AND Gate
Quad 2-lnput OR Gate
Quad 2-lnput EXCLUSIVE-OR Gate
Triple 3-lnput OR Gate
Quad Exclusive NOR Gate

SSI
SSI
SSI
SSI
SSI
SSI
SSI

14
14
14
14
14
14
14

HC/HCT04
HCU04
HC/HCT125*
HC/HCT126*
HC/HCT240*
HC/HCT241*
HC/HCT244*
HC/HCT365*
HC/HCT366*
HC/HCT367*
HC/HCT368*
HC/HCT540*
HC/HCT541*
HC4049
HC4050

Inverters/Buffers/Bus Drivers
Hex Inverter/Buffer
Hex Inverter (Unbuffered)
Quad 3-State Buffer
Quad 3-State Buffer
Octal Buffer/Llne Driver; 3-State; Inverting
Octal Buffer/Line Driver; 3-State
Octal BufferlLlne Driver; 3-State
Hex Buffer/Line Driver; 3-State
Hex Buffer/Line Driver; 3-State; Inverting
Hex Buffer/Line Driver; 3-State
Hex Buffer/Line Driver; 3-State; Inverting
Octal BufferlLlne Driver; 3-State; Inverting
Octal BufferlLlne Driver; 3-State
Hex Inverting HIGH-to-LOW Level Shifter
Hex HIGH-to-LOW Level Shifter

SSI
SSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
SSI
SSI

14
14
14
14
20
20
16
16
16
16
16
20
20
18
16

HC/HCT73
HC/HCT74
HC/HCT107
HC/HCT109
HC/HCT112
HC/HCT173*
HC/HCT174
HC/HCT175
HC/HCT273
HC/HCT374*
HC/HCT377
HC/HCT534*
HC/HCT564*
HC/HCT574*

Flip-FlOps
Dual JK Flip-Flop with Reset; Negative-Edge Trigger
Dual 0-Type Flip-Flop with Set and Reset; Positive-Edge Trigger
Dual JK Flip-flop with Reset; Negative-Edge Trigger
Dual JK Flip-Flop with Set and Reset; Positive-Edge Trigger
Dual JK Flip-Flop with Set and Reset; Negative-Edge Trigger; 3-State
Quad 0-Type Flip-Flop with Set and Reset; POSitive-Edge Trigger; 3-State
Hex 0-Type Flip-Flop with Reset; Positive-Edge Trigger
Quad 0-Type Flip-Flop with Reset; Positive-Edge Trigger
Octal 0-Type Flip-flop with Reset; Positive-Edge Trigger
Octal 0-Type Flip-Flop; Positive-Edge Trigger; 3-State
Octal 0-Type Flip-Flop with Data Enable; Positive-Edge Trigger
Octal 0-Type Flip- Flop; Positive-Edge Trigger; 3-State; Inverting
Octal 0-Type Flip-flop; Positive-Edge Trigger; 3-State; Inverting
Octal 0-Type flip-flop; Positive-Edge; 3-State

FF
FF
FF
FF
FF
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI

14
14
14
16
16
16
16
16
20
20
20
20
20
20

HC/HCT164
HC/HCT165
HC/HCT166
HC/HCT194
HC/HCT195
HC/HCT299*

Shift/FIFO Buffer/Multipart Registers
8-Bit Serial-In/Parallel-Out Shift Register
8-Bit Parallel-In/Serial-Out Shift Register
8-Blt Parallel/Serial-In Serial Out Shift Register
4-Bit Bidirectional Universal Shift Register
4-Bit Parallel Access Shift Register
8-Bit Universal Shift Register; 3-State

MSI
MSI
MSI
MSI
MSI
MSI

14
16
18
16
16
20

* Types with a bus driver output stage.

High-Speed CMOS Logic ICs
CD54174HC/HCT Series (Continued)
Function Selection Chart (Continued)
Type CD54174

Classltlcatlon

No. of Pin.

HC/HCT597
HC/HCT670*
HC/HCT4015
HC/HCT4094
HC/HCT7030*
HC/HCT40104*
HC/HCT40105

Shift/FiFO Buffer/Multlport Registers (Continued)
8-Blt Shift Register With Input Latch
4 x 4 Register File; 3-Stete
Dual4-Stege Stetic Shift Register
8-Stege Shift-and-Store Bus Register; 3-Stete
9-Bit x 64 Word FIFO Register, 3-Stete
4-Bit Bidirectional Universal Shift Register; 3-stete
4 Bits x 16 Words FIFO Register

Function/Description

MSI
MSI
MSI
MSI
MSI
MSI
MSI

1.
1.
1.
1.
28
1.
16

HC/HCT85
HC/HCT181
HC/HCT182
HC/HCT280
HC/HCT263
HC/HCT583
HC/HCT688

Arithmetic Circuits
4-Blt Magnitude Comparator
4-Bit Arithmetic Logic Unit
Look-Ahead Carry Generator
9-Bit OddlEven Parity Generator/Checker
4-Bit Binary Full Adder With Fast Carry
4-Bit BCD Full Adder with Fast Carry
8-Bit Magnitude Comparator

MSI
MSI
MSI
MSI
MSI
MSI
MSI

16
24
16
14
1.
16
20

HC/HCT93
HC/HCT160
HC/HCT161
HC/HCT162
HC/HCT163
HC/HCT190
HC/HCT191
HC/HCT192
HC/HCT193
HC/HCT390
HC/HCT393
HC/HCT4017
HC/HCT4020
HC/HCT4024
HC/HCT4040
HC/HCT4059
HC/HCT4060
HC/HCT4510
HC/HCT4516
HC/HCT4518
HC/HCT4520
HC/HCT40102
HC/HCT40103

Counters
4-Binary Ripple Ccunter
Presetteble Synchronous BCD Decade Counter; Asynchronous Reset
Presettable Synchronous 4-Bit Binary Counter; Aysnchronous Reast
Presettable Synchronous BCD Decade Counter; Synchronous Reset
Presettable Synchronous 4-BII Binary Counter; Synchronous Reset
Presetteble Synchronous BCD Decade Up/Down Counter
Presettable Synchronous 4-BII Binary Up/Down Counter
Presettable Synchronous BCD Decade Up/Down Counter
Presettable Synchronous 4-BII Binary Up/Down Counter
Dual Decade Ripple Counter
Dual4-Bit Binary Ripple Counter
Decade Counter/Divider with 10 Decoded Outpute
14-6tege Binary Ripple Counter
7 -Stege Binary Ripple Counter
12-Stege Binary Ripple Counter
Programmable Divide by .oN" Ccunter
14-Stege Binary Ripple Counter with Oecillator
Presetteble BCD Up/Down Counter
Presetteble Binary Up!Down Ccunter
Dual Synchronous BCD Counter
Dual 4-Bit Synchronous Binary Ccunter
Synchronous 2-Decade BCD Down Counter
8-Bit Synchronous Binary Down Counter

MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI

14
16
16
16
16
16
16
16
16
16
14
16
16
16
16
24
16
16
16
16
16
16
16

HC/HCT123
HC/HCT221
HC/HCT423
HC/HCT4538

One-Shot Mulllvibrators
Dual Retriggerable Monosteble Multivlbrator with Reset
Dual Monosteble Multlvibrator with Reset
Dual Retrlggerable Monosteble Multivibratorwlth Reset
Dual Retriggerable Precision Monosteble Multivibrator

MSI
MSI
MSI
MSI

16
16
16
16

HC/HCT151
HC/HCT153
HC/HCT157
HC/HCT158
HC/HCT251
HC/HCT253*
HC/HCT257*
HC/HCT258
HC/HCT354*
HC/HCT356*

Analog and Digital Multiplexers/Demultiplexers
8-lnput Multiplexer
Dual4-lnput Multiplexer
Quad 2-lnput Multiplexer
Quad 2-lnput Multiplexer; Inverting
8-lnput Multiplexer; 3-State
Dual 4-lnput Multiplexer; 3-Stete
Quad 2-lnput Multiplexer; 3-Stete; Non-Inverting Outputs
Quad 2-lnput Multiplexer; 3-Stete; Inverting Outputs
8-lnput Multiplexer/Register, 3-Stete
8-lnput Multiplexer/Register, 3-Stete

MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI

16
16
16
16
16
16
16
16
20
20

• Type with a bus-driver output stage.

High-Speed CMOS Logic ICs
CD54/74HC/HCT Series (Continued)
Function Selection Chart (Continued)
Classification

No. of Pin.

HC/HCT4051
HC/HCT4052
HC/HCT4053
HC/HCT4067
HC/HCT4351
HC/HCT4352
HC/HCT4353

Analog and Digital Multiplexers/Demultiplexers (Continued)
8-Channel Analog Multlplexer!Demultiplexer
Dual4-Channel Analog Multiplexer!Demultiplexer
Triple 2-Channel Analog Multiplexer!Demultiplexer
1a-Channel Analog Multiplexer/Demultiplexer
Dual4-Channel Analog Multiplexer!Demultiplexer with Latch
Triple 2-Channel Analog Multlplexer!Demultiplexer with Latch
1a-Channel Analog Multiplexer/Demultiplexer with Latch

MSI
MSI
MSI
MSI
MSI
MSI
MSI

16
16
16
24
20
20
20

HC/HCT42
HC/HCT137
HC/HCT138
HC/HCT139
HC/HCT147
HC/HCT154
HC/HCT237
HC/HCT238
HC/HCT4511
HC/HCT4514
HC/HCT4515
HC/HCT4543

Decoders/Encoders
BCD to Decimal Decoder (1-01-1 0)
3-to-8-Une Decoder with Latch; Inverting
3-to-8-Llne Decoder/Demultiplexer, Inverting
Dual 2-to-4-Llne Decoder!Demultiplexar
10-to-4-Line Priority Encoder
4-to-1a-Une Decoder/Demultlplexer
3-to-8-Llne Decoder/Demultiplexer with Address Latches
3-to-8-Une Decoder/Demultlplexer Non-Inverting
BCD-to-7-Segment Latch/Decoder/Drlver
4-to-16-Une Decoder/Demultiplexer with Input Latches
4-to-16-Llne Decoder/Demultiplexer with Input Latches
BCD-to-7-Segment Latch/Decoder/Driver for LCDs

MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI

16
16
16
16
16
24
16
16
16
24
24
16

HC/HCT4016
HC/HCT4066
HC/HCT4316

Analog Swltche.
Quad Bilateral Switch
Quad Bilateral Switch
Quad Analog Switch

SSI
SSI
MSI

14
14
16

HC/HCT242*
HC/HCT243*
HC/HCT245*
HC/HCT640*
HC/HCT643*
HC/HCT646*
HC/HCT648*
HC/HCT7038*

BUB Transceivers
Quad Bus Trenscelver, 3-State; Inverting
Quad BUB Trenscelver; 3-State
Octal BUB Transceiver; 3-State
Octal Bus Transceiver; 3-State; Inverting
Octal BUB Transceiver; 3-8tate; True/lnvertlng
Octal BUB Transceiver; 3-State
Octal Bus Transceiver; 3-State; Inverting
9-Blt Bus Trenscelver with Latch

MSI
MSI
MSI
MSI
MSI
MSI
MSI
MSI

14
14
20
20
20
24
24
24

HC/HCT14
HC/HCT132

Schmitt Triggers "
Hex Inverting Schmitt Trigger
Quad 2-lnput NAND Schmitt Trigger

SSI
SSI

14
14

HC/HCT75
HC/HCT259
HC/HCT373*
HC/HCT533*
HC/HCT563*
HC/HCT573*

Latche.
Dual2-lnput Bistable Transparent Latch
8-Blt Adresssble Latch
Octal Transparent Latch; 3-State
Octal Transparent Latch; 3-State; Inverting
Octal Transparent Latch; 3-State; Inverting
Octal Transp.rent Latch; 3-State

FF
MSI
MSI
MSI
MSI
MSI

16
16
20
20
20
20

HC/HCT297
HC/HCT4046A
HC/HCT7046A

Phase-Locked Loops (PLL)
Digital Phase-Locked Loop Filter
Pha....Locked Loop with VCO
Phase-Locked Loop with In-Lock Detection

MSI
MSI
MSI

16
16
16

Type CD54/74

Functlon!Descrlptlon

* Type wHh a bus-driver output stage.

CD4000A/B Facts
CD4000A commercial devices have been discontinued (military devices are still
available). CD4000B is superior for:
• Speed
• ESD Protection (>2KV vs. 1 KV for CD4000A)
• Breakdown Voltage >24V
CD4000A/B types are available only in DIP or flatpack; no surface mount plastic
packages are available.

CD4000B Series
CD4000B-series types have a maximum DC supply voltage rating of -O.SV to 20V,
and a recommended operating voltage range of 3V to 18V. The major features of
this series are as follows:
• Maximum Input Current (Leakage) of 11lA at 18V Over Full Package-Temperature Range; 100nA at 18V at +2S o C
• Standardized Symmetrical Output Characteristics
• SV, 10V and 1SV Parametric Ratings
• Noise Margin (Over Full Package-Temperature Range)
• 1VatVDD = SV
• 2V at VDD = 10V
• 2.SV at VDD

= 1SV

• Meets All Requirements of JEDEC Standard No. 13B, (Standard Specifications
for Description of 'B' Series CMOS Devices"

Function Selection Chart

Function

I

Type No.

I

No. of
Pins

Function

Gates

Gates (cont'd)

NOR/NAND
2-input NOR, 2-input NAND
Dual 4-input NOR
Dual 4-input NAND
Triple 3-input NOR
Triple 3-input NAND
Quad 2-input NOR

Decoders/Encoders (cont'd)
4-bit latch/4-to-16 line decoder
(outputs low)
Dual l-of-4 decoder/
demultiplexer (outputs high)
Dual l-of-4 decoder/demultiplexer
(outputs low)
Schmitt Trigger
Quad 2-input NAND
Hex

8-input NOR/OR
8-input NAND/AND
Dual 2-lnput NAND buffer/driver

CD4572UB
CD4002B
CD4012B
CD4025B
CD4023B
CD4001B
CD4001UB
CD4011B
CD4011UB
CD4078B
CD4068B
CD40107B

OR/AND
Dual 4-input OR
Dual 4-input AND
Triple 3-input OR
Triple 3-input AND
Quad 2-input OR
Quad 2-input AND

CD4072B
CD4082B
CD4075B
CD4073B
CD4071B
CD4081B

Buffers and Inverters
Dual complementary pair plus
inverter
Quad inverter
Hex inverter
Hex inverter/buffer (3-state)
Hex buffer (3-state non-inverting)
Hex buffer/converter (inverting)
Hex buffer/converter (Inverting)
Hex buffer/converter (non-inverting)
Hex buffer/converter (non-inverting)
Quad true/complement buffer
Dual 2-input NAND buffer/driver

CD4007UB
CD4572UB
CD4069UB
CD4502B
CD4503B
CD4009UB
CD4049UB
CD4010B
CD4050B
CD4041UB
CD40107B

Quad-2 input NAND

Multlfunction/AOI
Triple AND-OR bi-phase pairs
Quad exclusive-OR
Quad exclusive-OR
Quad exclusive-NOR
Quad AND/OR Select
4-Bit AND/OR Select
Dual 2-wide, 2-input AND/OR
invert (AOI)
Expandable 4-wide, 2-input
AND/OR invert (AOI)
Multifunclional expandable 8-input
(3-stale output)
Hex gate
Decoders/Encoders
BCD-to-decimal decoder
8-input priority encoder
10-line to 4-line
BCD priority encoder
4-bit lalch/4-10-16 line decoder
(outputs high)

16
14
14
14
14
14
14
14
14
14
14
8,14
14
14
14
14
14
14

14
16
14
16
16
16
16
16
16
14
8,14

CD4030B
CD4070B
CD4077B
CD4519B
CD4019B
CD4519B

14
14
14
16
16
16

CD4085B

14

CD4086B

14

CD4048B
CD4572UB

16
16

CD4028B
CD4532B

16
16

CD40147B

16

CD4514B

24

I

Type No.

I

No. of
Pins

CD4515B

24

CD4555B

16

CD4556B

16

CD4093B
CD40106B

14
14

CD40109B
CD4009UB
CD4049UB
CD4010B
CD4050B

16
16
16
16
16

CD4504B
CD40107B

16
8.14

CD40116'i7

22

CD40117B'i7

14

CD4047B
CD4098B
CD4538B
CD14538B

14
16
16
16

CD4013B
CD4027B
CD4095B

14
16
14

CD4096B
CD40174B
CD4076B
CD40175B

14
16
14
16

CD4042B
CD4043B
CD4044B
CD4508B
CD4099B
CD4724B

16
16
16
24
16
16

CD4015B
CD4006B
CD4031B
CD4517B

16
14
16
16

CD4014B

16

Interface
Quad low-to-high voltage
Hex high-to-Iow voltage (inverting)
Hex high-to-Iow voltage (noninverting)
Hex voltage level shifter
for TTL-to-CMOS or
CMOS-to-CMOS operation
Dual 2-input NAND buffer/driver
8-bit bidirectional CMOS-to-TTL
level converter
Programmable dual
4-bit terminator

Multivibrators
Monostable/astable
Dual monos table
Dual precision monostable
Flip-Flops
Dual "D" with sel/reset capability
Dual "J-K" with sel/reset capability
Gated "J-K" (non-inverting) :
Gated "J-K" (inverting and noninverting)
Hex"D"
4-bit "D" with 3-stale oulpuls
Quad "D"
Latches
Quad clocked "D"
Quad NOR R/S (3-state oulputs)
Quad NAND R/S (3-slale outputs)
Dual4-bit
8-bit addressable

Registers
Shift Registers-Static
Dual 4-stage with serial input!
parallel oulput
18-stage
64-slage
Dual 64-bit
8-stage with synchronous parallel
or serial input/serial output

'V Indicates types designed for special applications. Ratings and characteristics dala for Ihese types differ in some aspects from
the standardized data for B-series types. Refer to data pages on these types for specific differences.

Function Selection Chart
Function

I

(Continued)

Type No.

I

No.
Pinsof

Function

I

Registers (cont'd)

Registers (cont'd)

Shllt Registers-Static (cont'd)
8-stage with asynchronous parallel
input or synchronous serial
input/serial output
4-stage parallel-in/parallel-out with
J-K Input and true/complement
output
4-blt universal bidirectional
with asynchronous master reset
8-stage bidirectional parallel or
serial Input/parallel output
32-blt left/right
8-stage shift-and-store bus

Synchronous (cont'd)
Decade counter/asynchronous clear
Binary counter/asynchronous clear
Decade counter/synchronous clear
Binary counter/synchronous clear

Storage Register.
8-bit addressable latch
4-blt "D"-type with 3-state outputs
FIFO 8uller Register.
4-bit x 16 word
81nary Ripple
7-stage
12-stage
14-stage
14-stage counter/divider and
oscillator
24-stage frequency divider
Timers
21-stage
Programmable
Industrial time-base generator
Synchronous
Decade counter/divider plus 10
decoded decimal outputs
Divide-by-8 counter/divider with
8 decimal outputs
Presettable divide-by-"N" counter,
fixed or programmable
Programmable-divide-by-"N"
counter
Programmable BCD Divide-by-"N"
counter
Presettable up/down counter,
binary or BCD-decade
Presettable 4-bit BCD up/down
counter
Presettable 4-bit binary up/down
counter
Presettable 2-decade BCD down
counter
Presettable 8-bit binary down
counter
Dual BCD up counter
Dual binary up counter

C040218

16

C040358

16

C0401948

16

C040348
C0401OO8
C040948

24
16
16

C040998
C047248
C040788

16
16
16

C0401058

16

C040248
C040408
C040208

14
16
16

CD40608
C045218

16
16

C040458
CD45368
CD45668

14
16
16

C040178

16

CD40228

16

C040188

16

C04059A

24

CD45228

16

CD40298

16

C045108
C0401928

16
16

C045168
CD401938

16
16

C0401028

16

CD401038
C045188
CD45208

16
16
16

Type No.

'I

No. of
Pins

C0401608
C0401618
C0401628
C0401638

16
16
16
16

C040288

16

C040338

16

C0401108

16

C040548

16

C040558

16

C040588
C045438

16
16

C07211'V

40

CD7211A'i7

40

C07211M'i7

40

C07211AM'i7

40

CD45118

16

CD40538
CD40528
C040518
C040978
CD40678
CD40168
C040668

16
16
16
24
24
14
14

C040198
C045198

16
16

C045558

16

CD45568
CD402578
C045128
CD45198
CD45298

16
16
16
16
16

C040468

16

Display Drivers
With Counter
Decade counter/divider with 7segment display outputs and
display enable
Decade counter/divider with 7segment display outputs and
ripple blanking
Up/Down Counter-LatchDecoder-Driver
For LIquid-Crystal-Display Drive
4-segment display driver
BCD-to-7-segment decoder/driver
with "display-frequency" output
BCD-to-7-segment decoder/driver
with strobed-latch function
4-diglt decoder/driver with
hexidecimal display
4-digit decoder/driver with
decimal display
4-digit decoder/driver with
hexidecimal display
4-digit decoder/driver with
decimal display
For Llght-Emlttlng-Olode Drive
BCD-to-7-segment latch decoder/
driver

Multiplexers/Demultiplexers
Analog
Triple 2-channel
Differential 4-channel
Single 8-channel
Differential 8-channel
Single 16-channel
Quad bilateral switch
Quad bilateral switch
Digital (Data Selectors)
Quad AND/OR select
4-8it AND/OR select
Dual 1-01-4 decoder/demultiplexer
(outputs high)
Dual 1-01-4 decoder/demultiplexer
(outputs low)
Quad 2-line-to-l-line
8-channel
Quad 2-channel
Dual 4-channel analog

Phase-Locked Loop
Micropower

5 - Application Specific
5A -Digital Signal Processing
58 -Telecommunications
5C -Consumer (Auto, TV, Radio)

\,

",.'

5 - Application Specific
5A -Digital Signal Processing
58 -Telecommunications
5C -Consumer (Auto, TV, Radio)

Digital Signal Processing
Signal Synthesizers
NCOM -16 Bit Numerically Controlled Oscillator Modulator (HSP45116)
• Digital Equivalent of a VCO (Voltage Controlled Oscillator) & Double Balanced Mixer
• Applications Include Digital Radio Transceivers, High Speed Modems, Digital Frequency Synthesis (With 90db of
Spectral Purity), Ultrasound Signal Generation, FFT Processing & PLL's, and Digital Down ConverSion
NCO's - Numerically Controlled Oscillators - HSP45106 (16 Bit, HSP45102 -12 Bit)
• Digital Equivalent of Analog VCO (Voltage Controlled Oscillator)
• HSP45106 - 16 Bit SIN & COS Parallel Outputs, Plus 2 Serial SIN & COS Outputs
• HSP45102 - Low Cost, Features 12 Bit Parallel SIN Output, 28 Pin DIP Package or SOIC

Multipliers
16 x 16 Bit Multipliers (HMU16, HMU17) 16 x 16 Bit Multiplier/Accumulator (HMA510)
- HMU16 is Pin Compatible With the AM29516, LMU16, IDT7216 & the CY7C516
- HMU17 is Pin Compatible With the AM29517, LMU17, IDT 7217 & the CY7C517
- HMA510 is Pin Compatible With the IDT7210 & the CY7C510

Image Processing
3 x 3 Image Filter (HSP48901)
• 8 - Bit Data & Coefficient Inputs. Available in 20 & 30 MHz Clock Speeds
• Two Dimensional Convolver (HSP48908) Programmable 1024 Byte Row Buffers, 32 & 20 MHz Clock Speeds
• Applications Include Image Enhancement, Inspection Systems

One Dimensional Filters
DDF - 16 Bit Digital Decimation Filter (HSP43220) and 20 Convolver - HSP48908
• Single Chip Narrow Band Filter With Up To 96db Attenuation
• Programmable Decimation Up To 16,384 & Up To 512 Equivalent Taps
• Applications Include; Channelized Receivers, Sample Rate Converter, Spectral Analysis
• DECI·MATE Development Software- Generates Filter Coefficients & Simulation, IBM PC, XT, AT & PS2 Compatible
Digital FIR Filters (HSP43481/8811891)
·8 Bit - 4 Tap (HSP43481), 8 Bit - 8 tap (HSP43881), 9 Bit - 8 Tap (HSP43891)
• Decimation By 2,3 or 4, Pin & Function Compatible to Zoran 48118811891
• Applications Include Radar, Sonar, HDTV, Echo Cancellation, 1 & 2-D Filtering
Dual 10 Bit FIR Filter (HSP43168)
• World's Fastest FIR Filter at 45MHz Sample Rates
• 10 Bit Data & Coefficients, 32 Programmable Coefficient Sets
• Two Internally Cascadable 8 Tap FIR Filters, Programmable Decimation Up To 16
• Applications Include Adaptive Filtering, Complex Filtering, Echo Cancellation, 1 & 2-D Filtering

Special Functions
24 Bit Address Sequencer (HSP45240)
• 24 Bit Address Sequencing, 24 x 24 Crosspoint Switching Allows FFT Addressing Schemes
• Applications Include Image Panning & Zooming, 1 & 2-D Filtering, FFT Addressing
• Up To 50MHz Sequencing
1 x 256 Configurable Binary Correlator (HSP45256)
• Reconfi9urable 256 Stage Binary Correlator, 1 x 256, 2 x 128, 3 x 64, 4 x 32
• Applications Include Spread Spectrum Communications, Synchronization, Pattern Matching, Code Encryption, and Radar
Multilevel Pipeline Register (ISP9520121, HSP9520121)
• Pin for Pin Compatible Replacements for AM29520 & AM29521
• Available in 28 Pin DIP, Ceramic DIP & 28 Pin SOIC
Programmable Length 10 Bit Wide Data Buffer (HSP9501)
• DC to 30 MHz Operating Frequency
• Data Buffer Length Programmable In One-Word Increments from 2 to 1281 Words
Histogrammer (HSP 48410)
• Generates and Stores Cumulative Distribution Function
• 1024 x 24-Bit Delay Memory Which Translates to a Pixel Resolution at 10 Bits
• For Use in Histogram Equalization Applications

Digital Signal Processing
Ordering Information
H

MU

16

T De~"T.pe,

prefix:-1
H:Harrls
~

Family:
MU: (Multiplier)
MA: Multlplierl
Accumulator

H

J

XXXXX

I

35

T
Performance Grade:
-35: 35ns
-45: 45ns

Temperature:

Package:

C: Commercial 0 + 70· C
I: Industrial
40 + 85· C
M: Military
55 + 125· C

J: PLCC
G: PGA

SP

C

J

J

15

C

prefiX:~ Device Type:I

T

H: Harris

43XXX
45XXX
48XXX
95XXX

Family:

Performance Grade:

Fnters
Special Function
Image Processing
Building Blocks

-15: 15MHz
-20:20MHz
-25: 25 or 25.6MHz
-30:30MHz
-33: 33MHz
-40:40MHz

SP: (Signal Processing)

Package:
J:PLCC
G:PGA
D:CDIC
P:DIP

SP

Fam;ry,~

SP: (Signal Processing)

9521

I
Device Type:
9521

Temperature:
C: Commercial 0 + 70·C
40 + 85" C
I: Industrial
M: Military
55 + 125·C

C

I

Temperature:
C: Commercial

PX

T
packa'l!:
PX: 24

0 + 70·C

ad Plastic Skinny DIP

Signal Synthesizers
NCOM - 16 Bit Numerically Controlled Oscillator Modulator HSP45116
• Contains NCO & CMAC on a Single Chip
• Digital Equivalent of a vco (Voltage Controlled Oscillator) & Double Balanced Mixer
• Perfonns FSK, PSK, BPSK, AM, FM, MSK QAM Modulation, Complex Down Conversion (I & Q), DDS (Direct Digital
Synthesis), and Demodulation

Key Features:
• 32 Bit Center & Offset Frequency Control, 16 bit Phase Control
• 16 Bit Complex Inputs With 20 Bit Complex Outputs
• 0.OO8Hz Tuning Resolution at 33 MHz Clock Rate
• Spurious Frequency Components Of Complex Sinusoid < -90 db
• Standby Current < 500 !lA, Operating Current 150 mA max @ fa15 MHz
• Standard microprocessor interface
• Alallable in 33, 25.6 & 15 (Comm.), 25.6 & 15 MHz (MilI883) Clock Rates
• Alallable in 145 Pin Ceramic PGA Package

Applications:

• Digital Radio Tranceivers
• Digital Frequency Synthesis
• FFT ProceSSing
• Frequency Hopping Transceivers
• Digital Cellular Telephone
• Satellite Modems
• Radar & Sonar Systems
• AM & FM Modulation Systems
• High Speed Modems
• Ultrasound Signal Generation
• Digital Phase lock Loops
• ~read Spectrum Radio
• Fax Modems
• PCM Telemetry Receivers
• Demodulation
• Spectrum Analysis

VECTOR INPUT

R

I

R

I

MICROPROCESSOR
INTERFACE
INDIVIDUAL
CONTROL SICNALS

VECTOR OUTPUT

HSP45116 Functional Diagram

NCO - 16 Bit Numerically Controlled Oscillator HSP45106
• Digital Equivalent Of Analog VCO (Voltage Controlled Oscillator)
• Performs FSK, PSK, BPSK, SPSK, FM, MSK Modulation, DDS (Direct Digital Synthesis), & Quadrature Signal Generation

Key Features:
•
•
•
•
•
•
•
•

32 Bit Center & Offset Frequency Control, 16 bit Phase Control
16 Bit SIN & COS Parallel Outputs, or Serial SIN & COS Outputs
0.OO8Hz Tuning at 33MHz
SpuriouS Frequency Components Of Complex Sinusoid < -90 db
Standby Current < 500 !lA, Operating Current 256 mA max @ f-25.6 MHz
Standard Microprocessor Interface
Alailable in 33, & 25.6 (Comm.), 25.6 & 15 MHz (MiV883) Clock Rates
Alailable in 84 Pin Plastic PLCC or 85 Pin Ceramic PGA Package

Applications:
• High Speed Modems
• Ultrasound Signal Generation
• Frequency Hopping Transceivers
• Digital Cellular Telephone
• Satellite Modems
• Radar & Sonar Systems
• Digital Frequency Synthesis
• Digital Phase Lock Loops
• Spread Spectrum Radio
• Fax Modems
• PCM Telemetry Receivers
• FM Modulation Systems

MICROPROCESSOR
INTERFACEOISCRETE
CONTROL SIGNALS -

CLOCK_

PHASE!
FREQUENCY
CONTROL
SECTION

SINJCOS
ARGUMENT

,

.,32

SIllE
SINE!
COSIIIE
SECTION

HSP45106 Functional Diagram

,,16

COSINE,16

Signal Synthesizers
NCO - 12 Bit Numerically Controlled Oscillator HSP45102
• Equivalent of Analog VCO (Voltage Controlled Oscillator)
• Performs FSK (CLK/12), P$K, BPSK, FM, QPSK Modulation and Direct Digital Synthesis

Key Features:
• 32 Bit Frequency Control
• Features 12 Bit SIN Parallel Outputs
• 0.012 Hz Tuning Resolution at 40 MHz
• Spurious Frequency Components < -69 db
• Standby Current < 500 JAA, Operating Current 330 rnA max @ f~33 MHz
• Available in 40, 30, & 33 MHz, (Comm.), 40 & 33 MHz (Industrial)
Clock Rates
• Available in 28 Pin Plastic Dip & SOIC Packages
• Smallest NCO Available

Applications:
•
•
•
•
•
•
•
•

Modems
Ultrasound Signal Generation
Digital Cellular Telephone
Satellite Modems
Digital Frequency Synthesis
Digital Phase Lock Loops
PCM Telemetry Receivers
Radar & Sonar Systems

CLK __________________- , , -________- ,______- - ,

POol
MSBIlSB

SFTEN

# _,------,
#_

SD_
SCLK _ ' - -_ _ _- '

HSP45102 Func110nal Diagram

~
OUTO"11

Multipliers
16 X 16 Bit Multipliers HMU16, HMU17
• 16 X 16 Bit Parallel Multiplier With Full 32 Bit Product
• HMU16Is Pin & Function Compatible to the AM29516. LMU16. 10T7216 & CY1C516
• HMU17Is Pin & Function Compatible to the AM29517. LMU17. 10T7217 & CY1C517

Key Features:
• Three-State Outputs
• Standby Current < 500 J.IA. Operating
Current 7.0 mA max @ j.1 MHz
• 35 ns (Commercial). 45 ns (Military/883)
Clocked Multiply Time
• Alailable in 68 Pin Plastic PLCC & 68 Pin
Ceramic PGA Packages

.11-15

rex

lev yo-,5ft-1'

cUO<
CU(v . . ._ _ _-t---,~

Applications:
• Digital Filtering
• Image Processing
• FFT's (Fast Fourier Transforms)
• Graphic Display Systems
• Radar & Sonar Systems

- - - - - -.........

CEF-----.o,.)

p,e41IPO-"
PUI·31.f>U-15

HMU16117 Functional Diagram

5J

16 X 16 Bit Multiplier/Accumulator HMA510
• 16 X 16 Bit Parallel Multiplier/Accumulator With Full 35 Bit Product
• HMA51 0 is Pin & Function Compatible with the IOT721 0 and the CY7C51 0

Key Features:

XO-Hi

RND

SUB

VO-15 PO'lS

• Three-State Outputs
• Standby Current < 500 J.IA. Operating Current
·7.0 mA max@ f-1 MHz
• 45 ns (Commercial). 55 ns (Military/883) Clocked
Multiply/Accumulate Time
• Available in 68 Pin Plastic PLCC & 68 Pin
Ceramic PGA Packages

Applications:
•
•
•
•
•

Digital Filtering
Image Processing
FFT's (Fast Fourier Transforms)
Graphic Display Systems
Radar & Sonar Systems

HMA510 Functional Diagram

One Dimensional Filters
Digital FIR Filters - HSP43481/8811891
•
•
•
•

8 Bit - 4 Tap - HSP43481
8 Bit - 8 Tap - HSP43881
9 Bit - 8 Tap - HSP43891
Pin & Function Compatible to Zoran 481/8811891

Features:
·8 Bit Coefficients & Data (HSP43481. 881). 9 Bit
Coefficients & Data (HSP43891). 96 Bit
Accumulator Stage
• Decimation By 2. 3 or 4
• Shift & Add Output Stage for Combining Filter Outputs
,-----------------------,
• Cascadable to Over 1000 Filter Taps
• Standby Current < 500J.IA
• Operating Current < 160 mA (HSP 43891. 881).
< 110 mA (HSP43481) @ 20 MHz Clock
• HSP43881. 891 Available in 84 Pin Plastic PLCC.
85 Pin Ceramic PGA & 84 Pin CQFP Packages
• HSP43481 Available in 84 Pin Plastic PLCC &
85 Pin Ceramic PGA Packages
co....

Applications:
•
•
•
•
•
•
•
•
•
•

Radar & Sonar Systems
Echo Cancellation
Digital Video & Audio
Sample Rate Converters
Machine Vision
HDTV (High Definition Television)
1 & 2-D Filtering
Adaptive Filters
Imaging Systems
Image Enhancement

SUMO-2!S

Digital FIR Functional Diagram

Dual 10 Bit FIR Filter - HSP43168
• Two Intemally Cascadable 8 Tap FIR Filters
• Programmable Decimation Up To 16
• Configurable As Two Independent FIRs

Features:
•
•
•
•
•
•
•
•

10 Bit Data & Coefficients. 32 Programmable Coefficient Sets
Up to 256 FIR Taps. 16 x 16 2-D Kemels. 10 x 20 Data & Coefficients
Programmable Rounding on Output
Mixed Mode Arithmetic & Saturation Logic
Standby Current < 500J.IA. Operating Current < 200 mA @ 20 MHz
Standard Microprocessor Interface
Available in 45. 33 MHz Clock Speeds
Available in 84 Pin Plastic PLCC & 85 Pin Ceramic PGA Packages

Applications:
• Adaptive Filtering
• Echo Cancellation
• Polyphase Filtering
• Equalization
• Convolution
• Digital Audio
• Complex Filtering
• 1-D & 2-D Filtering
• Radar & Sonar Systems
• Image Processing
• PCM Telemetry Systems
• HDTV (High Definition Television)

INPUT A

->1".,..----1
OUTO-27
OEM .II

INPUT B

OEL ...

HSP43168 Functional Diagram

One Dimensional Filters
DDF - 16 Bit Digital Decimation Filter HSP43220
• Single Chip 16 Bit Narrow Band Filter with Decimation
• Programmable Decimation Up To 16,384 & Up To 512K Equivalent Taps
• Up To 512000 Equivalent Taps

Features:
• up to 96 db Attenuation
•
•
•
•
•
•
•
•
•

16 Bit 2's Complement Inputs
24 Bit Extended Precision Outputs
20 Bit FIR Coefficients
DECI*MATE Filter Design Software Available
Standby Current < 500(IA
Operating Current 120 mA Max @ 15 MHz
Standard 16 Bit Microprocessor Interface
Available In 33, 25 & 15 MHz (Comm.), 25 & 15 MHz (MiI/883) Clock Speeds
Available in 84 Pin Plastic PLCC & 85 Pin Ceramic PGA Packages

Applications:
•
•
•
•
•
•
•
•
•
•

DECIMATION UP TO 1024

Channelized Receivers
Spectral Analysis
Digital Tuners
Digital Radios
512 Tap Symmetric FIR
Filtering
Sample Rate Converters
Instrumentation
Radar & Sonar Systems
Satellite Modems
Narrow Band Filtering

+

INPUT CLOCK _ I HIGH ORDER
DATA INPUT
16
J DECIMATION
CONTROL
,l6
FILTER
AND
COEFFICIENTS

I

J

DECIMATION UP TO 18

II---rt-+i
I

J

+

FIR
24
DECIMATION
FILTER
_

J.

FIR CLOCK

HSP43220 Functional Diagram

DECI*MATE Development Software for the HSP43220
Decimating Digital Filter
Interfaces Extemal Analog Signals to 680S-Series I1P via 4-Wire SPI Serial Bus

Features:
• Simulates HSP43220 Providing Input Signals for Filter Simulator
• Generates PROM File of Filter Coefficients
• DECI*MATE is Fully Integrated with Monarch 2.0 DSP Software from the Athena Group

I

DESIGN MODULE

D
E

C
I

M
A

T
E

SIMULATION MODULE

PROM MODULE

HSP43220 DDF FILTER SPECIFICATION
: PRES.DDF
flier File
Input Sample Rate
33 MHz
Design Mode
100kHz
OulputRate
Generate Report
Passband
5 kHz
Display Response
700 Hz
Transition Band
Save Freq Response
Passband Allen
1 dB
Save FIR Response
98 dB
Stopband Allen
FIR Type
HDFOn:Ier
HDF Decimation
HDF Scale FacIDr

: STANDARD
4
:
330
: 0.8903

FIR Input Rate
FIR Clock (min)
FIR Older
FIR Decimation

Filter Specification Menu

AUTO
YES
LOG
YES
YES

100kHz
33 MHz
509
1

II

DATA OUT
DATA
READY

Two Dimensional Filters
3 X 3 Image Filter HSP48901
• Conflgurable for 10 & 20 Filtering with a 3 x 3 Matrix
~----------------------------------~

Key Features:
• 8 Bit Data & Coefficient Inputs
• 20 Bit Extended Precision Output
• Dual Coefficient Mask Registers. Swltchable
In A Single Clock Cycle
• Standard Microprocessor Interface
• Standby Current < 500 JIA. Operating Current
120 rnA max @ 20 MHz
• Available in 20 & 30 MHz Clock Speeds
• Available In 68 Pin Plastic PLCC Package

Applications:
•
•
•
•
•
•
•

Radar & Sonar Systems
Inspection Systems
Pattern Matching
Image Scanners
Image Enhancement
Real Time Video Filters
Edge Detection/Enhancement

CNI...
FRAME.

J

CONTROL
LOOK:

I

--

'''Z~3
OS:ODEA

LO#

C1.K

-+f'CLOcKl

~OCIC

HOLD~

i

HSP48901 Functional Diagram

2-Dimensional Convolver HSP48908
• 3 x 3 Convolver with Programmable
1024 Byte Row Buffers
DINO·7

Key Features:

CIN.·S --r--'~'

• 8 bit signed or unsigned data & coefficient inputs
• 20 bit extended precision output
• Dual coefficient mask registers. swltchable
In a single clock cycle
• Cascadable for larger kernels &Images
• Standby current < 500 JIA. operating current
120 rnA max @ 20 MHz
• Standard microprocessor interface
• Available In 32 & 20 MHz (commercial) & 27
& 20 MHz (miV883)
• Available in 84 pin plastic PLCC & 85 pin
PGApackage

Applications:
•
•
•
•
•
•
•

Radar & Sonar Systems
Inspection Systems
Pattern Matching
Image Scanners
Image Enhancement
Real Time Video Filters
Edge DetectionlEnhancement

CASIO·IS

AN--+I
lD#
CS#

ClK
HOLD

:::ICLOCK~TERNAl CLOCK
GEN

HSP48908 Functional Diagram

Special Functions
24 Bit Address Sequencer HSP45240
• Performs Addressing Schemes for FFT's, 1-0,2-0 Filtering, Image Manipulation
(Panning, Zooming) & Matrix Operations
• Controls Addressing of R/W Lines of Frame Buffers or RAM Arrays

Key Features:
•
•
•
•
•

24 Bit Address Sequencing, or 2 x 12 Bit Sequencing
24 x 24 Crosspoint Switching Allows FFT Addressing Schemes
Programmable Delay on 12 Outputs
Multi-Chip Synchronization Signals for Cascading
Standby Current < 500llA, Operating Current < 99mA @ 33 MHz
Clock Speeds
• Standard Microprocessor Interface, with 100 pf Drive on Outputs
• Available In 50, 40 & 25 MHz (Commercial) & 40, 30, 25 (miV883)
Clock Rates
• Available in 68 Pin Plastic PLCC & 68 Pin Ceramic PGA Packages

Applications:
, . . . - - - - - - - - - - - - - - - -. . STARToUT.
,...---------------_ADDVAL.

• Image Panning & ZOOming
• FFT Addressing
• 24 Bit Graphics Board
• Special Effects Generators
• 1-0 & 2-D Filtering
• Matrix Operations
• Laser Typesetters
• Video Processing

,...------------~DONE.

,...--------=---_

,...----, ,...-'--'--,

BLOCKDONE •
OUTl2-23

OEH"
OUTO~l

HSP45240 Functional Diagram

256 Stage Binary Correlator HSP45256
• Reconfigurable 256 stage binary correlator, 1 x 256, 2 x 128, 8 x 64, & 4 x 32
• Performs both 1-0 & 2-D correlations with selectable precision & window size

Key Features:
•
•
•
•
•
•
•
•

13 Bit Cascade Input
Configurable as 2 Separate Correlators
Separate Data, Control & Reference Inputs & Data Offset Register
Programmable Output Delay, Double Buffered Mask & Reference Register
1 Bit Reference x 1, 2, 4, or 8 Bit Data
Standby Current < 500IlA, Operating Current < 330 mA @ 33 MHz
Standard Microprocessor Interface
Available in 33, 25.6, & 20 MHz (comm.), 25.6 & 20 MHz (miV883)
Clock Speeds
• Available in 84 Pin Plastic PLCC & 85 Pin Ceramic PGA Packages

Applications:
• Spread Spectrum Communications
• Pattern Matching
• Frequency Hopping Radios
• Error Correction
• Radar & Sonar Systems
• Synchronization
• Code Encryption
• Scanner Character Recognition
• High Speed Modems
• PCM Telemetry Systems

I---,___________

~!~,_. D_OUT7-0

DJN7-0
REF7-0

~CORI2-0

CNTRL7-0
ADDR2-0
CASJN12-0

..,1,,,,.3,'--_____________

-J

HSP45256 Functional Diagram

Special Functions
Programmable Length 10 Bit Data Buffer HSP9501
• Data Buffer Length Programmable in One-Word Increments from 2 to 1281 words
• Expandable Data Word-wiclth or Buffer Length
• Can be Used as a Programmable Length FIFO

r-----------------,

Key Features:
•
•
•
•

Supports Data Words to 10 Bits Wide
Data Recirculate (Rollover) or Delay Modes of Operation
Clock Select Logic For Positive or Negative Edge System Clocks
Standby CUlTent < SOOjlA, Operating Current < 125 mA
@ 25 MHz Clock
• Available in 25 or 32 MHz Clock Speeds
• Available in 44 Pin Plastic PLCC Package with 30 or
25 MHz Clock Speeds

Applications:
•
•
•
•
•
•
•
•
•
•

Programmable Length Data Shifter
Digital COMB Filters
Imase Processing
AudlolSpeech Processing
Pulse Compression
Programmable Delay Lines
1-H Delay Line for NTSC, PAL or HDTV
Digital VIdeo
Video Delay Lines
Graphics Boards

HSP9501 Functional Diagram

Multilevel PiDeline Register ISP9520/21, HSP9520/21
• Single 4 stage or dual 2 staQe pipehning
• HSP9520/9521 are pin for pin compatible replacements for AM29520fZ1, WS59520fZ1, L29C520fZ1

Key Features:
• Four 8 Bit Registers
• Hold, Transfer, & Load Instructions
• Standby CUlTent < SOOuA,
Operatrng CUlTent < 12 mA @ 5
MHz Clock
• Available in 28 Pin Plastic DIP,
&28 PinSOIC

,.

-

Applications:
•
•
•
•
•

Sample Rate Conversion
Pulse CompresSion
Radar & Sonar Systems
Standards Conversion
Graphics Boards

"L-_ _ _ _ IO

'--_ _ _ _ _ e'

ISPIHSP9520fZ1 Functional Diagram

Histogrammer/Accumulatina Buffer HSP48410

• In Addition to Histogramming, Generates and ~tores Cumulative Distribution Function
• Capabilities Include Bin Accumulation, Look Up Table, 24 bit Delay Memory and Delay & Subtract Mode.

Key Features:
• 10 Bit Pixel Data
• 4kx4k Frame Sizes
• Asynchronous Flash Clear Pin
• Single cycle Memory Clear
• Fully Asynchronous 16 or 24 Bit Host Interface
• Look Up Table Mode
• 1024 x 24 Bit Delay Memory
• 24 Bit Three State lJO bus
• TTL compatible InputslOutputs
• Available in 84 Pin PGA and PLCC Packages
• DC to 40 MHz Clock Rate

HtsTOCIRAIl
MEMORY
ARRAY

DATA
N

DlNO-"----t./
PINO-I

Applications:
•
•
•
•
•

Sample Rate Conversion
Pulse Compression
Radar & Sonar Systems
Standards Conversion
Graphics Boards

HSP48410 Functional Diagram

Telecom

Telecommunications
Analog Interface at Central Office or PBX Switch End
SLiC - Subscriber Line Interface Circuit
- Interfaces voice/status signals between residentialibusiness telephone and PBX/Central Office
(CO) switch
- Every telephone requires a SLiC to monitor it
- Provides BORSHT functions to subscriber loop
- Monolithic solution to transformer based application
CODEC - CODerIDECoder
- Non-linear analog to digital (encode) and digital to analog (decode) converter for voice
- Converts telephone voice signals, via the SLlC, tolfrom digital PCM format for
transmission on digital PCM bus
- Assigns protocol for timing multiple telephone calls
Crosspoint switches - CD22100, CD22101 ,CD22102, CD22M2493/94
- Matrix of analog switches which digitally interconnect audio, voice, computer, PBX, central office
telephone signals

Analog Interface at Subscriber End
DTMF circuits - Dual Tone Multi Frequency
Tone generator
- Generates standard DTMF sinusoidal audio tones for the standard telephone pushbutton keypad
Tone receivers
- Detectsldecodes standard DTMF sinusoidal audio tones for call routing by the central office
computer or for subscriber end instrument control, ie answering machine, fax

Digital Interface
PCM repeater - Pulse Code Modulation
- Digital-

TO

~~AL
BUS

TELEPHONE
(SUBSCRIBER SET)

Telecommunications
Analog Interface at Central Office Switch or PBX Switch End (Continued)
Subscriber Line Interface Circuits Common Features to all $lICs; (HC-5502B, HC-5504B, HC-5509B, HQ-5524, HC-5504DLC)
•
•
•
•

Monolithic Integrated Device
01 High VoI1age Process
Compatible with Worldwide PBX Performance Requlremen1a
Controlled Supply of Battery Feed Current for Short Loops
PART
NUMBER

•
•
•
•

Internal Ring Relay Driver
Low Power Consumption During Standby
Switch Hook, Ground Key and Ring Trip Detection Functions
Selective Denial of Power to Subscriber Loops
LOOP
CURRENT
mADC

FEATURES

RINGING TYPE
TYPE

TYPICAL
SUPPLY
VOLTAGES

PACKAGE

HC-5502B

• Low Voltage +5V (VB+) Capability
• Pin for Pin replacement lor the HC-5502A

30

Single-Ended
Ground Reference

-48V,+I2V
or+5V

24-PlnOIP
EorC

HC-5504B

• Allowalnterfaclng to negative superimpoaed
ringing systeme

40

Single-Ended
BaHery or Gnd
Reference or
Balanced Ringing

-48V,+I2V
or+5V

24 Pin DIP
EorC
28 Pin PLCC

HC-5509B

• Compatible wHh woridwlde PBX AND Central
Olllce performance requirements
• Contains two Internal relay drivet8
• Programmable loop current IlmH
• High temperature alarm output

20t05O

Single-Ended
BaHery or Gnd
Reference or
Balanced Ringing

-48V,+12V

28 Pin DIP
EorC
44PlnPLCC

HC-5524

• HC-5509B vet8lon operating at -24V battery
and +5V

20to60

Single-Ended
BaHeryor Gnd
Reference or
Balanced Ringing

-24V,+5V

28 Pin DIP
EorC
44 Pin PLCC

HC-55040LC

• SwHch hook detect threshold allow. multi-phone
operation

-48V,+12V
or+5V

24 Pin DIP
EorC
28 Pin PLCC

40

Single-Ended
BaHery or Gnd

Reference or
Balanced Ringing

'CMOS~

cobecs': ....:' .

Non-linear analog to digital and digital to analog converter for voice and PCM (Pulse Cove Modulation) signals
PART
NUMBER
C022354A
(p-Lawl
C022357A
(A-Law)

FEATURES
• Meets or exceeds all AT&T 03/04 specs CCITT recommendations
• Complete COOEC and fiRerlng syoteme:
- No external components lor sample-and-hold and auto-zero
- Racoive output filter wHh SIN XIX correction
and addHlonal 8kHz suppression
• Variable data clocks - from 64kHz to 2.1 MHz

CLOCK
RATES

SUPPLY
VOLTAGE

84kHz to
2.1 MHz

:t5V:tSClbat
90mW(Max)

• Synchronous and asynchronous operation
• TTL or CMOS compatible logic
• ESO protection on all Inputs and outputs
• Adjustable gain for transmH input

Applications Diagram

Typical Line Circuit Application with the HC-5509B and CD22354A

iiiD iiCD

PIlI R8 TEST '1

AiMii

HC - 5509B
SLiC

Fa

DIGITAL
PCM
8U8

PACKAGE
18 Pin OIP-E

Telecommunications

TYPE

FEATURES

BIMOB-E Cros8polnt Swlchea wlh Ccntrollnput Memory
CD22M3483
CD22M3494

• Optional on-chlp strobeIndependent edd ..... ISlehea
.....on
• Manual and automatic _

18x8x 1

38(l

80

46MHz

3MHz

4Vto14V

4O-PIn
DIP-E
44-PIn
PlCC

raae\8
•
•
•
•

Crosstalk: -8OdB (min) 0 10kHz
BiMOB-E Technology
Parallel Input eddraa.lng
HCIHCT ground-referenced
Inpula available
• 2kV minimum ESD prolectlon
• Latch-up current SOmA (min)
• Pin and functionally compatible
wHh the SOS M3494

CMOS Croaapolnt Switch.. wnh Control Memory
CD22100

• "Built-in" controllSlehaa
• Large analog signal capability
:tVDDI2
• 1OMHzawnch bandwidth
• High linearity - 0.6'1& dlatortion
(typ.) at f. 1kHz, VIN • SVp-p,
VDD .1OV,and RL .1kO
• Standard CMOS noiae Immunly
• 100'l&~formaximum
quieSCent current at 20V

4x4x1

750

1aO

40MHz

1.5MHz

3Vto
1aV

18-Pln
DIPE,F

CD22101

• Strobed control Input
• ''Sullt-In''latched Inpula
• Large analog signal capability
:tVDD/2
• 10MHZlwltch bandwidth
• High IlnearHy - 0.25'1& distortion
(typ.) at f. 1kHz, VIN • 5Vp-p,
VDD-VSS.1OV,andRL -1kO
• Standard CMOS noiae Immunly

4x4x2

750

ao

40MHz

2.5MHz

3Vto
1aV

24-PIn
DIPE,F

CD22102

• Same .. CD22101,buthaaSetI
R _ flip-flop control Input
Inatead of strobed control Input

4x4x2

750

80

40MHz

2.5MHz

3Vto
1aV

24 Pin
DIPE,F

CD541
74HC(T)
22108

• 84 analog .wltchaa In an
axax 1 array
• On-chlp line decoder & control
latch..
• Automatic _
.....up reaet by using
a 0.1 pF capacltot at the MR pin
• RON reai8tanca 950 max •
• VCC· 4.5V
• Analog signal capabHityVCc!2

axax1

a40

250

8MHz

7MHz

2Vto
10V

2a-PIn
DIPE

1-=-1
OTHI.
AUDIOICOIIPUTI!It/Tt:LEPHONI IQUI .... NT

-

Analog Interface at Subscriber End
Detects and generates special tones for standard telephone touch tone dialing keypad

TYPE

FEATURES

OUTPUT3-STATE
OUTPUT CODE

SUPPLY
VOLTAGE

PACKAGE

CD22202

• Detects either 12 or 16 standard OTMF signals
, • Csnlral-office quality
• No front-end band splitting filters required
• Slngle,low-toIerance, 5V supply
• Uses InexpensiWl 3.579545MHz crystal for reference
• Excellent speech Immunity
• Synchronous or hendsheke Interface
• Three-state outputs

4-bit Hexadecimal or
binary coded
2-of-8

5V ± 10%

18-Pln OIP-E

C022203

• Serne as C022202, but also has eerly defect output

4-bit Hexadecimal or
binary coded
2-of-8

5V ± 10%

18-Pln OIP-E

C022204

•
•
•
•

4-bitHexadecimal Only

5V± 10%

14-Pin DIP-E

SUPPLY
VOLTAGE

PACKAGE

No front-end band splitting filters required
Single, Iow-tolerence, 5V supply
Three-stste outputs for microprocessor-based systems
Detects all 16 standerd OTMF digits
• Uses InexpenslWl 3.579545MHz crystal
• Excellent speech immunity
• Output In 4-blt hexadecimal code

TYPE
C022859

FEATURES

OUTPUT

• Mute drivers on chip
• ~evice power can either be regulated de or phone loop current
• Use of en InexpensiWI 3.579545MHz 1V crystal provides high
accuracy and stability for an frequencies

350mVlnto
820

2.5Vto 10V

16-Pln
OIPO,E

TELEPHONE KEYPAD

1

2

3

A

4

5

6

B

7

8

9

C

*

0

.#

D
I

~

ROW 2
ROW 3
ROW 4
COL 4

COL 3
COL 2

COL 1

ROW 1

-'"

CD22859
TONE
GENERATOR

-'"

DTMFTONES

51

Digital Interface

Digital to digital converter to bolster and reshape digital PCM signals distorted by long transmission over PCM bus Ones

TYPE

FEATURES

OUTPUT

SUPPLY
VOLTAGE

PACKAGE

Bipolar
C022301

•
•
•
•

Buffered

Automatic line bulldout
For T1 1.544 Mbits/a bipolar carrier system
For T148 2.37 Mblts/s ternary carrier system
For
2.048 Mblts/s bipolar carrier system

5.1 V:t5'lfl,
30 rnA (max.)

18-Pin OIP-E

ccm

..n...n..

PCM

o~IIrC:hII~OUTPUT ..n...n..

PULBEC>!-3 ~ ~T_

.:.: .:\ :.: '.:/.... :;:. LY./f;>.:·,:,,:'··::::'~'~·:::·f::<·:.,.:';:.>·. :.:::,<:·:·;:,~·/;:~::.:v/~eM> . ti('i.#
Unipolar to bipolar digital to digital converter for more efficient long line transmission of digital PCM signals

TYPE
HC-5560

C022103A

FEATURES
•
•
•
•
•
•
•
•

Mode selectable coding
North American end Europeen competibility
Simultaneous encoding end decoding
Asynchronous operation
Loop-back control
Trensmlsslon error detection
Alarm Indication signal
Replacas MJ1440, MJ1471,andTCM2201
trenscoders

• Simultaneous encoding and decoding
• HOB3 coding and decoding for data rates from
50 kblts/s to 10 Mbltslsln a menner consistent
with
0703 recommendations
• HDB3/AMI trensmlsslon coding/recaption
decoding with code error detection is performed
In Independent coder and decoder sections
• All trensmltter and receiver InputsJoutputa are
TTL compatible
• Intemalloop test capability

ccm

CODES

3.2mA@

AMI (T1 end T1 C)
B8ZS(T2)
B8ZS(T1)
HDB3 (PCM30)

5V@
10 rnA (typ.)

20PInDIP-E

HOB3/AMI per
CCIIT0703
Annex
Recommendation

5V:t10%@
100mA(max.)

1B-Pln
OIP-E,D

MV

1.BmA@
0.5 V

~

~M~II
FROM n TVPE0!!!-3

SUPPLY
VOLTAGE

OUTPUT

I (~~
TOn TVPELINE

PACKAGE

General Interface
.. CVSD - Conthludus Variable Slope belta Modulator

,.... .

A real time voice to digital (encoder) and digital to voice (decoder) converter
CLOCK
RATES

SUPPLY
VOLTAGE

Modulator/Demodulator Functions
All digital
Requires few external parts
Low power drain: 105 mW typical from single 3V-7V supply
Time constants determined by clock frequency; no calibration or drift
problems; automatic offset adjustment
Half duplex operation under digital control
Filter reset under digital control
Automatic overload recovery
Automatic "Quiet" pattern generation
AGC control signal available

9kHz to 64kHz

5V@105mA
(max)

14-Pin DIP-C

Demodulator Only Functions
All Digital
Requires fewer extarnal parts
Low power drain: 105 mW from single 3-7V supply
Time constants determined by clock frequency; no calibration or drift
problems; automatic offset adjustment
• Filter reset by digital control
• Automatic overload recovery
• Automatic "Quiet" pattern generation

9kHz to 64kHz

3Vto7V@
105mA(max)

14-Pin DIP-C

TYPE
HC-55564

FEATURES
•
•
•
•
•
•
•
•
•
•

HC-55536

•
•
•
•
•

PACKAGE

The transmitter data stream consists of a series of "words", each representing the voice signal level at the instant of sampling:

VOICE IN
SAMPLE
ENCODER
OUT
DECODER
OUT

~

I

:;;r~

I

I

I

Consumer
IF Amplifier and Detector
- FM IF amplifiers with limiters and detectors
- Wide band amplifiers
- Wide band discriminator/amplifiers
- Electronic attenuators
- Quadrature detect, AGC, and log output devices
- FM IF's with channel detection
- TV picture IF's

HorizontalNertical Countdown and Sync Processors
- Horizontal oscillatorldrivers
- Horizontal processors with 64, 32, 16 or 8 divide ratios
- CMOS sync generator with genlock and aitemate field oulput
- Pal and NTSC compatible
- Useful as sync or clock regenerators

ChromalLuma Processors and Demodulators
- vco with phase control and shunt regulator
- 3.58 MHz demodulator and cerrier regenerator
- Pal chroma processor
- Single Chip pallumalchroma; video to RGB converter
- Single chip chromalluma; video to RGB converter
- Videolchroma processor

Tuner Control, Prescalers, and Band Switches
- Tuner freq. synthesizer, IR demod, 9 D/A, 8 x 20 character OSD
- Divide by 20 presceler to 200 MHz
- Divide by 64/256 prescaler to 1 GHz
- Divide by 64/256 prescaler to 1.25 GHz
- Band switch with amplifier for varactor control

Miscellaneous RadiolTV Functions
- Automatic CRT bias circuit
- IR receiver preamp and demodulator
- AM receiver
- TV sound demodulator and audio amp

Security and Surveillance
- Vidicon bias and amp
- RS-170 sync generator for camera applications
- Pal or NTSC versions
- Universal detection and alarm circuit

Automotive
- Reluctance proximity detectorldemodulator
- .5 Hz clock time base and motor driver
-16 channel precision timer/driver

Consumer

Package Options
Because of the wide variety of package options combinations available in the
CA series, please consult the listing of available types on page xx and compare
with the code below:

CAxxxx

D

~ Dual inline plastic
~ Dual inline plastic
[9J Quad inline plastic
~ SOIC available

Temp ranges and package lead counts vary with device type. See your data
sheet for package details

IF Amplifiers and Detectors
CA2111A FM IF Amplifier-Limiter and Quadrature Detector
For FM IF and 1V Sound IF Applications

Features
• Direct Replacement for ULN2111 A and MC1357
• Good Sensitivity: Input Limiting Voltage (knee) (4OO1iV
Typ. at 10.7MHz; 250IIV Typ. at 4.5 MHz and 5.5MHz)

• Excellent AM Rejection (45dB Typ. at 10.7MHz)
• Provision for Output From 3-Stage IF Amplifier Section

CA3011, CA31 02 Wide-Band Amplifiers
Features
• Exceptionally High Amplffler Gain
~ Power Gain at 4.5MHz/s - 75dB Typ.

• Wide Frequency Capability
~ 1ookHz/s to> 20MHz/s

• Excellent Limiting Characteristics
~ Input Limiting Voltage (Knee) - 600liV
Typ. at 10.7MHz/s

CA3013, CA3014 Wide-Band Amplifier Discriminators
Features & Applications
• Exceptionally High Gain
~ Power Gain at 4.5MHz - 75dB Typ.

• Excellent AM Rejection
~ >5OdB at 4.5MHz

• Excellent Limiting Characteristics
~ Input Limiting Voltage (Knee)
300liV Typ. at 4.5MHz

• High Audio-Voltage Recovery
~ 220mV Typ at 4.5MHz, 25kHz deviation

=

CA3065 IF Amplifier-Limiter, FM Detector, Electronic Atienuator, AudiO Driver
Features
• Electronic Attenuator - Replaces Conventional Volume Control
• Differential Peak Detector - Requires on Single Tuned Coil
• Internal Zener Diode Regulated Supply
• Inherent High Stability

IF Amplifiers and Detectors

(Continued)

CA3089 FM IF System
CA3189 FM IF System With ON Channel Detector
For FM IF Amplifier Applications In High-Fidelity, Automotive, and Communications Receivers
Includes - IF Amplifier, Quadrature Detector, AF Preamplifier, and Specific Circuits for AGC, AFC, Muting (Squelch),
and Tuning Meter

Features
• Exceptional Limiting Sensitivity
~ 1211V Typ. at -3dB Point

• Provides Specific Signal for Direct Drive of a Tuning
Meter

• Low Distortion
~ 0.1 % Typ. (WIth Double Tuned Coli)

• On Channel Step for Search Control
• Provides Programmable AGC Voltage for RF Amplifier

• Single Coil Tuning Capability

• Provides a Specific Circuit for Flexible Audio Output

• Improved S + N/N Ratio

• Internal Supply Voltage Regulators

• Externally Programmable Recovered Audio Level

• Externally Programmable "On" Channel Step Width, and
Deviation at which Muting Occurs

• Provides Specific Signal for Control of Interchannle
Muting (Squelch)

l·,-----,

I -

)c=

100pF
REFERENCE
BIAS
5K

10K

3}---__:_--*==-{) TO STEREO
TUNING METER
OUTPUT

THRESHOLO'
r.
LOGIC CIRCUITS L-I °1~gl~~~=L

*L TUNES WITH 100 pF(C) AT 10.7 MHz
QOlll75 (TOKO NO. MCS K588 HM OR
EQUIVALENT.)
ALL RESISTANCE VALUES ARE IN OHMS.

47 K
NOTE: CIRCUITRY IN SHADEO AREAS IN CA3189 ONLY.

HorlzontalNertlcal Countdown and Sync Processors
PHASE

CA1391, CA1394 TV Horizontal Processors
CA 1391 E - Positive Horizontal Sawtooth Input
CA1394E - Negative Horizontal Sawtooth Input

DlrfCTOR

OSCILLATOR

OUTPUT

liMING

,

•

Features
• Internal Shunt Regulator
• Linear Balanced Phase Detector
• Preset Hold Control Capability
• ±300Hz Pull-In (Typ.)
• Low Thermal Frequency Drift
• Small Static Phase Error

I OUTPUT

• Variable Output Duty Cycle
• Adjustable DC Loop Gain

SYNC
INPUT

GROUND

Horizontal/Vertical Countdown and Sync Processors

(Continued)

Features
• Horizontal Oscillator With AFC
• Sync Separator With Noise Immunity
• Strobed AGC System
• IF AGC Output
• Delayed Outputs for Forward or Reverse AGC
Tuners
• Internal Noise Threshold
• High Impedance Video Input
• Choice of Dual External Time Constants for Sync
Separator Noise Immunity
• RF AGC Delay Externally Controlled
• Output Short-Circuit Protection

CA3202 TV HorizontalNertical Countdown Digital Sync System
Features
• Automatic Forced Asynchronous Mode to Remove Jitter

• Lower Zero-State Horizontal-Drive Output

• Improved Low Voltage Start-up Operation

• Improved Symmetry for Horizontal-Drive Output

CA3210, CA3223 TV HorizontalNertical Countdown Digital Sync System
For 525-Llne (CA3210E) or
625-Llne (CA3223E) Operation

Features
• Horizontal Driver
• Two Phase-Lock Loops

• Horizontal Oscillator
• Vertical Countdown

CA3236 The CA3210 With Modification
CA3241 PAL VerSion of the CA3210
CA3218 TV HorizontalNertical Countdown Digital Sync System
HORIZ.
SYNC. IN

Features
• Horizontal Oscillator
• Vertical Countdown
• Composite Blanking Output
• Burst-Gate Output
• Horizontal Ramp Generator
• Internal Shunt Regulator

HoniZ.
RAMP

DRIVER
OUT

•

HORiz.
DRIVE
OUT

OSC.
TANK

VERT.
VERT.
SVNC. IN DRIVE OUT
11

HorlzontalNertical Countdown and Sync Processors

(Continued)

CD22402 CMOS LSI Sync Generator
Features
•
•
•
•
•
•

Interlaced Composite Sync Output
Automatic Genlock Capability
Crystal Oscillator Operation
525 or 625 Line Operation
Vertical Reset Option
Wide Power Supply Operating Voltage ... 4V-15V

Applications
• Cameras
• Monitors and Displays
•
•
•
•
•
•

CATV
Teletext
Video Games
Sync Restorer
Video Service Instruments
Scrambllng/Descrambling Equipment

Chroma/Luma Systems
CA3070 Television Chroma System
Features
• Voltage Controlled Oscillator

• DC Hue Control

• Keyed APC & ACC Detectors

• Shunt Regulator

CA3126 TV Chroma Processor and Carrier Regenerator
Features
• Phase-Locked Subcarrier Regeneration Utilizes Sampleand-Hold Techniques
• Automatic Chromlnance Control (ACC)lKiller Detector
Employes Sample-and-Hold Techniques
• Supplementary ACC with an Overload Detector to
Prevent Oversaturation of this Picture Tube
• Sinusoidal Subcarrier Output
• Keyed Chroma Output

o
I

• Emitter-Follower Buffered Outputs for Low Output
Impedance

I

".'
~
...

• Linear DC Saturation Control

I0

_.

I

.•.

11..~1
IOn

u
I

~t!II!.

nov

...-.

~:::.

I

__________________________________
0.....
JI

"IND £"IKNM AM"""I" NOTu.o,O"
MaINlMOON.

8'*"'''''',,,

"'I'"

...
......

.01'"

CA3128Q TV Chroma Processor for PAL Systems
Features
• Phase-Locked Subcarrier Regeneration Utilizes Sampleand-Hold Techniques in the Automatic Frequency Phase
Control (AFPC) Servo Loop
• Automatic Chromlnance Control {ACC)lKiller
Detector Employes Sample-and-Hold
Techniques

• Supplementary ACC with an Overload Detector to Prevent
Oversaturatlon of this Picture Tube
• Sinusoidal Subcarrier Output

Chroma/Luma Systems

(Continued)

CA3194 Single Chip PAL Luminance/Chroma Processor
Features
• All PAL Luminance and Chromlnance Processing
Circuitry on a Single Chip In a 24 Lead Plastic Package
• Phase Locked Subcarrler Regeneration Utilizing
Sampie-and-Hoid
• DC Controls for Brightness, Contrast, and Color
Saturation Functions
• Input for Average Beam-Current Limiting
• Contrast Control Having Excellant Tracking of Luma
and Chroma Channels
• Low Impedance RGB Outputs with Excellent Tracking
for Direct Coupling to Video Driver Circuitry

Applications
• PAL to RGB Conversion

CA3217 Single Chip NTSC TV Chroma/Luminance Processor
Features
• All Chroma Processing and Demodulating Circuitry on a
Single Chip In a 28 Lead Plastic Package
• Phase-Locked Subcarrler Regeneration Utilizing Sampleand-Hold Techniques
.... ~

5

• Supplementary ACC with Overload Detector to Prevent
Over Saturation of the Picture Tube
• Linear DC Controls for Chroma Gain and Tint
• Dynamic "Flesh Correction" Corrects Purple and Green
Flesh Colors Without Affecting Primary Colors
• Balanced Chroma Demodulators with Low Output
Impedance for Direct Coupling
• Internal RF Filtering
• Requires Few External Components
• Automatic Beam Limiter
• Chroma Luminance Tracking Picture Control

Applications
• NTSC to RGB Conversion

CA3234E Luminance/Chroma Processor
Features
• Complete Chroma Processing and Demodulating Circuitry
with RGB Outputs on a Single Chip In a 28 Pin Plastic
Package
• DC Peaking with NoIs.~ Processing

• DC Picture Control with Automatic Beam Limiter
• DC Controlled Black Level (Brightness)

Prescalers/Band Switches
CA3247 Analog Interface Unit (AIU)

INPUTS

OUTPUTS

. . - - - - - - -.......-1

For Television & CATV Tuning/Interface Control

Features

PUK
VDO

• Frequency Synthesizer for TV and CATV Channels (With
4MHz Crystal Oscillator for Reference)

PHASE PULSES

psc
A

L-.....,..,.,..,..,..TT1r-r-.....,..r----j

B
RFSW.
vSS

• Interfaces to Microprocessor & Tuner for Receiver
Controls
• Circuit to Decode Remote Control Transmissions
• On Screen Display (OSD) with RGB Signal Output
• 9 D/A Converters for Analog Control Functions
• Pll Control of Tuner Interface Circuits

......--,"TTTTrnn-....,.J--, DAC9
SANDCASTLE

7MHzIN

• Three Wire Serial Bus Interface

Applications
• Tuner Test Equipment
• Remote

8

B.

Fa
......--,"TTTTrnn-....,..r-. 7 MHz OUT

AFT IN
EIA
SYNC

AfT OUT
ON

IRIN

VDD
RESET

4MHzOUT

ENABLE
DATA
CLOCK
TEST

DATA

CA3232 +20 Prescaler
Features

Applications

• Operation to 200M Hz

• Digital Synthesizers

• TTL and CMOS Compatible

• Counters
• AM/FM Communications Circuit

• 5V Power Supply
• Open Collector Output Stage

CA3163E VHF/UHF Prescaler +64/256
Features
• Broadband Operation - 90 to 1000MHz
• High Sensitivity

• Standard 5V Power Supply
• Dual Mode Operation - VHF/UHF

CA3179 1.25GHz Prescaler
Features
• Broadband Operation
~ DC to 1.25GHz
• High Sensltivlty

VSS

4 MHz IN

• Standard T 2 l or ECl Power Supply
• Dual Mode Operation
~ VHF/UHF (+64/+256)

Prescalers/Band Switches

(Continued)

CA3238E BIMOS Input Op-Amp, Frequency Band-Select Switch and Quad Comparator

,-------------------------,

For Television Tuning Interfacing

• Input Op-Amp - High Impedance PMOS Input Transistors and Internal Reference Bias

,,,

,

Features

I

.

~==~==::!~~:-----1
QIIlM#out""
.......
••• ~

OP ..... IN""

• Low Input Bias Current and Internal Diode Protection at
Op-Amp Inputs
• High Op-Amp Output Voltage Swing (O.2-28V DC) with
3mA Source or Sink Capability

Vee .11'1

@-,-------------..,

• Logic Controlled Bandswltchlng with Four Separate
Outputs
;;:
..." •• ( ...
LOIIC INPUT
• Two Bandawltch Output Current Sinks
~~:~:
• Two Bandawltch Current-Limited Output Current Sources
• Internally Referenced Quad Comparator

1·"tID

'''LOOC
DICOHIt

_._ (9--1---1

• Low Drive Current Input Requirement
• Low Output Leakage
• High Output Current Sink Capability

"DOe.,v

• Bipolar and PMOS Processes on a Single Chip

An

1i==rt===r~~~~~=lD

An CllttlltOU,""
It An wtfIIDOWOUTPUT

IN'UT·(90-t--+---~----......

,

~~,,::~:ru,

,

IS
M~~1.'t:r&",U'
,,__________________________ JI

CA3283 TV Tuner Control Circuit Inverter, Operational Amplifier, Frequency and
Band-Select SWitch
For Frequency or Voltage Synthesizer Television Tuning Systems

Features

veel

• 2 Input - 4 Output Bandswitch
• High-Output Current Drive - Low Saturation Voltage
• For TV and CATV Use
• For Frequency or Voltage Synthesizers

l

11------1~----i14 VCC I

BANDSWITCH{B 2
t5 "
INPUT
BAND
A 5.1----1 SWITCH t - - - - t 12'2
GND 4

t---1 11 '5

VCC2 51--..-=:0:-r----,

Miscellaneous Radio/TV Functions
CA3224 Automatic Picture Tube Bias Circuit
For TV Picture Tube and CRT Cutoff Bias Control Applications

Features
• Automatic Picture Tube Bias Cutoff Control

• Eliminates Grey Scale Adjustments

• Automatic Background Color Balance

• Compensates for Cathode-to-Heater
Leakage

10 SW

BANDSWITCH
OUTPUT

Miscellaneous Radio/TV Functions

(Continued)

CA3237 IR Remote-Control Amplifier
Features
• Integrated Circuit Package - 9 Pin SIP
• Excellent Overload Characteristics
• High Gain Amplifiers
• Schmitt Trigger Switching
• 12 Volt Power Supply
• Low Power Dissipation
• Internal Regulation
• Nominal l00JlV Sensitivity
• Nominal 50K Input Impedance

UfO·
.... C"2

SCHMITT

GND 'CNIIHTT

'lUi OUT +'11110."

AMPL.
OUT

'ce
1ft

.,.,.AISAND
VOlT. fll!O, OUT

TO
OlCOOl"

"Oll'U"'"
CONTROl,

CA3088 AM Receiver Subsystem and General Purpose Amplifier Array
Includes - AM Converter, IF Amplifiers, Detector and Audio Preamplifier
For Applications in a Variety of AM Broadcast and Communications Receivers
and Applications Requiring an Array of Amplifiers

Features
• Excellent Overload Characteristics

• Buffered Output Signal for Tun ing Meter

• AGC for IF Amplifier

• Internal Zener Diode Provides Voltage Regulation

CA 1191 TV Sound IF and Audio Output Subsystems
Features
• Nominal Power Output
~ 4W at V+ = 24V, RL = 160, Dis!. = 10%
~ 2W at V+ = 12V, RL = SO, Dis!. = 10%

RIPPLE
BY-PASS

AUDIO
AMPL
GAIN

AUDIO

AMPL
OUTPUT

AUDIO

AMPLIFIEft
COMPENSATION

9

• Wide Power Supply Range . . . . . . .. 9Vto 2SV
• Low Quiescent Current .......... 25mA Typ.
• 5kHz Deviation Sensitivity .... 1W OutputTyp.
• 3dB Limiting Sensitivity ........... 50JlV Typ.
• Excellent AM Rejection ........... 50dB Typ.
• Differential Peak Detector - Requires One
Tuned Coil
• Electronic Volume Control with Improved
Taper and Single Wire Control

VOLUME

CONTROL

6
DE-

EMPHASIS

FOR
ELECTRONIC
ATTENUATOR

It(M.S'."

Security and Surveillance
CA3253 Video Processor
For Video Camera Applications

Features

Applications

• Video Amplifier

• All RS-170 Sync Systems

• Text Encoder Sync

• AGC Amplifier
• Blanking Pulse and Sync Pulse Addition

• Security Cameras
• CCTV Systems

• Computer Display Systems
• Graphic Systems

• Black Clipping

• Cable Systems

CA3254, CA3255 RS-170 Sync Generator (CA3254)
Features

Applications

• Single LSIIC with Multiple Genlock Capability

• All RS-170 Sync Systems

• EIA RS-170 Sync with 2:1 Interlace

• Security Cameras

• PLL for Lock to Power Line Zero Crossing

• CCTV Systems

• Genlocks to RS-170, RS-330 or Random
Interlace

• Cable Systems
• Text Encoder Sync

• Crystal Control Mode Sync Option

• Computer Display Systems

• Four Modes of Genlock Control

• Graphics Systems

• Maximum of Extemal Components
• 12L Injection Configured to Work in Series
with the Camera Tube Filament

....

"ONDt,I'

."\0"".0..

.. NOt nlD

..., .-.

YUttCOfi
CAIIIIIIA

tue,

~~---------rl---------J/
'""I'. '0 I'UJIRI. C.ftCUlt , .... _ACI ...OMMI
rOil PI.., '·4 ..... OUt"" CMlCUlIi.

111.,.0l1li

10'"

CA3164A SiMOS Single-Chip Detector/Alarm System
With Integral Drivers for Mechanical and Piezoelectric Horn Alarms

Features

Applications

• Interfaces Directly with High Z Sensors - No External
Buffer FET Required

• Burglar Alarms

• Low Input Current ........................... 1pA Max.

• Level Detectors
• Ion Chamber Particle Detection

• Gate Protected Input Terminals
• On-Chip Beep Oscillator for Low Battery
Indication

• Proxim ity Detectors

Automotive Special Function
CA3165 Electronic Switching Circuit
Features
• Switching Initiated by Damping of Internal
Oscillator
• Proximity Sensing of Rotational Motion
• Repeatable Timing of Switching States
• Five Outputs - Two Complementary Pairs and
One Non-Inverting Output (CA3165E1)
• Two Outputs - One Complementary Pair
(CA3165E)

CA3228 Speed-Control System
Features
• Low Power Dissipation
• 12L Control Logic
• Power-ON Reset
• On-Chip Oscillator for System Time
Reference
• Single Input Line for Operator Commands
• Amplitude Encoded Control Signals
• Transient Compensated Input Commands
• Controlled Acceleration Mode
• Internal Redundant Brake and Low Speed
Disable
• Braking Disable

Applications
• Automotive Speed Control
• Residential and Industrial Heating and Cooling
Controls
• Industrial AC and DC Motor Speed Control
• Applications Requiring Acceleration and
Deceleration Control

10

6 - Power
6A
6B
6C
60
6E

-MOSFET
-IGBT
-Bipolar
-Rectifier
-Transient Protection

MOSFETs

Advanced Power MOS
Features:
• Current Limiting, Voltage Limiting
• ESD Protection
• Logic Level

Applications:
•
•
•
•
•

Fault Tolerant Motor Drives
Stall Protection
Current Inrush Limiting
Automotive Headlamp Drivers
Diagnostic Motor Controls

Logic Level MOSFETs
Features:
•
•
•
•
•
•
•

Full Drain Current Rating with 5V Gate Drive
Microprocessor And Logic Compatible
Electrostatic Discharge Protected Gates Available
A/alanche Energy Capability Available
Both N and P Channel Devices
BVDSS Ratings of 50 to 200V
Surface Mount D-pak to TO-21S/TO-247 Packages

Applications:
• Direct Logic Control of 50A Loads
• No Static Drive Current Required
on The Gate
• Solid State DC Relays
• Lamp Drivers
• Stepper Motor Drives
• Small Motor Controls
• High Side Drivers
• SMPS MOSFET Predrivers

Standard RFx, IRFx and MegaFET MOSFETs - Nand P Channel
Features:
•
•
•
•

Hex-1 thru 5 Die Sizes
AIIIRF Types Avalanche Capable
BVDSS up to 1000 V
MegaFETs offer the lowest RdsOn

Applications:
•
•
•
•
•
•
•
•
•
•
•
•

Offline and DC/DC Converters
Power Supplies
Pulse Generators
Super Efficient DC/DC Converters
Solid State DC Relays
Low Loss DC Switch
Lamp Drivers
Operational Amplifier Buffer Stage
Stepper Motor Drives
Small Motor Controls
Laser Diode Pulse Generators
SMPS Drivers

JEDEC MOSFETs
Features:
• JEDEC Approved MOSFETs for Military and High
Rei Applications
• BVDSS 60 to 500 Volts
• Nand P Channel Devices

Applications:
• Military
• High Reliability
• Hermetic

6,

MOSFETs
Ordering Information

1

R MOSFETs
1. R

TO-205

ffl

X
XXXI
Feature Suffix:
R - Rugged Capabilities Guaranteed
L - Logic Lever. 5V Gate
SM - Surface Mount Leadfonn (TO-252)
E - ESD Protected Device
CS - Current Sensing
C - Voltage Clamplng

-

Voltage Rating:
05 - 50V, 10 - 100V, 20 - 200V, etc.
Polarity:
N - N Channel
P - PChannel
Current Rating:
1 -1A, 10 -10A, 25 - 25A, etc.
Package Designation:
A - 5 LEAD TO-218
B - 5 LEAD TO-220
D - TO-251, TO-252 (D-PAK)
G -TO-247
H -TO-21BAC
K - TO-204AE fr0-S with 60 MIL leads)
L - TO-205AF 0-39/TO-205AF
M - TO-204AA TO-S with 40 MIL leads)
P -TO-220AB

TO-204

4-PIN DIP

~

TO-218

,

TO-251AA

MO-093

Device Type:
F - Standartf MOSFET
L - Current limited MOSFET
Example: RLP1 NOBLE ESD Protected, Current Limited, TO-220, 1 AMP, N Channel, 80V, Logic
Level MOSFET
RFD15N05SM D-PAK, 15A, N Channel, 50V, Surface Mount Leadforrn MOSFET

TO-252AA

•

;;

RFP12N06RLE TO-220, 12A, N Channel, 60V, Rugged, Logic Level, ESD Protected MOSFET 1---=:--:-:-::---;

IRF MOSFETs
I R

lPL
R - Ruggedized (Early Indicator For Jlwalanche
Capability. All Devices Now have EAS Ratings)
HEX DIE SIZE, Voltag~ Polarity and Electrical Selection
Package Designation
C : 5 PIN TO-220, Current Sensing
FA : TO-204M
FD : 4-PIN DIP
FF : TO-205 AF (TO-S9)
FP :TO-247
FR :TO-252
FU :TO-251
F1-F4 : TO-204M
F5-F8 : TO-220

,

TS-001

J

Advanced Power MOS
Current Limiting MOSFETs
Features:
• Current Limits to a Pre-Set Level in a Shorted Load
Condition
• Monolithic Device Incorporates a Bipolar Transistor, 2
Resistors, a Zener Diodes and a Power MOSFET
• ESD Protected to 2kV
• "Logic-Level" Gate Input Allows Fully on Condition at 5V

Terminal Diagram

,
~
Maximum
Ratings

BVOSS
(V)

G

IOS~IM) r O'6YN)
( )

ESO
(kV)

TO-220

80

1

0.75

2

RLP1N08LE

80

5.5

0.12

2

RLP5N08LE

s

Voltage Clamping, Current Limiting MOSFETs
Features:
• Current Limits to a Pre-Set Level in a Shorted Load
Condition
• Monolithic Device Incorporates a Bipolar Transistor,
2 Resistors, 2 Zener DiOdes and a Power MOSFET
• Excessive Drain-Source Voltage Clamped by Active
Region Tum-On

Terminal Diagram

Packege

Maximum
Ratings
BVoss
(V)

G

60

s

Current Sensing MOSFETs
Features:
• Built-In Current Sensing Function to be Used as a FeedBack Signal for Controf and/or Protection
• Low RDS(ON} - 0.10
• Current Sensihg Ratio - 1560. 2.5%
• Alalanche Energy Rated for Ruggedness

Terminal Diagram

0

G

SOURCE
........H> KELVIN
t-t---I--O CURRENT
SENSE

I ....-

S

IOS(LIM) rOS JON)
(A)
(U)
0.75

,

ESO
(kV)

TO-220

2

RLP1N06CLE

MOSFETs
Logic Level Product Series
Package

Maximum Ratings
BVoss los Ros(oN] EAS
(V) (A) (n) (m])

ffl

~

TO-206AF

TO-204M

, •, ,
TO-251

TO-252

TO-220AB

RFD14N05L

RFD14N05LSM

RFP4N05L
RFP14N05L
RFPl5N05L

RFD16N05L

RFD16N05LSM

TO-247

~
4 PIN DIP

N·Channel
50

60

2
4
14
15
16
25
50
2
2
4
12
12
15
17

80

100

120

150

180

200

25
1
2
3
12
15
1
1.5
2
12
12
1
2
10
1
2
10
1
2
8
1
1.5
2
8
8

0.750
0.600
0.100
0.140
0.047
0.047
0.022
0.750
0.160
0.600
0.135
0.160
0.140
0.100
0.070
1.200
1.050
D.800
0.200
0.140
1.200
1.400
1.050
0.200
0.200
1.900
1.750
0.300
1.900
1.750
0.300
3.650
3.500
0.500
3.650
3.6S0
3.500
0.650
0.500

N.R
N.R
10e
N.R
20e
20e
*
N.R
*
N.R
*
*
N.R
N.R
N.R
N.R
N.R
N.R
N.R
N.R
N.R
N.R
N.R
N.R
N.R
N.R
N.R
N.R
N.R
N.R
N.R
N.R
N.R
N.R
N.R
N.R
N.R
N.R
N.R

RFL2N05L

RFM15N05L

RFP25N05L
RFP50N05L
RFL2N06L

~ffli~,~ ['~,l
RFD12N06RLE
RFD3055RLE

RFM15N06L

RFP4N06L
RFDl2N06LESM RFP12N06RLE
RFD3065RLESM RFP3055RLE
RFP15N06L
RFP17N06L

RFG50N05L

~I

RFM25N06L
RFL1N08L

RFM12N08L

kRfJi!$&~a [~I

RFP2N08l
RFP12N08L

RFL1Nl0L
2N6901**
RFP2Nl0L
RFP12Nl0L

RFM12Nl0L
2N6902**
RFL1N12L
RFM10N12L

RFP2Nl2l
RFP10N12L

RFM10N15L

RFP2N15L
RFP10N15L

RFM8N18L

RFP2N18L
RFP8N18L

RFL1N15L

RFL1N18L

RFL1N20L
2N6903**
RFP2N20L
RFP8N20L

RFM8N20L
2N6904**

P·Channel
30

8

0.300

*

~~'~I;~I r~,l

'More complete ruggedness capability now specified; UIS current vs time in avalanche graph on data sheet
!8HADlf@jindicates Developmental Products - N.R. Not Rated for UIS capability - •• QPL Approved Types

Power MOSFETs
Rugged and Standard IRF-Series Power MOSFETs
The Rugged Series of Power MOSFETs are designed, tested and guaranteed to withstand a specified level of
circuit induced electrical stress in the breakdown avalanche mode of operation. These are n-channel
enhancement mode polysilicon gate power field effect transistors designed for applications such as switching
regulators, switching converters, motor and relay drivers and drivers for high power bipolar switching transistors
requiring high speed and low gate drive power.
Using state-of-the-art integrated circuit processing techniques these Rugged MOSFETs provide superior
performance in inductive switching applications. The design is optimized to suppress the parasitic bipolar
transistor and improve system reliability. These types can be driven directly from integrated circuits.
Rugged Series devices are identified by the suffix letter R following the type number.
Features:
•
•
•
•
•
•
•
•

Single Pulse Alalanche Energy Rated
SOA is Power Dissipation Limited
Nanosecond Switching Speeds
Linear Transfer Characteristics
High Input Impedance
Allows Reduced Protection Circuitry
Reduced Drive Requirements
Increased System Reliability

N-Channel Power MOSFET
Junction Diagram Showing
Biasing Arrangements

Cross Section of Chip Structure

ALUMINUM

GATE

Schematic Symbol

PCONVERTED
TO N CHANNEL

+

D
N

N· EPITAXIAL
LAYER
N .. SUBSTRATE

f
-

DRAIN

T

N:

,..

e-

N
S
~

.,.+
-

r

+

~

g ,. ,

iff

Package

;1'

:~

~

~==
°0
;(1)

::l."
::lm

!!. ....

Maximum Ratings

(I)

IB~ ~iTITI~B
5OV~

'l'1'\ __ f!IIftI:.&.~

'lal

TO-204

T0-251

Tn..~.&a.

TO-252

...

TO-247

T0-218

MO-093

..!PINDIP

RFL2N05

..mmJA.

~

.-mJZl1
RFM15N05
BUZ11

60V

I~~ y~y I~ I

be, m
RFI2/I!W

I

I

RFP4N06

I :=~g~fl I

I

IRF123

lRFi21
L

•

Fii=M2iJOi
IRF163(R)

I

RFP15N06

~151(R)

0.014

'T~I ~ I!I .,uAoa

I

IRFP1511R)

I-I 1 1 = =I
RFP2N06

IRFF11JmL
IRF51;KSJ
IRF511IR]
IRFF1211R)
IHI"li2aIR!

Package

ffl

f}

Maximum Ratings
B,&M

~~! ~ i~

TO-20SAF

, •,
TO-251

TO-252

IRFU121

IRFR121

TO-204

TO-22OAB

;
TO-247

,
TO-218

=F5:

.~
MO-()93

~
4PIN DIP

N-Channel
8.4
(cont) 9.2
12.
12.
12.
14.
14.
18.
25.
25.
27•
28.
80V

28.

~

31.
35•
100V 0.4
0.5
1.8
1.
1.
1.1
1.3
2.0
3.0
3.5
4.9
5.0.
5.6
6.0
7.0
8.0
8.0
8.
8.4
9.0
9.2
9.2
12.0
12.0
12.0
12.0

.27
7
.2

36
36
NR
50

69
.1
.1
.1
.1
1

. 99

.n
.n
.n
. 55

.2
2.4
0.8
0.6
1.2
0.4
0.3
1.05

1.8
1.6
.74
.4
1.54
0.3
1.25
0.36
0.18

1.36

IRF543 R
IRFPl43R
IRF141 R

2l
1
NR
NR
NR
19
19
NR

IRF541 R
IRFP141R
RFH35N08

RFK35N08

IRFD1Z2
IRFD1Z0
IRFDl12 R
IRFDll()(R
RFL1Nl0

36
36
NR
19
. 19
19
36
19
36
69
36

69
NR

.27

36

.25
.27
.27
.2
0.2
1.23
0.23

NR
36
NR
NR
NR
5()

69

-

IRF531 R
RFP18N08

RFM18NOB
IRFl43 R

IRFDl22 R
IRFD120tR
RFP2Nl0
IRFFl12 R
IRFF110tR

-

IRF512 R

IRFFl22 R
IRF510tR
IRFFl2DIR
IRFFl32 R
IRF522 R
IRFFl30{R
IRFl22
IRF 120

IRFR120
BUZ72A
IRF520{R

IRF12
RFM12N10

RFP12N10
BUZ20

IRF132 R
IRF532 R

o

::J
0'.
::J

CD

IRF131 R

69
N
1
21
1
1

!!'-t
o0

a.

IRF533 R

.50

::l."
::lm

c:

IRF521 R
RFP12N08

RFM12N08
IRFl33tR

°0
S(J)

~

Package

ffl

, • , , r.
"

f}

Maximum Ratings
B':voM

~~ IT 7r!if

TO-20SAF

TO-204

TO-251

TO-252

TO-22MB

TO-247

TO-218

MO-()93

f!S::

~
4 PIN DIP

N-Channel

100V 14.0
(cont) 14.0
4.7
18.
19.
2225.
25.
27.
28.
28.

31.
33.
34.
35.
40.
40.
120V 1.
2.
4.
10.0
15.

10.
150V

.3
).3.2

A5
0.6
0.70
0.80
1.0
1.8
2.0
2.2
2.6
3.0
3.3
3.5

.18
.16
.54
.1
D.1
1.08
.1
0.1
.099
1.077
I.Dn
1.077
1.08
1.085
0.055
0.055
D.040
1.9
1.75
.4
.3
.15
.75
5

52.4
1.5
1.2
0.8
1.9

2A
1.75
1.5
2.4

1.2
1.5
D.II

4.U

0.4

4.0
4.0
4.5

1.2
1.2
0.6

IRFU110

100
100
230
100
150
150
NR
150
*
NR
NR
NR
NR
NR
NR
NR
NR
3D
30

IRF542 R
IRFP142R
IRF14O(R
IRF540lR
IRFP140R
IRF152 R
IRFP152R
RFK35N10
IRF15DIR

RFH35N10
RFP40N10
RFP2N12

RFL4N12
RFM10N12
RFM15N12
RFK30N12

RFP10N12
RFP15N12
RFH3DN12
IRFD2Z3
IRFD2Z1
IRFD213 R
IRFD211 R
IRFD223 R'
IRFD221 R'

85
85

RFL'N_'5
IRFF213(R)

85

IRFF223 R

RI"I'2N15
IRFF211(R)
IHI"61:51R
IHF611 R

46
NR
NR
85
85

IRFP15l1LR
RFG40N10

RFL1N12

NR
30
NR
30
46
110

Q.

IRF142R

230

IHI"I"221 (HI
RFL4N15
IRF223

... --,

IRF623(R)
)

_____ 1. ___

L-___________

::l

=:r.

-

RFP18N10
BUZ21
RFP22N10

--

m

-en
oo
::l

IRFR110

RFM18N1D

::J

!!. ...

CD

IRF53DIR

69

19
NR
NR
*
100

;cn
::J"T1

c:

IRF130lRl

5Cl

°0

Pllclcqe

ffl

€}

Mlllllmum RatIngs

BV&M

mITI ~~

To-2OSAF

To-204

, • , ,;
To-251

TO-252

TO-22MB

TO-247

~a::

I~ ,~

~~

To-218

M()-093

~
4 PIN DIP

(conti

IRFU221

4.

1 •
1 •

NR
.22

27.
30.
30.
33
180V 1.
2.
8_
12.
25_
200V 0.3

.18
18
.12
.12
.7

O~

0.45
0.611
0.70
0.80
1.0
1.8
2.0
2.2
2.8

~

4.0
4_6
4.6

IRF243tRI

IRF643tRl

IRFP243R

1

1.22

1
1

RFM1 N1
RFM1 N1

IRF633/R
IRF63l1RI
RFP1ON15
RFP15N15
IRFP241 R

1
1
1
NR
910
810
NR
NR
NR
NR
NR
NR
NR

IRF84l1RI

IRF241tRI
IRF253IR

IRFP253tR
RFH30N15

RFK30N15
IRF25l1RI
IRFP251 R
RFL1N18
RFP2N18
RFP8N18
RFP12N18

RFM8N18
RFM12N18

IRFD2Z2
IRFD2Z
IRFD212R
IRFD210 R
IRFD222 R
IRFD220R

2.4
1~

1.2
0.8
3.66
2.4
3.5
1.5
2.4
1.2
1.5
DB
1.2
1.2
1.2
0.8
0.8

NR
30
NR

RFL1N20
IRFF212 R
RFP2N20
IRFF210(R
IRF612tRl
IRFF222 R
IRF610tRI
IRFF220tR
IRFU222

NR
66
66
85

IRFR222

IRF222
IRF622 R
IRFF232IR
IRFU220

IRFR220

oo
:::J

!:!:.

-!.

IRF821 R
IRFF231tRI
IR~ISlR
IR~ lIR

-(I)

c:

IRFR221

IRF22'
1

im

--I

:::J

N-Channel
160V

°0
;(1)
::J-n

~s:

~

-ffl@'.';'*~

Mulmum Rating_

BVD"j IDS 1~ EAS

.NIl (Ai I' /i1l"1 (rii»

TO-205AF

TO-204

TO-251

TO-252

TO-22OAB

T0-247

TO-218

.MO-()93

4 PIN DIP

N-Channel
200V
(conI)

5.0
5.
5.5

5
8..

8.
9.
9.
12.
12.5
1
1•
1
1•
25.
27.
30.
33

250V

275V

3..
3
8.
8.1
13..0
14.0
15..0
20.0
21.0
22.0
23..0
1.3

1.8
l5
.1

1.8

NR
~.8
NR
.4
S5
1.8
NR
.5
NR
1.8
150
.4
150
.4
NR
.25
NR
.2
NR
1.22 51
.22 51!
.18 51
.18 5I!.
.12 91
.15 ,NR
.12 81
.085 91
.1185 81
1.5
1
.1
1
1
1

0.17
0.17
0.14
0.14
1.5
.1
1.68
1.45

IRF220

B Z73A
RFP8N2O
IRF832IR
IRF830lR
BUZ32
RFP12N2O

BUZ31
IRF242CRI

IRFP242R
IRfll42 R

IRF240IRI
IRF252 RI
RFK25N20

IRFP240R
IREII40LR

./'
RFH25N2O

IRF250lRI

IRFP252 R
IRFP250CR
IRF825
IRF 4
IRF
IRF
IRF
IRF

j

IRF235
IRFZM
IRF245
IRF244

1000
1000
1000
1000
1211
1211
180
180
650

IRF255

IRFP244

IRF254

IRFP255.,

_

IRFP245

1Hf-t'2D4

IRI':!37
IRF:!36
IRF:!47
IRF:!A6

IRF 7
IRF
IRF 7
IRFI
IRF 7'
IRF848

1 lO
14.0
15.0

0.28

650

ZU.U

U.

lUUII

Inl"iI:l),

RFP24ti

21.0
22.0
23..0

0.17
0.14
0.14

1000
1000
1000

IRF25I!

IRFP257
IRFP258

1.34
1.211

5511

:s."

im

--I

-en
(")
o

:s
e.
:s
c:::
CD
a.

-

IRE62O{R
IRFF230IR
IRF232(R
IRF230lR
RFMSN2C
RFM12N

°0
;cn

IRFP247

Package

f}

111

Maximum Ratings

B~4 ~~ T~~

TO-20SAF

TO-204

, •,,
T0-251

TO-252

TO-22OAB

TO-247

~
TO-218

J~
MO-Q93

~
4 PIN DIP

N-Channel
350V

~

0.3
.4
A
1.5
1.15
1.35
1.7
2.
2.0
2.5_
2.8
2,8
3.0
3.1
3.3
3.:t
3.5
4.
4.
5.
7.
8.
8.3
8.7
10.
11.
12.
13.
14.
15.
16.
400V O.S
0.4
0.4
0.5
1.15
1.35
17
2.0
2.0
2.5

5.0
3.6
2.5
1
5.
3.
5.

3.
2.5

1.8
2.5
2.5
1.5
1.8
1

t
1.
2.
1.
1
0.75
0.8
0.8
0.8
0.55
0.55

0,5
0.4
0.4
0.3
O.S
5.
S.
2.
1
5.
S.6
5.
3.6
2.5
1.8

1
1
1
1
1
1
1
1
190
NR
300
190
190
NR
SOO
NR
300
SIlO
NR
52<1
520
480
520
480
NR
700
700
700
700

IRFF313 R
IRFF311 R
IRF7131R
IRF711 R
IRFF323 R
IRFF321 R
IRF723 R
IR£~

IRFF333 R
IRFR321
IRF721 R
IRF321
IRFF331 R
~FM4tiS5

IRF333iRI
IRF331 R
RFM7N35

RFP4N35
IRF733IR
IRF731{R
RFP7N35
IRF743R

IRF343(RI
IRFP343R
IRF341 R

IRF7411R
IRFP341R

RFM121'135
IRF353lRI

RFH12N35
IRFP353R
IRFP351 R

IRF351 RI

45
45
1
1
1
1
12
12
1~

100

IRFDS12R'
IRFD310IR'
IRFD322IR'
IRFD32O(R'
IRFFS12 R
IRFFS10lR
IRF712 R
IRF710(R
IRFFS22 R
IRFF320(R)

---

~

~m

!!'-t

nth
o

=
c:
::::J

::::J

IRFD313iR'
IRFD311 R'
IRFD323iR'
IRFD321 R

IRFU321

=Fa:
50
~-n

°0

~

~

Package

fit

@)

Maximum Ratings

BV&!s1 ~~ I~ r:R

To-20SAF

TO-204

, •,
To-251

To-252

IRFU322

IRFR322

TO-22MB

; *
''''

~~.

t'

To-247

TO-218

N-Channel

400V 2.

(cont)

2.
2
2.
3.
3.
3.1
3.3
3.3
3.5
4.0
4.5
4.5
5.
5.
7.

2.5
2.
2-

2.
1
1.5
1
1
1
1.
2-

1.
1.
1.
1.
.75

190
NR
190
NR
NR
300
190
190
NR
300
NR
300
NR
300
NR
NR

BUZ76
IRFF332!R
IRFR320
IRF72IKR
IRF320
IRFF330!R
RFP4N·40
IRF732CR
B Z6C
IRF730R
B Z6
RFP7N 40
IRF742R

IRF330IR
RFM7N40

IRFP342R

IRF3421R1
.7
11.0
11.0
11.5
12.
13.
14.
15.
16.0
20.

450V

22.0
23.0
25.0
1A
1.6
2.2

A
1.5
A
A
1.3
0.3
0.25
0.25
0.2
0.2
4.
3.
4.

2.2

4.

2.25

2.
3.
3.
1.
3.
2.0

2.5

2.5
2.75
3.0
4.0

IRF7401R

IRF34IKR1
NR
NR
700
700
700
700
1200
960
12011
960
210
21
21
NI
3(]

NR
300

IRFP340R
BUZ351
RFH12N40

RFM12N40
IRF352IR
IRFP352IR
IRF35OIR1
IRFP35O(R)
IHI"I"lIU2
IRF362
IRFl"lIUO
IRF360
IRFF423 R
IRFF421!RI
IRF823IR
IRF423
IRFF433!R
IRFU421

21
NR
300

-

IRFR421

RF82l1R

IRF421
IRFF431IRI
RFM3N45
IRF433(R)

RFf>3N45
IR~S33tRI

MO-G93

~
4 PIN DIP

:::s."

:::Sm

!!. .....
-tJ)

oo
:::l

=.
:::l
c::

~

IRF322

RFM4N4
IRF332!R

~

-

BUZ76A
IRF722lR

IRFU320

~s:

°0
;(1)

Package

ffl

f}

TO-205AF

T0-204

MlIXlrnum Ratings

BV~~ ~~ ITI T~

, •,
T0-251

TO-252

TO-22OAB

; '*
~~

TO-247

T0-218

N-Channel
450V
(cont)

4.5

"7.
7.
8.

1.5
1 ?!Ii
1.1
1.1

300
NR
1

IRF431 Rl
RFM6N45
IRF443 RI

IRF83llRI
RFP6N45
IRF843tRI

1

IRF441 RI

IRF841tRI

NR

RFM10N45
IRF4Sa/R

500V

14

0.4
4n
3.0
4.0
4.0
2.0

s.O
s.o
1.5

S.O

S.O

4.0
4.0
4.5
4
6.
7.
7.
8.

2.0
2.0
1.5
1.5
1.25
1.1
1.1
0.85

A.

O.AA

8.

0.8
0.6
1.6

9.

~

.5

10.
10.
11.
121!t
14.
17

111.

20.
21.0

860
88Cl
860
21
210
21
NR
300
210
NR
300
NR
30C
NR
300
NR
NR
51

IRF451 R
IRFP451 R
IRFF422/R
IRFF420tR

IRFLJ42I:

IRFR420

IRF820/R

IRF422
IRFF432tR
IRF420
IRFF4S0tR
RFM3N50
IRF432R
IRF4301R

RFP3N50
IRF832(R
BUZ42
IRF8301R
B Z41A
RFP8~

RFM6N50
IRF442R

IRF842R

IRF440tR

IRF840tR

IRFP44~R

48

51
148

NR
NR
NR
NR

IRFP44l!LR

1.5

BUZ45A
BUZ45
RFM10N50
B Z45B
IRF452 R

.5
4

IRF450/R

1.5

IRF822tR

RFH1ON!iII

IRFP452(R

oA

IRFP450tRI
IRFP462

0.35

·O.M

1221i

0.27
0.27

1200

IRF462

960

IRFP460
IRF460

:l
!:to
:l

RFH10N45

IRFR422

4 PIN DIP

~m

!!. ....
oo 0
CD

IRFP453lR

IRF 422

MO-093

~

~."

a.

IRFP441R
8811

I~

c:

IRF~R

A.

10.
11.
121!t
14.0
1.4
1.6
2.2
2.2
2.25
2.5
2.5
2.75

f!S::

°0
;(1)

~

Package

ffl

f}

Maximum Ratings

B~ssj loS
(Ai ~ EM
(Iiiii

TO-205AF

TO-204

, •,, ,
TO-251

TO-252

TO-247

TO-22MB

TO-218

.~

~
I

MO-()93

o

4 PIN DIP

N-Channel
600V

5.4
5.9
6.2
6.8
l000V 3.9
4.3
4.3

1.6
1.6
1.2
1.2
4.2
3.5

3.5

570
410
570
410
490
490
NR

IRFAC42R

::::J

-~

IRFBC40R
IRFPC40R
IRFPG42
IRFPG4
RFP4Nl00

* More complete ruggedness capability now specified; UIS current vs time in avalanche graph data sheet
indicates Developmental Products - N.R. Not Rated for UIS capability - **QPL Approved Types

A 4•

-:r

c:

IRFBC42R
IRFPC42R

IRFAC40R

1=5:
Sen
::::J"T1
::::J m
!!.-I
-m
o
°0

------

----

--

Package:

ffl ~

Maximum Ratings

B~ssj IDS
(AI

TEAS

(Rio

TO-205AF

To-204

, •,
To-251

TO-252

TO-220AB

;
TO-247

~

'",

'>;.,"

TO-218

.h
M()-()93

'Pi:

~
4 PIN DIP

P·Channel
NR
.3
.15
NR
3.
0.65 NR
6. 0.026 NR
O.
1.6
190
60V
O.
1.8
370
190
2.5
1.9
3.0
12
190
1.8
3.5
370
4.0
1.6
370
1.8
5.
370
.4
500
1.6
3m.
1.3
500
1 .0
.4
500
1.3
500
980
15.0
0.3
16.
1.3
960
02
19.0
960
.15 1300
25.0
3QJL 0.075 NR
60.0
1.030 NR
NR
1.
60V
1.65
NR
2.0
3.5
1.6
NR
6.
8.0
0.4
NR
12.
NR
0.3
25.
.15
NR
100V 0.7
12
190
1.0
0.6
370
1.
3.65
NR
NR
2.
.3.5..
1.6
190
2.5
12
190
3.
0.8
370
3.5
370
4.
0.6
5.
1.8
37i1
5.5
0.4
500
6.
1.6
370

RFD8P05
RFD15P05

50V

1 •

·~

RFD8P05SM
RF 15P05SM

RFP8P05
RFP15P 5
RFP30P05

RFG30P05
RFA80P05E
IRFD9113
IRFD9123

IRF9513
IRF9511
IRFF9123
IRFF9121
IRF9523
IRFF9133
IRF9521
IRFF9131
IRF9133
IRF9131
IRF9143

IRF9533
IRF9531
IRF9543

IRF9141
IRF9151

IRF9541
RFP30P06

IRFP9143
IRFP9141
IRFP9151
RFG30P06
RFG6(JP06E

RFL1POS
RFM6E'.08.
RFM8P08
RFM12P08
RFK25P08

RFP2P08
RFP6P08
RFP8P08
RFP12P08
RFH25P08
IRFD9111L
IRFD9120

RFL1Pl0
RFP2Pl0

IRFF9122
IRFF912(1

IRF9512
IRF9510
IRF9522

IRFF9132
IRF952

°0
;(1)

::l-n
::lm

!!'-t
tn

~

Package

ffl

~

TO-205AF

TO-204

Maximum Ratings

B~FlIDS
(Ai R~ EAS
(mii

, •,,
TO-251

TO-252

TO-220AB

TO-247

"Pi:

~
TO-218

,
•

~

<;;7

~>

MO-o93

~
4 PIN DIP

P-Channel
100V

6,~

1,6

(cent)

8,
8,
10,
12,
12,
15,
16,0
19,
25,0
25,
5,0
10,0
0,45
2,
2,5
3,0
3,5
3,5
4,
5,0
5,5
6,5
9,
10,
10,
11,
12,
0,6
2,0
2,5
3,
3,5
3,5
4,
5,5
6,5
9,0
10.0
11,0
12,0

,3
,4
,4
,3

120V
150V

200V

.3
.3
,3
.2
,15
,15
1,0
0,5
2,4
2,4
1,5
2,4
1,5
1.2

OB
1,0
1.2
0,8
,7

.5
0,7
,5
,5
1,5
2,4
1,5
2,4
1,5
1.2
1,8

1.2
1,8

0,7
0,7
0,5
0,5

NR
500
NR
500
500
NR

RFP6Pl0
RFP8Pl0
IRF9532
IRF9530
RFP12Pl0
IRF9542

IRF9140
IRF9150
RFK25Pl0
RFM5P12
RFM10P12

IRF9540

IRFP9142
IRFP9140
IRFP9150
RFH25Pl0

IRFD9223
IRFF9223
IRFF9221
IRF9623
IRF9621
IRFF9233
IRFF9231
RFP5P15
IRF9633
IRF9631
IRF9643
RFP10P15

IRF9241

IRF9641

IRFP9243
IRFP9241
IRFD9220
IRFF9222
IRFF922
IRF9622
IRF9620
IRFF9232
IRFF9230
IRF9232
IRF9230
IRF9242

IRF9632
IRF9630
IRF9642

IRF9240

IRF9640

::::J

IRFP9242
IRFP9240

"T1
m

!!. ....

-en
(")
o

::::J
e_
::::J
c:

~

RFP5P12
RFP10P12

RFM5P15
IRF9233
IRF9231
IRF9243
RFM10P15

::J

-

IRFF91~

960
960
960
1300
NR
NR
NR
290
290
2
2
2
5
500
NR
500
500
790
NR
790
790
790
290
290
290
290
290
500
50
500
500
790
79(1
790
7911

RFM6Pl0
RFM8Pl0
IRF9132
IRF9130
RFM12Pl0
IRF9142

°0
;(/)

MOSFETs
JEDEC N-Channel
Package

ffl

JEDEC P-Channel

@J

Maximum Ratings

BVoss

loS
(A)
12.0
31.0

ROS(ON)
(n)
0.25
0.08

3.5
6.0
8.0
14.0
38.0

0.6
0.3
0.18
0.18
0.055

150

8.0
25.0

0.6
0.12

200

2.25
3.5
5.5
9.0
30.0

1.5
0.8
0.4
0.4
0.085

350

4.5
12.0

1.5
0.4

400

1.25
2.0
3.0
5.5
14.0

3.6
1.8
1.0
1.0
0.3

450

4.0
11.0

2.0
0.5

500

1.5
3.5
4.5
12.0

3.0
1.5
1.5
0.4

(V)

60
100

• QPL Approved Types

Package

ffl g

Maximum Ratings

BVOSS
T().2()5AF

TO-204
2N6755
2N6763

(V)

100

2N6782*
2N6788*
2N6796*
2N6756*
2N6764*

200

los
(A)
1.16
6.0
6.5
11.0
12.0
25.0

ROS(ON)
(n)
3.65
0.6
0.3
0.3
0.3
0.2

4.0

0.8

T().205AF
2N6895

TO-2O'I
2N6896

2N6849
2N6804
2N6897
2N6898
2N6851

2N6757
2N6765
2N6784*
2N6790*
2N6798*
2N6758*
2N6766*
2N6759
2N6767
2N6786*
2N6792*
2N6800*
2N6760*
2N6768*
2N6761
2N6769
2N6794*
2N6802*
2N6762*
2N6770*

6J

IGBTs*
IGBTs for Switching Applications and Motor Control
C

Features:
•
•
•
•
•

Better Die Area Efficiency than MOSFETs in High Voltage Applications
No Body Diode
Gate Drive: Voltage Driven, Not Current
On-State Voltaoe Drop notTemperature Dependent
Surface Mount~ackages

Applications:
• Off line SMPS
•
•
•
•

G

High Voltage Motor Drives
Synchronous Converters
Deflection Circuits
AC Switches

E

IGBTs with Integral Reverse Diode

C

Features:
•
•
•
•
•

Identical IGBT Specifications
Ultra Fast Diode Added In Same Package
35ns to 100ns Recovery
Soft Recovery Minimizes RFI
Up to 1000V Breakdown

G

Applications:
• Motor Drives
• Motor Brake
• SMPS

E

IGBTs with Current Sense

C

Features:
• Sense Current OuIPut a Ratio Of Total Emitter Current
• Kelvin Contact To Minimize Control Loop Inductance
• 5 Lead TO-218 and TO-220 Packages

Applications:
• Feedback Motor Controls
• Current Sources
• Power Amplifiers

G
E

IGBTs
',"

Ordering Information

HGT-

Harris IGBT

J

G. -12 -...N -..6D. -...0 -.L-...o
lOptions
L : Logic level Gate
D : Integral Reverse Diode
S : Surface mount
C : Current sense

Package
A: 5 LEAD TO-218
P : 3 LEAD TO-220
G : 3 LEAD TO-247
H : 3 LEAD T0-218
M : 3 LEAD TO-204
D : TO-251/T0-252 D-PACK

1 : First Generation
2 : Second Generation
Max Fall Time at
TJ=+12S·C
A: 100ns
B :200ns
C :500ns
D : 750ns
E:1J.1S
F : 2J.1S

Continuous Current
Rating at TC = +90·C

Voltage Breakdown/10
i.e. (40, 50, 60,100,120)
N Channel or P Channel - - - - '

TO·204AA

TO-252

TS-001

M0..()93

,
.~,\

.,.'

"

IGBTs
Combines the characteristics of a power MOSFET, a
bipolar transistor.

Cross Section Of Chip Structure
ALUMINUM

GATE

POLVSILICON

Features:
• Voltage Gated

Requires small gate power. Similar to
standard power ~OSFET

• Tum Off

Tums off when gate drive is removed

• On-State
Voltage Drop

Nonlinear.
Temperature independent. Unlike the
typical 2X variation of a power
MOSFET

• Tum-On Speed

Fastl Comparable to a stendard
power MOSFET

• Tum-Off Speed

Comparable to a bipolar transistor.

Junction Diagram Showing
Biasing Arrangements

Ic

Schematic Symbol

1
+

p
N
p
N

ft-

r

Comparisons
Cost Comparison - 1K Price Less
Than Half the MOSFET Price I

Size Comparison - 500V, 15A Capability
MOSFET

1283
BIPOLAR

'111

R
",oovno"

IG8T
BPOlAR

400VI10A

MOSFET

400VI121,

350

240

RDS(ON) Performance Comparison

Performance; IIV Characteristic-N-Channel
MOSFET vs. IGT
(Same Size Dies)
IGT

HGTPION4DEl

0.1

0.01

0.001

-f------...-------,
10

100

MOSFET
RFP4N4D

1000

DRAt-I·SOURCE VOLTAGE (V)
ON-STATE VOLTAGE DROp· V
I!ODmVIOIV)

OSIONI

IGBTs
Package

Ie.. Ie.

,

(A) (A)

(J,IS)

TQ.2D4AA

10

1.0
0.5
1.0

awm

Maximum Ratings

BVeE•
(V)
400

5

6 7.5
10 12
10 17.5
12 17.5

500

15

35

20

35

5

10

6 7.5
10 12
10 17.5
12 17.5
15

35

20

35

12
24
32
1000 20
34
1200 20
30

48

600

€}

96
200
100

200

,
TO-220AB

,; *
~:-;-"

TO-247

TO-218AC

100

200 0.75

r .-~

TO-251

TQ-252

HGT06N40E1
HGT010N40F1

HGTO~1S

.-<'

MO-093

2N6975

1.2

1.0
0.5
1.0
0.5
1.0
0.5
1.0
0.5
1.0
0.5
1.0
1.2
1.0
0.5
1.0
0.5
1.0
0.5
1.0
0.5
0.6
0.6
0.8
0.68
0.87

, • .,
HGT06N40E1S

HGTP10N40E1
HGTP10N40C1
HGTH12N40E1
HGTH12N40C1

HG1M12N4OE1
HGTM12N4OC1
HGTP15N40E1
HGTP15N40C1

HGTH20N40E1
HGTH20N40C1

HGlM2ON4OE1
HGTM2QN4OC1

2N6976
2N6978
HGT06N50E1 HGT06N50E1S
HGT010N50F1 HGTD10N50F1S
HGTP10N50E1
HGTP10N5OC1
HGTH12N50E1
HGTH12N50C1

HG1M12N5OE1
HGTM12N5OC1
HGTP15N50E1
HGTP15N50C1

HGTH20N50E1
HG1M2ON5OE1
HGTH20N50C1
HGTM2ON5OC1
HGTM12N6OD1 HGTP12N6001
HGTG24N6001
HGlM24N6OD1
HGTG32N60E2
HGlM32N6OE2
HGTG20N10002
HG1M2ON100D:
HGTG34N100E2
HG1M34N100E:
HGTG20N12002
HGTG30N120E2

HGTA32N60E2

IGBTs wi Integral Reverse Diode

,
~
Maximum Ratings

BVees Ic.o IcM
(V) (A) (A)

400

500

600

,

(~S)

6 7.S
10 12
10 17.S

1.0
1.2
1.0
O.S
12 17.S 1.0
O.S
20 3S 1.0
O.S
6 7.S 1.0
10 12 1.2
10 17.S 1.0
O.S
12 17.S 1.0
O.S
20 3S 1.0
O.S
12 48 0.6
24 96 0.6

TO-22OAB

t~
TO-21BAC

HGTP6NSOEl D
HGTP10NSOF1D
HGTPl ONSOEl D
HGTPl ON5OCl D
HGTH12N50E1D
HGTH12N5OC1D
HGTH20N50E1D
HGTH20N5OCl D

IGBTs wi Current Sensing

,
I~
Maximum Ratings

12
24

40
80

TO-247

HGTH12N40E1D
HGTH12N4OCl D
HGTH20N40El D
HGTH20N4OCl D

NOTES:

600

I'

HGTP6N40El D
HGTP10N40F1D
HGTP10N40E1D
HGTP10N4OC1D

1. 1090 -maximum continuous ourrent rating at Tc - go' C
2. 10M - maximum pulsed current rating
3. tt measured at Tc - 150' C

BVe,s Ic.o IcM
(V) (A) (A)

i

\

(~)

TSoOOl

1.0
1.6

HGTB12N60D1C

NOTES:

1. 1090 -maximum continuous current rating at Tc - 90' C
2. 10M - maximum pulsed current rating
3. tt measured at Tc - 150' C

HGTG20NSOCl D
HGTG12N60D1D
HGTG24N60Dl D

IGBTs
TYPE

PIL

DESCRIPTION

HGTA24N50D1 C
HGTA32N60E2
HGTB12N50D1C

MOS
MOS
MOS

IGBT WITH CURRENT SENSING
32A16OOV T0-218 5L
IGBT WITH CURRENT SENSING

HGTD10N40F1
HGTD10N40F1S
HGTD10N50F1
HGTD10N50F1S
HGTD6N40E1
HGTD6N40E1 S
HGTD6N50E1
HGTD6N50E1S
HGTG12N60D1D
HGTG20N100E2

MOS
MOS
MOS
MOS
MOS
MOS
MOS
MOS
MOS
MOS

PWR IGBT 5A 400V TO -251
PWR IGBT 3A 400V TO -252
IGT
IGT
PWR IGBT SA 400V TO -251
PWR IGBT SA 400V TO -252
PWR IGBT SA 500V TO -251
PWR IGBT SA 500V TO -252
12A 600V IGBT WITH ANTI-PARALLEL DIODE IN A TO-247 PKG
20A, 1000V IGBT T0-247 PKG

HGTG20N50C1 D
HGTG24N60D1
HGTG24N60D1 D
HGTG30N100E2
HGTG32N60E2
HGTH12N40E1
HGTH12N40E1D
HGTG12N50C1
HGTH12N50C1D
HGTH12N50E1

MOS
MOS
MOS
MOS
MOS
MOS
MOS
MOS
MOS
MOS

20 AMP 500V IGBT WITH ANTI-PARALLEL DIODE IN A T0-247 PKG
600V VERSION OF EXISTING PART
24A 600V IGBT AN ANTI-PARALLEL DIODE IN THE SAME PKG
30M KV TO-247 IGBT
32AJ600V TO-247 PKG
PWR IGBT 10A 400V N-CHANNEL T0-218
10 AMP IGBT/DIODE
10 AMP IGBT/DIODE
10 AMP IGBT/DIODE
PWR IGBT 10A 500V N-CHANNEL T0-218

HGTH12N50E1D
HGTH20N40C1
HGTH20N40C1 D
HGTH20N40E1
HGTH20N40E1 D
HGTH20N50C1
HGTH20N50C1 D
HGTH20N50E1
HGTH20N50E1 D
HGTM12N40C1

MOS
MOS
MOS
MOS
MOS
MOS
MOS
MOS
MOS
MOS

10 AMP IBT/DIODE
PWR IGBT 20A 400V TO-218
20 AMP IGBT/DIODE
PWR IGBT 20A 400V TO-218
20 AMP IGBT/DIODE
PWR IGBT 20A 500V T0-218
20 AMP IGBTIDIODE
PWR IGBT 20A 500V TO-218
20 AMP IGBT/DIODE
PWR IGBT 10A 400V N-CHANNEL TO-3

HGTM12N40E1
HGTM12N50C1
HGTM12N50E1
HGTM12N60D1
HGTM20N100E2
HGTM20N40C1
HGTM20N40E1
HGTM20N50C1
HGTM2ON50E1
HGTM24N60D1

MOS
MOS
MOS
MOS
MOS
MOS
MOS
MOS
MOS
MOS

PWR IGBT 10A 400V N-CHANNEL TO-3
PWR IGBT 10A 500V N-CHANNEL TO-3
PWR IGBT 10A 500V N-CHANNEL TO-3
600 VOLT VERSION OF EXISTING FAMILY
20A, 1000V IGBT T0-204 PKG
PWR IGBT 20A 400V TO-3
PWR IGBT 20A 400V TO-3
PWR IGBT 20A 500V TO-3
PWR IGBT 20A 500V TO-3
PWR IGTTO-3

HGTP10N40C1
HGTP10N40C1D
HGTP10N40E1
HGTP10N40E1 D
HGTP10N50C1
HGTP10N50C1D
HGTP10N50E1
HGTP10N50E1 D
HGTP10N50F1 D
HGTP12N60D1

MOS
MOS
MOS
MOS
MOS
MOS
MOS
MOS
MOS
MOS

PWR IGBT 10A 400V N-CHANNEL TO-220
PWR IGBT WITH DIODE 10A 400V TO 200
PWR IGBT 10A 400V N-CHANNEL TO-220
PWR IGBT WITH DIODE 10A 400V TO 200
PWR IGBT 10A 500V N-CHANNEL TO-220
PWR IGBT WITH DIODE 10A 500V TO 200
PWR IGBT 10A SOOV N-CHANNEL TO-220
PWR IGBT WITH DIODE 10A SOOV TO 200
10 AMP 500V IGBT WITH ANTI-PARALLEL DIODE IN A TO-220 PKG
600V VERSION OF EXISTING TYPE

HGTP15N40C1
HGTP15N40E1
HGTP15N50C1
HGTP15N50E1
HGTP6N50E1 D

MOS
MOS
MOS
MOS
MOS

PWR IGBT 20A 400V TO-220
PWR IGBT 20A 400V TO-220
PWR IGBT 20A 500V TO-220
PWR IGBT 20A 400V TO-220
6 AMP 500V IGBT WITH ANTI-PARALLEL DIODE IN A TO-220 PKG

Bipolar
Harris Bipolar Transistor Families (4)
Switching Bipolar Transistors
Features:
Excellent switching performance at High Voltage and Current. (to 1000V
Breakdown voltage. 15 am(ls Collector Current (sat»
High Safe Operating Area (SOA) ratings

Applications:
Off line switching power supplies
Converters
High voltage inverters
Pulse width modulated regulators
Switching regulators

Darlington Bipolar Transistors (Two transistors
configured to boost gain)
Features:
DC current gain (hfe) typically range from 1000 to 20000 at Collector Current
from 3 to 5 amps. Operation at Collector Current up to 20 amps and supply
voltages ranging from 40 to 450 volts

Applications:
Audio power amplifiers
Series and shunt regulators
Solenoid and hammer drivers

General Purpose Bipolar Transistors
(ft < 40 MHz)
Transistors with Pt (Total Power Rating) starting at 8.75 watts to 250 watts

Small Signal Bipolar Transistors
Transistors with Ic(max) (Collector Current) starting at 100 milliamps to 800 milliamps
& Vceo (Breakdown Voltage) ranging from 18 volts to 40 volts

Bipolar
,
F
'.'

Package Types

TO-204AE(TO-3)

To-205AD (T0-39)

~

ffl
TO-220M

T0-206AJ)

ffl
To-22OAB

TO-204AA (T0-3)

~
To-22OAC
,',

TO-251M

TO-252M

Metal Hermetic Pac:kage

Radial

t.
;,

f

.<

'.,

,.
. ,.
I'

TO-247

T0-254

-_... ~

'/'

[ , - - , -[ - : : : : : - : : : 0

Harris Bipolar Transistor Prefixes

2N

0

PC

BO
BOX
BOY
BFT

GE
GES
GET
GS

PN
RCA
RJH
TIP

BUW
BUX
BUZ

BYW

MJ
MJE
MJH

',~

MPS

~--------------------------------------~------------~~~~,:,~.,-..~.-.~,.--~.'!.

General-Purpose Power Transistors
Sorted by ascending Breakdown Voltage (Veeo). Secondary Sort by PI
Vce(satl-V

HIe
Part No.

140321
140327
140412
140348
140346V1
1BUX87C
ISUX87
0322
17A
7B

168

12N8422
2N8423
BUX88B
2NS212

5S
2N
MJI50
:A9116(
MJI50
)750
12NS488

76
)A
lIS!

12N6475
12N6467
TlP42C
IS0244C
12N624S
IRCA9116E
1B0750B
IS0750
12N4900
np30B

)45~

!N613·
1B0538
1B0244B
TlP42B
B0600
16491
16314
)500B

16247
13792
16107
2N61 06
81
99
OA
25

BO: 2A

np32A
2N5955
045H8
045H7

n-p-n
p-n-p
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-D-n
n-D-n
n-D-n
n-D-n
D-n-D
p-n-p
p-n-p
p-n-p
p-n-p
p-n-p
D-n-D
D-n-D
D-n-D
D-n-D
D-n-D
D-n-D
I D-n-D
1 p-n-p
1 p-n-p
1 p-n-p
1 p-n-p
1 p-n-p
1 p-n-p
1 p-n-p
p-n-p
D-n-D
I D-n-D
D-n-D
I D-n-D
p-n-p
p-n-p
p-n-p
p-n-p
D-n-p
D-n-p
D-n-D
D-n-p
p-n-p
p-n-p
1 p-n-p
p-n-p
p-n-p
p-n-D
p-n-p
D-n-D
D-n-D
p-n-p
p-n-p
p-n-p
p-n-p
p-n-p
D-n-D
D-n-p
p-n-p
D-n-D
p-n-p
p-n-p
p-n-p
p-n-p
p-n-p
p-n-p
D-n-D
D-n-D
p-n-p
p-n-p
p-n-p
p-n-p
p-n-p
D-n-D
D-n-p

veevSSUS)

~

:

---

300
300

--

250

40-250
40 min.

---

175
175

25 min.
25 min.

25-200

--

400

35

--

200

35

-----400
-350

-350
-300

-.-.
-.

1m

35

-3&

-300
-300

-350
-35C

20

1-1
0-1
10-15
30-12
25-100

-1

-140
-,140
-140
-130
-120

....

-

-10C
-100
-100
-100
-100

__

--,-----

35

-16(

150

--

-150
-1&
-140
-130

-

-BC

-so
___Sjl
... so
-SO

-so
-70
-70
-I
-I
-I
-I
-I

-60
-60
-60
-60
-60

250

250
40

2C

-------

-

--=1

~

-50

__11

-1
-0.

-"
--

-

-100

---

-9C
-90

--

__c:~
-90
-80
-80

--

40

-10
-11

..::c --=-?

-:
---:
---:

--0
--0
-=§I
..::c
---:--=l!,

.=~

-4
~

~

---:

-1
_-5
4
-2
____2
____2

____

-5

-~

-1
-1
-5

-4
-4
-

-0

.20-100

~~

J-

40
50

25m;n
20 min.
7mi
25 min.
30 min.

50
50

-90

---

-90
-SC
-S5
-90

--

-so
-so

65
65

15-150

75
75
75

20-150
25-1

125
150
40
40

15m;
15-90
20-100
50-150
30-150
30-150
20-1 )0
20-'

-so

10

--70
--70
--

30
30

40

25m;n.
25m;n.

-70

40

20-100

-60
-60

50

40 min.
20m;n.

--

25

40
40

50

411m

-1.5

-4

-1

5<

-:-1~1

~~

-~~

-1

--=1

-4 c:C
...--§--=:Q.

----

...--§~.

-1.2

-1

-0.1

-1

-1

-0.

____

-5-C
...--§--=l!,

-1

--=1

40m;n.
20-1

..=!

-5

--=1Q

-0.

--=1

-'-(

~~

-1
-1

-3-(
-1
-0.
-1

-4

-1

-3

-4
-4
-4

-1.5

-6
-6

-'

-5-(

____2

-7.5

-2

-0.5

-

-1

-

--=1
--=1
_4

-7
-2

~

-4

-4

-0.

--=-

-

-1

--=-

-(

~

--=1
-1.8
-O.S
-1
-2

-4
-4
-2

-I

-4

-1

~
~.

~

~

-6

-1

-:
-:

-

-1
-

-

-0.

-0.
-1

996ITO-220AB
674 1TO-220AB
0-3

~

~

-0.75
-0.
-0.

9sa

--=:Q.?~

~

:)-220

-0

--=---e
~.

-

-(

~--=l!,

_Q.

-4
-

-0.
-:
-:

--=1

--='

.....-J...li..::c:
-1.5
-0.6
-0.

)-3

--=1 --=:.C

-(
-5

."z ____

1100
S70
1

-,2.

--=l!,
-C
--=l!,

_..... I

40

-2-50
_-5
-0.75
-1 ,,0.1:
-0.7! -0
-

-·-0

15-150
10-5C

40

--

-0.

-0.
-1

D-

-0.

-'

~

:)-

--=1 ---=l!,t

--0

25 min.

40

-'

--

O.
O.

--0

15-150
15-150
15-150
30 min.
...20--j()()
25-100
15-60
15-60
20-100
15-150

25
30
30

~

0.001
0.001
0.001
0.1!
0.15

-

40

200
250
200

~

-:

-!

40
40
65
65
125

--

-2.

40m;n.

-1

-80
-80
-80

200
200

10-1
40-200
10-150
15-60
25-150
25-150
25-150
25-100
15-150
15-150
25-100
__25-150
_20 min.

0.01
0.01
0.01

--

O.

-

-20(

-10e

-8
-8e

O.
2.
2.

..

-2.

-,
-

2.I~

-loe
-90
-60

O.

2.

-'

--

--

-1(

O.

20
10
10

O.

-

-30

----14

~

-- -..,-.,.-- -- --

10

10-150
10-150
1m

---

-

0.02
0.02
0.03
0.01
0.01

1-'50
1-'50

-300
-300

-

v~e

-'

-'
-3
-2
-0.
-6
-1
-6-1
-3

-I.

-5
-1.

-'.
-'.

996 TO-220AB

Ie

124

67S
1102

0-221
)-220A~

)-21

__ 4

__ 2

-.-.

-.1
-1
-1

-5 . ___0.
-2 -0.
-2 -0.

-:

-I

-1-

-0
-0

-.

-,

-1-

-I

-1-0

-1
-2.1

-4
-4

10
20

676

0-220

,-

10
10

lAAfTO-5

)-

40m
25-100
.,.1.

-1
-1

...3-=-0.,

-3 -!l.3:
-2.

-0.25
O.

o.

40

_40

15.1§
~~_

General-Purpose Power Transistors
Sorted by ascending Breakdown Voltage (Vceo), Secondary Sort by Pt

n-p-n
Part No.

B0536
2N6133
B0244A
TIP42A
2N6490
2N6313
BOX18
2N6246
2N3791
MJ2955
2N5782
2N61 09
2N61 08
B0500
B0240
B0242
2N6124
045H5
B0534
045H4
B0244
B0277
2N5783
2N4898
TIP30
2N5956
TIP32
2N6132
np42
2N6312
2N6489
2N6594
2N6469
2N6111
2N6110
045Hl
045H2
2N6289
2N6288
40347Vl
2N1479
2N1700
2N1481
40347
2N5786
B0239
TIP29
TIP31
2N5494
2N5490
2N5491
2N5495
2N6129
TIP41
2N6486
2N5301
B0241
2N6121
B0533
B0243
2N5785
2N6290
2N6291
2N1480
2N1482
2N5493
2N5492
B0239A
TIP29A
2N6122
B0241A
TIP31A
B0535
2N6130
B0797
TIP41A
B0243A

p-n-p
p-n-p
p-n-p
p-n-p
p-n-p
p- n-p
p- n-p
p- n-p
p-n-p
p-n-p
p-n-p
p-n-p
p-n-p
p-n-p
p-n-p
p-n-p
p-n-p
p. n-p
p. n-p
p. n-p
p. n-p
p. n -p
p. n -p
p-n-p
p-n-p
p-n-p
p-n-p
p-n-p
p- n -p
p-n-p
p. n -p
p. n -p
p. n-p
p-n-p
p-n-p
p-n-p
p-n-p
p-n-p
n-p-n
n-p-n
n -p- n
n -p. n
n -p- n
n-p- n
n -p. n
n-p-n
n-p-n
n-p-n
n-p-n
n -p- n
n-p-n
n -p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n -p- n
n -p- n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n -p. n
n -p- n
n -p-n
n-p-n
n-p-n
n-p-n
n-p-n
n -p. n
n -p- n
n ,po n
n-p- n
n-p-n
n -p-n

Veev(sus)
V
-60
-60
-60
-60
60
60
60
-60
-60
-60
-50
-50
-50
-50
-45
-45
45
45
45
45
45
45
-40
-40
-40
-40
-40
40
-40
40
-40
-40
-40
-30
-30
-30
-30
30
30
40
40
40
40
40
40
40
40
40
40
40
40
40
40
40
40
40
45
45
45
45
50
50
50
55
55
55
55
60
60
60
60
60
60
60
60
60
60

--

-60
-70

--

70
60
70
-70

--

-70
-65
-60
-60
-55
-55
-55

PI

W
50
50
65
65
75
75
115
125
ISO

150
10
40
40
75
30
40
40
45
50
50
45
50
65
55
-- 70
-45
10
-- 25
-30
-50
40
-- 40
40
50
-- 65
-40
75
-50
75
-45 100
-50 125
-40
40
-40
40
-30
50
-30
50
40
40
40
40
60
44
60
5
60
5
60
5
60 8.75
45
10
30
55
-- 30
-- 40
60
50
60
50
60
50
60
50
40
50
-- 65
50
75
-- 200
40
55
40
-- 50
65
55
65
10
60
40
60
40
100
5
100
5
75
50
75
50
70
30
-- 30
40
70
40
40
50
60
50
65
-- 65
70
65

--

25 min.
7min.
30 min.

15-150
20 150
25-100
20 70
20-100
30-150
20-70
20-100
30-150
30-150
15-90
40 min.
25 min.
25 100
40 min.
2Smin.
20 min.
30min.

30 150
20-100
20-100
15-150
20-100
10-50
7 min.

15-150
25 100
20-150
5 100
20-125
30-150
30-150
20 min.

40 min.
30-150
30-150
25 100
20 60
20 80
35 100
25 100
20-100
40 min.
15-150
10-50
20-100
20-100
20-100
20-100
7min.
15-150
20-150
15-60
25 min.

25-100
25

min.

30 min
20-100
30-150
30-150
20-60
35 100
20 100
20-100
40 min.
15-150
25-100
25 min.
10 50
25 min.
7min.
25 min.
15 150
30min.

HIe
Ie
Vee
A
V
-2
-2
-7
-4
-0.3
-4
-3
-4
5
4
-1.5
4
-4
4
-7
-4
-1
-2
-4
-4
-1.2
-2
-2.5
-4
-2.5
-4
-5
-4
-0.2
-4
-1
-4
-1.5
2
4
1
2
2
4
1
0.3
4
-1.75
2
-1.6
-2
-0.5
-1
-1
-4
-3
-4
-3
-4
7
4
-3
-4
15
4
-4
5
-4
12
-5
-4
-3
-4
-3
-4
4
1
4
1
3
4
3
4
0.45
4
0.2
4
0.1
4
0.2
4
0.45
4
1.6
2
0.2
4
1
4
3
4
3
4
2
4
2
4
3
4
7
4
3
4
5
4
15
3
1
4
1.5
2
2
2
0.3
4
1.2
2
2.5
4
25
4
02
4
0.2
4
2.5
4
2.5
4
0.2
4
1
4
1.5
2
1
4
3
4
2
2
4
7
3
2
3
4
4
0.3

Vee sat

-0.8
-1.4
-1.5
-2
1.3
-0.7
-1.1
-1.3
-1
-1.1
-0.75
1
-1
-1
-0.7
-1.2
-0.6
1
-0.8
1
1.5
0.5
-1
-0.6
-0.7
-1
-1.2
-1.4
-2
0.7
1.3
-4
-1.3
-1
-1
1
1
1
1
1
1.4
1
1.4
1
1
0.7
0.7
1.2
1
1
1
1
1.4
2
1.3
0.75
1.2
0.6
0.8
1.5
0.75
1
1
1.4
1.4
1
1
0.7
0.7
0.6
1.2
1.2
0.8
1.4
1
2
1.5

Ie
A
-2
-7
-6
-6
-5
1.5
4
-7
5
4
-1.2
2.5
-2.5
-5
-1
-3
-1.5
8
-2
8
6
1.75
-1.6
-1
-1
-3
-3
7
-6
15
5
12
-5
-3
-3
8
8
3
3
0.45
0.2
0.1
0.2
045
1.6
1
1
3
3
2
2
3
7
6
5
10
3
1.5
2
6
1.2
2.5
25
0.2
0.2
25
2.5
1
1
1.5
3
3
2
7
3
6
6

V
Ib
Ft(Typ.)
A
MHz
-0.2
10
-3
8
-1
8
-0.6
8
-0.5
8
0.15
4
-0.4
16
-0.7
16
-0.5
16
-0.4
16
-0.12
20
10
0.25
-0.25
10
-0.5
8
-0.2
10
-0.6
10
-0.15
10
0.4
40
-02
10
0.8
40
-1
8
10
0.1
-0.16
20
-0.1
10
-0.12
10
-0.3
10
-0.37
10
-3
8
-06
8
015
4
05
8
2.4
16
-0.5
16
-0.3
10
-0.3
10
0.8
40
0.4
40
0.3
8
0.3
8
0.045
1.5
0.02
1.5
1.5
0.01
0.02
1.5
0.045
1.5
0.16
1.5
0.2
8
0.125
8
8
0.375
0.3
1
0.2
1
1
0.2
0.3
1
3
1
0.6
8
0.5
8
1
8
0.6
8
0.15
8
0.2
8
8
1
0.12
1.5
0.25
8
0.25
8
0.02
1.5
0.02
1.5
0.25
1
0.25
1
8
0.2
0.125
8
0.15
8
0.6
8
0.375
8
0.2
8
3
1
0.3
8
8
0.6
1
8

File

Paekage
TO-22O
TO-220AB
TO-220AB
TO-22OAB
TO 220AB
TO 213AA/TO 66
TO -204AAf1'O 3
TO 204AAf1'O-3
TO 204AAf1'O 3
TO 204AAf1'O 3
TO 205AAfI'O 5
TO-22O
TO-22O
TO-22OAB
TO-220
TO-22O
TO 220
TO 220AB
TO 220
TO 220AB
TO 220AB
TO-220
TO-205AAf1'O-5
TO-213AA/TO-66
TO-22O
TO - 213AAf1'O-66
TO-220
TO-220AB
996 TO-220AB
1102 TO-213AAf1'O 66
678 TO 220AB
994 TO-204AAf1'O-3
677 TO - 204AAf1'O 3
676 TO 220
676 TO-22O
15_18 TO-22OAB
15.18 TO-220AB
676 TO-220
676 TO-220
88 TO -205AAf1'O-5
135 TO-205AAf1'O-S
141 TO 205AAf1'O 5
135 TO-205AAf1'O 5
88 TO 205AAf1'O 5
413 TO-205AAfI'O-5
669 TO-22O
990 TO-220
991 TO-220
353 TO 220
353 TO 220
353 TO 220
353 TO-220
1233 TO-22O
992 TO-22OAB
678 TO-22OAB
1029 TO-204AAf1'O-3
671 TO-22O
1149 TO 220
1236 TO 220
673 TO 220AB
413 TO 205AAfI'O-5
676 TO-22O
676 TO-22O
135 TO-205AAfI'O-5
135 TO 205AAf1'O 5
353 TO 220
353 TO 220
669 TO-220
990 TO-22O
1149 TO-22O
671 TO-22O
991 TO-220
1236 TO-220
1233 TO-22O
1242 TO-22O
992 TO 220AB
673 TO-220AB

No.
1236
1233
674
996
678
1102
994
677
1059
994
413
676
676
1108
670
672
1149
15.18
1236
15.18
674
667
413
1150
988
675
987
1233

General-Purpose Power Transistors
Sorted by ascending Braakdcwn Voltage (Vceo), Secondary Sort by Pt

Part No.
2N648,
RCAS055
2N6326
2N5302
2N5885
40348Vl
40348

~549
~549

)239
P29E
IP31
2N6123
B0241 B
2N61
B0537
10799
10243B
rtP41B
10501B
!N6488
12N5886
'2N632:
i2N5303
:B0751
TlP29C
iB0239C
TlP31C
12N6473
1B0241C
1B0501
1B0243C
TlP41
'B0550
1RCA8638E
'2N5629
IB0751B
;2N6263
i2N64:
12N64:
12N43'
IB0751A
12N56:
I RCA863S[
IB0751C
!N34'
!N64:
!N3442
!N56:
~J15001

ICA8638C
12N6264
IUXl
N351
IUY69C
IUXl
ICA B04
N6249
CA9166B
IMJ15022
12N5239
12N3440
14064
584
P47
078
838
UX16A
UX42
0550B
1BUX17A
IRCA1B05
IBUX12
IRCA9166A

;=~=~
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n

Vcev(sus)
V
70
60
70
60
-60
--

-60

--

Pt
W
75
75

20-150
20-70

20
2!

5-

File
_No.

1

61
10'

7.

3C

Package

TCI-2:
TCAA

O.
O.
O.

8.

n-D-n9C:
,50
n-D-n
9C
5C
n-p-n
80
90
30
n-p-n
80
-30
n-p-n
80
-n-p-n
-n-p-n
90
n-D-n
8C
n-D-n
- n-D-n,-___
n-D-n
9C
n-p-n
-n-p-n
80
9C
75
n-p-n
80
9C
75
n-p-n
80
-200
n-p-n
80
-200
n-p-n
80
-200
n-p-n
90
100 200
n-p-n
100
-30
n-D-n
100
1
30
n-D-n
100
-4C
n-p-n
100
4C
n-p-n
100
1
4C
n-p-n
100
-65
n-D-n
100
1
65
n-p-n
100
-65
n-p-n
100
130 150
n-p-n
100
1
200
n-p-n
100
-2(
n-D-n
100
1
21
n-D-n
120
14
n-D-n
120
1~
n -D-n
120
n-D-n
120
14
11
n-p-n
120
1~
21
n-p-n
12C
-20C
n-p-n
12C
130 2!
n-p-n
13C
140 21
n-D-n
14C
160
n-D-n
14C
16C
n-p-n
14C
160 1
n-p-n
14C
-200
n-p-n
14C
-200
n-p-n
HC
-200
n-p-n
15C
170
50
n-p-n
15C
250
150
n-D-n
175
250
35
n-D-n
20(
50C
10C
n-p-n
20C
250 100
n-D-n
20(
, 225150
n-p-n
20C
225
1:
n-p-n
200
225
250
n-p-n
200
225
250
n-p-n
225
250 100
n-p-n
250
300
10
n-D-n
250
-10
n-D-n
250
300
35
n-p-n
250
-40
n-D-n
.L50
. 275.
_45
n-p-n
250
275 100
n-p-n
250
325 100
n-D-n
250
300 120
n-D-n
25
275
n-D-n
25
350
n-D-n
25
275
n-D-n
,g!i
300
n-D-n
25
.2.I5_

0.5
0.4

10'

o.

O.
O.

O.

_ 2O-100.Jl,
.JI,
~
~
~
2O-100.Jl,
.JI,
~
~
~
40 min.
O.
O.
0.2
_8,
~~lI.O~,
15-150
O.
0.125mlI.0-_~
iO-50
0.37
,- 20
J-80
1.
O.
,- 20
I min.
.Jl.,
~
mi
~
;m
O.
~
,ml .
.Jl.,
~
Lmin..Jl.,
~
...!
~
>.=;~
1-1506
O.
...!
~
~
20 min.
3.
3.0.35
~
~~
20-150
O.
...!
~~
20-100
15
1041 ITO
6-30
30
31
7.
1040lTO
15-60
1
11
102
IAA{TO-3
15-60
7.
O.
'2b
IAA/lU-;
15-150
O.
O.
99
40 min.
O.
O.
66
10-5C
O.
99
15-150
1 0 .
f!!.
25 min.
0....§I1
~
15 min
O.
1242 )-220
30 min.
O.
6731TO-22OAB
15-150
O.
~g~
15-75
O.
l1C
25-100
O.
7.
O.
10E
1-:

'-=
'-=
'-=
'-=

'-=

)-

51-'

O.

O.

i-'
i-'

O.
O.

)-

O.

525-100
2O-S0
25-100
25-100
25-100
_25 __ 150
20-70
15-60
25-150
25-150
20-60
7-",in.,
.. 4Q.,min
15-13C
15 __ 75
10-50
30 min.
15-60
20-S
10-160
10-160
40_mi
10mi
12-70
8-40
15-130
15-45
0-50
'mi
;-75
Linin.
I min.

O.
lC

..11±llli
10E
121

O.

O.

0) - 204, 1\ITO-3

5:

O.

110-66

.J!l
~

...1ill
O.
O.
O.

O.
~

10

W )-3
)-66

...l!,

...l!,
O.

.JI,

0.0:

O.

0.1

0.0:

O.

0..

2.0.25
0,255
1.
10
O.
1.
O.

2.

J1...

O.
20

~
10601TC
529
)-:
81
)-~
...Hl! )-2

J1...

...L

J1...

0.,

2.
1.

110-3

O.

)-39
)-39
(lU-66

0.1

J1...

...L

J1...
0.375
0.25
O.

O.
1.25

8QOITO·

121
11

ro-.

14A

;)-

I4A

General-Purpose Power Transistors
SOlted by ascending Breakdown Voltage (Vceo). Secondary Sort by PI
Vce(sal)-V

HIe

n-p-n

Vcev(sus)

PI

V

W

2~

p-n-p
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n -p-n
n-p-n
n-p-n

Til

"--=P-:r>,-='::::

12N3585
I BUW40
12N6771
TlP48
044· 03
IMJE13004
IBUX16B
12N6738
I BUW41
12N5240
12N6542
TlP562
12N6544
12N6671
IBUX17B
12N6676
12N6674
12N6546
I BUY69B
BUX4:
BUX1:
2~ 14~
,2,~

~
520-

o.

300

300
300
300
300
300
300
300
300
300
300
300
300
300
300
300
300
325
3:

Bl Y40.A_
n-p-n
2~ )79
n-p-n
044104
n-p-n
350
BUW41 A
n-p-n
350
2N5840
n-p-n
350
2N6739
n-p-n
350
Bl 16C
n-p-n
350
2N6672
n-p-n
350
BUX17C
n-p-n
350
2N6677
n-p-n
350
2N6251
n -p-n
350
TIP50
n-p-n
400
BUW40BI1.::::p c:,,_40Q
2N6773
n-p-n
400
044~ 05
n-p-n
400
MJE13005
n-p-n
400
IJE13070
n-p-n
400
UY69A
n-p-n
400
n-p-n
400
2Njl547
n-p-n
. 40Q
2N6740.
UW41B
n-p-n
400
~'~IP~563;- _ _rn~-"p--,,--n+-_~
4000;+_
BLJX4:
, ~.= p =n
. 40Q
BUX44
n-p-n
400
n-p-n
400
MJH13090
12N6545
n-p-n
400
iBUX32
n-p-n
400
12N6751
n-p-n
400
I BUX31
~.=p-:n
400
IBUX14
n=p ... n
400
12N6673
n-p-n
400
12N6678
n-p-n
400
12N6675
n-p-n
400
IMJE13071
n-p-n
450
I BUX32A
n-p-n
450
IBUX31A
n-p-n
450
12N6752
n-p-n
450
n-p-n
500
IBUX45
IBUX31B
n-p-n
500
12N6754
n-p-n
500
IBUX15
D-p ... n
500
12N6753
n-p-n
500
lBUX32B
n-p-n
500

400
450
450

-400
600
375
450
450
350
650
,-650
450
400
450
450
650
800
400
400
400
-550

35
40
40
40
50
75
100
100
101
101
101
101
12:
150.
150
175
175
175
100
1:
H

Package

0.:
)-:

lAAfTO-:

)-:

VTO-:

O.

40 min.
0-50
10-50
10min.
5 min.
8min.
15-130
10-40
10-40

)-

'-160

1

0.6
2.

0.,

--

10-,10
12-'10
1m
12-'10
Imi
'min.
8 min.
8-20
12-60
15
15-60
8 min.

o.

O.
3

0..
0.25

--- 0.:-2.

25
25
15 min.
4 min.

H
15.

30
30

1291 '0-22OA
1275 )-22OA
1-20
1-20

20
20
20
20
25

1096
1237
1214
1230
64

0.'

1·
1.

3.

2.

0.1

'-I

2.

1.
1.
0..

0.1

1,
0.004

O.

0..

0.0(

)-3
0-39
1-2OSAOIl 0-39

1:

)-

10AE

I.

550
37:
500
550
375
550
425
550
450
550
375
-650
650
60

50
100
100
100
100
150
150
175
175
40
40
40
50

700

75

)=

O.

2-

650
1000
450

5min.
10-40
10-50
10-40
15-130
10 min.
7 min.
8 min.
6-50
10 min.
10-50
5 min.
8 min.
8 min.
15
6-30

~50

650
- .850
450
650
850
800
800
800
450
650
650
650
750
900
900
850
500
100
1000
500
900
100

::.

1293 TO-204AAfTO-:

0.:

1-'

300

FI(Typ.)
MHz

Ib

--

100
10:
120
125
125
150
150
150
150
150
175
175
80
150
150
150
120
150
150
150

150
150

-c::.
15-45
8 min
12-60
8-40
8-40
8-40
15-60
10min.
8 min.
6-20
8min.

15 min.
30

_.

O.

2.

0.2:

O.

15

15
10
1

10
10

2

3

20
20
20

1.67
O.
O.

15mi
4mi
3
0.6
-3.82.20
10
20

3
2.
10

--

10
2

--

-=

-=.- --4

1.5

10
2.

,--

--

15.88 )-2:
IS.!
123: TO-204A1 0-3
15.109 TO-204A1 0-3
12!
)-: OAB
)-

6

)-

no-3

0.:

3
3

H

10

1.

20
20
20

O.
1.

-20

O.

4

411
1291
1-: lAB
80
1-: IAAI 0-3
109C
I-: IAAI 0-3
81,
)-: IAAlIO-3
1165
0-3
523 ITO-204AA1 10-3
978
1308 :)-2:
1292 )-2:

).4

O.

8-40
8-40
8-40
14-45
8-40
8-40
8 min.
8-40
8-40

--

1.

30

-~

10-40
.20 min.

--

,1

1
0.8

20
20
20
20
20
20
20
20

0)96

1285
1244

roo

121
)-: 04. \{11)-3
1283
)-3
1244
)-3
1231
)-3
1283
)-3
1244
no-3
12271TO-204AiVTO-3
TO-3

Small-Signal Bipolar Transistors
Sorted by ascending Breakdown Voltage (Vceo). Secondary Sort by Pt

6

Darlington Power Transistors
Sorted by ascending Breakdown Voltage (Vceo), Secondary Sort by Pt

Darlington Power Transistors
Sorted by ascending Breakdown Voltage (Vceo), Secondary Sort by Pt

Darlington Power Transistors
Sorted by ascending Breakdown Voltage (Vceo), Secondary Sort by Pt

High- Speed Switching Power Transistors
Sorted by ascending Breakdown Voltage (Vceo), Secondary Sort by PI

1~~:~=-r---~H~fe~=r--~~3[~~~~~~r-------I1----~
n -p-n
Part No.
p-n-p
1
Package
Ft
2N0699
n-p-n
- - ---'<8*0--'-'-*2--:-=-=·-:::-[---'7\CO.«
II!5:r-'1nt
10-*5--'7\CO.«
II!5:i:0;;-;,. On1ltiI~!
M~;-;:*.-----1
15 -.':j- _.-=t2N0697
n-D-n
-50
2 40-120
I.l!
10
1.5
I.l!
1.015 --16
120 MHz *
n-D-n
-5C
3140-120
I.l!
10
1.5
I.l!
1.015
30
-106
Df1rO-39 120MHz*
2N1613
p-n-p
-- -,
5 35-200
-5Om
-4 -1.41-.15Om -.015m --962
)~
,*
40362
041E7
p-n-p -60 -90
8 10 min.
-1
-2
-1
-1
-0.1
--- 15.5 )=20;2
Hz
043Cl 0
.p-_n-p _-80-9012.5 JOmin.
-1
=1.-0.5
_-J _-O~J --- 15.11 )-202
40
043Cl
D-n-D -8C -9C
12.5 20 min.
-:
-0.5
-0.05 --- 15.11
)-202
40
D43C12
p-n-p -8C -9C
12.5 20 min.
-2
-0.5
-1 -0 .05 - - - 15.
u-"u-.!
40
045C12
p-n-p -80 -90
30 20 min.
-2
-1 -0.5
-1 -0.05 --- 15.1: u-"",,UAI:
4O~Hz
40 MHz
045Cl 0
p-n-p _-80,-90
30 10min.
-1 _=1.-0,5
_-I.
-0.
--- 15.1: 0-220AB
045Cl
D-n-D -8C -9C
30 20 min.
-1
-0.5
-1 -0.05 --- 15.
0-220AB
40 MHz
D45Hl
p-n-p -SC -SC
50 40 min.
4
1
8
0.4 --- 15.
U-,,"UAI:
40 MHz
,045Hl0
p-n-p -80 -SC
50 20 min.
4
1
1
8
0.8 --- 15.1:
J-"",,UAI:
40 MHz
045VM10
p-n-p -80 -100
50 20 min.
-6
-1 -0.6
-6
-0.3 0.25 0.075 15.;2'
045VH10
p-n-p -80 -100
83 20 min.
-4
-1
- 1 - 8 - 0 . 8 0.25
O.
15.24
12N5322p=n-:-p .-7! -:.100
19.30=.loo.=500rn
-4 -0. -500m -5Om 100 l00C
325 J-20!
rrO-3960MHz
12N4314
D-n-D -6! -85
50-250 -150m -Ie -1.41-.15Om -.015m --2H
rrO-39 60 MHz *
,2N4016
p-n-p -6! -85
40-140 -150m -lCI-0.651-.15Om -.015m 0.07
0.1
216 TO-""UOIILII 0-3960MHz*
041O~
p-n-p -61 -75 6.25 10 min.
-1
-2
-1
-0.5 -0.05 --15.3 TO-202150MHz
04108
p-n-p -60 -75 6.25 10 min.
-1
-2
-1
-0.5 -0.05 --- 15.3 '0-:-202
IS< Hz
041E5
p-n-p -60 -70
8 10 min.
-1
-2
-1
-1
-0.1
--,.5 0-::02
17! Hz
043C8
p=n-p -60 -70
12.5 20 min.
-2
=1 _:-0.5
-1 -0.05 --10 )-::02
,40
D43C7
D-n-D -60 -70
12.5 10 min.
-1
-0.5
-1
-0.
--140
D43C9
D-n-p -6e -70
12.5 20 min.
-2
-0.5
-1 -0.05 --- 15.10 TO-::02
:40 MHz
045C8
p-n-p -60 -70
30 20 min.
-1
-1 -0.5
-1 -0.05-=.- 15.12 0-220AB
140 MHz
045C7.p=n-(l-60 -7030 _10rl'lin._=1
-1 -0.
-1
-0.
--- 15.12
140 MHz
D45C9
D-n-D -60 -70
30 20 min.
-2
-1 -0.
-1 -C 05 --- 15.12, IU-""UAtl
140 MHz
D45H7
p-n-p -60 -60
50 20 min.
4
0.8 --- 15.18,TO-220AB
140 MHz
1045H8
p-n-p -60 -60
50 40 min.
4
1
1
8
0.4 --- 15.18 ITO-::220AB.
140 MHz
045VM7
p-n-p -60 -80
50 20 min.
-6
-1 -0.6
-6
-0.3 0.25 0.075 .15.26
IQ45VH7
.p-:--"-p -60
113 20rl'lin..
.=4= 1
-J
-8
-0.
1.25
1.1 15.24
140406
D-n-D -50 -1 30-2C
-O.lm -'
---- --219,IU-"UOADf1r0-39160MHz*
12N5323
p-n-p -50 -75
10 40-250 -500m
-4 -1.2 -500m -50m 100 1000
325 ITO-205foDf1r0-39 160 MHz *
D73F5Tl
p-n-p -50 -60
20 30 min.
-3
-1 -0.4
-3 -0.15
0.1
0.1 15.56 '0=251/2_
150 MHz
p-n-p _-45 ,-60 6.25 10 min.
-1
-2 -0.5
-0.5 =0.05 . -:5.3 J-: 02
104104
D4105
D-n-p -45 -60 6.25 10 min.
-1
-2 -0.
-0.5 -C 05 --5.3 J-: 02
150 MHz
D43C6
p-n-p -45 -55
12.5 20 min.
-2
-1 -0.
-1 -c 05 --::>-:02
140 MHz
1043C5
p-n-p -45 -55
12.5 20 min.
-2
-1 -0.
-'
-0.05 --- 15.10ITO-:!02
140 MHz
D43C4
p-n-p -45 -55
12.5 10 min.
-1
-1 -0.5
-1
-0.1
--- 15.10ITO-202
1045C6
p-n-p -45 -55
30 20 min.
-2
-1 -0.5
-1-005 --1-;
1045C4
p-n-p -45 -55
30 10 min.
-1
-1 .-0.5
.-'
--1-;
)45C5
.p-:-n-p -45 -55
20 min.
-1
=1 _-0~5
-'
-0
--1-,
5H
p-n-p -4! -4!
20 min.
--H
5H
p-n-p -4! -4!
I min.
--1-:
40 IIIIIz
5VM4
p-n-p -4! -7e
I min.
-E
-1 -0
-I
0.25 0.075 15.
1045VH4
p-n-p -4! -7e
8: 20 min.
-4
-1
-1
-8
-0.8 0.25
0.1 15.24
N4037
p-n-p-4O -60
7 50-250 -::150m -::10-1.4 -::.ISOn 1-.01
--216
·?05Anfi 0-39160 MHz *
141 ~lp=n-::(l -:10:-46.2_5 JOmin,
.-:-1
-2 -0.
-C.
-0
--- 15.3 ::>-202
150 MHz
141 )2
.p-=-n=p -:
-4
6.25 20mi
-'
-2 -0
-0.
-0
--- 15.3 ::>-202
1150MHz
141
p-n-p -;
-.
8 10mi
-'
-2
-'
--- 15.5 ::>-202
1175 MHz
143Cl
p-n-p -;
-.
12.
Imi
-1
-1 -0.5
-'
--- 15.10ITO-202
140 MHz
1043C3
p-n-p -30 -40
12.5 20 min.
-2
-1 -0.5
-1 -0.05 --- 15.10ITO-202
140 !Hz
043C2p-n-p -30 -4012.520rnin.=2:-1.-0~5
-'
-0.05 --- 15.10ITO-202
140 !Hz
D45C2
p-n-p -30 -40
3C 20 min.
-1 -0.
-0.05 --- 15.12ITO-220AB
40 MHz
1045C3
p-n-p -30 -40
30 20 min.
-2
-1 -0
-'
-0.05 --- 15.12ITO-220AB
40 MHz
1045Cl
p-n-p -30 -40
30 10min.
-1
-1 -0.5
-1
-0.1
--- 15.12
40 MHz
045Hl
p-n-p -30 -30
50 20 min.
4
1
1
8
0.8 --- 15.18 TC .??OAR
4()M~
045VMl
p-n-p -30 -50
50 20 min.
-6
-1 -0.6
-6
-0.3 0.25 0.075 15.26
40 MHz
p-n-p -30 -30
50 40 min.
4
1
1
8
0.4 --- 15.
045H2
1045VH1P-::n-p -30-50
83 20 min.
-4-:-1
-.1
_-II -0.8 0.25
O.
15.24 0- lAB
200 MHz
04002
n-p-n
30
45 6.25 20 min.
1
2
0.5
0.05 --15.2 004001
n-p-n
30
45 6.25 10 min.
1
2
0.5
0.05 --- 15.2ITO200 MHz
230 MHz
040El
n-p-n
30
45
8 10 min.
1
2
1
1
0.1
--- 15.4ITO-202
042C3
n-p-n
30
40
12.5 20 min.
2
1
0.5
1
0.05 --15.9 0-202
50 MHz
50 MHz
042C2
n-p-n30
40
12.5 20 min.
2
1
0.l5 --15.9ITO-202
042Cl
n-p-n
30
40
12.5 10 min.
1
1
1.1
--15.9ITO-202
50M
044C3
n-p-n
30
40
3C 20 min.
2
1
0.D5 --- 15.11 U-",,"UAI:
50N
044Cl
n-p-n
30
40
30 10 min.
1
1
0.5
1
0.1
---.15.11
I-""",,UAI:
50N
044C2
.n-p-n
30
40
30 20 min.
2
1 0.5
1
0.05 _
15.1
0-220AB
SON
044H2
n-p-n
30
30
50 40 min.
4
1
1
JI
1.4 --- 15.

\~-;/'

~

~

v~e

~

~

~

~~

-=:= --=:::

_-so

=--.

---=

--

~~

Hig h - Speed Switching Power Transistors
Sorted by ascending Breakdown Voltage (Veeo), Secondary Sort by PI

Part No.
044H1
044VH1
2N3053
2N2270
04005
04004
042C6
042C4
042C5
044C4
044C6
044C5
044H4
044H5
044VH4
40407
2N5321
072F5T1
2N3878
2N5202
1N3053A
04008
04007
040E5
042C7
042C9
042C8
044C8
044C7
044C9
BOY92
044H8
D44H7
D44VH7
BDY55
2N2102
2N5320
2N3879
2N5039
2N1893
D42C11
D42C12
D42C10
D44C12
D44C10
D44C11
BDY91
D44H11
D44H10
D44VH10
40408
2N2405
2N6500
2N6702
BUW64A
BUX39
2N5038
2N5671
2N6032
BDY90
2N6703
BUW64B
2N6496
BDY56
2N6354
2N5672
2N6033
BUX40A
BUX20A
BUX10A

n-p-n
p-n-p

n-p-n
n-p· n
n-p-n
n ... p-n
n-p-n
n-p-n
. n -p- n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n -p- n
n-p-n

30
30
40
45
45
45
45
45
45
45
45
45
45
45
45
50
50
50
50
50
60
60
60
60
60
60
60
60
60
60
60
60
60
60
60
65
75
75
75
80
80
80
80
80
80
80
80
80
80
80
90
90
90
90
90
90
90
90
90
100
110
110
110
120
120
120

n~p:-n

120

n-p-n
n-p-n
n -p- n

125
125
125

n~p-n

n-p-n
n-p-n
n-p-n
n-p-n
n -p. n
n-p-n
n-p-n
n-p-n
n~p:-n

n-p-n
n-p-n
n-p-n
n-p-n
n ... p-n
n-p-n
n-p-n
n -p. n
n-p-n
n-p-n
n-p-n
n -p. n
n-p-n
n-p-n
n-p-n
n -p. n
n-p-n
n-p-n
n-p:-n
n-p-n
n -p. n
n-p-n
n-p-n
n-:p:-n
n-p-n
n -p-n
n-p-n
n-p-n
n-p:-n
n-p-n
n -p. n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n -p-n
n-p-n
n-p-n
n~p_-n

Veex
(sus)
V
30
50
50
60
60
60
55
55
55
55
55
55
45
45
65

--

75
60
65
75
70
75
75
70
70
70
70
70
70
70
80
60
60
80
100
80
100
90
120
100
90
90
90
90
90
90
100
80
80
100

--

140
110
140
140
120
150
120
120
120
160
160
130
150
130
150
150
150
140
160

PI
W
50
83
5
5
6.25
6.25
12.5
12.5
12.5
30
30
30
50
50
83
1
10
20
35
35
5
6.25
6.25
8
12.5
12.5
12.5
30
30
30
40
50
50
83
117
5
10
35
140
3
12.5
12.5
12.5
30
30
30
40
50
50
83
1
5
35
50
50
120
140
140
140
40
50
50
140
117
140
140
140
120
140

Hfe
Ie
A
20 min.
20 min.
50-250
SO-2OO
10min.
10 min.
20 min.
10min.
20 min.
10min.
20 min.
20 min.
20 min.
40 min.
20 min.
40-200
40-250
30 min.

40-200

10-100
50-250
10min.
10min.
10 min.
10 min.
20 min.
20 min.
20 min.
10min.
20 min.
30-120
40 min.
20 min.
20 min.
20-70
40-120
30-130
20-80
20-100
40-120
20 min.
20 min.
10min.
20 min.
10 min.
20 min.
30-120
40 min.
20 min.
20 min.
40-200
60-200
15-60
20
20
15-45
20-100
20-100
10-50
30-120
20
20
12-100
20-70
10-100
20-100
10-50
15-80
20-60
150 20-60

4
4
0.15
0.15
1
1
2
1
2
1
2
2
4
4
4
0.10
500m
3
0.50
4.00
0.15
1
1
1
1
2
2
2
1
2
5
4
4
4
4
0.15
500m
4.00
10
0.15
2
2
1
2
1
2
5
4
4
4
0.10
0.15

3.00
5
5
12
12
15
SO
5
5
5
8
4
10
15
40
10
20
10

Vee
V
1
1
10
10
2
2
1
1
1
1
1
1
1
1
1
10
4
1
2
1.2
10
2
2
2
1
1
1
1
1
1
5
1
1
1
4
10
4
5
5
10
1
1
1
1
1
1
5
1
1
1
10
10
2
2
2
4
5
2
2.6
5
2
2
2
4
2
2
2
4
2
2

Vee/sat) V
Ib
Ie
A
A
1
8
0.8
0.4
8
0.4
1.4
0.15
0.015
0.9
0.15
0.015
0.5
0.0
0.5
0.5
0.5
0.05
1
0.5
0.05
0.1
0.5
1
1
0.5
0.05
1
0.5
0.1
1
0.5
0.05
1
0.5
0.05
0.8
1
8
1
8
0.4
8
0.4
0.4

--

--

500m
3
4
4
0.15

0.5

0.15

0.5
1.2
1
5
0.5
0.5
0.5
0.5

500m
4
10
0.15
1
1
1
1
1
1
5
8
8
8

0.5
0.4
0.8
0.4
0.4
0.015
50m
0.400
1
0.015
0.05
0.05
0.1
0.05
0.1
0.05
0.5
0.4
0.8
0.4

0.15
3
5
5
20
12
15
50
5
5
5
8
4
10
15
40
10
20
20

0.015
0.300
0.5
0.5
2.5
1.2
1.2
5
0.5
0.5
0.5
0.8
0.4
1
1.2
4
1
2
2

0.5
0.5
0.5
1
1
0.4

--

0.5
1.5
0.8
0.8
1.6
1
0.8
1.3
0.5
0.8

0.8
1
1.1
1
0.8
1
1.2
0.8
1.5

0.5
1
1
1
1
1
1
1
5
8
8
8
4

--

11
us

0.25

0.18

--

---

--

--

----

---

---

0.25

-- --

0.8
0.4
2
1.2
0.3
1
1
1
0.5
0.5
0.5
0.5
0.5
0.5
0.5
1
1
0.4
1.1

0.5

Tf
us

50m
0.15
0.400

--

00400

0.4

0.015
0.05
0.05
0.1
0.1
0.05
0.05
0.05
0.1

0.05

--

80
0.1

--

----------

0.25

0.5
30
80
0.4

0.5

-----

----0.25
----

0.4
0.25
0.25
1.5
0.5
0.5
1

-0.25
0.25
0.5
0.5
0.3
0.5
1
1.2
0.7
1.5

--

---

----------0.18
-800
0.1

-004
--------0.2
---

0.18
2

--

800
0.4
0.5

-------

-0.2

--0.18
--0.5

0.5
0.5
0.3
0.5
0.5
0.5
0.2
0.5
0.5
0.5
2

0.2
0.5
0.5
0.4
0.5
0.2

File
Package
No.
15.17 TO-220AB
15.23 TO-220AB
960 TO-205AD/T0-39
24 TO-205AD 0-39
15.2 TO-202
15.2 TO 202
15.9 TO-202
15.9 TO-202
15.9 TO-202
15.11 TO-220AB
15.11 TO-220AB
15.11 TO-220AB
15.17 TO-220AB
15.17 TO-220AB
15.23 TO-220AB
219 TO-205AD/TO-39
315 TO-205AD/T0-39
15.51 TO 251/2
766 TO-213AA{T0-66
766 TO-213AA{T0-66
960 TO-205AD/T0-39
15.2 TO 202
15.2 TO-202
15.4 TO-202
15.9 TO-202
15.9 TO 202
15.9 TO-202
15.11 TO-220AB
15.11 TO-220AB
15.11 TO-220AB
1289 TO 204AA{T0 3
15.17 TO-220AB
15.17 TO-220AB
15.23 TO-220AB
1215 TO-204AA{TO-3
106 TO 205AD/T0 39
315 TO-205AD/T0-39
766 TO-213AA{TO-66
698 TO-204AA{TO-3
34 TO-205AD/TO-39
15.9 TO 202
15.9 TO-202
15.9 TO-202
15.11 TO-220AB
15.11 TO-220AB
15.11 TO-220AB
1289 TO-204AA{TO-3
15.17 TO-220AB
15.17 TO 220AB
15.23 TO-220AB
219 TO-205AD/TO-39
34 TO-205AD/T0-39
766 TO-213M{ 0-66
1187 TO-220AB
1199 TO-220AB
1211 TO-2D4AA/TO-3
698 TO-204AA{T0-3
383 TO 204AA{T0-3
462 TO 204AE/TO 3
1289 TO-2D4AA{T0-3
1187 TO-220AB
1199 TO-220AB
698 TO-2D4AA{TO-3
1215 TO-2D4AA{T0-3
582 TO-204AA{TO-3
383 TO-204AA{T0-3
462 TO-204AE/TO-3
1217 TO-204AA{TO-3
1264 TO-2D4AA{T0-3
1216 TO 204AA{TO 3

Ft

so MHz

120 MHz *
120 MHz *
200 MHz
200 MHz
MHz
MHz
MHz
MHz
MHz
MHz
MHz
MHz

so
so
so
so
so
so
so
so

120MHz*

so MHz *

60 MHz *
60 MHz *
120MHz*
200 MHz
200 MHz
230 MHz
MHz
MHz
MHz
MHz
MHz
MHz
80 MHz *
MHz
MHz

so
so
so
so
so
so
so
so

80 MHz *
120 MHz *
MHz *
60 MHz *
80 MHz *
120 MHz *
MHz
MHz
MHz
MHz
MHz
MHz
80 MHz *
MHz
MHz

so

so
so
so
so
so
so
so
so

120MHz*
120 MHz *
60 MHz *
MHz *
MHz *
MHz *
80 MHz *
MHz *
MHz *
80 MHz *
MHz *
MHz *
80 MHz *
80 MHz *
80 MHz *
MHz *
MHz *
80 MHz *
MHz *
MHz *

so
so
so
so
so
so
so
so
so
so
so

High-Speed Switching Power Transistors
SOlled by ascending Breakdown Voltage (Vceo). Secondary Sort by PI

Pari No.
04401
2N6704
BUW64C
RCA6340
RCA6341
BOY58R
RJH6686
2N6686@
04403
2N6687@
BUXllA
RJH6688
2N6688@
BUX21
040V2
040Vl
044Tl

~.
040V3
044T4
044T3

* Minimum

n-p-n
p-n-p
n-p-n
n-p-n
n-p-n
n-p-n
n-p:-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n .p. n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-p-n
n-I"-n

Vcex
(sus)
V
127 200
130 180
130 180
140
-150
-160 250
160 210
160 260
175 250
180 280
190 250
200 250
200 300
200 250
250 300
250 300
250 300
250 300
300 350
300 400
300 400

PI
W
31.25
50
50
200
200
175
200
200
31.25
200
200
200
200
250
9
9
31.2
31.2
9
31.2
31.2

HIe
Ic
A
30 min.
20
20
12 min.
12 min.
20-60
15 min.
15 min.
30 min.
15min.
20-60
15min.
15min.
20-60
30 min.
20 min.
30min.
75 min.
20 min.
75 min.
30min.

2
4
4
25
25
10
25
25
2
25
8
20
20
12
0.04
0.04
0.5
0.5
0.04
0.5
0.5

Vce
V
10
2
2
2.5
2.5
4
2
2
10
2
2
2
2
2
10
10
10
10
10
10
10

Vce sat-V
Ie
A
1
2
0.7
4
0.7
4
1.8
25
1.8
25
1.4
10
1.5
25
1.5
25
1
2
1.5
25
0.6
8
1.5
20
1.5
20
0.6
12
0.02
1
1
0.02
1
0.5
1
0.5
1
0.02
1
0.5
0.5
1

Ib
A
0.2
0.4
0.4
2.5
2.5
1
2.5
2.5
0.2
2.5
0.8
2
2
1.2
0.002
0.002
0.05
0.05
0.002
0.05
0.05

TI

us

--

TI
us

--

0.25
0.25
0.3
0.3
1
0.6
0.35

0.5
0.5
0.25
0.25
2
0.8
0.25

0.35
1
0.6
0.35
1.2

0.25
0.4
0.8
0.25
0.4

--

----

--

-------

--

-----

File
No.
15.19
1187
1199
1205
1205
1206
1171
1171
15.19
1171
1352
1171
1171
1172
15.8
15.8
15.20
15.20
15.8
15.20
15.20

Package
TO-220AB
TO-220AB
TO 220AB
TO-204AA[TO-3
TO-204AA[T0-3
TO-204AA[TO-3
TO 218AC
TO-204AA[TO-3
TO-220AB
TO-204M{ 0-3
TO 204AA[T0-3
TO 218AC
TO-204AA[T0-3
TO-204AA[T0-3
TO-202
TO-202
TO-220AB
TO-220AB
TO-202
TO-220AB
TO-220AB

Ft
50 MHz
50 MHz *
50 MHz *

50 MHz

50 MHz *
50 MHz *
45 MHz
45 MHz
50 MHz *
45 MHz
45 MHz

Rectifiers
Harris Rectifier Families (3)
Definitions
Rectifier: A rectifier allows current flow in one direction only. The difference between a Diode and a Rectifier is that
a Rectifier is usually rated at a breakdown voltage of greater than SO volts and has a power rating that is greater
than O.S watts.
Bridge rectifier: Also known as a full wave rectifier, the bridqe rectifier generates a DC output equal to the average
value of the rectified sine wave. Beside power supplies Bridge Rectifiers are used in AC Voltmeters where they
convert AC inputs to direct current suitable for driving DC meters.

Ultra Fast Recovery Rectifiers
Features:
2S to 7Sns reverse recovery time (trr)
Reverse voltage range between SO to 1200 volts
Current peak (Ifsm) handling capability up to 32S amps
Single or dual rectifier style available
Various package style including axial & radial type of devices

Applications:

SINGLE

A

DUAL

Power supplies in the 100-S00 KHz switching range

Fast Recovery Rectifiers

A=ANODE
K=CATHODE

Features:
200ns reverse recovery timJ (trr)
.
Reverse voltage range between SO ~ 600 volts
Current peak handling capability up to S amps

Applications:
Power supplies in the 20-40 KHz switching range

General Purpose Rectifiers

BRIDGE

Features:
S to 6us reverse recovery time (trr)
Reverse voltage range between SO to 1000 volts
Current peak handling capability up to S amps
Bridge rectifiers with SO amps forward peak surge & reverse voltage between SO to 1000 volts

Applications:
Power supplies

A

Rectifiers
Package Styles

BR-4

~
GE-4

TO-204M (TO-3)

@
TO-220AC

"

Harris Rectifier Prefixes
1N

A

BYW

DB

GE
GER

MUR
peR
RUR
RURD

~

0o-204AP

TO-247

/

TO-220AB

,

TO-218

Ultra-Fast Recovery Rectifiers

~

"

TO-22OAC

Single Lead TO-21B

2 leaded TO-247

IF(AVG)

IF(AVG)

IF(AVG)

50A

80A

50A

80A

150A

VRRM

8A

15A

30A

30A

l00V

MUR8l0
RUR8l0

MUR15l0
RUR15l0

RUR3010

RURG3010

100A

l50V

MUR8l5
RUR8l5

MUR15l5
RUR15l5

RUR3015

RURG3015

200V

MUR820
RUR820

MURl520
RUR1520

RUR3020

RURG3020

400V

MUR840
RUR840

MURl540
RURl540

RUR3040

RURG3040 RURG5040 RURGS040 RURU5040 RURU8040 ,_
,..1,e_ f(RJIliQiCO
~ii40

500V

MUR850
RUR850

MURl550
RUR1550

RUR3050

RURG3050 RURG5050 RURGS050 RURU5050 RURUB050

BOOV

MUR860
RUR8BO

MURl560
RURl560

RUR30BO

RURG30BO RURG50BO RURGS060 RURU5060 RURU8080

700V MUR870E
RUR870

RURl570

RUR3070

RURG3070 RURG5070

BOOV MUR880E
RUR880

RURl580

RUR3080

RURG3080 RURG5080 RURGS080 RURU5080 RURU8080

900V MUR890E
RUR890

RURl590

RUR30BO

RURG30BO RURG50BO

8.,.',..P

oJ

~
.... - -- 'W-'.Jr
-. -';';;;'-,,, ...
,I

It I

./, ...... V»-'ti .........,

RURGS070 RURU5070 RURUB070

.RORU1Od& >~lIOsO
--.::- .:~- -::.,,,;:.; --6.£=:'

~~
~~
....ti .. ,<-

""If:'~

RURGS090 RURU5090 RURU80BO

1200V

-SHADiNG: ~ Future Product Offerings

'o:ftlrC"II-'.-

..

~l~

- ... " ....
J'IUAlI1OOIO
:":r'I'-,i. -, ,_-

1000V MUR8l00E RUR15100 RUR30100 RURG30100 RURG50100 RURG80100 RURU50100 RURU80100
'", ~1.
RUR8l 00

- -

-

"+;

~~
'fI, __

fllJRUfi'li~

-

--

-",

-

,

,':'r£- :- --

wisO
--- ;':
'~=
-'

,.,

II

-

"!':!-~~- ~

,

-,..-

-~

Ultra-Fast Recovery Rectifiers

~

'e

~-

;

~

TO-220AB

TO-218

TO-247

TO-204AA

IF(AVG)

IF(AVG)

IF(AVG)

IF(AVG)

VRRM

8Ax2

15Ax2

3OAx2

15Ax2

30Ax2

16Ax2

100V

MUR16l0CT
RUR1610CT
BYW5ll00
RURD81P

MUR30l0PT
RURD15l0

RURD3010

RURDG15l0

RURDG3010

RURD18l0

l50V

MUR16l5CT
RUR16l5CT
BYW5ll50
RURD815

MUR30l5PT
RURD1515

RURD3Q15

RURDG15l5

RURDG3015

RURD1815

200V

MURl620CT
RURl620CT
BYW5l200
RURD820

MUR3020PT
RURD1520

RURD3Q20

RURDGl520

RURDG3020

RURDl620

400V

MUR3040PT
RURDl540

RURD3040

RURDGl540

RURDG3040

500V

MUR3050PT
RURD1550

RURD3050

RURDGl550

RURDG3050

eoov

MUR3060PT
RURD1560

RURD3Q60

RURDGl580·

RURDG3060

700V

RURD1570

RURD3070

RURDG1570

RURDG3070

eoov

RURDl580

RURD3060

RURDGl580

RURDG3060

900V

RURD1590

RURD3090

RURDG1590

RURDG3090

1000V

RURD15100

RURD30100

RURDG15100

RURDG30100

Axial Lead and Bridge Rectifiers

/ /

/
DO-204APC
IF(AVG)

1A

1A

~

GE-3

GE-4

4-DIP

IF(AVG)

IF(AVG)

IF(AVG)

VRRM

1A

1A

2A

2.SAJ2.0A

3A

3A

3A

6A.6A

1A

50V

A14F

GER4001 A114F

GE1001

A214F

2.5AJ2.OA

A15F

A115F

A315F

GE1301

DB1F

100V

A14A

GER4002 A114A

GE1002

A214A

GE1101

A15A

A115A

A315A

GE1302

DB1A

GE1003

A214G

GE1102

A315G

GE1303

GE1004

A214B

GE1103

A315B

GE1304

1A

150V
200V

1N5059

300V

A14C

400V

1N5060

500V

A14E

600V

1N5061

1N4247

GER4005 A114M

1N5626

800V

1N5062

1N4246

GER4006

1N5627

1000V

A14P

1N4249

GER4007

IRR(jlSBC)

516

5

1N4245

GER4003 A114B
A114C

1N4246

1N5624

GE1104

GER4004 A114D

A115B

DB1B

A115C
1N5625

A114E

A115D

0010

A115E
DB1M

A115M

DB1N
DB1P

0.2

0.02510.040

0.035/

0.025/0.050

5

0.15/0.25

0.035

O.03OO.oso

Transient Voltage Suppression Devices

Surgectors
- Protects electronics and people that may be exposed to high energy transien1S
- Shun1S hi9h current and clamps voltage induced by power crosses, lighting and
Induced high voltages away from sensitive electronic circuitry
- SCR-type npnp semiconductor device with embedded zener across gate region
- Used exclusively for telecom or datacomm protection
- UL Approved under file 'E135010
CATHODE
1300
1000

... 700
~ 6SO

!:J500

0 400

>

20

100

Varistors

1000

TIME

Surgector Equivalent Circuit Model

INNER ELECTRODE~

MLVs· Multi Layer Varistors

- Passive ceramic dielectric-based device for protecting electronic circuitry
and people from dangerous automotive high voltage transien1S
- More efficient than MOVs, no lead inductance, surface mount
- Specifically designed for automotive applications

(~s)

jEMICONDUCTING CERAMIC

~'Iro~'~ND
t::::::=
L-.:===:I
'TERMINATION

MLV MECHANICAL STRUCTURE

MOVs • Metal Oxide Varistors
- Passive zinc oxide-based device for protecting electronic circuitry and
people from dangerous high voltage transien1S
- EvelY electronic circuit has a need for transient voltage protection, Ie TVS
- Hams has a device for every application
- Used In virtually any application; AC DC, telecom, datacom, power supplies,
automotive, motor contro)'
- Clamps high voltage transien1S and absorbs excess energy produced by
transien1S.

'>-<~--""X

.,....-,"-'-.

!NTEFlGRANULAR

BOUNDARY

Transient Voltage Suppression Devices
Surgector Packages
Modified

T()'202
Package
Style

~~

Holding current In milliamps
divided by 10
Type of Surgector:
U - Unidirectional
B - Bidirectional
S .SCR

Package B

Package A

Multi-Layered Varistor (MLV)
Series Packages

Off·State Vonage Rating divided by 10

Surgector

Packing Quantny
1.22 • <100 pc
H23 • 2000 pc
T23 • 8000 pc

MLVSeries

CH

RA

~

filf;J

ZA

~~
DB

~
CP

Product Series
VN(dc) Nominal Varistor Vonage
MLV Varistor

7

Selection· Clamping
Voltage (A or B)

BA,BB

fb
LA

~

~~

PA

DA

b
8

Device Size:
I.e., 120mll x 60 mil

Relative Energy Indicator
Product Series
Max RMS Applied Vonage
MOV Varistor

(J

Selection· Clamping
Voltage (A or B)
Relative Energy Indicator

CA

Product Series
VN(dc) Nominal Varistor Vonage
MOV Varistor

Transient Voltage Suppression Devices
SURGECTORs", Multi-Layered Varistors (MLVs) and
Metal-Oxide Varistors (MOVs) are designed to protect
voltage-sensitive circuit components against damage from
high-energy transient-voltage spikes, The protection afforded

by these devices not only guards expensive and voltagesensitive equipment from physical damage, but also improves
functional reliability in components that can encounter temporary upset due to transient voltages of lower amplitudes,

Typical Transient Surge Suppressor Applications
Transient
Surge
Suppression
Devices

Data Lines

Telecom
(Primary)

Telecom
(Secondary)

v"
v"

v"
v"
v"

v"
v"
v"

SURGECTOR
MOV
AVALANCHE
DIODE

AC Power
Lines

DC Power &
Automotive

v"

v"
v"
v"

v"

GAS TUBE

v"

MLV

v"

Comparison of Surge Suppression Devices
Transient
Surge
Suppression
Devices

SURGECTOR

Major Limitations

Major Advantages/Uses

+ Ideal for datacom and telecom
+ Leakage - <50 nanoamps
+ Shunt capacitance - <50 pF
+ Sub nanosecond response
+ Lifetime unlimited @ 200 Amps,

- Cannot be used in DC circuits where available
current exceeds holding current
- Surge current capability - 200 Amps @ 8x20 lIS
pulse shape
- Limited AC line protection capability

8x20 lIS pulse shape

+ Failure mode - Short circuit
+ Ideal for AC power lines; suitable for low-voltage
METAL-OXIDE
VARISTOR
(MOV)

AVALANCHE
DIODE

GAS TUBE

logic protection
+ Subnanosecond response
+ Units available up to 70,000 Amps surge
+ Lifetime @ 1000 Amps, 8x20 lIS pulse shape1000 surges
+ Failure mode - Short circuit

+ Ideal for low-voltage logic protection
+ Subnanosecond response
+ Lifetime @ 50 Amps, 8x20 lIS pulse shapeunlimited

+ Shunt capacitance - 50 pF
+ Failure mode - Short circuit
+ Wide use in telecom for primary protection
+ High surge capability - >20,000 Amps
+ Leakage - subpicoamps
+ Shunt capacitance - <1 pF
+ Lifetime @ 500 Amps, 8x20 lIS pulse width -

- Shunt capacitance - >500 pF
- Leakage - approximately 10 microamps
- Clamp voltage goes up with current

- Low-surge capability - 50 Amps @ 8x20 lIS
pulse shape
- Leakage - approximately 10 microamps
- Clamp voltage goes up with current

-

Response time - <5 microseconds
Failure mode - Open circuit
Follow-on current because of "crowbar"
Trigger voltage changes with time
limited Life

200 surges

+ Ideal for automotive, CMOS, and computer
MULTI-LAYER
VARISTOR
(MLV)

applications up to 68V

+ Zero lead Inductance surface mount
+ 508A, 8120llS non-repetitive surge curren!
+ Instantaneous response
+ Failure mode short circuit

- Only available to 68 volts
- Shunt capacitance - 2000pF @ 1MHz

Transient Voltage Suppression Devices
. -_ _-,REPEATER,...S_ _ _-,

SURGECTORS
• Zener (avalanche) diode and SCR-type thyristor
• Zener provides continuous low voltage protection
• Thyristor provides instantaneous protection against fast
rising transients

TIP
RING

~

SURGECTOR Characteristics
•
•
•
•
•
•
•

High input impedance until breakdown (i.e., low leakage)
Repeatable breakdown/threshold voltage
High surge current handling capability
Withstand and respond to rapidly recurring surges
Fast recovery to high impedance state (turn off)
Dual polarity protection
No degradation of essential characteristics with use

TVPENO.

FUNCTION

CENTRAL
OFFICE

DECODE
CONTROL

DECODE
CONTROL

PABX

PABX

SWITCH
NETWORK

SWITCH
NETWORK

TIP
RING

~

SURGECTORs Provide Transient
Protection for:
o

Central Office Equipment

o Supervisory Equipment

o Switchgear Equipment
o

Data Transmission

Repeaters
Line Con.centrator
o Receivers
o
o

o Headsets

Modem

o Handsets

o

o EPABX,

oPCM

Glossary
Varistor Clamp - Provides external pin to tum surgector on
or off.
Unidirectional - Handles positive OR negative going transient
waveforms.
Bidirectional - Handles positive AND negative going transient
waveforms.
Clamping Voltage (Vz) - Off state voltage at a specified
current.

CENTRAL
OFFICE

PABX, liBX

Breakdown Voltage (Vba) - Voltage at which the surgector
switches from the off state to the on state.
Holding Current (I h) - Minimum on state current that will hold
the surgector in the on state after It has been latched on.
Max Peak Surge Current (Itsm) - Maximum non-repetitive
current which may be allowed to flow for the time state.

V2 MIN
V

VOOMAX
(IOOV/pI)

ITSM
(h2p.)

ITSM
(10 x 1000pt)

mAl

PACKAGE
STYLE

IH

SGTIOSIOt

VARCLAMP

100

t

300

100

>100

A

SGT27SIOt

VARCLAMP

270

t

300

100

>100

A

SGT27S23t

VARCLAMP

270

t

300

100

>230

A

SGT03UI3

UNI-DIRECT

30

<50

300

100

> 130

0

SGT06UI3

UNI-DIRECT

60

<85

300

100

>130

0

SGT23U13

UNI-DIRECT

230

<275

300

100

>130

0

100

SGT23BCI3

Ol-DIRECT

230

<285

300

>130

0

SGT278C13

01-DlRECT

270

<345

300

100

>130

0

SGT23BC32·

B1-DlRECT

230

<290

300

100

>320

0

SGT27BC32·

BI-DIRECT

270

<350

300

100

>320

B

SGT23BH27

BI-DIRECT

230

<290

600

200

>270

B

SGT27BH27

BI-DIRECT

270

<350

600

200

>270

B

SGT23DCI3·

DUAL BI-DIRECT

230

<285

300

100

>130

A

SGT27DC13·

DUAL BI-DIRECT

270

<345

300

100

>130

A

SGT23DC32·

DUAL BI-DIRECT

230

<290

300

100

>320

A

SGT27DC32·

DUAL BI-DIRECT

270

<350

300

100

>320

A

t Dependent on trialiler circuit
Preliminary Data Sheds.
All finalized devices UL recognized 10 4978 - File Number £135010.

TYPICAL APPLICATIONS CIRCUIT
TIP

Two bidirectional SURGECTOR devices are placed between
the tip and ring lines just after these lines enter the telephone to
protect delicate telecommunications equipment.

AING

Transient Voltage Suppression Devices
Multi-Layer Varistors (MLVs)

Features

MLV's clamp incoming surge voltages to a protective level.
Particularly suitable for automotive surface mount applications.
More energy handling capability than MOV's per unit volume.
Faster clamping response than leaded devices.

• Leadless Chip Form - Surface Mount - Zero Lead
Inductance
• Available In Tape and Reel for Automatic Pick and Place
• +1250 C Operating Temperature

• Low Profile, Compact Chip Size
• Compatible with Most Surface Mounting Assembly
Equipment and Mounting Techniques

MLVSERIES
MAXIMUM RATINGS (+125 0 C)
MAXIMUM
CONTINUOUS
WORKING VOLTAGE

MAXIMUM
REPETITIVE
SURGE CURRENT
(8/20118)

MAXIMUM
NON-REPETITIVE
SURGE ENERGY
(10/1000118)

MAXIMUM
CLAMPING
VOLTAGE
AT1A

MAXIMUM
CLAMPING
VOLTAGE
AT10A

DC
VOLTAGE

AC
VOLTAGE

VM(DC)

VM(AC)RMS

Itm

Wtm

Vc

Vc

VOLTS

VOLTS

AMPS

JOULES

VOLTS

VOLTS

V3.5MLA1206

3.5

2.5

150

0.3

12

14.0

V5.5MLA1206

5.5

4.0

150

0.4

13

15.5

V14MLA1206

14

10

150

0.4

27

30

V18MLA1206
V18MLA1210

18
18"

14
14

150
300

0.4
0.8""

36
33

40
36

V26MLA1206
V26MLA1210

26
26

20
20

150
300

0.8
1.2

52
49

56
54

MODEL
PART NO.

V33MLA1206

33

23

180

0.8

57

62

V42MLA1206

42

30

200

0.8

70

76

V56MLA1206

56

40

200

1.0

90

100

V68MLA1206

68

so

200

1.0

105

120

Average power dissipation of transients not to exceed 0.25 walts.
" Rated to wllhatand 24.5 volts Jump Start for 5 mlnutas
TERM

DEFINITION

DC Voltage,
Vm(dc)

Maximum allowable steady state dc applied
voltage.

RMS Voltage,
Vmlacl

Maximum allowable aleady stata sinusoidal
voltage (RMS) at SO-60Hz.

Energy,Wtm

Maximum allowable energy for a single Impulse
of 10/1 OOOIlS current wavefcrm.

Peak Current,

~m

Maximum allowable peak current for a single
impulse of 8/20IlS waveform with ratad
continuous voltage applied.

Varistor Voltage,
VN(dc)

Varistor peak tarminal voltage measured with
a specified current applied.

Clamping Voltage, Maximum terminal voltage measured with an
applied 81201lS Impulse of a given peak current.
Vc
Capacitance

Typical values measured at a teal frequency of
1.0MHz.

.. Energy rating impulse duration of 30 milliseconds to one half of
current peak value (Load Dump ~ 1.5 Joules)

TYPICAL APPLICATIONS CIRCUIT
ELECTRONIC IGNITION CIRCUIT
v.o---------~~

Transient Voltage Suppression Devices
Metal-Oxide Varistor (MOV)
CHSERIES

CPSERIES

MASERIES

LA, ZA SERIES

RASERIES

PASERIES

DA/DB SERIES

BA/BB SERIES

CASERIES

8
NASERIES

HASERIES

Transient Voltage Suppression Devices
Metal-Oxide Varistor (MOV)
- MOV's clamp Incoming surge voltages to a protective
level

- Current, voltage and energy capability determined by
package size

- Used in virtually any commercial or industrial application

- Wide selection of voltage and energy ratings

Voltag_

CHSaria.

Vm" Vm'" Vn"

CH8
5x8mm

CPSerl. .
CP18
11 Gauge

CP20
20 Gauga

Cae)

Cdc)

Cdc)

4

5.5

8.2

8
10

8
14

18

V18CH8

V18MA1B,S

14

1S

22

V22CH8

V22MA1B,S

14

1S

24

17

22

27

V27CH8

20

28

33

V33CH8

21

27
31

33

25

31

30

39
47

V39CHS
V47CHS

35

38
45

58

40

58

68

50

68
S1

S2

eo

100

75

102

120

Vl20CHS

92

127

150

23

LA,DS.rl••

MASe,I•••

CP22
22Gluga

Smm

7mm

10mm

V8ZA05

VSZAI

V8ZA2

V12ZA05

V12ZAl

V12ZA2

V18ZA05

V18ZAl

V22ZA05

V27MA1B,S
V33MA1B,S

3mm

12

14mm

20mm

V1SZA2

V1SZA3

V1SZA40

V22ZAl

V22ZA2

V22ZA3

V27ZA05

V27RAl

V27ZA2

V27ZA4

V33ZA05

V33ZAl

V33ZA2

V33AZ5

V24ZA50
V27ZAeO
V33ZA70
V38ZAeO

38
V39MA2B,S

V39ZA05

V39ZAl

V39ZA3

V39ZAe

V47ZA05

V47ZA7

V56ZA05

V47ZAl
V56ZA2

V47ZA3

V58CHS

V47MA2B,S
V56MA2B,S

V58ZA3

V58ZAe

V68CH8

V6SMA3B,S

V6SZA05

VB8ZA2

V88ZA3

Ve8ZA10

VS2CH8

VS2MA3B,S

V82ZAOS

VS2ZA2

Vl00cH8

VlooMA4B,S Vl00zA05

Vl00ZA3

VS2ZA4
Vl00ZA4

VlooZA1S

V120MA2B,S V12OZA05

V120ZAl

V12OZA4

Vl2OZA6

V150ZAl

V1SOZA4

V150ZAS

V180ZAl

V1S0ZAS

V180ZA10

V130LA1,2
V14OLA2

V130lA5

V130LA10A

Vl40LAS

V140LA10A

V1SOLA1,2

V150LAS

V150LA10A

V47CPle

V39CP20
V47CP20

V39CP22
V47CP22

V1SOMA2B

V15OZA05

V180MA3B

V1SOZA05

V1SOCH8

VS2ZA12

95

127

150

110

152

180

115

153

1S0

130

175

200

130
140

175
180

200
220

V200cHS

150

150

240

V240CHS

150
175

200
225

240

270

210

275

330

230

300

380

V380CH8

V23OLA10

V23OlA20A

250

330

390

V38OCH8

V390ZA05

V250LA2,4 VA250LA10

V250LA20A

V250lA40A, B

275

430

V430CHS

V430ZA05

V275LA2,4

V27SlA2OA

V275lA40A, B

300

369
405

V470ZA05

V3ooLA2,4

320

420

510

420

580

V1SOCH8
V200cP16

V2ooCP20

V2OOCP22

V220CHS

V22OZA05
V240CPle

V24OCP20

Vl 3OlA20A, B

V24OCP22
V27OZA05

Vl 50lA20A, B

V175LA10A

V175LA2

V33OZA05
V230lA4

470

V68OZAOS

V27SLA10

V42OLA10

V320LA20A

V32OlA40B

V42OlA2OA

V42OLA20B

480

640

B80
750

V48OLA40A

V4SOLAeOB

S10

B75

S20

V510lA40A

V51OLABOB

575

730

BBO

850

910
1050

V575LA40A
VB80LA50A

V575LAeOB
VBBOLA100e

750

970

1200

880

1500

1000

1150
1200

1100

1400

1S00

1400

1750

2200

1700

2150

2700

2000
2400

2500

3300
3900

V750ZAOS

Vl000LAeOA Vl000LA180B

1BOO

3000
2800 3500 4700
• AddHlonal voltage selections ... avaolable for the MA series.

Features:
CH Serle.
Surface-Mount Varistor.
• Better Performance
• Higher Reliability
• Lower Equipment Coat
• Saves on Board Height/Bulk/Weight

•• Vm (ae) - max steady state AC voRage allowable
Vm (de) = max steady __ DC voRage allowable
Vn (de) - varistor paak voHsga measurad wlh 1mA cu.....nt applied

CP Serle.
Connector-Pin Varistors
• Provides Transient Protection
In Connectors
• Available In 22-, 20-, and
16-Gauge Sizes

MASerle.

LASeries

• Axial Package
• Wide Voltage Range
• Automatic Insertion

• Radial Package
• Une-Voltage Operation
• UL Recognized
ZASerle.
• Radial Package
• Low-Voltage Operation

Transient Voltage Suppression Devices
Metal-Oxide Varistor (MOV) (Continued)
Voltage

RASerle.

Vm
(ae)

Vm
(de)

Vn
(de)

RA8

4

5.5

8.2

V8RA8

RA16

6

8

12

V12RA8

10

14

18

V18RA8

V18RA16

14

18

22

V22RA8

V22RA16

14

18

24

17

22

27

V27RA8

V27RA16

20

26

33

V33RA8

V33RA16

21

27

33

31

36

31

39

V39RA8

V39RA16

30

38

47

V47RA8

V47RA16

35

45

56

V56RA8

V56RA16

40
50

56

68

V68RA16

66

82

V68RA8
V82RA8

60

81

100

V100RA8

V100RA16

75

102

120

V120RA8

V120RA16

92

127

150
V150RA8

V150RA16

V180RA8

V180RA16

127

150

152

180

BAfBB
Series

CASerle.

20mm

DA
40mm

DB
40mm

60mm

32mm

40mm

V2ooRA22

V130PA20A, C

V131DA40

V131DB40

V131BA60

V131CA32

V131CA40

V150PA20A, C

V151DA40

V151DB40

V151BA60

V151CA32

V151CA40

RA22

60MM

V36RA22

23

95

DAfOB Serle.

V24RA22

25

110

PAS.rl••

V82RA16

115

153

180

130

175

200

130

175

200

V200RA8

V200RA16

140

180

220

V220RA8

V220RA16

150

150

240

150

200

240

V240RA8

V240RA16

V240RA22

175

225

270

V270RA8

V270RA16

V270RA22

210

275

330

230

300

360

V360RA8

V360RA16

250

330

390

V390RA8

V390RA18

V390RA22

V250PA40A, C

V251DA40

V251DB40

V251BA60

V251CA32

V251CA40

V251CA60

275

369

430

V430RA8

V430RA16

V430RA22

V275PA40A, C

V271DA40

V271DB40

V271BA60

V271CA32

V271CA40

V271CA60

300

405

470

320

420

510

V320PA40A, C

V3210A40

V321DB40

V321BA60

V321CA32

V321CA40

V321CA60

420

560

680

V420PA40A, C

V421DA40

V421DB40

V421BA60

V421CA32

V421CA40

V421CA60

480

640

750

V480PA80A, C

V481DA40

V481DB40

V481BA60

V481CA32

V481CA40

V481CA60

510

675

820

V510PA80A, C

V511DA40

V511DB40

V511BA60

V511CA32

V511CA40

V511CA60

575

730

910

V575PA80A, C

V571DA40

V571DB40

V571BA60

V571CA32

V571CA40

V571CA60

660

850

1050

V660PA 1OOA, C

V661DA40

V661DB40

V661BA60

V661CA32

V661CA40

V661CA60

750

970

1200

V751DA40

V751DB40

V751BA60

V751CA32

V751CA40

V751CA60

880

1150 1500

V881BA60

V881CASO

1000 1200 1600
1100 1400 1800

V112BB60

V112CA60

1400 1750 2200

V142BB60

V142CA60

1700 2150 2700

V172BB60

V172CA60

2000 2500 3300

V202BB60

V202CA60

2400 3000 3900

V242BB60

V242CA60

2800 3500 4700

V282BB60

V282CA60

Features:
RA Series
• Low Height Profile
• High-Temperature Capability
• Precise Seating Plane
• In-Line Leads

PA Series
• Rigid Mountdown
• NEMA Creep-and-Strike
Distance
• Quick-Connect Terminal
• UL Recognized

DAIDB & BA Series
• High-Energy Capability
• Rigid Terminals
• Isolated
• Low Inductance
• Improved Creep and
Strike
• UL Recognized

CA Series
• Industrial Discs
High Reliability Series
• 100% Prescreened
• 100% Process Conditioning
• Meets Military Specifications

Transient Voltage Suppression Devices
Metal-Oxide Varistor (MOV) (Continued)
Vollage

NASerle.

Vm
(ac)

Vm
(dc)

Vn
(dc)

4

5.5

8.2

6

8

12

10

14

18

14

18

22

14

18

24

17

22

27

20

26

33

21

27

33

23

31

36

25

31

39

30

38

47

35

45

56
68

40

56

50

66

82

60

81

100

75

102

120

92

127

150

95

127

150

110

152

180

115

153

180

130

175

200

130

175

200

140

180

220

150

150

240

150

200

240

175

225

270

34mm

Features

HAS.rl ••

32mm

40mm

50mm

80mm

• High Energy
• Stackable
• Solderable Electrode Finish
HA Series
• Industrial Packaging
• Available in 32, 40, 50 and
60mm Sizes
• Rigid Terminal Contacts

V131NA34

V131HA32

V131HA40

V151HA32

V151HA4O

V151NA34

210

275

330

230

300

360

250

330

390

V251MA34

V251HA32

V251HA40

V251HA50

V251HA60

275

369

430

V271NA34

V271HA32

V271HA40

V271HA50

V271HA60

300

405

470

320

420

510

V321NA34

V321HA32

V321HA40

V321HA50

V321HA60

420

560

680

V421NA34

V421HA32

V421HA40

V421HA50

V421HA60

460

640

750

V481NA34

V481HA32

V461HA40

V4B1HA50

V4B1HA60

510

675

B20

V511NA34

V511HA32

V511HA40

V511HA50

V511HA60

575

730

910

V571NA34

V571HA32

V571HA40

V571HA50

V571HA60

660

850

1050

V661NA34

V661HA32

V661HA40

V661HA50

V661HA60

750

970

1200

V751NA34

V751HA32

V751HA40

V751HA50

V751HA60

BBO

1150

1500

1000

1200

1600

1100

1400

1800

1400

1750

2200

1700

2150

2700

2000

2500

3300

2400

3000

3900

2800

3500

4700

NA Series
Square Un-Packaged
Varistors

Transient Voltage Suppression Devices
CA Series

8

Device Ratings and Characteristics

Maximum Ratings (85· C)
Continuous
Model
Number

Characteristics (25°C)
Maximum
Clamping
Voltage
Ve@
200 Amps
(8/2Ops)

Transient
Varistor
Voltage
@lmADC
Test
Current

Peak
Current
(8/20ps)

Typical
Capacltance

RMS
Voltage

DC
Voltage

Energy
(101
10oop.)

Size

Vm1act

Vmldcl

W'm

I,m

Min.

VNldcl

Max.

Ve

1=1 MHz

mm

Volts

Volts

Joules

Amps

Volts

Volts

Volts

Volts

Picofarads

V131CA32
V131CA40

32
40

130

175

200
270

20000
30000

184

200

228

350
345

4700
10000

V1S1CA32
V1S1CA40

32
40

150

200

220
300

20000
30000

212

240

268

410
405

4000
8000

V251CA32
V2S1CA40
V251CA60

32
40
60

250

330

330
370
880

20000
30000
50000

354

390

429

680
650
620

2500
5000
10000

V271CA32
V271CA40
V271CA60

32
40
60

275

369

360
400
950

20000
30000
50000

389

430

473

750
730
680

2200
4500
9000

V321CA32
V321CA40
V321CA60

32
40
60

320

420

390
460
1100

20000
30000
50000

462

510

539

850
830
760

1900
3800
7500

V421CA32
V421CA40
V421CA60

32
40
60

420

560

400
600
1500

25000
40000
70000

610

680

748

1200
1130
1060

1500
3000
6000

V481CA32
V481CA40
V481CA60

32
40
60

480

640

450
650
1600

25000
40000
70000

670

750

825

1300
1240
1160

1300
2700
5500

V511CA32
VSllCA40
V511CA60

32
40
60

510

675

500
700
1800

25000
40000
70000

735

820

910

1440
1350
1300

1200
2500
5000

VS71CA32
VS71CA40
VS71CA60

32
40
60

575

730

550
770
2100

25000
40000
70000

805

910

1000

1600
1480
1420

1100
2200
4500

V661CA32
V661CA40
V661CA60

32
40
60

660

850

600
900
2300

25000
40000
70000

940

1050

1160

1820
1720
1640

1000
2000
4000

V7S1CA32
V7S1CA40
V7S1CA60

32
40
60

750

970

700
1050
2600

25000
40000
70000

1080

1200

1320

2050
2000
1880

800
1800
3500

I

V881CA60

60

880

1150

3200

70000

1290

1500

1650

2340

2700

V112CA60
V142CA60
V172CA60
V202CA60
V242CA60
V282CA60

60
60
60
60
60
60

1100
1400
1700
2000
2400
2800

1400
1750
2150
2500
3000
3500

3200
5000
6000
7500
8600
10000

70000
70000
70000
70000
70000
70000

1620
2020
2500
2970
3510
4230

1800
2200
2700
3300
3900
4700

2060
2550
3030
3630
4290
5170

2940
3600
4300
5200
6200
7400

2200
1800
1500
1200
1000
800

Transient Voltage Suppression Devices
CH Series
Device Ratings and Characteristics
Maximum Ratings (125° C)
Continuous
Model
Number

Characteristics (25°C)
Maximum
Clamping
Voltage
Vc@Test
Current
(8/20ps)

Transient
Varistor
Voltage
@1mADC
Test
Current

Typical
Capacltance

RMS
Voltage

DC
Voltage

Energy
(101
1000ps)

Ymlacl

Vmldcl

W'm

I,m

Min.

VNldCI

Max.

Vc

I.

1=1 MHz

Volts

Volts

Joules

Amps

Volts

Volts

Volts

Volts

Amps

Picofarads

Peak
Current
(8/20ps)
• I

V18CH8

10

14

250

14.4

18.0

21.6

42

5

2000

V22CH8

14

··18

·10.0

250

18.7

22.0

26.0

47

5

1600

V27CH8

17

22

1.0

250

23.0

27.0

31.1

57

5

1300

V33CH8

20

26

1.2

250

29.5

33.0

36.5

68

5

1100

V39CH8

25

31

1.5

250

35.0

39.0

43.0

79

5

900

V47CH8

30

38

1.8

250

42.0

47.0

52.0

92

5

800

V56CH8

35

45

2.3

250

50.0

56.0

62.0

107

5

700

V6BCH8

40

56

3.0

250

61.0

68.0

75.0

127

5

600

V82CH8

50

66

4.0

500

74.0

82.0

91.0

135

10

500

V100CH8

60

81

5.0

500

90.0

100.0

110.0

165

10

400

V120CH8

75

102

6.0

500

108.0

120.0

132.0

200

10

300

V150CH8

95

127

8.0

500

135.0

150.0

165.0

250

10

250

V1BOCH8

115

153

10.0

500

162.0

180.0

198.0

295

10

200

V200CH8

130

175

11.0

500

184.0

200.0

228.0

340

10

180

V220CH8

140

180

12.0

500

198.0

220.0

242.0

360

10

160

V240CH8

150

200

13.0

500

212.0

240.0

268.0

395

10

150

V360CH8

230

300

20.0

500

324.0

360.0

396.0

595

10

100

V390CHB

250

330

21.0

500

354.0

390.0

429.0

650

10

90

V430CHB

275

369

23.0

500

389.0

430.0

473.0

710

10

80

0.80

NOTE: Power dissipation of transients not to exceed 0.25 watt

·Energy rating for impulse duration of 30 milliseconds minimum to one half of peak current value .
•• Also rated to withstand 24 volts for 5 minutes.

Transient Voltage Suppression Devices
CP Series
Device Ratings and Characteristics
MAXIMUM RATINGS (+125 0)
CONTINUOUS

RMS
VOLT.
MODEL
NUMBER

PART
SIZE

DC
VOLT.

MAX. CLAMPING
ENERGY
PEAK
VOLTAGE
\;URRENT
(10/
VARISTOR VOLTAGE
CAPACITANCE
VC@TEST
1000119) (8/20/119) @1mADCTESTCURRENT CURRENT (8/20119)
f= 1MHz
WTM

ITM

MIN.

VN(DCI

MAX.

Vc

Ip

JOULES

AMPS

VOLTS

VOLTS

VOLTS

VOLTS

AMPS

VM(ACI VM(DCI
VOLTS

VOLTS

CHARACTERISTICS (+25 0 C)

TRANSIENT

MIN.

MAX.

PICOFARADS

V12CP22

228

6.0

8.0

1.5

250

12.5

16.0

19.5

34.0

10

1600

2750

V18CP22

228

10.0

14.0

1.5

250

18.5

22.0

25.5

42.0

10

1600

2750

V39CP22

228

25.0

31.0

1.5

250

35.0

39.0

43.0

85.0

5

450

1350

V47CP22

228

30.0

38.0

1.5

250

42.0

47.0

52.0

100.0

5

450

1250

V200CP22

22A

130.0

130.0

2.4

300

184.0

200.0

228.0

375.0

5

150

350

V240CP22

22A

150.0

150.0

2.4

300

212.0

240.0

2(38.0

430.0

5

100

300

V39CP20

208

25.0

31.0

2.0

300

35.0

39.0

43.0

85.0

10

700

2000

V47CP20

208

30.0

38.0

2.0

300

42.0

47.0

52.0

100.0

10

650

1800

V200CP20

20A

130.0

130.0

3.0

400

184.0

200.0

228.0

375.0

10

150

400

V240CP20

20A

150.0

150.0

3.0

400

212.0

240.0

268.0

430.0

10

100

350

V47CP16

16A

30.0

38.0

3.0

350

42.0

47.0

52.0

100.0

20

1000

2500

V200CP16

16A

130.0

130.0

5.0

500

184.0

200.0

228.0

375.0

20

250

700

V240CP16

16A

150.0

150.0

5.0

500

212.0

240.0

268.0

430.0

20

200

650

DAfDB Series
Device Ratings and Characteristics
Series DA and DB Varistors are listed under UL file #E75961 as a recognized component.
Maximum Rallngs (8S'C)
Continuous
Model
Number

DA

DB

Characlerlstlcs (2S'C)

Transient
Energy
(10/
1000/ls)

Maximum
Clamping
Voltage
Vc @200Amps
Currenl
(8/20/ls)

Varlslor
Voltage
@1mADC
Tesl
Currenl

Peak
Current
(8/20/ls)

RMS
Voltage

DC
Voltage

Vmfacl

Vmldcl

W,m

I,m

Min.

Valls

Volts

Joules

Amps

Valls

YNfdC'
Valls

Typical
Capacltance

Max.

Vc

1=1 MHz

Volts

Valls

Picofarads

V131DA40 V131DB40

130

175

270

30000

184

200

228

345

10000

V1S1DA40 V1S1DB40

150

200

300

30000

212

240

268

405

8000

V2S1DA40 V2S1DB40

250

330

370

30000

354

390

429

650

5000

V271DA40 V271DB40

275

369

400

30000

389

430

473

730

4500

V321DA40 V321DB40

320

420

460

30000

462

510

539

830

3800

V421DA40 V421DB40

420

560

600

40000

610

680

748

1130

3000

V481DA40 V481DB40

480

640

650

40000

670

750

825

1240

2700

VS11DA40 VSllDB40

510

675

700

40000

735

820

910

1350

2500

VS71DA40 VS71DB40

575

730

770

40000

805

910

1000

1480

2200

V661DA40 V661DB40

660

850

900

40000

940

1050

1160

1720

2000

V7S1DA40 V7S1DB40

750

970

1050

40000

1080

1200

1320

2000

1800

NOTE: Average power dissipation of transients not to exceed 2.0 watts.

Transient Voltage Suppression Devices
HA Series

i

Device Ratings and Characteristics
Mulmum RatIng. (&SOC)

CharactarltnlCII (25"C)

Model
Number
Continuo...
RMS
Voltage

SIze

VIII/HI

DC
Voltage

V.ldoI

MaxImum
Clamping
Voltage
Vc@
200Ampa
(8/20 u.)

Tranalant
Varltnor
Voltage
@1mADC
Teel Currant

....k

Typical
Capacitance

Energy
(101
1000...)

Currant
(1/2Oue)

W""

....

Min.

VNldoI

Max.

Vo

1=1 MHz

mm

Volta

Volta

Jouln

Ampa

Vol1e

Volta

Vol1e

Volta

Picofarad.

V131HA32
V131HA40

32

130
130

175
175

200
270

25000
40000

184
184

200
200

228
228

340
330

4700
10000

V151HA32
V151HA40

32

150
150

200
200

220
300

25000
40000

212
212

240
240

288
288

395
380

4000
8000

V251HA32
V251HA40

32

250
250

330
330

330
370

25000
40000

354
354

390
390

429
429

850
825

2500
5000

V271HA32
V271HA40

32

275
275

388
388

350

400

25000
40000

388
388

430
430

473
473

710
885

2200
4500

V321HA32
V321HA40

32

320
320

420
420

390
480

25000
40000

482
482

510
510

539
539

845
790

3800

V421HA32
V421HA40

32

420
420

580
580

400
800

25000
40000

810
810

880
880

748
748

1120
1100

3000

V481HA32
V481HA40

32

480
480

840
840

450
850

25000
40000

870
870

750
750

825
825

1290
1220

1300
2700

V511HA32
V511HA40

32

510
510

875
875

500
700

25000
40000

735

735

820
820

902
902

1355
1300

2500

V571HA32
V571HA40

32

575
575

730
730

550
770

25000
40000

805
805

910
910

1000
1000

1570
1500

V881HA32
V881HA40

32

880
880

850
850

800
900

25000
40000

940
940

1050
1050

1180
1180

1820
1720

2000

V751HA32
V751HA40

32

750
750

970
970

700
1050

25000
40000

1080
1080

1200
1200

1320
1320

2050
2000

1800

40
40
40
40
40
40
40
40
40
40
40

1900

1500

1200

1100

2200
1000

800

·ISE111

Transient Voltage Suppression Devices
LA Series
Device Ratings and Characteristics
Series LA Varistors are listed under UL file #E75961 and E56529 as a recognized component.
V130RMS to V320RMS CSA approved File Number LR91788.
Characteristics (2S0C)

Maximum Ratings (8S"C)
Continuous
Model
Number

Model Device
Size Marking
Disc
Dla.
(mm)

Transient
Energy

Maximum
Clamping
Vollage
Vo@Tesl
Currenl

Varlslor
Vollage
@lmADC
Tesl
Currenl

RMS
Vollage

DC
Vollage

(101

Peak
Currenl

1000/.ls)

(8/20/.ls)

Vm1ac'

Ymldcl

W""

11m

Min.

VNldcl

Max.

Volts

Volls

Joules

Amps

Volll

Volls

Volls

Typical
Capacllance

(8/201Jl)

Vo

I.

f=l MHz

Volls Amps Picofarads

V13OLA1
V13OLA2
V130LAS
V130LA10A
V130LA20A
V130LA20B

7
7
10
14
20
20

1301
1302
1305
130L10
130L2O
13OL20B

130
130
130
130
130
130

175
175
175
175
175
175

11
11
20
38
70
70

1200
1200
2500
4500
6500
6500

184
184
184
184
184
184

200
200
200
200
200
200

255
228
228
228
228
220

390
340
340
340
340
325

10
10
25
50
100
100

180
180
450
1000
1900
1900

V140LA2
Vl40LAS
V140lA10A

7
10
14

1402
1405
140L10

140
140
140

180
180
180

12
22
42

1200
2500
4500

198
198
198

220
220
220

242
242
242

360
360
360

10
25
50

160
400
900

Vl50LA1
Vl50LA2
Vl50LAS
Vl50LA10A
Vl50LA20A
V1S0LA20B

7
7
10
14
20
20

1501
1502
1505
150Ll0
150L20
150L2OB

150
150
150
150
150
150

200
200
200
200
200
200

13
13
25
45
80
80

1200
1200
2500
4500
6500
6500

212
212
212
212
212
212

240
240
240
240
240
240

284
268
268
268
268
243

430
395
395
395
395
360

10
10
25
50
,00
100

150
150
360
800
1600
1600

V17SLA2
V17SLAS
V17SLA10A

7
10
14

1752
1755
175L10

175
175
175

225
225
225

15
30
55

1200
2500

247
247
247

270
270
2(0

303
303
303

455
455
455

10
25
50

130
350
700

V230LA4
V230LA10
V23OLA20A

7
10
14

2304
230L
23OL20

230
230
230

300
300
300

20
35
70

1200
2500
4500

324
324

324

360
360
360

396
396
396

595
595
595

10
25
50

100
250
550

V250LA2
V250LA4
V250LA10
V250LA20A
V250LA40A
V250LA40B

7
7
10
14
20
20

2502
2504
250L
250L20
250L40
250L40B

250
250
250
250
250
250

330
330
330
330
330
330

21
21
40
72
130
130

1200
1200
2500
4500
6500
6500

354
354
354
354
354
354

390
390
390
390
390
390

473
429
429
429
429
413

730
650
650
650
650
620

10
10
25
50
100
100

90
90
220
500
1000
1000

V27SLA2
V27SLA4
V27SLA10
V27SLA20A
V27SLA40A
V27SLA40B

7
7
10
14
20
20

2752
2754
275L
275L20
275L40
275L40B

275
275
275
275
275
275

369
369
369
369
369
369

23
23
45
75
140
140

1200
1200
2500
4500
6500
6500

389
389
389
389
389
389

430
430
430
430
430
430

515
473
473
473
473
453

775
710
710
710
710
680

10
10
25
50
100
100

80
80
200
450
900
900

3002
3004

300
300

405
405

25
25

1200
1200

420
420

470
470

565
517

870
775

10
10

70
70

V300LA2
V300LA4

7
7

4500

V320LA20A
V320LA40B

14
20

320L20
320L40

320
320

420
420

90
160

4500
6500

462
462

510
510

565
540

650
810

50
100

380
750

V420LA10
V420LA20A
V420LA40B

10
14
20

420L
420L20
420L40

420
420
420

560
560
560

45
90
160

2500
4500
6500

610
610
610

680
680
680

748
748
720

1120
1120
1060

25
50
100

140
300
600

V480LA40A
V480LA80B

14
20

480L40
480L80

480
480

840
640

105
180

4500
6500

670
670

750
750

825
790

1240
1160

50
100

270
550

VS10LA40A
VS10LA80B

14
20

510L40
510L80

510
510

675
675

110
190

4500
6500

735
735

910

1350
1280

50
100

250
500

VS7SLA40A
VS7SLA80B

14
20

575L40
575L80

575
575

730
730

120
220

4500
6500

805
805

820
820
910
910

980

1500
1410

50
100

220
450

V660LA50A
V660LA100B

14
20

66OL50
660L100

660
660

850
850

140
250

4500
6500

940
940

1050
1050

1210
1100

1820
1650

50
100

200
400

Vl000LABOA
Vl000LAl60B

14
20

l000L80
l000Ll60

1000
1000

1200
1200

220
360

4500
6500

1425
1425

1600
1600

1600
1600

2700
2420

50
100

130
250

860
1000

NOTE: Average power dissipation of transients notto exceed 0.25, 0.4, 0.6,1 watt for model sizes 7,10,14, and 20 mm respectively.

Transient Voltage Suppression Devices
MA Series
Device Ratings and Characteristics
Maximum Ratlngl
Continuous
Model
Number

Device
Marking

(as· C)

Characteristics (2S·C)

Transient
Energy
(101
lOOOIlS)

Maximum
Clamping
Voltage
@1.Value
Current
(8/20pa)

Varistor
Voltage
@lmADC
Test
Current

Peak
Current
(8/2OIlS)

Typical
Capacltance

RMS
Voltage

DC
Voltage

VmlecJ

Ymldcl

Worn

I..

Min.

VNldCI

Max.

1.=2.0A

1=1 MHz

Volts

Volts

Joules

Amps

Volts

Volts

Volts

Volts

Picofarads

V18MA1A
V18MA18
V18MA1S

18A
188
18S

9
10
10

13
14
14

0.06
0.07
0.06

40
40
40

14
15
15

18
18
18

23
21
21

49
44
49

550
550
550

V22MA1A
V22MA18
V22MA1S

22A
228
22S

10
14
14

15
18
18

0.09
0.10
0.09

40
40
40

16
19
19

22
22
22

28
26
26

55
51
55

410
410
410

V27MA1A
V27MA18
V27MA1S

27A
278
27S

13
17
17

19
22
22

0.10
0.11
0.10

40
40
40

21
24
24

27
27
27

34
31
31

67
59
67

370
370
370

V33MA1A
V33MA18
V33MA1S

33A
338
33S

18
20
20

23
26
26

0.13
0.15
0.14

40
40
40

26
29.5
29.5

33
33
33

40
36.5
36.5

73
67
73

300
300
300

V39MA2A
V39MA28
V39MA2S

39A
398
39S

22
25
25

28
31
31

0.16
0.18
0.17

40
40
40

31
35
35

39
39
39

47
43
43

86
79
86

250
250
250

V47MA2A
V47MA28
V47MA2S

47A
478
47S

27
30
30

34
38
38

0.19
0.21
0.19

40
40
40

37
42
42

47
47
47

57
52
52

99
90
99

210
210
210

V56MA2A
V56MA28
V56MA2S

56A
56B
56S

32
35
35

40
45
45

0.23
0.25
0.23

40
40
40

44
50
50

56
56
56

68
62
62

117
108
117

180
180
180

V68MA3A
V68MA38
V68MA3S

68A
68B
68S

38
40
40

48
56
56

0.26
0.30
0.27

40
40
40

54
61
61

68
68
68

82
75
75

138
150
138

150
150
150

V82MA3A
V82MA38
V82MA3S

82A
828
82S

45
50
50

60
66
66

0.33
0.37
0.34

40
40
40

65
73
73

82
82
82

99
91
91

163
150
163

120
120
120

Vl00MA4A
Vl00MA48
Vl00MA4S

100
101
102

57
60
60

72
81
81

0.40
0.45
0.42

40
40
40

80
90
90

100
100
100

120
110
110

200
185
200

100
100
100

V120MA1A
V120MA28
V120MA2S

120
121
122

72
75
75

97
101
101

0.40
0.50
0.46

100
100
100

102
108
108

120
120
120

138
132
132

220
205
220

40
40
40

V150MA1A
V150MA28

150
151

88
92

121
127

0.50
0.60

100
100

127
135

150
150

173
165

255
240

32
32

V180MA1A
V180MA38

180
181

105
110

144
152

0.60
0.70

100
100

153
162

180
180

207
198

310
290

27
27

V220MA2A
V220MA48

220
221

132
138

181
191

0.80
0.90

100
100

187
198

220
220

253
242

380
360

21
21

V270MA2A
V270MA48

270
271

163
171

224
235

0.90
1.00

100
100

229
243

270
270

311
297

460
440

17
17

V330MA2A
V330MAS8

330
331

188
200

257
274

1.00
1.10

100
100

280
297

330
330

380
363

570
540

14
14

V390MA3A
V390MA68

390
391

234
242

322
334

1.20
1.30

100
100

331
351

390
390

449
429

670
640

12
12

V430MA3A
V430MA78

430
431

253
264

349
365

1.50
1.70

100
100

365
387

430
430

495
473

740
700

11
11

NOTE: Average power dlselpallon oll.anolen18 nollo excead 200 milliwatt ••

Transient Voltage Suppression Devices

•

NA Series

oevice Ratings and

Characteristics

CHARACTERISTICS (+25 0 C)

MAXIMUM RATINGS (+85 0 C)
CONTINUOUS

TRANSIENT
ENERGY

RMS
DC
VOLTAGE VOLTAGE
MODEL
NUMBER

SIZE
mm

Vm(ac)

Vm(dc)

1000l1s

PEAK
CURRENT
(8/2Ol1s)

Wtm

Itm

(101

VARISTOR
VOLTAGE
@1mADC
TEST CURRENT
MIN

VN(dc)

MAX

MAXIMUM
CLAMPING
VOLTAGE
Vc @
200A
(8/2Ol1s)

TYPICAL
CAPACITANCE

Vc

f=1MHz

V

V

A

V

V

V

V

pF

130

175

270

40,000

184

200

228

345

10000

150

200

300

40,000

212

240

268

405

8000

250

330

370

40,000

354

390

429

650

5000

275

369

400

40,000

389

430

473

730

4500

34

320

420

460

40,000

462

510

539

830

3800

V421NA34

34

420

560

600

40,000

610

680

748

1130

3000

V481NA34

34

480

640

650

40,000

670

750

825

1240

2700

V511NA34

34

510

675

700

40,000

735

820

910

1350

2500

V131 NA34

34

V151NA34

34

V251NA34

34

V271NA34

34

V321 NA34

J

V571NA34

34

575

730

770

40,000

805

910

1000

1480

2200

V661NA34

34

660

850

900

40,000

940

1050

1160

1720

2000

V751NA34

34

750

970

1050

40,000

1080

1200

1320

2000

1800

NOTE: Average power dissipation of tranSients not to exceed 2W

Transient Voltage Suppression Devices
PA Series
Device Ratings and Characteristics
Series PA Varistors are listed under UL file 8E75961 as a UL recognized component. eSA approvad Fila Number LR917BB.

Maximum Ratings (85·C)
Continuous
Model
Number
&
Device
Marking

Characteristics (25·C)

Transient
Energy
(101
1000/ls)

Maximum
Clamping
Voltage
Vc@Test
Current
(8/201'1)

Varistor
Voltage
@lmADC
Test
Current

Peak
Current
(8/20/ls,

Typical
Capecltance

RMS
Voltage

DC
Voltage

Vmlaci

VmldcI

W.m

I.m

Min.

VNldCI

Max.

Vc

I.

1=1 MHz

Volts

Volts

Joules

Amps

Volts

Volts

Volt.

Volts

Amps

Picofarads

V130PA20A
V130PA20C

130
130

175
175

70
70

6500
6500

184
184

200
200

243
220

360
325

100
100

1900
1900

V150PA20A
V150PA20C

150
150

200
200

80
80

6500
6500

212
212

240
240

284
243

420
360

100
100

1600
1600

V250PA40A
V250PA40C

250
250

330
330

130
130

6500
6500

354
354

390
390

453
413

675
620

100
100

1000
1000

V275PA40A
V275PA40C

275
275

369
369

140
140

6500
6500

389
389

430
430

494
453

740
680

100
100

900
900

V320PA40A
V320PA40C

320
320

420
420

160
160

6500
6500

462
462

510
510

565
540

850
800

100
100

750
750

V420PA40A
V420PA40C

420
420

560
560

160
160

6500
6500

610
610

680
680

790
690

1160
1050

100
100

600
600

V480PA80A
V480PA80C

480
480

640
640

180
180

6500
6500

670
670

750
750

860
790

1280
1160

100
100

550
550

V510PA80A
V510PA80C

510
510

675
675

190
190

6500
6500

735
735

820
820

963
860

1410
1280

100
100

500
500

V575PA80A
V575PA80C

575
575

730
730

220
220

6500
6500

805
805

910
910

1050
960

1560
1410

100
100

450
450

V860PA100A
V660PA100C

660
660

850
850

250
250

6500
6500

940
940

1050
1050

1210
1100

1820
1650

100
100

400
400

NOTE: Average power dissipation of transients not to exceed 1 wall.

Transient Voltage Suppression Devices
RA Series
RA8 Device Ratings and Characteristics
Series RA8 Varistors of 130 VRMS or greater are listed under UL File No E56529 as a recognized component CSA approved File Number LR91788

Maximum Ratings (12S0 C)
Continuous
Model
Number

V8RA8

Device
Marking

Characteristics (2S0C)

Transient
Energy
(101
1000pS)

Maximum
Clamping
Voltage
Vc@Test
Current
(8/20ps)

Varistor
Voltage
@lmADC
Test
Current

Peak
Current
(8/20ps)

Typical
Capacltance

RMS
Voltage

DC
Voltage

VmcacJ

VmldcI

W,m

I'm

Min.

VNCdCI

Max.

Vc

I.

1=1 MHz

Volts

Volts

Joules

Amps

Volts

Volts

Volts

Volts

Amps

Picofarads
3000

8R

4

5.5

0.4

100

6

8.2

11.2

22

5

V12RA8

12R

6

8

0.6

250

9

12

16

34

5

2500

V18RA8

18R

10

14

0.8

250

14.4

18

21.6

42

5

2000

V22RA8

22R

14

18*

10'

250

18.7

22

26

47

5

1600

V27RA8

27R

17

22

1.0

250

23

27

31.1

57

5

1300

V33RA8

33R

20

26

1.2

250

29.5

33

36.5

68

5

1100

V39RA8

39R

25

31

1.5

250

35

39

43

79

5

900

V47RA8

47R

30

38

1.8

250

42

47

52

92

5

800

V56RA8

56R

35

45

2.3

250

50

56

62

107

5

700

V68RA8

68R

40

56

3.0

250

61

68

75

127

5

600

V82RA8

82R

50

66

4.0

1200

74

82

91

135

10

500

V100RA8

100R

60

81

5.0

1200

90

100

110

165

10

400

V120RA8

120R

75

102

6.0

1200

108

120

132

205

10

300

V150RA8

150R

95

127

8.0

1200

135

150

165

250

10

250

V180RA8

180R

115

153

10.0

1200

162

180

198

295

10

200

V200RA8

200R

130

175

11.0

1200

184

200

228

340

10

180

V220RA8

220R

140

180

12.0

1200

198

220

242

360

10

160

V240RA8

240R

150

200

13.0

1200

212

240

268

395

10

150

V270RA8

270R

175

225

15.0

1200

247

270

303

455

10

130

V360RA8

360R

230

300

20.0

1200

324

360

396

595

10

100

V390RA8

390R

250

330

21.0

1200

354

390

429

650

10

90

V430RA8

430R

275

369

23.0

1200

389

430

473

710

10

80

NOTE: Average power dissipation of transients not to exceed 0.25 watt for RA8 Series.
-Energy ratings for impulse duration of 30 milliseconds minimum to one half of peak current value
tAlso rated to withstand 24V for 5 minutes.

Transient Voltage Suppression Devices
RA Series (Continued)
RA 16 Device Ratings and Characteristics
Series AA16 and AA22 Varistors of 130 VAMS or greater are listed under UL File No. E56529 as a recognized component.
CSA approved Fila Numbar LA91788.
Maximum Aatlngs (125'C)
Continuous
Model
Number

Device
Marking

Characteristics (25°C)

Transient
Energy
(101
1000ps)

Maximum
Clamping
Voltage
Vc@Test
Current
(8/20ps)

Varistor
Voltage
@lmADC
Test
Current

Peak
Current
(8/20ps)

Typical
Capacltance

RMS
Voltage

DC
Voltage

Vmlaci

Vmldcl

W,m

I,m

Min.

VNldCI

Max.

Vc

I.

1=1 MHz

Volts

Volts

Joules

Amps

Volts

Volts

Volts

Volts

Amps

Picofarads
11000

V18RA16

18R16

10

14

3.5

1000

14.4

18

21.6

39

10

V22RA16

22R16

14

18*

50'

1000

18.7

22

26

43

10

9000

V27RA16

27R16

17

22

5.0

1000

23

27

31.1

53

10

7000

V33RA16

33R16

20

26

6.0

1000

29.5

33

36.5

64

10

6000

V39RA16

39R16

25

31

7.2

1000

35

39

43

76

10

5000

V47RA16

47R16

30

38

8.8

1000

42

47

52

89

10

4500

V56RA16

56R16

35

45

10.0

1000

50

56

62

103

10

3900
3300

V68RA16

68R16

40

56

13.0

1000

61

68

75

123

10

V82RA16

82R16

50

66

15.0

4500.

74

82

90

145

50

2500

V100RA16

100R16

60

81

20.0

4500 •

90

100

110

175

50

2000

V120RA16

120R16

75

102

22.0

4500

108

120

132

205

50

1700

V150RA16

150R16

95

127

30.0

4500

135

150

165

255

50

1400

V180RA16

180R16

115

153

35.0

4500

162

180

198

300

50

1100

V200RA16

200R16

130

175

38.0

4500

184

200

228

340

50

1000

V220RA16

220R16

140

180

42.0

4500

198

220

242

360

50

900

V240RA16

240R16

150

200

45.0

4500

212

240

268

395

50

800

V270RA16

270R16

175

225

55.0

4500

247

270

303

455

50

700
550

V360RA16

360R16

230

300

70.0

4500

324

360

396

595

50

V390RA16

390R16

250

330

72.0

4500

354

390

429

650

50

500

V430RA16

430R16

275

369

75.0

4500

389

430

473

710

50

450

RA22 Device Ratings and Characteristics
V24RA22

24R22

14

18*

100.0'

2000

19.2

24t

26

43

20

18000

V36RA22

36R22

23

31

160.0'

2000

32

36t

40

63

20

12000

V200RA22

200R22

130

175

70.0

6500

184

200

228

340

100

1900

V240RA22

240R22

150

200

80.0

6500

212

240

268

395

100

1600

V270RA22

270R22

175

225

90.0

6500

247

270

303

455

100

1400

V390RA22

390R22

250

330

130.0

6500

354

390

429

650

100

1000

V430RA22

430R22

275

369

140.0

6500

389

430

473

710

100

900

NOTE' Average power dissipation of transients not to exceed 0.60 watt tar RA 16 Series. or 1 0 watt for RA22 Series.
-Energy rating for Impulse duratIon of 30 milliseconds minimum to one half of peak current value

:tAlso rated to withstand 24V for 5 minutes.
tl0mA DC Test Current.

61

Transient Voltage Suppression Devices
ZA Series
Device Ratings and Characteristics
Maximum Ratings (8S·C)
Continuous
Model
Number

Model Device
Size Marking
Disc
Dla.
(mm)

Characteristics (2S·C)

Transient
Energy
(101

Maximum
Clamping
Voltage
Vc@Test
Current
(8/20J.lS)

Varistor
Voltage
@lmADC
Test
Current

Peak
Current
(8/20/1s)

Typical
Capacltance

RMS
Voltage

DC
Voltage

Vm1ac)

Vmldcl

W.m

I.m

Min.

VNldcl

Max.

Volts

Volts

Joules

Amps

Volts

Volts

Volts

8.2
8.2
8.2

11.0
11.0
11.0

30
22
20

2
5
5

1400
3000
7500

100~s)

Vc

I.

1=1 MHz

Volts Amps Picofarads

V8ZAOS
V8ZAl
V8ZA2

5
7
10

Z08
08Z1
08Z2

4
4
4

5.5
5.5
5.5

0.1
0.4
0.8

50
100
250

6.0
6.0
6.0

V12ZAOS
V12ZAl
V12ZA2

5
7
10

Z12
12Z1
12Z2

6
6
6

8
8
8

0.14
0.6
1.2

100
250
250

9.0
9.0
9.0

12
12
12

16.0
16.0
16.0

37
34
30

2
5
5

1200
2500
6000

V18ZAOS
V18ZAl
V18ZA2
V18ZA3
V18ZA40

5
7
10
14
20

Z18
18Z1
18Z2
18Z3
18Z40

10
10
10
10
10

14
14
14
14
14

0.17
0.8
1.5
3.5
80.0"

100
250
500
1000
2000

14.4
14.4
14.4
14.4
14.4

18
18
18
18
18t

21.6
21.6
21.6
21.6
21.6

44
42
39
39
37

2
5
5
10
20

1000
2000
5000
11000
22000

V22ZAOS
V22ZA1
V22ZA2
V22ZA3

5
7
10
14

Z22
22Z1
22Z2
22Z3

14
14
14
14

18t
18:j:
18:j:
18:j:

0.2
0.9
2.0
4.0

100
250
500
1000

18.7
18.7
18.7
18.7

22
22
22
22

26.0
26.0
26.0
26.0

51
47
43
43

2
5
5
10

800
1600
4000
9000

V24ZASO

20

24Z50

14

18:j:

100.0"

2000

19.2

24t

26.0

43

20

18000

V27ZAOS
V27ZA1
V27ZA2
V27ZA4
V27ZA60

5
7
10
14
20

Z27
27Z1
27Z2
27Z4
27Z60

17
17
17
17
17

22
22
22
22
22

0.25
1.0
2.5
5.0
120.0"

100
250
500
1000
2000

23.0
23.0
23.0
23.0
23.0

27
27
27
27
27t

31.1
31.1
31.1
31.1
31.1

59
57
53
53
50

2
5
5
10
20

600
1300
3000
7000
15000

V33ZAOS
V33ZAl
V33ZA2
V33ZAS
V33ZA70

5
7
10
14
20

Z33
33Z1
33Z2
33Z5
33Z70

20
20
20
20
21

26
26
26
26
27

0.3
1.2
3.0
6.0
150.0"

100
250
500
1000
2000

29.5
29.5
29.5
29.5
29.5

33
33
33
33
33t

38.0
36.5
36.5
36.5
36.5

67
68
64
64
58

2
5
5
10
20

500
1100
2700
6000
13000

V36ZA80

20

36Z80

23

31

160.0"

2000

32.0

36t

40.0

63

20

12000

V39ZAOS
V39ZAl
V39ZA3
V39ZA6

5
7
10
14

Z39
39Z1
39Z3
39Z6

25
25
25
25

31
31
31
31

0.35
1.5
3.5
7.2

100
250
500
1000

35.0
35.0
35.0
35.0

39
39
39
39

46.0
43.0
43.0
43.0

79
79
76
76

2
5
5
10

440
900
2200
5000

NOTE: Average power dissipation of transients not to exceed 0.2, 0.25, 0.4, 0.6, 1 walt for model sizes 5, 7, 10, 14, and 20 mm
respectively.
"Energy rating for impulse duration of 30 milliseconds minimum to one half of peak current value.
tl0 mA dc test current.
tAlso rated to withstand 24 V for 5 minutes.

Transient Voltage Suppression Devices
ZA Series (Continued)
Device Ratings and Characteristics
CharacterlsHcs (2S·C)

Maximum Ratings (8S·C)
Continuous

Maximum

Transient
Varistor Voltage

Model
Model
Number

Energy

Size

Device

RMS

Disc
Dla.

Marking

Voltage

(mm)

Clamping
Typical
Voltage
~c @ Test Current Capacitance

@lmADC

Peak
Current

Test Current

(101
DC
Voltage 10001/1) (8/201/1)

(8/201/1)

Vml.cI

Vm1dcJ

W'm

I'm

Min.

VNldcJ

Max.

Volts

Volts

Joules

Volts

Volts

Volts

Vc
Volts

I.

f;l MHz

Amps

Picofarads
400

V47ZAOS

5

Z47

30

38

0.4

Amps
100

42

47

55

90

2

V47ZAl

7

47Z1

30

38

1.8

250

42

47

52

92

5

800

V47ZA3

10

47Z3

30

38

4.5

500

42

47

52

89

5

2000

V47ZA7

4500

14

47Z7

30

38

8.8

1000

42

47

52

89

10

V58ZA05

5

Z56

35

45

0.5

100

50

56

66

108

2

360

V58ZA2

56Z2

35

45

2.3

250

50

56

62

700

56Z3

35

45

5.5

500

50

56

62

107
103

5

V58ZA3

7
10

5

1800

V58ZA8

14

56Z8

35

45

10.0

1000

50

56

62

103

10

3900
300

V88ZA05

5

Z68

40

56

0.6

100

61

68

80

127

2

V68ZA2

7

68Z2

40

56

3.0

250

61

68

75

127

5

600

V88ZA3

10

68Z3

40

56

500

61

68

75

123

5

1500

V88ZA10
V82ZA05

14

40

61

400

73

97

123
135

10
5

3300

2.0

68
82

75

50

56
66

1000

5

68Z10
Z82

6.5
13.0

V82ZA2

7

82Z2

50

66

4.0

1200

73

82

91

135

10

500

V82ZA4

10

82Z4

50

66

8.0

2500

73

82

91

135

25

1100

V82ZA12

14

82Z12

50

66

15.0

4500

73

82

91

145

50

2500

Vl00ZA05

5

Zloo

60

81

2.5

400

90

100

117

165

5

180

Vl00ZA3

7

l00Z

60

81

5.0

1200

90

100

110

165

10

400

Vl00ZA4

10

l00Z4

60

81

10.0

2500

90

100

110

165

25

900

Vl00ZA15

14

l00Z15

60

81

20.0

4500

90

100

110

175

50

2000

240

V120ZA05

5

Z120

75

102

3.0

400

108

120

138

205

5

140

V120ZAl

7

120Z

75

102

6.0

1200

108

120

132

205

10

300

V120ZA4
V120ZA8

10
14

120Z4

75
75

102
102

12.0

2500

108

750

4500

108

132

200
210

25

22.0

120
120

132

120Z6

50

1700

V1S0ZAOS

5

Z150

92

127

4.0

400

135

150

173

250

5

120

V1S0ZAl

7

Z051

95

127

1200

135

150

165

250

10

250

2500

135

150

165

250

25

600

4500

135

150

165

255

50

1400

V1S0ZA4

10

150Z4

95

127

8.0
15.0

V150ZAB

14

150Z8

95

127

30.0

Vl80ZAOS

5

Zl80

110

153

5.0

400

162

180

207

295

5

100

V180ZA1

7

180Z

115

153

10.0

1200

162

180

198

295

10

200

V180ZAS

10

180Z5

115

153

18.0

2500

162

180

198

300

25

500

V180ZA10

14

180Z10

115

153

35.0

4500

162

180

198

300

50

1100

V220ZAOS

5

Z220

140

180

6.0

400

198

220

253

360

5

90

V270ZAOS

5

Z270

175

225

7.5

400

243

270

311

440

5

70

V330ZA05

5

Z330

210

275

400

297

330

5

60

5

Z390

250

330

400

351

390

380
449

540

V390ZAOS

9.0
10.0

640

5

50

V430ZA05

5

Z430

275

369

11.0

400

387

430

495

700

5

45

V470ZAOS

5

Z470

300

385

12.0

400

420

470

517

775

35

V880ZAOS

5

Z680

420

560

14.0

400

610

680

748

1120

5
5

V750ZA05

5

Z750

460

615

17.0

400

675

750

825

1240

5

30

32

NOTE:Average power dissipation of transients not to exceed 0.2, 0.25, 0.4, 0.6, 1 watt for model sizes 5, 7, 10, 14, and 20 mm
respectively.
Higher voltages available-contact Harris Semiconductor Power Marketing.

High Reliability Products
Harris Semiconductor products are designed to meet the needs of military and
aerospace users. Hams provides high reliability semiconductors that are fully compliant
with the following standards:

Integrated Circuits
MIL-STD-883 Standard military integrated circuits
- High reliability products that are processed to Harris data sheet requirements
- MIL-STD-883 class B compliant process flows: /3A, /883 suffixes
- MIL-STD-883 class S compliant process flows: /MS suffix and per customer drawing
- MIL-STD-883 class B non-compliant process flows: /3, -8 suffixes, I3W suffixes
- MIL-STD-883 class S non-compliant process flows: /1 RZ, -0, /1
- Process flowsltesting altered to customer specification

SMDJDESC Integrated circuits
- All specifications issued and controlled by DESC
- Fully compliant to requirements of MIL-STD-883 class B

JAN MIL-M-38510 Integrated circuits
- Fully compliant to requirements of MIL-M-38510 and MIL-STD-883
- JAN qualified parts list (QPL) maintained by DESC
- All specification changes must be approved by DESC
- Fabricated, assembled, and processed completely within the United States

MIL-S-19500 - Discretes
- Specification for JAN type discrete semiconductor devices (ie: transistors, diodes, etc)
- Qualified parts list (QPL-19500) maintained by DESC

High Reliability
Ordering Information
CA3000 LINEAR SERIES NOMENCLATURE GUIDE
-CA3130
PART NUMBER

I

CAxxxx
HR3Nxxx

I

T 13

q

ADVANCED CMOS LOGIC NOMENCLATURE GUIDE
CD54ACOOF3A

RELIABILITY SCREENING LEVEL

,

3
3W

-MODIFIED CLASS S
-MODIFIED CLASS B
-MODIFIED CLASS B
WITHOUT HIGH & lOW
TEMPERATURE DC

PART NUMBER

PACKAGE DESIGNATDR
D

DUAL-tN-LINE
CERAMIC {CERDIPI

-DUAL-IN-LINE METALSEAL CERAMIC
-DUAL-tN-LINE FRIT-SEAL CERAMIC
- TO-5 METAL CAN
-DIL FORMED TO-S
-LEADLESS CHIP CARRIER

F
T
S
J

NOTE 1 -

JA dev,ces are dual ma.He '5 dropped lor ClasS S HCS HC TS device Iypes

NOTE: 2 - BOlhlhe K and 0 packages have gold plaled lead finish 13851Qle"d
fml~h

CI

COM, COP, CMM, GP CMOS LSI
NOMENCLATURE GUIDES

PART NUMBER
COP 18XX
COM XXXX
CMM XXXX
GP XXX

,3 - MODIFIED
CLASS B
IRZ- MODIFIED
CLASS S
RAD·HARD 105

VOLTAGE

~
=

K

0

OUAL·iN-LlNE
LEAOLESS CHIP
CARRIER

FLATPACK

V Analog High Voltage
PACKAGE
1· CeramiC Dual·in-llne (DIP)
18 CeramiC SIde brazed DIP
2- Metal Can
4- CeramiC leadless Chip
Carners (lCC~
5- CeramiC Substrate
6· SlImhne DIP
7- Ceramic MIni-DIP
0- Chip Form

PART NUMBER _ _ _ _- '

-0

H5:r;~ ~I;S:

2tC

EqulValenl DeVIces
for Use In Spacecraft
or High Rei
Applications
883 Full Comphance to
MII·Std·883
-8XXXX AHered 10 Customer
ReqUirements
B Spec Number IS
UnIquely ASSigned to
SpecifIC Customer
ReqUirements
-RH Radlallon-Hardened

High Reliability
Ordering Information
JAN 38510 NOMENCLATURE GUIDE
JM38510 I 051 51 S C E

CD4000 LOGIC STANDARD
NOMENCLATURE GUIDE

~

ELECTAOSTAncT

~:=~ENTIFIER

LEAD FINISH

SPECIFICATION

A - SOLDER
C· GOLD

RADIAnON IDENnFIER

PACKAGE OUTLINE

• NO RADIATION TESTING
M.D.R.H
PEA MIL·M·38510
DETAIL------_-'
SPECIFICATIONS
SPECIFIC _ _ _ _ _ _ _-J
DEVICE

PACKAGE DESIGNAT0;=l1
HARDNESS
FlATPACK
ASSURANCE LEVEL
FlATPACK (Mll-M-38S10
~O' fads

K

~

F

~ g~~I~I~~~I~: (~ll?:3~51,o I ~.:O& rads 51

o ~

~::==:::;------'

s;-

DESIGNATOR C OR E I

r

80CXX FAMILY NOMENCLATURE GUIDE
CD4000 MIL-STD-883 NOMENCLATURE GUIDE

Tt..

CD40008 D MSH

IPA~=:a11

----'

"ADtA'TtON LIVILI

RELIABILITY
SCREENING LEVEL
MS - CLASSS
CONDITION A
PRECAP VISUAL
+SEM
INSPECTION
3A - CLASS B
3
- CLASSB.
MODIFIED

.....CKAGE DESIGNATOR
D - DUAL-IN-L1NE
METAL-SEAL CERAMIC
a - FLAT PACK
{3851 0 OUTLINEI
K - FLAT PACK (NON 385101
F - DUAL-IN-LINE
FRIT-SEAL CERAMIC
J - LEADLESS-CHIPCARRIER CERAMIC

WHEN UIID INDICATU

I
I

RANGE
M ·55°C to +12S"C
PACKAGE

o

R"1~radsSI

H - 10' rads 51

0 PKkagthUllOIder-dlPPldl"dhnllh[38510LeadFII'I,1h A.l
K PKqg.has_IQIder-4lppldlHdhnoah(38S10leadFlIIlsh Al

_

Q PK~hU.goId.plNdlHdllntlhl38510L'MlFIt'lIIh C I

_

F PKkllgllhUllOIdIr-dlppedIU'lplaleI3l510LndFonrsI'I A)

_ us deIIdI_Il'lIuMcomp/III'ICIIMItIMII.SId-8II3C.Paragraph 121 When the opbOMl
Group a and Group 0 con'OI'l"1I'C1 ..... are peIfofmed
_ I:!Aand 13 ptOductII not ......... ,I'tF\MI·HardWfIIOII'
_ IlA
CompllanCl ""'" MlI·SIcI·U3C, P.,.1Ph 1 21
_ 13 . . non·cornp/IenIto .....·Std-113. c _ a and ........... ulPIC,.1 OI'deI'l OI'Ily

'l~

CeramiC DIP
lead less Chip Carner
ILCG!
G Pin Gnd Array
PART NUMBER
80CXXX CMOS Microprocessor
82CXXX CMOS Peripherals

TEMPERATURE!
GRADE
883 Fully Comphant
10 MIL-STO-sa3

SPEED DESIGNATION
Microprocessors
2 B MHz

R

HARDNESS
ASSURANCE LEVEL

_
_

"..,1uII

'-~,"",j r

Blank 5 MHz

Peripherals
5 5 MHz
Blank 8 MHz

°t

SMD/DESC NOMENCLATURE GUIDE

5962·8XXXX

. -_ _ _J
Rad-Hard Identifier

X

L ~~:~~:~

Dip

LX

C

=

Gold Leads

Package Ouill"
(See IndiVidual SMD!

DESC Drawmg)

(If ReqUired)

-, M, D. R, H Per
Mll·M<~8510

DESC and SMD
Drawing Number

Doole. TYpe
(Para 1 2 1 of SMDI

DESC DraWing)

High Reliability
Ordering Information

CD54HC/HCT NOMENCLATURE GUIDE

~F~
PART NUMBER
HC ' CMOS COMPATIBLE
HCU ' CMOS COMPATIBLE
UNBUFFERED
HCT ~ TTL COMPATIBLE

I

PACKAGE DESIGNATOR

IF
NOTE 1 -

I

,

~r

3A dev10 Class B DeVice

~[--C:-MIL.STD.883C
Screened Device

500~Analog Switch

~!~P. :a~~5°C

Package

*Exceptions To
Package Type
DeSignators

Package Type DeSignators

0

TO-237

DGSERIES

J

K

TO-3

L

Leadless Ceramic

S

TO-52

T

TO-5 Type (also TO-78,
TO-99, TO-I 00)
TO-72 Type {also TO-18,

H

TO-52

0

CERDIP, CeramiC

TO-71)
TO-39

R

DIP
TO-92

U
V
Z

8 M- 48
B - 10 N - 18
C - 12 P - 20
0-14
2
E - 16 R 3
F - 22
G - 24 TH - 42 U8 (0200" Pm Circle
I - 28 V Isolated Case)
J - 32
K - 35 W - 10 10 230" Pin Circle

TO-92

*Exeeptionl To

A
L

P

10 Pm Metal Can
14 Pin Flatpack
Ceramic DIP
(Special Order
Only)

Designator.

A -

as-

L -

40

Y-

TO-220
Ceramic Sidebrazed
Dual-m-Llne
Small TO-8
CeramiC Flat Pack
TO-66
16 Pin ( 6 x 7 Pin Spacing)
Hermetic Hybrid Dip
CERDIP Dual-In-Une

E
F
H
I

Pin Count DeSignator

Pin Count

14 Pins on Package
Cenllp Dual-m-llne

A C

I

I

3A IS 883 RevlS10n C full compl,anl Para 1 "1

IH 5009 M J D 1883C

Device
Basic Part Number:

DUAL-iN-LINE
CERAMIC ICEROIP)

NOTE" -

I·SERIES NOMENCLATURE GUIDE

IC Family

I SCREENING LEVEL I
I B3A'
CLASS B (883) I
' CLASS B 138510)

Z -

DGSERIES
A l -

(SpecIal Order
Only)

K - CEROIP

Isolated Case)
8 (0200" Pm Circle Case
to Pin 4)
010 (0230" Pin Circle Case H to Pin 5)
N -

High Reliability DeSignator

AD SERIES
20,18, tGor 14
3Pm
20.18. 160r 14

Temperature Range
DeSignators

CEROIP
AD SERIES

10 Pin Metal Can
14 Pin Flatpack

P - Ceramic DIP

JM38510'XXXXX-XXX MII-M-38510 Device
883C - MII-STD-B83C Screened
DeVice
HR - High Rehability DeVice
SR - Cost Effective High
Reliability DeVice
IBI _ Burn-In Only Process Flow

M-

Military _55°C to
+125°C

Process Flows by Product Family
MIL-STD-883 CLASS S

MIL-STD-883 CLASS B

COMPLIANT

PRODUCT ~MIL Y

/3A

CD4000 Series CMOS Logic

X

High Speed CMOS Logic CD54HC/HCT

X

Advanced CMOS Logic CD54 AC/ACT

X

/883

1883C

NON-COMPLIANT

COMPLIANT

/3W

/MS

/3

-8

X

X

Harris Digital LSI HD, HM, HSP

X

X
X

RCA Digital LSI CDM, CDP, CMM

X
X

X

X

Harris Rad-Hard LSI HS
X

RCA Linear CA
Intersil Linear/Digital

X

X

X

X

X

X

X

X

High Reliability/Military
MIL-STD Standard Military Integrated Circuits
Harris offers high-reliability products that are processed to Harris
data-sheet requirements. These products are identified by a
unique suffix depending on the product type and may be fully
compliant to MIL-STD-883 or MIL-STD "equivalent" product for
Class B or S type applications. In addition, Harris will alter process
flows and testing to meet unique customer requirements. A unique
part number is assigned to each customer circuit.

MIL-STD-883 Class B Compliant Process Flows
The following process flows are in full accordance with MIL-STD883 Revision C:
-/3A

-/883
-/883C

MIL-STD-883 Class S Compliant Process Flows
The following process flows are in full accordance with
MIL-STD-883 Revision C:

-/MS

MIL-STD-883 Class B Non-Compliant Process
Flows

-8

11

X

8OC222 Family MD,MG, MR

/3
/3W

11RZ

-Q

X

Rad-Hard 50S Logic HCS/HCTS

Harris Linear HA, HC, HI, HI1\., HV, H

NON-COMPLIANT

: Meets most requirements of MIL-STD-883 (See Figure 2)
: Same as /3 without high and low temperature DC tests
(See Figure 3)
: Meets most requirements of MIL-STD-883 (see Figure 4)

MIL-STD-883 Class S Non-Compliant Process Flows

-a

: Meets most requirements of MIL-STD-883 (See Figure 4)

/1

: Meets most requiremants of MIL-STD-883
(See Figure 3) /1 device options are available as follows on
special request: SEM, PIND testing, Condition A visual and
Conformance B, C, and D tests.

/1 RZ : Meets most requirements of MIL-STD-883 (See Figure 5)

Comparison of Class S/Class B Screening Tests
SCREENING TEST

CLASSS CLASS B

Glassivation Thickness

Yes

SEM Inspection

Yes

-

Thennal Stability

Yes

-

Metallization Thickness

Yes

Non-Destructive Bond Pull

Yes

Yes

Precap Visual Inspection

Yes

Stability Bake

Yes

Yes

Temperature Cycling

Yes

Yes

Constant Acceleration

Yes

Yes

Serialization

Yes

-

-

Yes

Prebum Electrical

Yes

Yes

24 Hr. Static Bum-In, Inputs Low
at 135'C

Yes

-

24 Hr. Static Bum-In, Inputs Low
at 135'C

Yes

-

-

Yes

Yes

-

Final Electricals

Yes

Yes

Fine & Gross Leak Tests

Yes

Radiographic Inspection

Yes

-

Fine and Gross Leak Test

120 Hr Static Burn-In at 135' C
180 Hr Dynamic Bum-In at
135'C

Product Flow Charts
13 Flow

Data Supplied
Data supplied with 13 Product Consists of:
a) a certificate of processing and screening compliance
b) an attribute summary of Group A results
c) Group B, C and D attribute test results (when ordered)
C of C is provided for Generic Data

13W, 11 Flows

1-------L---~~~~l-----------~-----.

"(I3-W-,~-:-C-ONL"""'Y)

GROUPS II, C, AND D ARE PERFORMED ON A
CUSTOMER BASIS ONLY AS FOR CLASS B

Product Flow Chart
Typical Product Flow: -8, -Q Flows
OPERATION
SEQUENCE

OPERATION DESCRIPTION
Silicon Chemicals
Quality Control Incoming Inspection
Wafer FabrICatIon
Quality ContlOl Process Inspection
• SEM Inspection
• Wafer Lot Accept
Wafer Electrical Probe
Wafer Scrl)e, Break
Dice Visual Screen
Quality ContlOl Sample Dice Inspection
Package Piece Parts
Quality Control Incoming Inspection
Die Mount
Bond Wire
Quality Control Incoming Inspection
\/\lIre Bonding
Quality Control Bond Inspection
100% Bond Pull Test Method 2023
Pre-SeaJ ViSual Screen
Quality ContlOl Pre-8eal Visual Lot Acceptance
Pre-8eal Bake Method 1008, Condition C
Package Ust (Piece Parts)
Quality Control Incoming Inspection
Package Seal
Quality Control Seal Inspection
Stabilization Bake Method 1008, Condition C
Temperature Cycle Method 1010. Condition C.10 Cycle
Centrifuge Method 2001. Y1 AxiS
PINDTest
Fine Leak Method 1014
Gross Leak Method 1014
Frame Removal, Load Carrier or Tubes
Quality ContlOl Package Assembly Lot Acceptance
Serialization
X-Ray
Initial Electrical Test
Bum-In
Final Electrical Test·
Fine Leak Method 1014
Gross Leak Method 1014
Quality ContlOl Lot Acceptance
Part Marking
001 Group B,C,D, OR E

·NOTE: See Factoly Representative for PDA Information
"

- Incoming Material

0 -Quality Inspection

o -Production

DASH 8
Yes
Yes
Yes
Yes
Rad-Hard Only
Rad-Hard Only
Yes
Yes
2010
ConditionB
Yes

DASHQ

Yes
Yea
Yes
Yea
Yea
Yes
2010
ConditionB
No

Yes
Yes
Yes
Yes
Yes
Yes
Yes
Yes
2010
Condition A
Yes
Yes
Yes
Yes
Yes
Yes
Yes
2010
Condition A
Yes

2010
Condition B
Yes
Yes
Yes
Yes
Yes
Yea
Yes
Yes
Yes
No
Yea
Yes
Yes
Yes
No
No
Yes
Yes
Yea
Yes
Yea
Yes
Yes
As Required

2010
Condition A
Yes
Yes
Yes
Yes
Yes
Yes
Yes
Yes
Yes
Yes
Yes
Yes
Yes
Yes
Yes
Yes
Yes
Yes
Yes
Yes
Yes
Yes
Yes
As Required

Product Flow Charts

d"'~""H·II~·~

~ ~ tj~=H~~IW h
...:. 1-1

,.&u.

OF flEQUIRED)

CSI. CUSTOMER SOURCE INSPECTION
GSI. GOVERNMENT SOURCE INSPECTION

1

I · r'
IOROUl'IJI 1• .1)1

ARMlOUCT

I

(GROUPS B AND D AS REQUIRED)

Data Supplied
Data supplied with /1 RZ Product ConSists of:
a1 a certificate of processing and screening compliance
b an attribute summary of Group A results
c Group B and 0 attribute test results (when ordered) C of C Is provided for Generic Data
d) a complete wafer level RAD Test results

Integrated Circuits
SMDIDESC
Harris offers a broad range of Standard Military Drawing (SMD) Droducts that were previously referred to as ·DESC
Drawings.· These devices are processed in full compliance to MIL-8TD-883 Class B and are tested to electrical
specifications that are issued and controlled by DESC. The SMD/DESC NOMENCLATURE GUIDE Is shown below.

SMD 10 Number
(Does Not Change and is not used for
DESC Drawings)
DESCandSMD
Drawing Number

T

Device Type
(para 1.2.1 of SMD/DESC Drawing)
Package Outline - - - - - - - - - - - - - - - - - - - - - '
(See Individual SMD/DESC Drawing
Lead Finish - - - - - - - - - - - - - - - - - - - - - - '
A - Solder Dip, C - Gold Leads

Integrated Circuits
JAN MIL-M-38S10
JAN products are fabricated, assembled, and processed completely within the United States. They are fully compliant to
all requirements listed in MIL-M-38510 and MIL-STD-883 for Class B devices. The JAN Qualified Parts List (QPL) for
MIL-M-38510 devices is maintained by DESC and any changes in these specifications must be approved by DESC. The
JAN 38510 NOMENCLATURE GUIDE is shown below.

Ll JM38510 I !~ 5! S C-t

-.I

ELECTROSTATIC
0-2000V IDENTIFIER

I
LEAD FINISH

GENERAL
SPECIFICATION

A=SOLDER
C=GOLD

RADIATION IDENTIFIER

I

/ • NO RADIATION TESTING
R .105 RAD Si
H -106 RAD Si

PACKAGE OUTLINE
G = 8-Terminal Dual-In-Line
C = 14 Terminal Dual-In-Line
T = 14 Terminal Flat Pack (1/4" x 3/8")
E - 16 Terminal Dual-In-Line
N = 16 Terminal Flat Pack (1/4" x 3/8")
X = 28 Terminal Dual-In-Line

DETAIL - - - - - - - - - - - - - - - '
SPECIFICATIONS
SPECIFIC - - - - - - - - - - - - - - - - '
DEVICE
DEVICE CLASS
S= CLASSS
B= CLASS B

Power Transistors
JAN/JANTXlJANTXV
MIL-S-19500 is the specification for the familiar "JAN" type discrete semiconductor devices. Detailed electrical
specifications are prepared as needed by the three military services and coordinated by the Defense Electronic Supply
Center (DESC). Levels of reliability are defined by MIL-S-19500. JAN types receive Group A, Group B, and Group Clot
sampling only, and are the least expensive. JANTX types receive 100 percent process conditioning, and power
conditioning, and are subjected to lot rejection based on delta parameter criteria in addition to Group A, Group B, and
Group C lot sampling. JANTXV types are subjected to 100 percent (JTXV) internal visual inspection in addition to all of
the JANTX tests in accordance with MIL-STD-750 test methods and MIL-S-19500.
DESC publishes "QPL-19500," a Qualified Products List of all types and suppliers approved to produce and brand
devices in accordance with MIL-S-19500.
Production Process
1. Raw Material
2. Factory Processing
3. Internal Visual
(for TXV only)

r-

Inspection Lots
Formed at Final
Assembly Operation
(Sealing)

r--

Lots Proposed For
JAN types
(Non-TX)

-

t
Lots Proposed for
JANTX or JANTXV
Types

Group A Electrical Performance Tests
Perlormed on a Lot Sample 8asls
Group B EnVironmental. Mechanical and L1fe
Tests (Storage and Operating) Perlormed on
a Lot Sample BaSIS
Group C EnVIronmental and lIle Tests
Performed on a Time Period BaSIS
Tests Shall be perlormed In the Order as
Sho\lo'l'l

100 Percent Process
Conditioning4
1. High Temp Storage
2. Thermal Shock
3. Acceleration
4_ Hermetic Seal
Tests
5. Reverse Bias

f-

Inspection Tests to
Verify LTPD
Group Al
Group B2
Group C3

-I

I

H

100 Percent Power
CondHioning 4
l. Measurement of
Specified Parameters
2. Burn-In
3. Measurement of
Specified Parameters
to Determine Delta
and Other Rejects
4. Lot Rejection Criteria
Based on Rejects
from Burn-In Test

Order of Procedure Diagram for JAN, JANTX, and JANTXV
Semiconductor Power Devices

Review of Group A,
B, and C Data For
Accept or Reject

t

I

Preparation for

Delivery JAN

t

Inspection Teft to Verity LTPD
Group A ,Group B2
Group C3

I

I

t

I Review
of Group A, B I
and C Data for Lot
Accept or Reject

t

I Preparation
for Delivery I
JANTX or JANTXV

Military Cross Reference - BY HARRIS PART NUMBER
HARRIS
DEVICE
2N3439
2N3440
2N3584
2N3586
2N3879
2N5038
2N6039
2N5302
2N5303
2N5415S
2N5415S
2N5671
2N5672
2N6032
2N6033
2N6211
2N6212
2N6213
2N6283
2N6284
2N6286
2N6287
2N6383
2N6384
2N6385
2N6546
2N6547
2N6546
2N6649
2N6650
2N6674
2N6675
2N6676
2N6678
2N6756
2N6756
2N6760
2N6762
2N6764
2N6766
2N6766
2N6770
2N6762
2N6764
2N6786
2N6788
2N6790
2N6792
2N6794
2N6796
2N6798
2N6600
2N6649
2N6649
2N6651
2N6651
2N6602
2N6895
2N6896
2N6897
2N6698
2N6901
2N6902
2N6903
2N6904
2N6966
2N6967
2N6966
2N6969
2N7119
2N7120
2N7121
2N7122
2N7123
2N7124
2N7125
2N7126
2N7224
2N7225
2N7227
2N7228

MIUTARY REFERENCE
MIL-5-19500/368
MIL-S-19500/368
MIL-S-195OO1384
MIL-8-195OO1384
MIL-8-196OO1526
MIL-S-195OO1439
MIL-5-195OO1439
MIL-8-195OO1456
MIL-8-195OO1456
MIL-S-195OO1485
MIL-8-195OO1485
MIL-S-195OO1488
MIL-S-19500/488
MIL-S-19500/528
MIL-S-19500/528
MIL-5-19500/461
MIL-S-195OO1481
MIL-S-195OO1481
MIL-S-195OO1504
MIL-S-195OO1504
MIL-S-195OO1505
MIL-5-195OO1505
MIL-5-195OO1523
MIL-S-195OO1523
MIL-S-196OO1523
MIL-8-195OO1525
MIL-5-195OO1525
MIL-5-195OO1527
MIL-5-195OO1527
MIL-8-195OO1527
MIL-5-19500/537
MIL-S-195OO1537
MIL-8-195OO1538
MIL-8-195OO1538
MIL-S-195OO1542A
MIL-8-195OO1542A
MIL-5-195OO1542A
MIL-8-195OO1542A
MIL-S-195OO1543A
MIL-8-195OO/543A
MIL-S-195OO1543A
MIL-S-195OO/543A
MIL-S-195OO1556
MIL-S-195OO1556
MIL-S-195OO1556
MIL-8-195OO1555
MIL-8-195OO1555
MIL-S-195OO1555
MIL-8-195OO1555
MIL-8-195OO1557
MIL-8-195OO1557
MIL-S-~95OO1557

MIL-S-195OO1563
MIL-S-195001564
MIL-S-195OO1563
MIL-8-195OO1564
MIL-S-195OO1557
MIL-8-195OO1565
MIL-S-195OO1565
MIL-S-195OO1565
MIL-S-195OO1565
MIL-S-195OO1566
MIL-S-195OO1566
MIL-S-195OO1566
MIL-S-195OO1566
MIL-5-195OO1569
MIL-S-195OO1569
MIL-S-195OO1569
MIL-8-195OO1569
JAN89009
JAN89009
JAN89009
JAN89009
JAN89007
JAN89007
JAN89007
JAN89007
JAN89026
JAN89026
JAN89026
JAN89026

DESCRIPTION
350V/1A, N-P-N
250V/1A, N-P-N
250VI2A, N-P-N
3OOVI2A N-P-N
75VnA, N-P-N hl-speed
9OV/20A, N-P-N hl-8peed
75V/20A, N-P-N
6OVI3OA, N-P-N
6OV/30A, N-P-N
-200VI-1A, P-N-P
-3OOVI-1A.t-N-P
9OV/30A, -P-N
120VI3~N-P-N hl-speed
9OVI5OA, -P-N
120V150A, N-P-N
-275V/-2A, P-N-P
-3OOVI-2A, P-N-P
-$OV/-2A P-N-P
6OV/20A, N-P-N
100Vt.20A, N-P-N
-80VI-20A, P-N-P
-100V/-20A, P-N-P
4OVl10A, N-P-N
6OV/10A, N-P-N
6OV/10~N-P-N
300V/1 , N-P-N
4OOV/15A, N-P-N
-40VI-10A, P-N-P
-80VI-10A, P-N-P
-80VI-10A, P-N-P
300Vt.20A, N-P-N hl-speed
400Vt.2OA, N-P-N hl-sjIeed
300Vt.20A, N-P-N hl-speed
400Vt.20A, N-P-N hl;eed
100V/14A, N-CH M FET
200VJ9A, N-CH MOSFET
400VI5.5A, N-CH MOSFET
500V/4.5A, N-CH MOSFET
10OVI38A, N-CH MOSFET
200V130A, N-CH MOSFET
400V/14A, N-CH MOSFET
500V/12A, N-CH MOSFET
100VI3.5A, N-CH MOSFET
200Vt.2.25A, N-CH MOSFET
200Vt.2.25A, N-CH MOSFET
100VI6A, N-CH MOSFET
200VI3.5A, N-CH MOSFET
400VI2A, N-CH MOSFET
500V/1.5A, N-CH MOSFET
100VIBA, N-CH MOSFET
100VI5.5A, N-CH MOSFET
400VI3A, N-CH MOSFET
100VIB.5A, P-CH MOSFET
100Vt.2.5A, P-CH MOSFET
200VJ4A, P-cH MOSFET
200VJ4A, P-CH MOSFET
500Vt.2.5A, N-CH MOSFET
100V/1.5ApP-CH MOSFET
100VI6A, -CH MOSFET
100V/12A, P-CH MOSFET
100Vt.25A, P-CH MOSFET
100Vl1.5A, N-CH MOSFET
100V/-12A, N-CH MOSFET
200V/1.5A N-CH MOSFET
200VI-6A, N-CH MOSFET
100V/15A, N-CH MOSFET
2OOV/13A, N-CH MOSFET
4OOVn.5A, N-CH MOSFET
SOOV/16A, N-CH MOSFET
100v/14A N-CH MOSFET
2OOV19A, N-CH MOSFET
400V15.5A, N-CH MOSFET
500V/4.5A, N-CH MOSFET
100VI38A, N-CH MOSFET
2OOVI3OA, N-CH MOSFET
4OOV/14A, N-CH MOSFET
5OOV/12A, N-CH MOSFET
100VI30A, N-CH MOSFET
2OOVt.27A, N-CH MOSFET
400V/12A, N-CH MOSFET
500V/13A, N-CH MOSFET

Military Cross Reference - BY HARRIS PART NUMBER
HARRIS
DEVICE
2N7241
2N7242
2N7243
2N7244
CD4000A
CD4001A
C04001B
C04002A
CD4002B
CD4006A
CD4007A
C04007UB
C04008B
CD4011A
CD4011B
CD4012A
CD4012B
CD4013A
CD4013B
CD4014A
CD4014B
C04015A
CD4015B
CD4016B
CD4017A
CD4017B
CD4018B
CD4019A
CD4019B
CD4020A
CD4020B
CD4021A
CD4021B
CD4022A
CD4022B
CD4023A
CD4023B
CD4024A
CD4024B
C04025A
CD4025B
CD4027A
CD4027B
C04028B
C04029B
CD4030B
CD4031A
CD4041UB
CD4047B
CD4049A
CD4049UB
CD4050A
C04050B
CD4053B
C04066B
CD4069UB
C04070B
CD4071B
CD4072B
CD4073B
CD4075B
C04077B
CD4078B
CD4081B
CD4082B
CD4085B
CD4086B
CD4094B
C04098B
CD4099B
CD4502B
C04515B
C04520B
CD4538B
CD4555B
CD4556B
C04585B
CD54HCOO
CD54HC02
CD54HC03
CD54HC04

MILITARY REFERENCE
JAN89025
JAN89025
JAN89025
JAN89025
JM38510105201 BCA
JM38510105202BCA
JM38510105252BCA
JM38510105203BCA
JM38510105253BCA
JM38510105701 BCA
JM38510105301BCA
JM3851 0105351 BCA
JM3851 0105451 BEA
JM3851 0105001 BCA
JM38510105051 BCA
JM38510105002BCA
JM38510105052BCA
JM3851 01051 01 BCA
JM38510105151 BCA
JM38510105702BEA
JM38510105752BEA
JM38510105703BEA
JM38510105753BEA
5962-9064001 CA
JM38510105601 BEA
JM38510105651BEA
JM38510105652BEA
JM38510105302BEA
JM38510105352BEA
JM38510105603BEA
JM38510105653BEA
JM38510105704BEA
JM38510105754BEA
JM38510105604BEA
JM38510105654BEA
JM38510105003BCA
JM38510105053BCA
JM38510105605BCA
JM38510105655BCA
JM38510105204BCA
JM38510105254BCA
JM3851 01051 02BEA
JM38510105152BEA
JM38510105951BEA
8101602EA
JM38510105353BCA
JM38510105705BEA
JM38510105555BCA
8102001CA
JM38510105503BEA
JM38510105553BEA
JM38510105504BEA
JM38510105554BEA
8101801EA
JM38510105852BCA
JM38510117401BCA
JM38510117203BCA
JM3851 01171 01 BCA
JM38510117102BCA
JM38510117003BCA
JM3851 01171 03BCA
JM38510117204BCA
7704402CA
JM38510117001BCA
JM38510117002BCA
JM38510117201BCA
JM38510117202BCA
7702501EA
JM38510117504BEA
JM38510117601BEA
JM38510117403BEA
7703201JA
7702301EA
905S701EA
7704701EA
7704801EA
7703702EA
8403701CA
8404101CA
5962-8764701 CA
8409801CA

DESCRIPTION
100VI14A, N-CH MOSFET
200VI9A, N-CH MOSFET
400VI5.5A, N-CH MOSFET
500V/4.5A, N-CH MOSFET
Dual 3 Input NOR gate plus Inverter
Quad 2 Input NOR gate
Quad 2 Input NOR gate
Dual 4 Input NOR gate
Dual 4 Input NOR ~ate
18-stage static sh register
Dual complementary pair plus Inverter
Dual complementary pair plus Inverter
4 B~ lull adder w~ parallel carry out
Quad 2-lnput NAND gate
Quad 2-lnput NAND gate
Dual 4-lnput NAND gate
Dual4-lnrcut NAND gate
Dual D II p-flops wltll setlreset capability
Dual D Ilip-flops with set/reset capability
8-stage static shift register
8-stage static shift '!mIster
Dual 4 stage static sn ft register
Dual 4 stage static shift register
Quad bilateral switch
Decade counter/divider
Decade counterldlvlder
Presettable divide by N counter
Quad AND/OR select gate
Quad AND/OR select gate
14-stage binary ripple counter
14-stage binary ripple counter
8-stage static shift register
8-stage static shift register
Octal counterldlvlder
Octal counterldlvlder
Triple 3-lnput NAND gate
Triple ~-Input NAND gate
7-stage binary ripple counter
7-stage binary ~~Ie counter
Triple 3-lnput N
gate
Triple 3-I~ut NOR ~ate
Dual J-K ip-Ilop w~ set/reset capability
Dual J-K fliP-II: w~h set/reset capability
BCD-to.Qeclm decoder
Presettable up/down counter
Quad Excluslve-OR gate
64 stage static shift register
Quad truetcomplement buffer
MonostabllllA-stable mu~lvlbrator
Hex bufferlconverter rnvertlngl
Hex bufferlconverter Inverting
Hex bufferlconverter non-inverting
Hex buffer/converter non-Invertlngl
Triple 2 channel analog multiplexer/demultiplexer
Quad bilateral switch
Hex Inverter
Quad exelusive-OR gate
Quad 2-lnput OR gate
Dual 4-lnput OR ~ate.
Triple 3-input AN gate
Triple 3-lnruut OR ~ate
Quad exe uslve-N R gate
8-lnput NORIOR Bate
Quad 2-lnput AN gate
Dual 4-input AND gate
DuaI2-wlde, 2-lnput AND/oRJlNVERTJe0~.pate
Expandable 4-wlder 2-input AND/ORII E (AOI) gate
8-stage shlft-and-store bus register
Dual monostable multi vibrator
8-blt addressable latch
Hex Inverterlbuffer (3 state)
4-b~ latch/4-to-16 line decoder (outputs low)
Dual binary up counter
Dual precision monostable multlvlbrator
Duall-of-4 decoderldemuRiplexer !outputs high)
Dual 1-01-4 decoder/dlemultlplexer outputs low)
4-b~ marrnltude comparator
Quad 2- nput NAND gate
Quad 2-lnput NORd'ate
Quad 2-lnput NAN gate w/open collector
Hex inverter

Military Cross Reference - BY HARRIS PART NUMBER
HARRIS
DEVICE
CD54HC08
CD54HC10
CD54HC107
CD54HC109
CD54HC11
CD54HCI12
CD54HCI23
CD54HCI25
CD54HCI26
CD54HCI38
CD54HCI39
CD54HCI4
CD54HCI47
CD54HCI51
CD54HCI53
CD54HCI54
CD54HCI57
CD54HCI58
CD54HCI60
CD54HCI61
CD54HCI62
CD54HCI63
CD54HCI64
CD54HCI65
CD54HCI73
CD54HC174
CD54HC175
CD54HCI90
CD54HCI91
CD54HCI92
CD54HCI93
CD54HCI94
CD54HCI95
CD54HC2O
CD54HC21
CD54HC221
CD54HC237
CD54HC238
CD54HC240
CD54HC243
CD54HC244
CD54HC246
CD54HC261
CD54HC267
CD54HC269
CD54HC27
CD54HC273
CD54HC260
CD54HC283
CD54HC299
CD54HC3O
CD54HC32
CD54HC365
CD54HC366
CD54HC367
CD54HC368
CD54HC373
CD54HC374
CD54HC377
CD54HC393
CD54HC4002
CD54HC40103
CD54HC4017
CD54HC402O
CD54HC4024
CD54HC4040
CD54HC4046A
CD54HC4049
CD54HC4050
CD54HC4052
CD54HC4053
CD54HC4059
CD54HC4060
CD54HC4066
CD54HC4075
CD54HC42
CD54HC4511
CD54HC4538
CD54HC533
CD54HC534

MILITARY REFERENCE
8404701CA
8403801CA
5962-8515401 CA
8415001EA
8404801CA
8408801EA
5962-8684701 EA
5962-8772101CA
5962-8684601 CA
8406201EA
8409201EA
8409101CA
8406401EA
8412801EA
8409301EA
5962-8682201 JA
5962-8608101 EA
5962-8682301 EA
5962-8682401 EA
8407501EA
8509401EA
8607601EA
8416201CA
8409501EA
5962-8682501 EA
8407301EA
8408901EA
5962-8994601 EA
5962-8689101 EA
5962-8780801 EA
5962-8772401 EA
5962-8682601 EA
5962-8682701EA
8403901CA
5962-8657601 CA
5962-8780501 EA
5962-8860601 EA
6962-8688401EA
8407401RA
8409001CA
8409601RA
8408501RA
8512501EA
8512401EA
8551901EA
8404201CA
8409901RA
8607701CA
5962-8976501 EA
5962-8780601 RA
8404001CA
8404501CA
8500101EA
5962-8682801 EA
8500201EA
5962-8681201 EA
8407201RA
8407101RA
5962-8780701 RA
8410001CA
8404401CA
5962-9055301 EA
8601101EA
8500301EA
8601201CA
8500401EA
5962-8960901 EA
5962-8681901 EA
5962-8682001 EA
5962-8855601 EA
5962-8775401 EA
5962-8944501 JA
5962-8768001 EA
5962-8950701 CA
5962-8772201 CA
5962-8682101 EA
5962-8773301 EA
5962-8688601 EA
5962-8681301 RA
5962-8681401 RA

DESCRIPTION
Quad 2-lnput AND 8aw
Triple 3-1~ut NAN gate
Dual J-K Ip-flop wJreset
Dual J-K !l1P-fl~ w/set and reset
Triple 3-1~ut A Dr:
Dual J-K Ip-= w
and reset
Dual re~e Ie mon08table multlvlbrator w/reset
Quad 3- W buffer
Quad 3-slaw buffer
3-t0-8-lIne decoderldemultlplexer, Inverting
DuaI2-of-4-1lne decoderldemultiplexer
Hex Inverting Schm~er
1O-t0-4-lIne~riorlty e
r
8-lnput mul lexer
Dual4-lnput =Iexer
4-to-I6-lIne d
erldemultiplexer
Quad 2-lnput multiplexer
Quad 2-lnput multiplexer Inverting
Synchronous BCD decade counter, asynchronous reset
Synchronous 4-b1t binary counter, asynchronous reset
~nchronous BCD decade counwr, asynchronous reset
:tnchronous 4-b1t blna:;r counwr, synchronous reset
It serlal-lnlparallel-o shIft register
8-b!raralle/-ln/serlal-out shIft register
Qu D-type !l1p-!lop, 3-staw
Hex D-type fllBb!lc:fc wJreeet
Quad D-type lfi ~ w/reset
Presettatile SY B 0 upldown counwr
Synchronous 4-blt bInary up/down counfJtr
Synchronous BCD decade =own counwr
Stnchronous 4-b1t binary u down counwr
4 It bidirectional unlversel shift reglswr
4-b1t parallel access shift register
Dual4-lnput NAND gaw
Dual4-lnput AND gaw
Dual mon08table multlvlbrator wJreset
3-t0-8 line decoder with latch
3-t0-8 line decoderldemultlplexer
Octal buffer/llne driver, 3-staw, Inverting
Quad bus transceIver, 3-staw
Octal buffer/llne driver, 3-staw
Octal bus transceiver, 3-staW
8-lnput multiplexer, 3-state
&-bIt addressable hatch
Triple 3-lnput NOR gate
Octa~e 11Ip-!lop w/reset
9-b1t
even parity generatorlchecker
4-b1t binary full adder w/last carry
&-bit unlversel shift reglswr, 3-staw
8-lnput NAND~ate
Quad 2-lnput R gate
Hex buffer/llne drIVer, 3-staw
Hex bulfer/llne driver, 3-staw, InvertIng
Hex bulfer/llne driver, 3-staw
Hex bulfer/llne driver, 3-staw, Inverting
Octal transparent latch, 3-slaW
Octal D-type !l1p-!lop, 3-slaW
Octal D-ne !l1p-!lop with date enable
Dua14-b1t In~ ripple counwr
Dual 4-lnput N R gate
&-bit bln:1e down counwr
Johnson &cade counwr w/1 0 decoded outputs
14 stage binary rI~1e counwr
7-stage binary rlpp e counwr
12-b1t binary rll:cle counwr
Phase-Iockild ; with VCO .
Hex Inverting HI H-to-LOW level shifter
Hex HIGH-to-LOW level shifter
Dual4~annel analog multl~lexerldemultl~lexer
Triple 2 channel analog mul plexer/demul plexer
Prog, divide by N counter
14-strge blnery rI~1e counwr w/oscillator
Quad lIawrel sw h
Triple 3-lnput OR gate
BCD-to-7 segment latch/decoder/driver
Dual retrlg prec mon08table multlvlbrator
Octal transparent latch, 3-slaW, Inverting
Octal D-type !l1p-!lop, 3-slaW, Inverting

Military Cross Reference - BY HARRIS PART NUMBER
HARRIS
DEVICE
CD54HC563
CD54HC564
CD54HC573
CD54HC597
CD54HC640
CD54HC646
CD54HC886
CD54HC7266
CD54HC73
CD54HC74
CD54HC75
CD54HC85
CD54HC68
CD54HCTOO
CD54HCT02
CD54HCT04
CD54HCT08
CD54HCT10
CD54HCT107
CD54HCT109
CD54HCT11
CD54HCT112
CD54HCT123
CD54HCT128
CD54HCT132
CD54HCT138
CD54HCT14
CD54HCT151
CD54HCT153
CD54HCT154
CD54HCT157
CD54HCT156
CD54HCT160
CD54HCT161
CD54HCT162
CD54HCT164
CD54HCT165
CD64HCT173
CD54HCT174
CD54HCT175
CD54HCT191
CD54HCT238
CD54HCT240
CD64HCT244
CD54HCT245
CD54HCT251
CD54HCT257
CD54HCT258
CD54HCT27
CD64HCT273
CD54HCT30
CD54HCT32
CD54HCT367
CD54HCT373
CD64HCT374
CD54HCT377
CD64HCT393
CD54HCT40102
CD54HCT4017
CD54HCT4020
CD54HCT4040
CD54HCT4046
CD54HCT4059
CD64HCT4060
CD54HCT534
CD54HCT573
CD54HCT840
CD54HCT688
CD54HCT74
CD54HCT76
CD54HCT85
CD54HCT86
CD54HCU04
DG180AA
DG180AP
DG201AAP
DG300AAP
DG301AAP
DG302AAP
DG303AAP

MILITARY REFERENCE

5962-8606201 RA
5962-8681501 RA
8512801RA
5962-8681701 EA
5962~780901 RA
5962~886501JA
5962~681801RA

8404302CA

5962~15301CA

8405601CA
840700tEA
8801301EA
8404801CA

5962~683101CA
5962~975101CA
5962~974701CA
5962~688301CA

5962-fi64301CA
5962-9084901 MCA
5962-9070101 MEA
5962.70901CA
5962.70201 EA
5962.70001 EA
5962-9065101 MCA
5962~964501CA

8550401EA

5962~689oo1CA

5962-9065201 MEA
6962-9050501 MEA
6962~70101JA

5962-9070201 MEA
5962-9070301 MEA
5962-9070501 MEA
5962~685401 EA

5962~970701EA
5962~970401CA
5962~685501EA
5~75901EA
5962~974301EA
5962~970101EA

6962-8687101 EA
5962.74501EA
8550501RA
8513001RA
8550601RA
5962-9052401 MEA
6962~970501EA
5962~970801 EA
6962~970301CA
5962~772501 RA
5962~974601CA
5962~201CA

5962-9070601 MEA
6962~886701 RA

8550701RA

5962~976901RA
5962~989001CA

5962-9057401EA
5962-9059701 MEA
5S62~945801 EA
5962~994701MEA
5962~875701 EA

5962-8682401JA

5962~977101EA
6962~964901RA
5962~585601RA
5962~974001 RA
5962~685701RA
6962~585301CA

5962-9075801 MEA
5962-8687201 EA
5962~964401CA

8601001CA

5962~7673011A
5962~767301CA

JM38510/12302BEA
JM38510/11801BCA
JM38610/11602BCA
JM38510/11603BCA
JM38510/11604BCA

DESCRIPTION

Octal transparent latICh, 3-stat8, Inverting
Octal D-type flip-flop, 3-stal8, Inverting
Octal transparent latch 3-sta18
8-b1t shift register with lIP latch
Octal bus transceiver, 3-sta18 Inverting
Octal bus transcelverlreglster, 3-stat8
8-b1t magnitude co~arator
Quad exclusive NO
Dual J-K flltlloP wlraset
Dual D fllp- P w/set and reset
Quad bistable transparent latICh
4-b1t ~nltude com~arator
Quad 2 nput excIus OR gate
Quad 2-1nput NAND gate
Quad 2-1~ut NOR gate
Hexlnve r
Quad 2-lnPut AND Bate
TrI~ 3-ln~ut NAN gate
Dual J-K f Ip-llop w/ra8et
Dual J-K fllp-llo~ w/88t and reset
TrI~ 3-ln~ut A 0 gate
Duill J-K f
w et and reset
Dual
Ie monostable multlvlbrator w/88t and reset
Quad 3buffer
Quad 2-1nput NAND Schmitt Trigger
3-tcH1-IIne decoderldemultlplexer, Inverting
Hex Invertl~ Schmitt Trigger
8-lnput mu lexer
Dual4-1nPut =exer
4-to-16line d
rldemultlplexer
Quad 2-1nput multiplexer
Quad 2-1nput multiplexer, Inverting
~nchronous BCD decade counter,esynchronous reset
Synchronous 4-b1t binary counter, esynchronous reset
s~ronous BCD decade counter, synchronous reset
8 It serlill-ln parililel-out shift register
8-=1el-ln serlill-out shift register
Q
~ fllftflop, 3-sta18
Hex D~I~I~lraset
Quad 0;.
Ij)-f wlraset-not In date base
Synchronous 4-b1t IJlnary up/down counter
3-~ line decoderldemul~1exer
Octal buffer/llne driver, 3-8 ,Inverting
Octal buffer/llne driver, 3-sta18
Octal buffer transceiver, 3-state
8-lnput mU~lexer, 3-state
Quad 2-1np multiplexer, 3-sta18
Quad 2-1ln.t0-4-lIne deta selector
3-lnput NOR gate
Dmle flip-floP w/raset
8-lnput NO
Quad 2-1nput OR gate
Hex bufter/llne driver, 3-state
Octal transparent latch, 3-sta18
Octal D-type flip-flop, 3-sta18
Octal ~ flip-flop with deta enable
Dual 4
nary rille counter
8-b1t synchronous CD down counter
Johnson decade counter with 10 decoded outputs
14 sne binary ripple counter
12-blt I~ ripple counter
Phes.1oc:k oop with VCO
Programmable dlvlded-by-N counterC352
14-S~ bl~ ~Ie counter w/osclilator
Octal type fl p- op, 3-stal8, Inverting
Octal transparent latch, 3-stat8
Octal bus transceiver, 3-stal8,lnverting
8-b1t ~nltude comparator
Dual 0 =op w/set and reset
Quad b
Ie transparent latICh
4-b1t ~nltude com~r
Quad 2 ~ut excIus e OR gate
Hex Inve r (unbuffered)
Dual SPST swllch
Dual SPST switch
Quad SPST CMOS anillog SW
TTL compatible CMOS analog SW
TTL compatible CMOS analog SW
TTL compatible CMOS analog SW
TTL compatible CMOS analog SW

=

Ip-=

t:

71

Military Cross Reference - BY HARRIS PART NUMBER
HARRIS
DEVICE
HAI-2400
HAI-2420
HAI-2539
HAI-2540
HAI-4902
HAI-5104
HAI-5114
HAI-5144
HAI-5190
HAI-5330
HA2-2500
HA2-2500
HA2-2510
HA2-2510
HA2-2520
HA2-2520
HA2-2541
HA2-2542
HA2-2544
HA2-2600
HA2-2600
HA2-2620
HA2-2620
HA2-2840
HA2-5002
HA2-5101
HA2-5102
HA2-5111
HA2-5112
HA2-5127
HA2-5137
HA2-5142
HA2-5147
HA2-5190
HA4-2400
HA4-2420
HA4-2539
HA4-2540
HA4-2544
HA4-2840
HA4-4902
HA4-5002
HA4-5101
HA4-5102
HA4-5104
HA4-5111
HA4-5112
HA4-5114
HA4-5127
HA4-5137
HA4-5142
HA4-5144
HA4-5147
HA4-5190
HA4-5330
HA7-2500
HA7-2510
HA7-2520
HA7-2544
HA7-2600
HA7-2620
HA7-2840
HA7-5002
HA7-5101
HA7-5102
HA7-5111
HA7-5112
HA7-5127
HA7-5137
HA7-5142
HA7-5147
HD1-15530
HDI-8402B
HDI-6402R
HI1-0201HS
H11-0506
Hll-0508
HI1-0508
Hll-0508
Hll-0509

MILITARY REFERENCE

5962-8778301 EA
8001601CA
5962-8778701 CA
5962-8984801 CA
5962-8686001 EA
5962-8850201 CA
5962-8963401 CA
5962-8965603CA
5962-8778401 CA
5962-8767701 CA
JM38510/12204BGC
JM38510/12204BGA
JM38510/12205BGA
JM38510/12205BGC
JM38510/12206BGA
JM38510112206BGC
5962-8778501 XA
5962-8984301 XA
5962-8950201 GC
JM38510/12202BGA
JM38510/12202BGC
JM3851 0/12203BGC
JM38510112203BGA
7800302GC
5962-8963601 GC
5962-8963501 GC
5962-8954801 GC
5962-8963101 GA
5962-8963201 GA
5962-8962701 GC
5962-8962702GC
5962-8965602GC
5962-8962703GC
5962-8778401 XA
5962-87783012A
80016012A
5962-87787012A
5962-89848012A
5962-89502012A
78003022A
5962-86860012A
5962-89636012A
5962-89635012A
5962-89548012A
5962-88502012A
5962-89631012A
5962-89632012A
5962-89634012A
5962-89627012A
5962-89627002A
5962-89656022A
5962-89656032A
5962-89627032A
5962-87784012A
5962-87677012A
JM38510112204BPA
JM38510/12205BPA
JM38510/12206BPA
5962-8950201 PA
JM38510/12202BPA
JM38510112203BPA
7800302PA
5962-8963601 PA
5962-8963501 PA
5962-8954801 PA
5962-8963101PA
5962-8963201 PA
5962-8962701 PA
5962-8962702PA
5962-8965602PA
5962-8962703PA
7802901JA
5962-9052502MQA
5962-9052501 MQA
5962-8671601 EA
JM3851 0/19001 BXA
770520-12A
7705201EC
JM38510/19007BEA
JM38510/19008BEA

DESCRIPTION

4 channel prog. 0r;amp
High sl:ed sam~e ani! hold
H!\Ih sew rate w eband op-amp
WiOeband fast settling op-amp
Precision quad comparator
Quad low noise hl-perf op-amp
Quad low noise hl-perf op-amp
Quad ultra low power op-amp
Wldeband fast settling ~-amp
High speed samfele and old
PreciSIon high sew rate amp
Precision high slew rate amp
High slew rate amp
High slew rate amp
High slew rate !uncomp! amp
H!\Ih slew rate uncomp amp
WiOeband fast settling unity gain stable op-amp
HiSh output current op-amp
VI eo op-amp
Wldeband high Impedance amp
Wldeband high Impedence amp
Wldeband !uncomp! amp
Wldeband uncomp amp
High vo~~e op-amp
Current dr ver op-amp
Low noise hi-performance op-amp
Dual low noise hi-perf op-amp
Low noise hi-performance op-amp
Dual low noise hi-perf op-amp
U~ra low noise precision op-amp
U~ra low noise precision op-amp
Dual u~ra low power op-amp
Ultra low noise wldeband op-amp
Wldeband fast settling op-amp
4 channel prog. o~-amp
High sCeed sam{l e and hold
H!\Ih s ew rate wldeband op-amp
WiOeband last settling op-amp
Video ~-amp
High vo tage~-amp
Precision qu comparator
Current driver op-amp
Low noise hi-performance op-amp
Dual low noise hi-perf op-amp
Quad low noise hi-perf op-amp
Low noise hi-performance op-amp
Dual low noise hi-perf op-amp
Quad low noise hi-perf op-amp
U~ra low noise precision op-amp
Ultra low noise precision op-amp
Dual ultra low power op-amp
Quad ultra low power op-amp
Ultra low noise wldeband op-amp
Wldeband fast settling ~-amp
High sro:ed samfele and old
Precis on high sew rate amp
High slew rate amp
H~h slew rate (uncomp) amp
V· eoop-amp
Wldeband high Impedance amp
Wldeband (uncomp) amp
High vol~e op-amp
Current dnver op-amp
Low noise hl-performance oP-amp
Dual low noise hl-perf op-amp
Low noise hi-performance op-amp
Dual low noise hl-perf op-amp
U~ra low noise precision op-amp
Ultra low noise precision op-amp
Dual ultra low power op-amp
Ultra low noise Wldeba~amp
Manchester encoder-d
er
UART8MH
UART2MH
High speed quad SPST CMOS analog switch
Single 16-Ch CMOS analog mux
8 cnannel CMOS analog mux
8 channel CMOS analog mux
8 channel CMOS analog mux
4 channel CMOS differential analog mux

Military Cross Reference - BY HARRIS PART NUMBER
HARRIS
DEVICE
H11-0546
H11-0546
H11-0547
H11-0547
H11-o548
H11-0548
H11-o549
H11-o549
H11-5048
H11-5040
H11-5041
HI1-5042
HI1-5043
HI1-5044
HI1·5045
HI1-5046
HI1-5046A
HI1-5047
HI1-5047A
HI1-5049
HI1-5050
HI1-5051
H11-574A
HI4-0201HS
HI4-o546
HI4-o547
HI4-0548
HI4-0549
HI4-5043
HI4-5045
HI4-5049
HI4-5051
HI4-574A
HM1-6504
HM1-6504
HM1-6504B
HM1-6504S
HM1-6514
HM1-6514
HM1-6514B
HM1-6514S
HM1-6516
HM1-6516
HM1-65162
HM1-65162
HM1-65162B
HM1-65162B
HM1-65162C
HM1-6516B
HM1-65262
HM1-65282
HM1-65262B
HM1-65262B
HM1-65642B
HM1-6617
HM1-8617B
HM1-6642
HM1-6642B
HM4-6516
HM4-6516
HM4-65162
HM4-65162
HM4-65162B
HM4-65162C
HM4-6516B
HM4-65282
HM4-65282
HM4-65282B
HM4-65282B
HM4-65642B
HM4-6617
HM4-6617B
HM4-6642
HM4-6642B
HM6-6617
HM6-8617B
HM6-6642
HM6-8642B
HS1-3282
HS4-3282

MILITARY REFERENCE

5962-6513101XA
JM38510119002BXA
5962-6513102XA
JM38510119004BXA
7705202EA
JM38510119005BEA
5962-6513103EA
JM38510119006BEA
8100619EAlMLB
8100609EAlMLB
8100610EAlMLB
8100611EAlMLB
8100612EAlMLB
8100613EAlMLB
8100614EAlMLB
8100615EAlMLB
8100616EAlMLB
8100617EAlMLB
8100618EAlMLB
8100620EAlMLB
8100621EAlMLB
8100822EAlMLB
5962-6512704XA
5962-86716012A
5962-65131013A
5962-65 131 023A
77052022A
5962-65131032A
81006122A
81006142A
81006202A
81006222A
5962-6512704YA
8102405VA
JM3851 0124501 BVA
8102403VA
8102401VA
8102406VA
JM38510124502BVA
8102404VA
8102402VA
8403601JA
JM38510129102BJA
JM3851 01291 04BJA
8403602JA
8403606JA
JM38510129110BJA
8403603JA
8403607JA
8413201RA
JM38510129103BRA
8413203RA
JM38510129109BRA
JM38510129205BXA
5962-6954001JA
5962-6954002JA
5962-8669001JA
5962-8669002JA
8403601ZA
JM38510129102BXA
8403602ZA
JM38510129104BXA
8403606ZA
8403603ZA
8403607ZA
8413201YA
JM38510129103BYA
8413203YA
JM38510129109BYA
JM38510129205BYA
5962-6954001 XA
5962-6954002XA
5962-86690013A
5962-86690023A
1:962-6954001 LA
5(!62-6954002LA
5962-8669001 LA
5962-8669002LA
5962-6688001 QA
5962-6688001 XA

DESCRIPTION

16 channel CMOS analog mux w/o.v. Protection
Single 16-Ch CMOS analog mux w/o.v. Protection
8 channel CMOS differential analog mux w/o.v. Protection
Olfferential8-Ch CMOS analog mux w/o.v. Protection
8-channel CMOS anal~ mux w/o.v. Protection
Single 8-Ch CMOS an
mux w/o.v. Protection
4 cl1annel CMOS dlfferen al analog mux w/o. v. Protection
Olfferential4-Ch CMOS anal~ mux w/o.v. Protection
Dual SPST CMOS anal~w h
Single SPST CMOS ana sWl\ch
Dual SPST CMOS anal~ swl\ch
Single SPOT CMOS ana og swl\ch
Dual SPOT CMOS analog 8wltch
Single SPST CMOS analog swl\ch
Dual SPST CMOS ana~ 8wl\ch
Single OPOT CMOS ana og switch
Sln~e OPOT CMOS ana~ switch
OP T CMOS analog 8wl
OPOT CMOS analog switch
Dual OPST CMOS analog swl\ch
SPOT CMOS analog switch
Dual SPOT CMOS analog swl\ch
12 bit A to 0 converter
High speed guad SPST CMOS anal~swltch
16 channel CMOS analog mux w/o.v. rotectlon
8-channel CMOS differential analog mux wlo.v. Protection
8-channel CMOS analog mux w/o.v. Protection
4 channel CMOS differential analog mux w/o. v. Protection
Dual SPOT CMOS analog swl\ch
Dual OPST CMOS analog sWl\ch
Dual OPST CMOS analog swl\ch
Dual SPOT CMOS analog swl\ch
12 bit A to 0 converter
4K x 1 synchronous RAM
4K x 1 synchronous RAM
4K x 1 synchronous RAM
4K x 1 synchronous RAM
1K x 4 synchronous RAM
1K x 4 synchronous RAM
1K x 4 synchronous RAM
1K x 4 synchronous RAM
2K x 8 synchronous RAM
2K x 8 synchronous RAM
2K x 8 asynchronous RAM
2K x 8 asynchronous RAM
2K x 8 asynchronous RAM
2K x 8 asynchronous RAM
2K x 8 asynchronous RAM
2K x 8 ~chronous RAM
2Kx8
AMCOIP
16K x 1 asAnchronous RAM
2Kx8 SC AM COIP
16K x 1 asynchronous RAM
8K x 8 asynchronous RAM
2K x 8 fuse link PROM
2K x 8 fuse link PROM
512 x 8 fuse link PROM
512 x 8 fuse link PROM
2K x 8 synchronous RAM
2K x 8 synchronous RAM
2K x 8 asynchronous RAM
2K x 8 asynchronous RAM
2K x 8 asynchronous RAM
2K x 8 asynchronous RAM
2K x 8 synchronous RAM
2K x 8 SRAM LCC
16K x 1 asAnchronous RAM
2Kx8 SC AM LOC
16K x 1 asynchronous RAM
8K x 8 asynchronous RAM
2K x 8 fuse link PROM
2K x 8 fuse link PROM
512 x 8 fuse link PROM
512 x 8 fuse link PROM
2K x 8 fuse link PROM
2K x 8 fuse link PROM
512 x 8 fuse link PROM
512 x 8 fuse link PROM
ARINC 429 bus Interface
ARINC 429 bus interface

::ft

7J

0,

Military Cross Reference - BY HARRIS PART NUMBER
HARRIS
DEVICE
ICL7667MJA
ICL7667MTV
IC1.8038AMJD
IC18211MTY
IC18212MTY
ICM7170MDG
ICM7170MDG
ICM7555MTV
ICM7556MJD
IH5040MDE
IH5041MDE
IH5042MDE
IH5043MDE
IH5043MJE
IH5044MDE
IH5045MDE
IH5108MJE
IH5116MJI
IH5140MJE
IH5141MJE
IH5142MJE
IH5143MJE
IH5145MJE
IH5151MJE
IH5208MJE
IH5352MJE
IH5144MJE
IH6108MJE
MD8OC86
MD8OC86-2
MD8OC88
MD82C52
MD82C54
MD82C55A
MD82C55A-5
MD82C59A
MD82C59A-5
MD82C82
MD82C83H
MD82C84A
MD82C86H-5
MD82C87H-5
MD82C88
MD82C89
MR80C86
MR8OC88
MR82C52
MR82C54
MR82C55A
MR82C55A-5
MR82C59A
MR82C59A-5
MR82C82
MR82C83H
MR82C84A
MR82C86H-5
MR82C87H-5
MR82C88
MR82C89
Vl00ZA05
Vl00ZA3
Vl00ZA4
V12OZA05
V120ZAI
V120ZA4
V120ZA6
V130LA20B
V150LA20B
V150ZA05
V150ZAI
V15OZA4
V15OZA8
V18OZA05
V180ZAI
Vl80ZA10
V180ZA5
V22ZA05
V22ZAI
V22ZA2
V22ZA3

MILITARY REFERENCE
5962-8766001 PA
5962-8766001 GA
5962-8877201 CA
5962-8984201 GA
5962-8984202GA
5962-8765301 JA
5962-8765301JC
5962-8950303GA
5962-8950304CA
JM3851 0/1 0501 BEA
JM3851 011 0502BEA
JM38510/1 0503BEA
JM38510/10504BEA
8100604EA
JM3851 0/1 0505BEA
JM38510/10506BEA
7705203EA
5962-8513104XA
8100609EAlINT
8100610EAlINT
8100611 EA/INT
8100612EAlINT
8100614EAlINT
8100622EAlINT
5962-8513106EA
5962-8875001 EA
8100613EAlINT
7705201EA
8405201QA
84052020A
5962-8801601QA
8501501XA
8406501JA
8406602QA
8406601QA
5962-8501602YA
5962-8501601YA
8406701RA
8406702RA
8406801 VA
5962-8757701 RA
5962-8757702RA
8406901RA
5962-8552801 RA
8405201XA
5962-8601601 XA
85015013A
84065013A
8406602XA
8406601XA
5962-85016023A
5962-85016013A
84067012A
84067022A
84068012A
5962-87577012A
5962-87577022A
84069012A
5962-85528012A
87063-037
87063-038
87063-039
87063-041
87063-042
87063-043
870631044
MIL-R-83530/1-2000B
MIL-R-83530/1-2200D
87063-1)45
87063-046
87063-047
87063-048
87063-049
87063-050
87063-052
87063-051
87063-001
87063-002
87063-003
87063-004

DESCRIPTION
Dual power MOS drlver-<:erdlp
Dual power MOS driver-can
Waveform generator
Voltage reference/lndlcator
Voltage reference/lndlcator
Micro P-compatlble real time clock
Micro P-compatible real time clock
CMOS general purpose timer
CMOS ual general pupose timer
SPST 75 ohm high-level CMOS analog switch
Dual SPST 75 otim high-level CMOS analog switch
SPOT 75 ohm high-level CMOS analog switch
Dual SPOT 75 otim high-level CMOS analog switch
Dual SPOT 75 ohm high-level CMOS analog switch
DPST 75 ohm high-level CMOS analog switch
Dual DPST 75 otim high-level CMOS analog switch
8-channel Fault Protected CMOS analog multiplexer
16-channel Fault Protected CMOS analog
SPST h~h-Ievel CMOS analog switch
Dual SP T high-level CMOS analog switch
SPOT hit-level CMOS analog switch
Dual SP T high-level CMOS analog switch
Dual DPST high-level CMOS analog switch
CMOS anall:ll switch
4-channel d erentlal Fault Protected CMOS analog
Quad video switch
DPST high-level CMOS analog switch
8-channel CMOS analog multl~exer
Static 16-blt microprocessor-5 HZ
Static 16-blt microprocessor-8MHZ
8116 bit mlcroprocessor-5MHZ
Serial controller Interface
Programmable Interval-timer
Programmable peripheral Interface
Programmable peripheral Interface
Priority Interrupt controller
Prlo~ Interru~t controller
Octal atchlng us driver
Octal latching Inverting bus driver
Clock generator driver
Octal Ilus transceivers
Octal bus transceivers
Bus controller
Bus arbiter
Static 16-bit microprocessor-5MHZ
8116 bit mlcroprocessor-5MHZ
Serial controller Interface
Programmable Interval timer
Programmable peripheral Interface
Programmable peripheral Interface
Priority Interrupt controller
Priorl~ interru~t controller
Octal atching us driver
Octal latching Inverting bus driver
Clock generator driver
Octal Ilus transceivers
Octal bus transceivers
Bus controller
Bus arbiter
100V MOV varistor
100V MOV varistor
100V MOV varistor
12V MOV varistor
12V MOV varistor
12V MOV varistor
12V MOV varistor
130V MOV varistor
150V MOV varistor
150V MOV varistor
150V MOV varistor
150V MOV varistor
150V MOV varistor
180V MOV varistor
180V MOV varistor
180V MOV varistor
180V MOV varistor
122V MOV varistor
122V MOV varistor
122V MOV varistor
122V MOV varistor

Military Cross Reference - BY HARRIS PART NUMBER
HARRIS
DEVICE
V24ZA50
V275LA40B
V27ZA05
V27ZAl
V27ZA2
V27ZA4
V27ZA60
V320LA40B
V33ZA05
V33ZAl
V33ZA2
V33ZA5
V33ZA70
V38ZA80
V39ZA05
V39ZA1
V39ZA3
V39ZA6
V47ZA05
V47ZA1
V47ZA3
V47ZA7
V58ZA05
V58ZA2
V58ZA3
V58ZA8
V68ZA05
V68ZA10
V68ZA2
V68ZA3
V82ZA05
V82ZA12
V82ZA2
V82ZA4
HD4-15530
Hll-0507
MR60C86-2
Vl00ZA15
40MMDB
40MMDB
40MMDB
40MMDB
40MMDB
40MMDB
40MMDB
40MMDB
40MMDB
40MMDB
40MMDB
40MMDB

MILITARY REFERENCE

87063-005
MIL-R-83530I1-4300E
87063-006
87063-007
87063-008
87063-009
87063-010
MIL-R-8353011-5100E
87063-011
87063-012
87063-013
87063-014
87063-015
87063-016
87063-017
81063-018
87063-019
87063-020
87063-021
87063-022
87063-023
87063-024
87063-025
87063-026
87063-027
87063-028
87063-029
87063-032
87063-030
87063-031
87063-033
87063-036
87063-034
87063-035
76029013A
JM35510119003BXA
8405202XA
87063-040
90065-001
90065-004
90065-005
90065-007
90065-008
90065-013
90065-014
90065-016
90065-018
90065-019
90065-020
90065-022

DESCRIPTION

122V MOV varistor
250V MOV varistor
27V MOV varistor
27V MOV varistor
27V MOV varistor
27V MOV varistor
27V MOV varistor
320V MOV varistor
33V MOV varistor
33V MOV varistor
33V MOV varistor
33V MOV varistor
33V MOV varistor
36V MOV varistor
39V MOV varistor
39V MOV varistor
39V MOV varistor
39V MOV varistor
47V MOV varistor
47V MOV varistor
47V MOV varistor
47V MOV varistor
56V MOV varistor
56V MOV varistor
56V MOV varistor
56V MOV varistor
68V MOV varistor
68V MOV varistor
S8V MOV varistor
68V MOV varistor
82V MOV varistor
82V MOV varistor
82V MOV varistor
82V MOV varistor
Manchester encoder-decoder
Dlfferentlal8-Ch CMOS anal~mux
Static 16-blt microprocessor-8 HZ
l00V MOV varistor
HI-ReIMOV
HI-ReIMOV
HI-Rei MOV
HI-ReIMOV
HI-ReIMOV
HI-ReIMOV
Hi-ReIMOV
Hi-ReIMOV
Hi-ReIMOV
HI-Rei MOV
Hi-ReIMOV
HI-ReIMOV

Military Cross Reference - BY MIL REF NUMBER
MILITARY REFERENCE
5962-85016013A
5962-8501601YA
5962-85016023A
5962-8501602YA
5962-8512704XA
5962-8512704YA
5962-85131013A
5962-8513101XA
5962-8513101XA
5962-85131023A
5962-8513102XA
5962-85131032A
5962-8513103EA
5962-8513104XA
5962-8513106EA
5962-8515301CA
5962-8515401CA
5962-85528012A
5962-8552801 RA
5962-8601601QA
5962-8601601 XA
5962-8606101EA
5962-860620 1RA
5962-8670101JA
5962-86716012A
5962-8671601 EA
5962-8681201EA
5962-8681301 RA
5962-8681401 RA
5962-8681501 RA
5962-8681701 EA
5962-8681801 RA
5962-8681901 EA
5962-8682()()1 EA
5962-8682101 EA
5962-8682201JA
5962-8682301 EA
5962-8682501 EA
5962-868260 1EA
5962-8682701 EA
5962-8682801 EA
5962-8683101CA
5962-8684701 EA
5962-8684801 CA
5962-8685201 CA
5962-868530 1CA
5962-8685401 EA
5962-8685501 EA
5962-8685601 RA
5962-8685701RA
5962-86860012A
5962-8686001 EA
5962-8686701 RA
5962-8668001QA
5962-866800 1XA
5962-8688301CA
5962-8686401EA
5962-8668501JA
5962-8668601 EA
5962-8689001 CA
5962-8689101 EA
5962-87577012A
5962-8757701 RA
5962-87577022A
5962-8757702RA
5962-8764701CA
5962-876530 1JA
5962-876530 1JC
5962-876600 1GA
5962-8766001PA
5962-8767301 CA
5962-87673011A
5962-87677012A
5962-8767701 CA
5962-8768001 EA
5962-8772101CA
5962-8772201CA
5962-8772401 EA
5962-8772501 RA
5962-8773301EA

HARRIS
DEVICE
MR82C59A-5
MD82C59A-5
MR82C59A
MD82C59A
H11-574A
HI4-574A
HI4-o546
H11-o546
H11-o546
HI4-o547
H11-o547
HI4-o549
HI1-0549
IH5116MJI
IH5208MJE
CD54HC73
CD54HC107
MR82C89
MD82C89
MD8OC88
MR8OC88
CD54HC157
CD54HC563
CD54HCT154
HI4-o201HS
HI1-o201HS
CD54HC368
CD54HC533
CD54I-1C534
CD54HC564
CD54HC597
CD54HC668
CD54HC4049
CD54HC4050
CD54HC42
CD54HC154
CD54HC158
CD54HC173
CD54HC194
CD54HC195
CD54HC366
CD54HCTOO
CD54HC123
CD54HC126
CD54HCT32
CD54HCT74
CD54HCT161
CD54HCT165
CD54HCT573
CD54HCT668
HA4-4902
HA1-4902
CD54HCT373
HS1-3282
HS4-3282
CD54HCT08
CD54HC238
CD54HC646
CD54HC4538
CD54HCT14
CD54HC191
MR82C86H-5
MD82C86H-5
MR82C87H-5
MD82C87H-5
CD54HC03
ICM7170MDG
ICM7170MDG
ICL7667MTV
ICL7667MJA
DG18!lAP
DG180AA
HA4-5330
HA1-5330
CD54HC4060
CD54HC125
CD54HC4075
CD54HC193
CD54HCT273
CD54HC4511

DESCRIPTION
Priority Interrupt controller
Priority Interrupt controller
Priority Interrupt controller
Priority Interrupt controller
12 bit A to D converter
12 bit A to D converter
16 channel CMOS analog mux w/o.v. Protection
16 channel CMOS analog mux w/o.v. Protection
16 channel CMOS analog mux w/o.v. Protection
8-d1annel CMOS differential analog mux w/o.v. Protection
8 channel CMOS differential analog mux w/o.v. Protection
4 channel CMOS differential analog mux w/o.v. Protection
4 channel CMOS differential analog mux w/o.v. Protection
16-channel Fault Protected CMOS anal~
4-channel differential Fault Protected C S analog
Dual J-K flip-flop wlreset
Dual J-K flip-flop wlreset
Bus arbiter
Bus arbiter
8/16 bit mlcroprocessor-5MHZ
8/16 bit mlcroprocessor-5MHZ
Quad 2-lnput multiplexer
Octal transparent latch, 3-state, Inverting
4-\0-16 line decoderldemult~exer
High speed quad SPST CM S analog switch
High speed quad SPST CMOS analog switch
Hex bulfer/llne driver, 3-state, Invertin~
Octal transparent latch, 3-state, Invert ng
Octal D-type flip-flop, 3-state, Inverting
Octal D-type fli::;flop, 3-state, Inverting
8-blt shift regis r with VP latch
8-blt magnitude com~arator
Hex Inverting HIGH- -LOW level shifter
Hex HIGH-\o-LOW level shifter
4-\O-16-lIne decoderldemultiplexer
Quad 2-lnput multiplexer Inverting
Quad D-\ype flip-flop, 3-state
4-11it bidirectional universal shift register
4-blt parallel access shift register
Hex buffer/line driver, 3-state, Inverting
Quad 2-lnput NAND gate
Dual retriggerable monostable multlvibrator w/reset
Quad 3-state buffer
Quad 2-lnput OR gate
Dual D flip-flop wlSet and reset
Synchronous 4-blt binary counter, asynchronous reset
~rallel-ln serlal-out shift register
trans~ent latch, 3-state
8-bit magn de comparator
Precision quad comparator
Precision quad comparator
Octal transparent latch, 3-state
ARINC 429 bus Interlace
ARINC 429 bus Interlace
Quad 2-lnput AND fcJate
3-to-8 line decoder emultlplexer
Octal bus transcelver/~ster, 3-state
Dual retr~ prec monost Ie multlvibrator
Hex inve ng Schmitt Trigger
Synchronous 4-bit binary upldown counter
Octal bus transceivers
Octal bus transceivers
Octal bus transceivers
Octal bus transceivers
Quad 2-lnput NAND gate w/open collector
Micro P-compatible real time clock
Micro P-compatlble real time clock
Dual power MOS drlver-can
Dual power MOS driver-celdip
Dual SPST switch
Dual SPST switch
High speed sample and hold
High speed sample and hold
14-s~e binary~Ie counter w/oscillator
Quad -state Ii
r
Triple 3-input OR gate
Synchronous 4-11lt binary upldown counter
Octal D-¥pe flip-flf\: wlreset
BCD-to- segment atchldecoderldriver

Military Cross Reference - BY MIL REF NUMBER
HARRIS
DEVICE

MILITARY REFERENCE
5962-8775401 EA
5962-87783012A
5962-8778301 EA
5962-87784012A
5962-8778401 CA
5962-8778401 XA
5962-8778501XA
5962-87787012A
5962-8778701CA
5962-8780501 EA
5962-8780601 RA
5962-8780701 RA
5962-8780801 EA
5962-8780901 RA
5962-88502012A
5962-8850201 CA
5962-8855601 EA
5962-8857601 CA
5962-8860601 EA
5962-8862401JA
5962-8867101 EA
5962-8867201 EA
5962-8869OO13A
5962-8869OO1JA
5962-8869001 LA
5962-8869OO23A
5962-8869OO2JA
5962-8869OO2LA
5962-8875001 EA
51162-8875701 EA
5962-8875901 EA
5962-8877201CA
5962-8944501 JA
5962-8945801 EA
5962-895020 12A
5962-8950201 GC
5962-8950201PA
5962-8950303GA
5962-8950304CA
5962-8950701CA
5962-8954001JA
5962-8954001 LA

i!:i~~~

5962-8954002XA
5962-8954tlO12A
5962-8954801GC
5962-8954801 PA
5962-8960901 EA
5962-89627002A
5962-89627012A
5962-8962701GC
5962-8962701 PA
5962-8962702GC
5962-8962702PA
5962-89627032A
5962-8962703GC
5962-8962703PA
5962-89631012A
5962-8963101GA
5962-8963101 PA
5962-89632012A
5962-8963201GA
5962-8963201 PA
5962-89634012A
5962-8963401 CA
5962-89635012A
5962-8963501GC
5962-8963501 PA
5962-89636012A
5962-8963601 GC
5962-8963601 PA
5962-8964301XA
5962-89648012A
5962-8964801 CA
5962-89656022A
5962-8965602GC
5962-8965602PA
5962-89656032A

CD54HC4053
HA4-2400
HAl-2400
HA4-5190
HAl-5190
HA2-5190
HA2-2541
HA4-2539
HAl-2539
CD54HC221
CD54HC299
CD54HC377
CD54HC192
CD54HC640
HA4-5104
HAl-5104
CD54HC4052
CD54HC21
CD54HC237
CD54HCT4059
CD54HCT191
CD54HCT85
HM4-6642
HMl-6642
HM6-8842
HM4-6642B
HMl-6642B
HM6-6642B
IH5352MJE
CD54HCT4046
CD54HCT173
ICL8038AMJD
CD54HC4059
CD54HCT4020
HA4-2544
HA2-2544
HA7-2544
ICM7555MTV
ICM7556MJD
CD54HC4066
HMl-6617
HM6-8617
HM4-8617
HMl-6617B
HM6-8617B
HM4-8617B
HA4-5102
HA2-5102
HA7-5102
CD54HC4046A
~.A4-5137

HA4-5127
HA2-5127
HA7-5127
HA2-5137
HA7-5137
HA4-5147
HA2-5147
HA7-5147
HA4-5111
HA2-5111
HA7-5111
HA4-5112
HA2-5112
HA7-5112
HA4-5114
HAl-5114
HA4-5101
HA2-5101
HA7-5101
HA4-5002
HA2-5002
HA7-5002
HA2-2542
HA4-2540
HAl-2540
HA4-5142
HA2-5142
• A7-5142
HA4-5144

DESCRIPTION
Tr~e 2 channel analog multiplexer/demultiplexer
4 annel prog. op-amp
4 channel f,r::ll. o~amp
W1deband as se ling op-amp
W1deband fast settling op-amp
W1deband fast settling op:-amp
W1deband fast settling unity gain stable op-amp
High slew rate wldeband op-amp
High slew rate wldeband op-amp
Dual monostable multlvlbrator wlrBset
8-blt universal shift register, 3-state
Octal D-type lim-flOP with data enable
Synchronous CD decade upldown counter
Octal bus transceiver, 3-state Inverting
Quad low noise hl-perf op-amp
Quad low noise hl-perf op-am~
Dual 4-channel analog multip exerldemultlplexer
Dual4-lnput AND gate
3-10-8 line decoder with latch
Programmable dlvlded-by-"N" counterC352
Synchronous 4-b1t binary upldown counter
4-blt ma~nltUde comgarator
512 x8 se link PR M
512 x 8 fuse link PROM
512 x8 fuse link PROM
512 x 8 fuse link PROM
512 x 8 fuse link PROM
512 x 8 fuse link PROM
Quad video switch
Phase-lock loofc with VCO
Quad D-type fl p-f1op, 3-state
Waveform generator
Prog, divide by N counter
14 stage binary ripple counter
Video op-amp
Video op-amp
Video op-amp
CMOS general purpose timer
CMOS ual general pupose timer
Quad bilateral switch
2K x 8 luse link PROM
2K x 8 fuse link PROM
2K x 8 fuse link PROM
2K x 8 luse link PROM
2K x 8 fuse link PROM
2K x 8 fuse link PROM
Dual low noise hl-perf op-amp
Dual low noise hi-perf op-amp
Dual low noise hl-perf ~amp
Phase-locked loop with CO
Ultra low noise precision op-amp
Ultra low noise precision op-amp
Ultra low noise precision op-amp
Ultra low noise precision op-amp
Ultra low noise precision op-amp
Ultra low noise precision op-amp
Ultra low noise wideband op-amp
Ultra low noise wideband op-amp
Ultra low noise wideband op-amp
Low noise hl-performance op-amp
Low noise hl-performance op-amp
Low noise hl-performance op-amp
Dual low noise hi-perf op-amp
Dual low noise hl-perf op-amp
Dual low noise hi-perf op-amp
Quad low noise hl-perf op-amp
Quad low noise hi-perf op-amp
Low noise hi-performance op-amp
Low noise hi-performance op-amp
Low noise hl-performance op-amp
Current driver op-amp
Current driver op-amp
Current driver op-amp
High output current op-amp
W1deband fast settling op-amp
W1deband fast settling op-amp
Dual ultra low power op-amp
Dual ultra low power op-amp
Dual ultra low power op-amp
Quad ultra low power op-amp

Military Cross Reference - BY MIL REF NUMBER
MILITARY REFERENCE
5962-8965603CA
5962-8970001 EA
5962-8970101 EA
5962-8970201 EA
5962-8970301 CA
5962-8970401 CA
5962-8970501 EA
5962-8970701 EA
5962-8970801 EA
5962-8970901 CA
5962-8974001 RA
5962-8974301 EA
5962-8974501EA
5962-8974601 CA
5962-8974701CA
5962-8975101CA
5962-8976501 EA
5962-8976901 RA
5962-89nl 01 EA
5962-8984201 GA
5962-8984202GA
5962-8984301 CA
5962-8984401 CA
5962-8984501 CA
5962-8984901 RA
5962-8989001 CA
5962-8994601 EA
5962-8994701 MEA
5962-9050501 MEA
5962-9052401 MEA
5962-9052501 MQA
5962-9052502MQA
5962-9055301 EA
5962-9057401EA
5962-9059701 MEA
5962-9064001 CA
5962-9065101 MCA
5962-9065201MEA
5962-9070101MEA
5962-9070201MEA
5962-9070301 MEA
5962-9070501 MEA
5962-9070601 MEA
5962-9075801MEA
5962-9084901 MCA
5962-8682401 EA
n02301EA
n02501EA
n03201JA
n03702EA
n04402CA
n04701EA
n04801EA
n0520-12A
n05201EA
n05201EC
n052022A
n05202EA
n05203EA
78003022A
7800302GC
7800302PA
78029013A
7802901JA
80016012A
8001601CA
8100604EA
8100609EAIINT
8100609EAlMLB
8100610EAIINT
81 0061 OEAlMLB
8100611 EAIINT
81 00611 EAlMLB
81006122A
8100612EAlINT
8100612EAlMLB
8100613EA1INT
8100613EAlMLB
81006142A
8100614EAIINT
8100614EAlMLB

HARRIS
DEVICE
HAI-5144
Co54HCT123
Co54HCTI75
Co54HCTI12
Co54HCT27
Co54HCTI64
Co54HCT257
Co54HCTI62
Co54HCT258
Co54HCTll
Co54HCT64O
Co54HCTI74
Co54HCT238
Co54HCT30
Co54HCT04
C054HCT02
Co54HC283
Co54HCT3n
Co54HCT4060
ICL8211MTY
ICL8212MTY
Co54HCT10
Co54HCT86
Co54HCTI32
Co54HCT534
Co54HCT393
C054HCI90
Co54HCT4040
Co54HCTI53
Co54HCT251
Hol-6402R
Hol-6402B
Co54HC40103
Co54HCT40102
Co54HCT4017
C04016B
Co54HCTI26
Co54HCTI51
Co54HCT109
Co54HCTI57
Co54HCTI58
Co54HCTI60
Co54HCT367
Co54HCT75
C054HCT107
C054HCI60
C04520B
C04094B
C04515B
C04585B
C04078B
C04555B
C04556B
Hll-Q508
IH6108MJE
Hll-Q508
HI4-Q548
Hll-0548
IH5108MJE
HA4-2640
HA2-2640
HA7-2640
H04-15530
Hol-15530
HA4-2420
HAI-2420
IH5043MJE
IH5140MJE
HII-5040
IH5141MJE
HII-5041
IH5142MJE
HII-5J42
HI4-5043
IH5143MJE
HII-5043
IH5144MJE
HII-5044
H14-5045
IH5145MJE
HII-5045

DESCRIPTION
Quad ultra low ~ower op-ame
Dual ratrlggera Ie monostab e multlvlbrator w/set and reset
Quad o-'f.e fllp-II~W/raset-not In data base
Dual J-K p-flOJl w t and rasat
Triple 3-lneut NOR gate
8-blt serla -In parallel-out shift register
Quad 2-lnput multiplexer, 3-$tate
Synchronous BCD decade counter, synchronous reset
Quad 2-lIne-Io-4-lIne data selector
Triple 3-ln~ut AND gate
Octal bus anscalver, 3-stata, Inverting
Hex o-type flip-flop w/reset
3-to-8 line deCoderldemultipleX8r
8-lnput NAND
Hex Inverter
Quad 2-lnput NOR gate
4-blt binary full adder wllast carry
Octal o-type flip-flop with data enable
14-stage binary r:Ifnle counter wlosclllator
Voltage rafaranc ndlcator
Voltage raferancallndlcator
Triple 3-lnput NAND gate
Quad 2-lnput exclusive OR gate
Quad 2-lnput NAND Schmitt Trigger
Octal o-~~ flip-flop, 3-$tate, Inverting
oUal4-b In~ 1&le counter
Prasettable S
0 u~own counter
12-b1t binary ripple coun er
oUal4-lnput multiplexer
8-ln~ut multiplexer, 3-state
UA T2MH
UART8MH
8-blt bln~ down counter
8-blt syn ronous BCD down counter
Johnson decade counter with 10 decoded outputs
Quad bilateral switch
Quad 3-state buffer
8-lnput multiplexer
Dual J-K flip-flop wlset and rasat
Quad 2-lnput multiplexer
Quad 2-lnput multiplexer, Inverting
Synchronous BCD decade counter,asynchronous reset
Hex bufferlline driver, 3-state
Quad bistable transparent latch
Dual J-K IIlp-fl9J) w/rasat
Synchronous BCD decade counter, asynchronous raset
Dual bln~ up counter
8-sta~e sh ft-and-store bus register
4-blt atch/4-to-I6 line daooder (outputs low)
4-blt m~nltude comparator
8-lnput ORlO~ate
ouall~f-4 d
erldemultiplexer !outputs high)
ouall-of-4 decoderldemultiplexer outputs low)
8 channel CMOS analog mux
8-ohannel CMOS analog multiplexer
8 channel CMOS analog mux
8-ohannel CMOS analog mux w/o_v_ Protection
8-ohannel CMOS analog mux w/o_v_ Protection
8-ohannel Fault Protected CMOS analog multiplexer
High voltage op-amp
High voltage op-amp
High voltage op-amp
Manchester encoder-dacoder
Manchester encoder-dacoder
High speed sample and hold
High meed sam~le and hold
Dual PDT 75 0 m h~h-Ievel CMOS analog switch
SPST h~h-Ievel CMO analog switch
Single S ST CMOS anal~ switch
Dual SPST h~h-Ievel CM S analog switch
Dual SPST C OS analog switch
SPOT hl~h-Ievel CMOS analog switch
Single S oT CMOS analog switch
Dual SPOT CMOS anal~ switch
Dual SPOT h~h-Ievel C OS analog switch
Dual SPOT C OS analog switch
oPST hl~h-Ievel CMOS analog switch
Single S ST CMOS analog switch
Dual oPST CMOS anal~ switch
Dual oPST high-level C OS analog switch
Dual SPST CMOS analog switch

Military Cross Reference - BY MIL REF NUMBER
MILITARY REFERENCE
8100615EAJMLB
8100618EAJMLB
8100617EAJMLB
8100618EAJMLB
8100619EAJMLB
81006202A
8100620EAJMLB
8100621EAJMLB
81006222A
8100622EAJ1NT
8100622EAJMLB
8101802EA
8101801EA
81 02001 CA
8102401VA
8102402VA
8102403VA
8102404VA
8102405VA
8102408VA
81083-018
8403801JA
8403801ZA
8403802JA
8403802ZA
8403803JA
8403803ZA
8403806JA
8403808ZA
8403807JA
8403807ZA
8403701CA
8403801CA
8403901CA
8404001CA
84041 01 CA
8404201CA
8404401CA
8404501CA
8404601CA
8404701CA
8404601CA
8405201QA
8405201XA
8405202QA
8405202XA
8405801CA
8408201EA
8405401EA
84065013A
8406501JA
8408801QA
8408801XA
8408802QA
8408802XA
84087012A
8408701RA
84087022A
8408702RA
84088012A
8408801VA
84089012A
8408901RA
8407001EA
8407101RA
8407201RA
8407301EA
8407401RA
8407501EA
8406501RA
8408801EA
8408901EA
8409001CA
8409101CA
8409201EA
8409301EA
8409501EA
8409601RA
8409801CA
8409901RA
8410001CA

HARRIS
DEVICE
HI 1-5046
HI1-5046A
HI1-5047
HI1-5047A
HI1-5046
H14-5049
H11-5049
HI1-5050
HI4-5051
lH5151MJE
HI1-5051
CD4029B
CD4053B
CD4047B
HM1-6504S
HM1-6514S
HM1-6504B
HM1-6514B
HM1-6504
HM1-6514
V39ZA1
HM1-6518
HM4-6516
HM1-65182
HM4-65182
HM1-65182C
HM4-65182C
HM1-65182B
HM4-65182B
HM1-6516B
HM4-6516B
CD54HCOO
CD54HC10
CD54HC20
CD54HC30
CD54HC02
CD54HC27
CD54HC4002
CD54HC32
CD54HC88
CD54HC08
CD54HC11
MDOOC88
MROOC88
MD80C88-2
MROOC88-2
CD54HC74
CD54HC138
CD54HC147
MR82C54
MD82C54
MD82C55A-5
MR82C55A-5
MD82C55A
MR82C55A
MR82C82
MD82C82
MR82C83H
MD82C83H
MR82C84A
MD82C84A
MR82Caa
MD82Caa
CD54HC75
CD54HC374
CD54HC373
CD54HC174
CD54HC240
CD54HC161
CD54HC245
CD54HC112
CD54HC175
CD54HC243
CD54HC14
CD54HC139
CD54HC153
CD54HC165
CD54HC244
CD54HC04
CD54HC273
CD54HC393

DESCRIPTION
Single DPDT CMOS analog switch
Singe DPDT CMOS anal~ switch
DP T CMOS analog swlle
DPDT CMOS analog switch
Dual SPST CMOS analog switch
Dual DPST CMOS analog switch
Dual DPST CMOS analog switch
SPDT CMOS analog switch
Dual SPOT CMOS analog switch
CMOS analruwltch
Dual SPOT C OS analog switch
Presettable upldown counler
Triple 2 channel analog multlplexerldemultlplexer
MonostablafA-stable multivlbrator
4K x 1 synchronous RAM
1K x 4 synchronous RAM
4K x 1 synchronous RAM
1K x 4 synchronous RAM
4K x 1 synchronous RAM
1K x 4 s~chronous RAM
39V MO varistor
2K x 8 synchronous RAM
2K x 8 synchronous RAM
2K x 8 asynchronous RAM
2K x 8 asynchronous RAM
2K x 8 asynchronous RAM
2K x 8 asynchronous RAM
2K x 8 asynchronous RAM
2K x 8 asynchronous RAM
2K x 8 synchronous RAM
2K x 8 sr.::chronous RAM
Quad 2- nput NAND gate
Triple 3-lnput NAND gate
Dual4-lnput NAND gate
8-lnput NAND,llate
Quad 2-lnput OR gate
Triple 3-lnput NOR gate
Oual 4-lnput NOR gate
Quad 2-lnput OR gate
Quad 2-lnput exclusive OR gate
Quad 2-lnput AND gate
Triple 3-lnllut AND gate
Static 16-blt mlcroprocessor-5MHZ
Static 16-blt mlcroprocessor-5MHZ
Static 16-bit mlcroprocessor-8MHZ
Static 16-blt mlcroprocessor-8 MHZ
Dual 0 flip-flop w/set and reset
3-t0-8-lIne dei:oderldemu~lplexer, Inverting
1O-to-4-lIn~rlority encoder
Programm Ie Interval timer
Programmable Interval-timer
Programmable peripheral Interface
Programmable peripheral Interface
Programmable peripheral Interface
Programmable perls,herallnterface
Octal latching bus river
Octal latching bus driver
Octal latching Inverting bus driver
Octal latching Inverting bus driver
Clock generator driver
Clock generator driver
Bus controller
Bus controller
Quad bistable transparent latch
Octal D-type flip-flop, 3-state
Octal transparent latch, 3-state
Hex D-~ flip-flop w/reset
Octal b eriline driver, 3-state, Inverting
Synchrounous 4-blt binary counter, asynchronous reset
Octal bus trancelver, 3-state
Dual J-K flip-flop w/set and reset
Quad D-~ flip-flop w/reset
Quad bus anscelver, 3-state
Hex Invertl~ Schmitt T~~er
Dual 2-of-4- ne dacode~ emultlplexer
Dual4-lnput multiplexer
"Wuallel-lnlSerial-out shift register
Oct bulferlline driver, 3-state
Hex Inverter
Octal D-~e flip-flop w/reset
Dual4-bit Inary ripple counter

7)

Military Cross Reference - BY MIL REF NUMBER
HARRIS
DEVICE

MILITARY REFERENCE
8412801EA
8413201RA
8413201'"
8413203RA
8413203'"
8415001EA
8418201CA
8404302CA
85001 01 EA
8500201EA
8500301EA
8500401EA
85015013A
8501501XA
8509401EA
8512401EA
8512501EA
8512801RA
8513001RA
8550401EA
8550501RA
8550801RA
8550701RA
8551901EA
8601 001 CA
8601101EA
B801201CA
B801301EA
8607601EA
860n01CA
87063-001
87063-002
87063-003
87063-004
87063-005
87063-008
87063-007
87063-008
87063-009
87~10
87~11
87~12

87063-013
87063-014
87063-015
87063-018

87~17

87063-019
87063-020
87063-021
87063-022
87063-023
87063-024
87063-025
87063-028
87063-027
87063-028
87063-029
87063-030
87063-031
87063-032
87063-033
87063-034
87063-035
87063-036
87063-037
87063-036
87063-039
87063-040
87063-041
87063-042
87063-043
87063-045
87063-048
87063-047
87063-048
87063-049
87063-050
87063-051
87063-052
870831044

,

CD54HC151
HM1-65262
HM4-65282
HM1-65262B
HM4-65262B
CD54HC109
CD54HC154
CD54HC7266
CD54HC385
CD54HC367
CD54HC4020
CD54HC4040
MR82C52
MD82C52
CD54HC182
CD54HC257
CD54HC251
CD54HC573
CD54HCT244
CD54HCT138
CD54HCT240
CD54HCT245
CD54HCT374
CD54HC259
CD54HCU04
CD54HC4017
CD54HC4024
CD54HC85
CD54HC163
CD54HC280
V22ZA05
V22ZA1
V22ZA2
V22ZA3
V24ZA50
V27ZA05
V27ZA1
V27ZA2
V27ZA4
V27ZA60
V33ZA05
V33ZA1
V33ZA2
V33ZA5
V33ZA70
V38ZA80
V39ZA05
V39ZA3
V39ZA8
V47ZA05
V47ZA1
V47ZA3
V47ZA7
V56ZA05
V56ZA2
V56ZA3
V56ZA8
V68ZA05
V88ZA2
V88ZA3
V68ZA10
V82ZA05
V82ZA2
V82ZA4
V82ZA12
V100ZA05
V100ZA3
V100ZA4
V100ZA15
V120ZA05
V120ZA1
V120ZA4
V150ZA05
V150ZA1
V150ZA4
V150ZA8
V180ZA05
V180ZA1
V180ZA5
V 180ZA1 0
V12OZA8

DESCRIPTION
8-lnput multflllexer
2K x 8 SRAM CDIP
2K x 8 SRAM LeC
2K x 8 SCRAM CDIP
2K x 8 SCRAM LeC
Dual J-K fttnflop w/set and reset
8-blt serlaI- nlparellel-out shift register
Quad exclusIVe NOR
Hex buffer/llne driver, 3-state
Hex buffer/llne driver, 3-state
14 ~ binary ripple counter
12-b1t nary rIjlple counter
Serial controller Interface
Serial controller Interface
Synchronous BCD decade counter, asynchronous reset
8-Input multiplexer, 3-state
Octal transparent latch, 3-&tete
Octal buller/llne driver, 3-11_
3-to-&-lIne decoderldemultlplexer, Inverting
Octal buller/llne driver, 3-11_, Inv8t'tlng
Octal buller transceiver, 3-&tete
Octal D-Iype ftfJ)-fklp, 3-state
8-b1t addressable hatch
Hex Inverter (unbuffered)
Johnson dec8de counter w/1 0 decoded outputs
7-stage binary ripple counter
4-blt magnitude comrarator
Synchronous 4-b1t b nary counte~ synchronous reset
9'l)lt oddIeve~rIty generator/c eCker
122V MOV v stor
122V MOV varistor
122V MOV varistor
122V MOV varistor
122V MOV varistor
27V MOV varistor
27V MOV varistor
27V MOV varistor
27V MOV varistor
27V MOV varistor
33V MOV varistor
33V MOV varistor
33V MOV varistor
33V MOV varistor
33V MOV varistor
36V MOV varistor
39V MOV varistor
39V MOV varistor
39V MOV varistor
47V MOV varistor
47V MOV varistor
47V MOV varistor
47V MOV varistor
56V MOV varistor
56V MOV varistor
56V MOV varistor
56V MOV varistor
eev MOV varistor
eev MOV varistor
eev MOV varistor
eev MOV varistor
82V MOV varistor
82V MOV varistor
82V MOV varistor
82V MOV varistor
100V MOV varistor
100V MOV varistor
100V MOV varistor
100V MOV varistor
12V MOV varistor
12V MOV varistor
12V MOV varistor
150V MOV varistor
150V MOV varistor
150V MOV varistor
150V MOV varistor
180V MOV varistor
180V MOV varistor
180V MOV varistor
180V MOV varistor
12V MOV varistor

Military Cross Reference - BY MIL REF NUMBER
MILITARY REFERENCE
9055701EA
JAN89007
JAN89007
JAN89007
JAN89007
JAN89009
JAN89009
JAN89009
JAN89009
JAN89025
JAN89025
JAN89025
JAN89025
JAN89026
JAN89026
JAN89026
JAN89026
JM38510119002BXA
JM38510119003BXA
JM38510119004BXA
JM38510119005BEA
JM3851 0105001 BCA
JM38510105002BCA
JM38510105003BCA
JM3851 0105051 BCA
JM38510105052BCA
JM38510105053BCA
JM385101051 01 BCA
JM38510105102BEA
JM3851 0105151 BCA
JM38510105152BEA
JM3851 0105201 BCA
JM38510105203BCA
JM38510105204BCA
JM38510105252BCA
JM38510105253BCA
JM38510105254BCA
JM3851 0105301 BCA
JM38510105302BEA
JM3851 0105351 BCA
JM38510105352BEA
JM38510105353BCA
JM3851 0105451 BEA
JM38510105503BEA
JM38510105504BEA
JM38510105553BEA
JM38510105554BEA
JM38510105555BCA
JM38510105601BEA
JM38510105603BEA
JM38510105805BCA
JM3851 0105651 BEA
JM38510105652BEA
JM38510105653BEA
JM38510105654BEA
JM38510105655BCA
JM3851 0105701 BCA
JM38510105702BEA
JM38510105703BEA
JM38510105704BEA
JM38510105705BEA
JM38510105752BEA
JM38510105753BEA
JM38510105754BEA
JM38510105852BCA
JM3851 0105951 BEA
JM38510105804BEA
JM38510110501BEA
JM38510110502BEA
JM3851011 0503BEA
JM38510110504BEA
JM3851011 0505BEA
JM38510110508BEA
JM38510111601BCA
JM38510111602BCA
JM38510111603BCA
JM38510111604BCA
JM38510112202BGA
JM38510112203BGC
JM38510112203BGC

HARRIS
DEVICE
CD4S38B
2N7123
2N7124
2N7125
2N7126
2N7119
2N7120
2N7121
2N7122
2N7241
2N7242
2N7243
2N7244
2N7224
2N7225
2N7227
2N7228
HIH)546
H11-0507
HIH)547
H11-0548
CD4011A
CD4012A
CD4023A
CD4011B
CD4012B
C04023B
CD4013A
CD4027A
C04013B
CD4027B
CD4000A
CD4002A
CD4025A
CD4001B
CD4002B
CD4025B
CD4007A
CD4019A
CD4007UB
CD4019B
C04030B
CD4008B
CD4049A
CD4050A
CD4049UB
C04050B
CD4041UB
CD4017A
CD4020A
CD4024A
CD4017B
CD4018B
CD4020B
CD4022B
CD4024B
CD4008A
CD4014A
CD4015A
C04021 A
CD4031A
C04014B
CD4015B
CD4021B
CD4066B
C04028B
CD4022A
IH5040MDE
IH5041MDE
IH5042MDE
IH5043MDE
IH5044MDE
IH5045MDE
DG300AAP
DG301AAP
DG302AAP
DG303AAP
HA2-2600
HA2-2620
HA2-2600

DESCRIPTION
Dual plllCislon monostable multlvlbrator
100VI38A, N-CH MOSFET
200VI3OA, N-CH MOSFET
400VI14A, N-CH MOSFET
500VI12A, N-CH MOSFET
100Vl14A, N-CH MOSFET
200VI9A, N-CH MOSFET
400Vl5.5A, N-CH MOSFET
500Vl4.5A, N-CH MOSFET
100Vl14A, N-CH MOSFET
200VI9A, N-CH MOSFET
400Vl5.5A, N-CH MOSFET
500Vl4.5A, N-CH MOSFET
100VI3OA, N-CH MOSFET
200V127A, N-CH MOSFET
400Vl12A, N-CH MOSFET
500VI13A, N-CH MOSFET
Single 16-Ch CMOS analog mux w/o.v. Protection
Differential 6-Ch CMOS analog mux
Dlfferentlal8-Ch CMOS analog mux w/o.v. Protection
Single 8-Ch CMOS analog mux w/o.v. Protection
Quad 2-lnput NAND gate
Dual4-lnput NAND gate
Triple 3-lnput NAND gate
Quad 2-lnput NAND gate
Dual 4-lnput NAND gate
Triple 3-lnput NAND gate
Dual 0 flip-flOro; with set/reset capability
Dual J-K fllp- op with set/reset capability
Dual 0 fll~-flOro; with set/reset capabllt
Dual J-K ip- ~ with set/reset capablll
Dual 3 Input N R gate plus Inverter
Dual 4 Input NOR gate
Triple 3-lnput NOR gate
Quad 2 Input NOR gate
Dual 4 Input NOR gate
Triple 3-lnput NOR gate
Dual comglementary pair plus Inverter
Quad AN (OR select gate
Dual comglementary pair plus Inverter
Quad AN (OR select gate
Quad Excluslve-QR gate
4 Bit full adder with parallel carry out
Hex buffer/converter rvertlng)
Hex bufferlccnverter non-Inverting)
Hex bufferlccnverter inverting)
Hex buffer/converter non-Inverting)
Quad truelccmplement buffer
Decade counterldlvlder
14-stage binary rlpele counter
7-stage binary r~ e counter
Decade counte~ Ivlder
Presettable divide
N counter
14-stage blna;;y rlpp e counter
Octal counte~ iviller
7-stage bln:rc rl~le counter
18-stage s c s ft replster
8-stage static shift register
Dual 4 stage static shift register
8-stage static shift register
64 stage static shift replster
8-stage static shift :ter
Dual 4 stage static sh register
8-s:;:pe static shift register
Qu bilateral switch
BCD-to-declmal decoder
Octal counterldlvlder
SPST 75 ohm high-level CMOS analog switch
Dual SPST 75 ohm high-level CMOS analog switch
SPOT 75 ohm high-level CMOS analog switch
Dual SPOT 75 ohm high-level CMOS analog switch
DPST 75 ohm high-level CMOS analog switch
Dual DPST 75 onm h~h-Ievel CMOS analog switch
TTL compatible CMO analog SW
TTL compatible CMOS analog SW
TTL compatible CMOS analog SW
TTL compatible CMOS analog SW
Wideband high Impedance amp
Wideband (uncomp) amp
Wideband high Impedence amp

:1.

7.

Military Cross Reference - BY MIL REF NUMBER
MILITARY REFERENCE

HARRIS
DEVICE

JM38510112203BPA
JM38510112203BGA
JM38510/12204BGC
JM38510/12204BPA
JM38510112205BGA
JM38510112205BGC
JM38510/12205BPA
JM38510/12206BGA
JM38510/12206BGC
JM38510/12206BPA
JM38510112302BEA
JM38510/17001 BCA
JM38510/17002BCA
JM38510/17003BCA
JM38510117101 BCA
JM38510117102BCA
JM38510117103BCA
JM38510/17201 BCA
JM38510117202BCA
JM38510117203BCA
JM38510/17204BCA
JM38510117401 BCA
JM38510117403BEA
JM38510117504BEA
JM38510/17601BEA
JM38510119001BXA
JM38510119006BEA
JM38510119007BEA
JM38510119008BEA
JM38510124501BVA
JM38510124502BVA
JM38510129102BJA
JM38510129102BXA
JM38510129103BRA
JM38510/29103BYA
JM38510129104BJA
JM38510129104BXA
JM38510129109BRA
JM38510129109BYA
JM38510/29110BJA
JM38510/29205BXA
JM38510129205BYA
JM38510112203BPA
MIL-R-6353011-2000B
MIL-R-6353011-2200D
MIL-R-63530/1-4300E
MIL-R-63530/1-51 OOE
MIL-S-19500/368
MIL-$-19500/368
MIL-S-19500/384
MIL-$-19500/384
MIL-S-19500/439
MIL-$-19500/439
MIL-S-19500/456
MIL-$-19500/456
MIL-S-19500/461
MIL-$-19500/461
MIL-$-19500/461
MIL-$-19500/465
MIL-S-19500/465
MIL-$-19500/468
MIL-$-19500/488
MIL-$-19500/504
MIL-$-19500/504
MIL-S-19500/505
MIL-$-19500/505
MIL-S-195001523
MIL-S-19500/523
MIL-$-19500/523
MIL-S-19500/525
MIL-S-195001525
MIL-S-195001526
MIL-S-195001527
MIL-S-19500/527
MIL-S-19500/527
MIL-S-19500/528
MIL-S-19500/528
MIL-S-19500/537
MIL-S-19500/537
MIL-$-19500/538
MIL-S-19500/538

HA7-2600
HA2-2620
HA2-2500
HA7-2500
HA2-2510
HA2-2510
HA7-2510
HA2-2520
HA2-2520
HA7-2520
DG201AAP
C04081B
C04082B
C04073B
C04071B
CD4072B
C04075B
C04085B
C04086B
C04070B
C04077B
C04069UB
C04502B
C04098B
CD4099B
H11-0506
Hl1-o549
HI1-0508
HI1-0509
HMl-6504
HMl-6514
HMl-6516
HM4-6516
HMl-65262
HM4-65262
HMl-65162
HM4-65162
HMl-65262B
HM4-65262B
HMl-65162B
HMl-65642B
HM4-65642B
HA7-2620
Vl30LA20B
Vl50LA20B
V275LA40B
V320LA40B
2N3440
2N3439
2N3584
2N3585
2N5039
2N5038
2N5302
2N5303
2N6211
2N6212
2N6213
2N54158
2N5416S
2N5672
2N5671
2N6284
2N6283
2N6287
2N6286
2N6383
2N6384
2N8385
2N6546
2N6547
2N3879
2N6646
2N6649
2N6650
2N6033
2N6032
2N6674
2N6675
2N6676
2N6678

DESCRIPTION
Wldeband high Impedance amp
Wldeband (uncomp) amp
Precision hogh slew rate amp
Precision high slew rate amp
High slew rate amp
High slew rate amp
High slew rate amp
High slew rate Jncom~ amp
High slew rate uncomp amp
High slew rate uncomp am~
Quad SPST C OS an og W
Quad 2-lnput AND gate
Dual4-lnput AND gate
Triple 3-lnput AND gate
Quad 2-lnput OR gate
Dual 4-lnput OR gate
Triple 3-ll:I!ut OR gate
Dual 2-w e, 2-lnput AND/OR/INVERT~O~.pate
Expandable 4-wider 2-lnput AND/OR/I E (AOI) gate
Quad excluslve-DR ~ate
Quad exclusive-NO gate
Hex Inverter
Hex Inverterlbuffer (3 state)
Dual monostable multlvlbrator
8-blt addressable latch
Single 16-Ch CMOS analog mux
Dllferentlal4-Ch CMOS analog mux w/o.v. Protection
8 channel CMOS analog mux
4 channel CMOS differential analog mux
4K x 1 synchronous RAM
1K x 4 synchronous RAM
2K x 8 synchronous RAM
2K x 8 synchronous RAM
16K x 1 asynchronous RAM
16K x 1 asynchronous RAM
2K x 8 asynchronous RAM
2K x 8 asynchronous RAM
16K x 1 asynchronous RAM
16K x 1 asynchronous RAM
2K x 8 asynchronous RAM
8K x 8 asynchronous RAM
8K x 8 asJnchronous RAM
Wldeban (uncomp) amp
130V MOV varistor
150V MOV varistor
250V MOV varistor
320V MOV varistor
250v/1A, N-P-N
350V11 A, N-P-N
250V/2A, N-P-N
300V/2A, N-P-N
75V/20A, N-P-N
90V/20A, N-P-N hi-speed
60V/30A, N-P-N
80V/30A, N-P-N
-275v/-2A, P-N-P
-300v/-2A, P-N-P
-350V/-2A, P-N-P
-200v/-1 A, P-N-P
-300V/-1A, P-N-P
120V/30A, N-P-N hi-speed
90V/30A, N-P-N
100V/20A"tP-N
80V/20A, -P-N
-l00V/-20A P-N-P
-B0V/-20A, P-N-P
40V110A, N-P-N
60v/10A, N-P-N
80V110A, N-P-N
300VI15A, N-P-N
400VI15A, N-P-N
7SVnA, N-P-N hi-speed
-40v/-10A, P-N-P
-60V/-1 OA, P-N-P
-B0v/-10A, P-N-P
120V/5OA, N-P-N
90V150A, N-P-N
300V/20A, N-P-N hl-speed
400VI2OA, N-P-N hi-speed
300V/20A, N-P-N hi-speed
400V/20A, N-P-N hi-speed

Military Cross Reference - BY MIL REF NUMBER
MILITARY REFERENCE

HARRIS
DEVICE

DESCRIPTION

MIL-S-19500I542A
MIL-S-l950OI542A
MIL-S-l950OI542A
MIL-S-l950OI542A
MIL-S-l950OI543A
MIL-S-l950OI543A
MIL-S-l950OI543A
MIL-S-l950OI543A
MIL-S-l950OI555
MIL-S-l950OI555
MIL-S-l950OI555
MIL-S-l950OI555
MIL-S-l950OI558
MIL-S-l950OI558
MIL-S-19500I558
MIL-S-19500I557
MIL-S-19500I557
MIL-S-19500I557
MIL-S-195OO1557
MIL-S-l950OI583
MIL-S-19500I583
MIL-S-19500I564
MIL-S-l950OI564
MIL-S-l950OI585
MIL-S-19500I585
MIL-S-19500I585
MIL-S-19500I585
MIL-S-l950OI566
MIL-S-l950OI566
MIL-5-19500I566
MIL-S-l950OI566
MIL-S-19500I569
MIL-S-l950OI569
MIL-S-19500I569
MIL-S-l950OI569
JM38510105202BOA
JM385101122Q4BGA

2N6756
2N6758
2N6760
2N6762
2N6764
2N6766
2N6766
2N6770
2N6766
2N6790
2N6792
2N6794
2N6762
2N6766
2N6764
2N6796
2N6796
2N6600
2N6602
2N6649
2N6651
2N6649
2N6651
2N6695
2N6697
2N6696
2N6696
2N6902
2N6901
2N6904
2N6903
2N6966
2N6967
2N6966
2N6969
OD4001A
HA2-2500

100V/14A, N-CH MOSFET
200V/9A, N-CH MOSFET
400VI5.5A, N-CH MOSFET
500V14.5A, N-CH MOSFET
100VI38A, N-CH MOSFET
200V13OA, N-CH MOSFET
400V/14A, N-CH MOSFET
500Vll2ANN-CH MOSFET
100V16A, -CH MOSFET
200VI3.5A, N-CH MOSFET
400V/2A, N-CH MOSFET
500Vl1.5A, N-CH MOSFET
100VI3.5A, N-CH MOSFET
200V/2.25A, N-CH MOSFET
200V/2.25AN
N-CH MOSFET
100VI5.5A, -CH MOSFET
100V/8A, N-CH MOSFET
400V13A, N-CH MOSFET
500V/2.5A, N-CH MOSFET
100VI6.5A, P-CH MOSFET
200Vl4A, P-CH MOSFET
100V/2.5A, poOH MOSFET
200Vl4A, P-CH MOSFET
100V/1.5A, P-CH MOSFET
100V/12A, P-CH MOSFET
100V/25A, P-CH MOSFET
100V16A, P-CH MOSFET
100Vl-12A, N-CH MOSFET
100Vl1.5A, N-CH MOSFET
200Vl~A, N-CH MOSFET
200Vl1.5A, N-CH MOSFET
100V/15A, N-CH MOSFET
200V/13A, N-CH MOSFET
400Vn.5A, N-CH MOSFET
500V/16A, N-CH MOSFET
Quad 2 In~ut NOR gate
Precision Igh slew rate amp

40MMDB
40MMDB
40MMDB
40MMDB
40MMDB
40MMDB
40MMDB
40MMDB
40MMDB
40MMDB
40MMDB
40MMDB

90065-001
90065-004
90065-005
90065-007
90065-006
90065-013
90065-014
90065-016
90065-016
90065-019
90065-020
90065-022

HI-Rei MOV
HI-Rei MOV
HI-Rei MOV
HI-Rei MOV
HI-Rei MOV
HI-Rei MOV
HI-ReIMOV
HI-ReIMOV
HI-RelMOV
HI-Rei MOV
HI-ReIMOV
HI-ReIMOV

7

Rad-Hard Products

Discrete Devices
Power MOSFETs
Power MOSFETs

10K Rad (Si) Total Dose
100K Rad (Si) Total Dose

Operational Amplifiers

Communication Circuits
16530 Manchester Encoder/Decoder
SAJI-1H CMOS Process
Functional Total Dose 1 x 105 Rad (Si)
Latch Up Free
5 x 1011 Rad (Si)/S

Bipolar 01 Process
Neutron Fluence
Gama Rate
Gama Dose

5x 1012 n/cm2 (E > 10KeV)
1 x 102 Rad (Si)/s
1 x 105 Rad (Si)

MultiDlexers & Switches
01 CMOg Process
Gama Rate
GamaDose

Densities tro 1K
Functional Total Dose
Data Upset
Latch Up Free

1 x 108 Rad (Si)/s
1 x 105 Rad (Si)
1 x 105 Rad (Si)
> 1 x 108 Roo (Si}/s
>1 x 1012 Rad (Si)/s

Line Transmitters/Receivers
Bipolar DI Process
Total Dose
Transient Upset

2 x 104 Rad (Si)
>1 x 108 Rad {Si)/s
>1 x 1011 Rad (Si)/S

2 x 105 Rad (Si)
1 x 109 Rad (Si)/s

Microprocessors & Peripherals

TSOS-4 SOl Process
Densities 64K and UP
Total Dose
1 x 106 Rad (Si)
Transient Upset
1 x 1011 Rad (Si}/S
SEU
1 x 10-12 Errors/Sit-Day

Microprocessors
80C85 Family
Sandia <./3 CMOS Process
Total Dose 5
Transient Upset
SEU
Latch Up Free

1 x 105 Rad (Si)
>1 x 108 Rad (Si)/S
1 x 10-10 Errors/Bit-Day
>1 x 1012 Rad (Si)/s

8OC86 Family
SAJI-4H CMOS Process
Total Dose
>1 x 105 Rad (Si)
Transient Upset
>1 x 108 Rad (Si)/s
SEU
1 x 10-10 Errors/Bit-Day
Latch Up Free EPI CMOS

HCS/HCTS Logic
LTSOS SOl Process
1 x 106 Rad (Si)
1 x 10-10 Errors/Bit-Day

TSOS-4 SOl Process

80C85 Family
Sandia <./3 CMOS Process
1 x 105 Roo (Si)
>1 x 108 Rad (Si)/s
1 x 10-10 Errors/Bit-Day
>1 x 1012 Rad (Si)1s

8OC86 Family
SAJI-4H CMOS Process
Total Dose
Transient Upset
SEU
Latch Up Free EPI

1 x 105 Rad (Si) R-Suffex
1 x 106 Rad (Si) H-Suffex

ACS/ACTS Logic

Peripherals

Total Dose
Transient Upset
SEU
Latch Up Free

Hardened Bulk CMOS

>1 x 105 Rad (Si)
> 1 x 108 Rad (Si)/s
1 x 10- 10 Errors/Bit-Day
CMOS

71
1 x 106 Rad (Si)
1 x 10-12 Errors/Bit-Day

ASICs (See ASIC Section)

Rad-Hard

CD4000 MIL-8TD-883 Nomenclature Guide
CD4000B

0

CD4000 logic Standard Nomenclature Guide

MS H

L
~ I T=-:='"
!::::

PART NUMBER

~:

PACKAGED£StQNATOR

o Dual-ln-Une
Metal Seal Ceramic
a FJaIpack(385100utllne)
K' Flalpack(Non38510j
F. Oual-ln-Llne

PARTNU
....
CD_

RnrA...1TY

3A: CIau 8
3. C.... B, ModI6lcl

c.ramlc

F

S

R
~.

L

tWtDNU.
Al8URANCIUYfi.
R lOSRADaSI
H. lo1JRAD.SI

C1.AS8

:

SEMIr\N»ICtIOn

c.m.r

B

.UID

SCREENING LlYn
MS: C.... S,CondIllonA
PfKapVillqlWld

Frlt-SealCerI!mic
J' Lead.... Chip

-=:=J] I
CD4000

HAJlDH. .,
ASSURANC_UVIL

CD4000B

PACKAGI DlltGNATOIl

"-"'-k

Q. F\IIpaCk(Mll-M-38S10

DHIgMlor"N"or"T",
"D·PackQlllu._.....""""'IMII_CIUIO _ _ -A"I
"O~P ... k>l;J ...... goIdpl _ _ . .""(3IISID_'-"C'
·K"I'Ie~III.IIu._r~_-..(38010

F DuIII-In-u...(Mit-M--38510

13A_13~In""'-"In""""'_

Dnlgrlllor"C"or~E"'

13A1l1n""~"'MiI~"""""".zl

..........,-......

_ _ -'"

ta"'~~M~CIuo._

-F"Pao:k.,. ..... _ " . . . . . . . . . 13111'0_ ...,.·....,

"Iot8·_.......

... __

lnfulcompllonce . . . ~A3C....-...,.2' ......
"'• • _Gtoup8_Gtouj>D~_ ... " " " "

Rad-Hard High Reliability Nomenclature Guide

High Speed CMOS/sOS logic Nomenclature Guide

--.-J:Jj
H

HCS74 K

PARTNUM.'R~~

HOS CMOS Compatible
HCTS TTL Compatible

PACKAGE DESIGNATOR
K Flalpack

R

I

L

""rI,

PR.""
H
HARDNISS

NOTES

1 Th."CD58d ..... Cl&Q8HCSJHCTSd_

RRH

8504

T

-r-

PART
NUMBER

-8 -55OCto+12SOC
H."I. Clan B

PACKAGI
t. CenlmlcDIP
2' TO.... MIIM
4: ....... ChJpcam.(LCCi
5' Ceratnlc aw.tr.Ie

.-

Pre-caplWld
SEMfnlpeetlor!

21h>t~

.... "I(. . . "d"O"~_lIOklpI .......... _h
C385101!MdHn .... ·'C"I

-BXXXX' RtKI!-H.rdlHlgh ReI

can

HMDNIH_

RH: RadililonHlrden4ld
_nk.NOIl~-""rd

Racf-Hard MOSFET Nomenclature Guide
For Rad-Hard Assured Program (RHAP) Tactical Applications

X

XXX X

X

x

l~~:i
O.lOkRADS($I)&nct2x10'2

"R: l00kRAOs(SI)Neutrons

"'H: 1 MegaRAD (SI)

DIE DESIGNATION (N-CHANNEL)
voss HEX-3 HEX-4 HEX-5
100V'
130
140
150
2DQV,

250V:
5OOV·

230
234
430

240
244
440

250
254
450

DIE DESIGNATION (P-CHANNEL)
VOSS
HEX-3 HEX-4 HEX-5
100v: 9130
9140
9150
200V: 9230
9240
9250
500V: 9430
9440
9450

HEx-a

180
280
264
480

HEx-a

9160
9260
9460

PACKAGE DESIGNATOR

M' TO-204M
K' TO-204AE
l' TO-20SAF
S· TO-257M,"
F' TO-254M"

RAe-HARD MOSFETs
"' For slrategoc applll;allOtls all MOSFETI 2N7271·2N7233 .. ,~ be IOId IS JAN <1 ...0:.1

"

..

"

DIVIcet-Alleredto
Customer~,

B SpIC Numbet II
uniQUlly Aulgntd 10

SpecIIIcCustomer

ARtt SEU Immune F\ad-H.rd

FR

--

Equ......IIIIIDItllJeet;·
-Q. -5SOCto+U5OC
Hanl.a.uSEqul'l-

High-ReiProdUCfl

H l06RADaSl

-8

T
TEMPIRATURIORADI

S RId·HIrd/

SCREENING LEVEL
MS Clan S. (Condition A, modified)

Weld Seal Ceramic

S

'AMII.Y'

ASSURANCI LeVEL
R' 211,OSAAOsSI

REUABIUTV

o OuaHn-1.lne

,,-

MS

s.. prodllCll.... mllMQlllor ilddoloonal doMes.

R~itemenl$

Rad-Hard Power MOSFETs
Tactical Level Selections
N-channel devices

PART

NO.
FRMI30
FRM230
FRM234
FRM430
FRL130
FRL230
FRl234
FRl430
FRM140
FRM240
FRM244
FRM440
FRKI50
FRK250
FRK254
FRM450
FRK160
FRK260
FRK264
FRK460

DIE
SIZE
(MILS)
128.182
128.182
128.182
128.182
128.182
128.182
126x 182
128.182
170.200
170.200
170.200
170.200
258.285
259.285
259.285
259.285

286.368
266.368
266.366
266.366

DIE
SIZE
3
3
3
3
3
3
3
3
4
4
4
4
5
5
5

MAX
RATED
BVDSS
(VOLTS)
100
200
250
500

100
200
250
500
100
200
250
500

100
200
250

5

500

6

100
200
250

6
6
6

500

INITIAL RATINGS
MAX
RATED
MAX
IDS
RATED
(AMPS) RDS(ON)
14
0.18
8
0.50
7
0.70
2.50
3
0.18
8
6
0.50
0.70
4
2
2.50
23
0.13
16
0.24
12
0.40
6
1.40
40
0.055
27
0.10
20
0.17
10
0.60
0.04
66
46
0.07
0.12
34
17
0.40

POST RAD: 10K RADS
VGS(TH)
(Volts)
2-4
2-4
2-4
2-4
2 4
2-4
2-4
2-4
2 4
2-4
2-4
2-4
2 4
2-4
2-4
2-4
2 4
2-4
2-4
2-4

avDSS
Volts
100
200
250
500

100
200
250
500

100
200
250
500

100
200
250
500
100
200
250
500

VGS(TH)
Volts
2-4
2-4
2-4
2-4
2 4
2-4
2-4
2-4
2 4
2-4
2-4
2-4
2 4
2-4
2-4
2-4
2 4
2-4
2-4
2-4

RDSlON)
Ohms

0.18
0.50
0.70
2.50
0.18
0.50
0.70
2.50
0.13
0.24
0.40
1.40
0.055
0.10
0.17
0.60
0.04
0.07
0.12
0.40

P-channel devices

PART

NO.
FRM9130
FRM9230
FR19130
FRl9230
FRM9140
FRM9240
FRK9150
FRM9250
FRK9160
FRK9280

DIE
SIZE.
(MILS)
128.182
128.182
128.182
128.182
170.200
170.200
258.264
258.264

286.368
286.368

DIE
SIZE
3
3
3
3
4
4
5
5
6
6

MAX
RATED
aVDSS
(VOLTS)
100

200
100
200
100
200
100
200
100
200

INITIAL RATINGS
MAX
RATED
RATED
IDS
RATED
(AMPS) RDS(ON)
6
4
5
3
11

7
26
17
40
28

o suffix on part number danotes 10K Rad (Si) total dose

0.55
1.30
0.55
1.30
0.30
0.72
0.125
0.30
0.086
0.20

R suffix on part number denotes 100K Rad (Si) total dose
H suffix on part number denotes 1 mega R&d (Si) total dose

POST RAD: 10K RADS
VGS(TH}
(Volts)
2-4
2-4
2 4
2-4
2-4
2-4
2-4
2-4
2 4
2-4

aVDSS
Volts
100
200
100
200
100
200
100
200
100
200

VGS(TH)
Volts
2-4
2-4
2 4
2-4
2-4
2-4
2-4
2-4
2 4
2-4

RDSlON)
Ohms

0.55
1.30
0.55
1.30
0.30
0.72
0.125
0.30
0.065
0.20

71

Rad-Hard Power MOSFETs
Strategic Level Selections
N-channel devices

TYPE NO.
FRM13012N7271
FRL 13012N7272
FRS13012N7273
FRM23OI2N7274
FRL23OI2N7275
FRS23OI2N7276
FRM234I2N7277
FRI.234/2N7278
FRS23412N7279
FRM43012N7280
FRL43012N7281
FRS43OI2N7262
FRM14012N7283
FRS14012N7284
FRM24012N7285
FRS24012N7286
FRM244/2N7287
FRS24412N7288
FRM44OI2N7289
FRS44012N7290
FRK1S012N7291
FRM15012N7292
FRK25012N7293
FRF25012N7294
FRK25412N7295
FRF25412N7296
FRM45012N7297
FRF4S012N7298
FRK16012N7299
FRK26012N7301

BVDSS
Volts
100
100
100
200
200
200
250
250
250

sao
sao
sao

100
100
200
200
250
250

sao
sao
100
100
200
200
250
250

sao
sao

100
200

IDS

RDS(on)

Amps
14
8
12
8
5
7
7
4
5
3
2
3
23
17
16
12
12
9
6
5
40
25
27
23
20

17
10
9
66
46

POST 1M Rad !S~

POST lOOK Rad !S~

INITIAL RATINGS

BVDSS
Volts

RDS(on)

Ohms

VGS(lh)
Volts

0.18
0.18
0.195
0.50
0.50
0.515
0.70
0.70
0.715
2.50
2.50
2.52
0.13
0.145
1.24
0.255
0.40
0.415
1.40
1.42
0.055
0.07
0.10
0.115
0.17
0.185
0.60
0.615
0.04
0.07

2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4

95
95
95
190
190
190

0.26
0.26
0.28
0.70
0.70
0.72
0.88
0.88
0.90
2.75
2.75

BVDSS
Volts

RDS(on)

Ohms

VGS(lh)
Volts

0.18
0.18
0.195
0.50
0.50
0.515
0.70
0.70
0.715
2.50
2.50
2.52
0.13
0.145
1.24
0.255
0.40
0.415
1.40
1.42
0.055
0.07
0.10
0.115
0.17
0.185
0.60
0.615
0.04
0.07

2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4

100
100
100
200
200
200
250
250
250

sao
sao
sao

100
100
200
200
250
250

sao
sao

100
100
200
200
250
250

sao
sao

100
200

23S
23S
23S
TBD
TBD
TBD
95
95
190
190

Ohms

2.n

0.19
0.21
0.34
0.36
0.50
0.52
1.55
1.57
0.08
0.10
0.14
0.16
0.21
0.23
0.66
0.68
0.06
0.10

23S
23S
TBD
TBD
95
95
190
190

23S
23S
TBD
TBD
95
190

VGS(Ih)
Volts
1.5-4.5
1.5-4.5
1.5 - 4.5
1.5-4.5
1.5-4.5
1.5-4.5
1.5 - 4.5
1.5 -4.5
1.5-4.5
1.5-4.5
1.5-4.5
1.5-4.5
1.5-4.5
1.5-4.5
1.5-4.5
1.5-4.5
1.5-4.5
1.5 -4.5
1.5 -4.5
1.5-4.5
1.5- 4.5
1.5-4.5
1.5-4.5
1.5 - 4.5
1.5-4.5
1.5-4.5
1.5 -4.5
1.5 - 4.5
1.5-4.5
1.5 -4.5

P-channel devices
INITIAL RATINGS

TYPE NO.
FRM913012N7307
FRL913012N7308
FRS913012N7309
FRM923012N7310
FRL923012N7311
FRS923012N7312
FRM914012N7316
FRS914012N7317
FRM924012N7318
FRS924012N7319
FRK91 SOI2N7322
FRK91S012N7323
FRM925012N7324
FRF92S012N7325
FRK916012N7326
FRK926012N7330

BVDSS
Volts

IDS

RDS(on)

Amps

100
100
100
200
200
200
100
100
200
200
100
100
200
200
100
200

6
5
6
4
3
4
11
11
7
7
26
23
16
14
40
25

POST lOOK Rad !S2
BVDSS
Volts

RDS(on)

Ohms

VGS(th)
Volts

0.55
0.55
0.565
1.30
1.30
1.32
0.30
0.315
0.72
0.735
0.125
0.14
0.30
0.315
0.085
0.20

2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4

100
100
100
200
200
200
100
100
200
200
100
100
200
200
100
200

o suffix on part number denotes 10K Rad (SI) total dose

R suffix on part number denotes lOOK Rad ($i) total dose
H suffix on part number denotes 1 mega Rad (Si) total dose

POST 1M Rad !S2
BVDSS
Volts

RDS(on)

Ohms

VGS(th)
Volts

Ohms

VGS(th)
Volts

0.55
0.55
0.565
1.30
1.30
1.32
0.30
0.315
0.72
0.735
0.125
0.14
0.30
0.315
0.085
0.20

2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4
2-4

95
95
95
190
190
190
95
95
190
190
95
95
190
190
95
190

0.80
0.80
0.82
1.80
1.80
1.83
0.44
0.46
1.00
1.02
0.18
0.20
0.42
0.44
0.12
0.26

2-6
2-6
2-6
2-6
2-6
2-6
2-6
2-6
2-6
2-6
2-6
2-6
2-6
2-6
2-6
2-6

Operational Amplifiers
HS3516RH - High Slew Rate Op Amp
Bipolar 01 process
Neutron fluence .................................S x 1012 n/cm 2 ~E~ 10KeV)
Gama rate .........................................................1 x 10 RAD (Si)/s
Gama dose ...........................................................1 x 106 RAD (Si)
Slew rate ........................................................................>t 22V1IJS
Settling time ..........................................................................13Ons
Unity gain bandwidth...................................................12MHz (typ)
Offset voltage ......................................................................... 3mV

HS3530RH - Low Power Op Amp
Bipolar 01 process
Neutron fluence .................................S x 1012 nJcm 2 ~E2.10KeV)
Gama rate .........................................................1 x 10 RAD (Si)/s
Gama dose ...........................................................1 x 106 RAD (Si)
Slew rate ............................................................06 to 3V/IJS (typ)
Gain bandwidth ........................................... 100KHz to SMHz (typ)
Icc............................................................................1OuA to 1.2mA

HS5104RH - Low Noise Op Amp
Bipolar 01 process
Neutron fluence .................................S x 1012 n/cm 2 &E ~ 1OKev)
Gama rate .........................................................1 x 10 RAD (Si)/s
Gama dose ...........................................................1 x 106 RAD (Si)
Slew rate .......................................................................2VIIJS (typ)
Gain bandwidth ..........................................................6.SMHz (typ)
Noise density........................................................ .SnVl /fIz (typ)
Noise density.......................................................3.5pAl /fIz (typ)

71

Multiplexers
HS-508RH/883 - 8 Channel MUX with Overvoltage Protection
01 CMOS process
Gama rate ..........................................................1 x 108 RAD (SI)/S
Gama dose ...........................................................1 x 10S RAD (SI)
Break before make access time .....................................1ItS (max)
Ron ..............................................................................1.8kn (max)
Off Isolation .............................................................................45dB

HS-1840RH/883 - 16 Channel MUX with High-Z Input Protection
01 CMOS process
Gama rate ..........................................................1 x 108 RAD (SI)/s
Gama dose ............................................................ 1 x 105 RAD (SI)
Break before make access time ...................................... 11tS (max)
Ron .................................................................2kU @ 1SV post RAD
Ron ...............................................................7.SkU @ -SV post RAD

Switches
HS-302RH/883 ............................................................Dual DPST
HS-303RH/883............................................................Dual SPOT
HS-306RH/883 ............................................................Dual DPST
HS-307RH/883............................................................DuaI SPOT
HS-384RH/883 ............................................................DuaI DPST
HS-390RH/883 ............................................................Dual SPOT
01 CMOS Process

Functional total dose ..............................................> 1 x 10S RAD
Ron post rad ................................................................... 35Q (typ)
Break before make delay.............................................. 3Sns (typ)

Line Transmitters/Receivers
HS-246RH ..................................................Trlple line Transmitter
HS-246RH ......................................................Triple Line Receiver
H8-249RH ......................................................Triple Line Receiver
HS-248RH ............................................Trlple Party-line Receiver
Bipolar 01 process
Total dose .............................................................2 x 105 RAD (SI)
Transient upset ..................................................1 x 109 RAD (SI)!s
Neutron fluence ......................................................S x 1012 nlcm2
Speed SO ft cable ................................................................1SMHz
Speed 1000 ft cable ..............................................................2MHz

HS-26C31 RH ......................... RS422 line Transmitter
HS-26C32RH ......................... RS422 line Receiver
AVLSI1 R Process
Total dose..........................................1 x 106 RAD (SI)
Transient upset.............................>1 x 109 RAD (SI)/s
Latchup Free epl CMOS

Microprocessors and Peripherals

Part Number

Description

Process

HS-80C85RH
HS-80C86RH
HS-3374RH
HS-54C138RH
HS-81C55RH
HS-82COSRH
HS-82C12RH
HS-82C37ARH
HS-82C54RH
HS-82C55RH
HS-83C55RH

Static 8-bit CMOS microprocessor
Static 16-bit CMOS microprocessor
8-bit bidirectional level converter
3-line to 8-line decoder
256 x 8 CMOS RAM, 22-bit I/O, timer
8-bit bus transceiver
8-bit I/O port
DMA controller
16 bittimer/counter
Programmable 24-bit parallel 110
2K x 8 ROM, 14-bit I/O

SANDIA4f.3
SAJI-4H
SANDIA4f.3
SANDIA4f.3
SANDIA4f.3
SANDIA4f.3
SANDIA4f.3
SAJI-4H
SAJI-4H
SAJI-4H
SANDIA4f.3

Total Dose ................................. 1 x 105 RAD (Si)

Communication Circuits

Part Number

Description

Process

HS-15530RH

15530 Manchester encoder-decoder

SAJI-1H

SANDIA4f.3
Total dose ...............................................1 x 105 RAD (Si)
Transient upset..................................>1 x 108 RAD (Si)/s
SEU ............................................. 1 x 10-10 errorslbit-day
Latch up free ....................................>1 x 1012 RAD (SQ/s
SAJI-4H
Total dose ...............................................1 x 105 RAD (Si)
Transient upset................................>1 x 1012 RAD (Si)/s
SEU ............................................. 1 x 10-10 errorslbit-day
Latch up free EPI CMOS
SAJI-1H
Total dose ...............................................1 x 106 RAD (Si)
Transient upset..................................5 x 1011 RAD (Si)1s

Rad-Hard Memories

Size

Access Time

Iccse

ICCOP

Process

HS-6508RH

1024 x 1

300ns

550llW

25mwJMHz

SAJI-1H

HS-6551RH

256x4

300ns

55Oj.LW

25mwJMHz

SAJI-1H

Part Number

HS-6504RH

4096 x 1

200ns

1.1mw

3S.5mwJMHz

SAJI-4H

HS-6514RH

1024 x 4

225ns

1.1mw

3S.5mw/MHz

SAJI-4H

HS-65C162RRH

204SxS

160ns

1mw

25mwJMHz

SAJI-4H

HS-65C262RHIRRH

16Kx 1

150ns

1mw

30mwJMHz

SAJI-4H

HS-65T262RH

16Kx 1

150ns

1mw

30mwJMHz

SAJI-4H

HS-6564RH (1)

8KxS (3)

250ns

4.4mw

3OSmw/MHz

SAJI-4H

16K x4 (3)
HS-6617RH (2)

2KxS

100ns

550j.LW

137.5mwJMHz

SAJI-4H

HS-65643RH

64Kx 1

50ns

10mw

15mwJMHz

TSOS-4

HS-65647RH

SKxS

50ns

10mw

15mwJMHz

TSOS-4

256Kx 1

25ns

10mw

30mwJMHz

RHD1

HS-6575S

64Kx4 (3)
32KxS (3)

SAJI-1H
Total dose...............................................2 x 104 RAD (Si) (Functional)
Data upset.........................................>1 x 10S RAD (Si)/s
latch up free ...................................>5 x 1011 RAD (Si)1S
SAJI-4H
Total dose ...............................................1 x 105 RAD (Si)
Data upset..........................................>1 x 10S RAD (Si)!s
latch up free ....................................> 1 x 1012 RAD (Si)/s
TSOS-4
Total dose ...............................................3 x 105 RAD (Si)
Transient upset.................................. 1 x 1011 RAD (Si)/s
SEU .............................................1 x 10-12 errors/bit-day
latch up immune
Notes
(1) - Module, lCC's on ceramic substrate
(2) - Prom
(3) - Optional configurations
(4) - All values are maximum

Rad-Hard CMOS Logic
CD-4000
" Hardened Bulk CMOS
• Total Dose 1 x 105 RADs (Si) R Suffix
" Total Dose 1 x 106 RADs (Si) H Suffix
HARRIS
TYPE
NUMBER
C04000B
C04001B
C04002B
CD4006B
C04007UB
CD4008B
C04009UB
C04010B
C04011B
C04012B
C04013B
C04014B
C04015B
C04016B
C04017B
C04018B
C04019B
C04020B
C04021B
C04022B
C04023B
C04024B
C04025B
C04026B
C04027B
C04028B
C04029B
C04030B
C04031B
C04033B
C04034B
C04035B
C0404B
C04041UB
C04042B
C04043B
C04044B
C04046B
C04047B
C04048B
C04049UB
C04050
C04051B
C04052B
C04053B
C04060B
C04063B
C04066B
C04067B
C04068B
C04069UB
C04070B
C04071B
C04072B
C04073B
C04075B
C04076B
C04077B
C04078B
C04081B
C04082B

CIRCUIT
FUNCTION
Dual 3-lnput NOR Gate Plus Inverter
Quad 2-lnput NOR Gate
Dual 4-lnput NOR Gate
18-Slage Sialic Shift Register
Dual Complementary Pair Plus Inverter
4-BIt Ful Adder wilh Paralel Carry-Out
Hex Buffer/Converter (Inverting)
Hex Buffer/Converter (Non-Inverting)
Quad 2-lnput NAND Gate
Dual 4-lnput NAND Gate
Dual "0" Flip-Flop with SetlReset CapablHty
8-Slage Sialic Shift Register
Dual 4-Slage Sialic Shift Register
Quad Bilateral Switch
Decade Counter/Divider
Presellable Divide-by "N" Counter
Quad AND/OR Select Gate
14-S1age Binary Ripple Counter
8-Slage Sialic Shift Register
Oivide-by-8 CounteriDlvider
Triple 3-lnput NAND Gate
7-Slage Binary Ripple Counter
Triple 3-lnput NOR Gate
Decade CounteriDlvider
Dual"J-K" Flip-Flop wilh SetiResat CepabHity
BCO-to-Oeclmal Decoder
Presellable Up/Down Counter
Quad Exduslve-oR Gate
64-Slage Sialic Shift Register
Decade Counter/Divider
8-Slage Sialic Shift Register
4-Slage Paralel-lniParallel-out Shift Register
12-Slage Binary Ripple Counter
Quad TrueiCompiement Buffer
Quad Clockad "0" Latch
Quad NOR R/S Latch (3-Slate Outputs)
Quad NAND R/S Latch (3-State Outputs)
Miaopower Phase-Lockad Loop
MonostabieiAslable Mu~lvibralor
Mullifunclional Expandable 8-lnput Gate
(3-Slate Output)
Hex Buffer/Converter (Inverting)
Hex Buffer/Converter (Non-Inverting)
8-Channel Analog Multiplexer/Demultiplexer
4-Channel analog MulliplexeriDemultiplexer
Analog Multiplexer/Demultiplexers Triple 2-Channel
14-518ge Binary Ripple Counter/Divider and
OscNla1or
4-Blt Magnitude Comparalllr
Quad Bilateral SWitch
16-Channel Analog Multiplexers/Demultiplexers
8-lnput NAND/AND Gate
Hex Inverter
Quad Exdusive-oR Gate
Quad 2-lnput OR Gate
Oual4-lnput OR Gate
Triple 3-lnput AND Gate
Triple 3-lnput OR Gate
4-Blt "0" FMp-Fiop (3-Slate Oulputs)
Quad Exdusive-NOR Gate
8-Bit NORJOR Gate
Quad 2-lnp,Jt AND Gate
Oual4-lnput AND Gate

HARRIS
TYPE
NUMBER
C04085B
C04086B
C04089B
C04093B
C04094B
C04095B
C04096B
C04097B
C04098B
C04099B
C04502B
C04503B
C04504B
C04508B
CD4510B
C04511B
CD4512B
C04514B
C04515B
CD4516B
CD4517B
CD4518B
C04520B
C04527B
C04532B
C04536B
C04555B
C04556B
CD4585B
C04724B
COl4538B
C040100B
C040101B
C040102B
C040103B
C040104B
C040105B
C040106B
C040107B
C040108B
C040109B
C040110B
C040147B
C040160B
C040162B
C040163B
C040174B
C040175B
C040181B
C040182B
C040192B
C040193B
C040194B
C040208B
C040257B

CIRCUIT
FUNCTION
OuaI2-Wide, 2-lnput AND/OR/Invert (AOI) Gate
Expandable 4-Wlde, 2-lnput AND/OR/Invert
(AOI) Gate
Binary Rate MultipNer
Quad 2-lnput NAND Schmitt Trigger
8-5lage Shlft-and-Slore Bus Register
Gated "J-t<" Flip-Flop (Non-Inverting)
Gated"J-t<" Flip-Flop (Inverting) and (Non-Inverting)
8-Channel Analog MultiplexeriDemultiplexer
Dual Monostable Mullivllrator
8-Bk Addressable Latch
Strobed Hex Inverter/Buffer
Hex Buffer (Non-Inverting)
Hex Vollage-Level Shifter for TTL-Ill-CMOS or
CMOS-Ill-CMOS Operation
Oual4-Bit Latch
Presellable 4-BIt Latch
BCO-to-7-Segment Latch ~ecoder/Driver
8-Channel Data Selector (3-Slate Output)
4-Bk Latchl4-1Il-16-Llne Deooder (Oulputs Low)
4-Bk Latchl4-1Il-16-Llne Deooder (Oulputs Low)
Pres_ble 4-BIt Binary UpJDown Counter
Dual 64-BIt Shift Register
Dual BCD Up Counter
Dual Binary Up Counter
BCD Rate Multiplier
8-lnput Priority Enooder
Programmable Timer
Dual 1-01-4 OecoderiDemultiplexer (Outputs High)
Dual Binary III 1 01 4 OecoderiDemultiplexers
(Oulputs Low)
4-Bk Magnkude Comparator
8-Bk Addressable Latch
Dual Precision Monostable Multivibrator
9-Bk Parity Generalllr/Checl10 10 RADs (Si)1s
Latch Up Immune
SEU <10- 10 upsetslbitlday
HIGH SPEED HCSIHCTS CMOSISOS
HCSOO
HCTSOO
HCS02
HCTS02
HCS04
HCTS04
HCS08
HCTS08
HCTS10
HCTS11
HCTS14
HCTS20
HCTS21
HCS27
HCTS27
HCTS30
HCS32
HCTS32
HCS10
HCS74
HCTS74
HCTS86
HCS109
HCTS109
HCTS112
HCS138
HCTS138
HCTS139
HCTS153
HCS154
HCTS157
HCTS160
HCS161
HCTS161
HCTS163
HCS154
HCS165
HCS166
HCS190
HCTS190
HCTS191
HCTS240
HCS244
HCTS244
HCS245
HCTS245
HCS273
HCTS273
HCS373
HCTS373
HCS374
HCTS374
HCTS390
HCTS540
HCS573
HCTS574

CMOS Compatible Inputs Quad 20 Input NAND Gate
TTL Compatible Inputs Quad 2-lnput NAND Gate
CMOS Compatible Inputs Quad 2-lnput NOR Gate
TTL Compatible Inputs Quad 2-lnput NOR Gate
CMOS Compatible Inputs Hex Inverter Gate
TTL Compatible Inputs Hex Inverter Gate
CMOS Compatible Inputs Quad 2-lnput AND Gate
TTL Compatible Inputs Quad 2-lnput AND Gate
TTL Compatible Inputs Triple 3-lnput NAND Gate
TTL Compatible Inputs Triple 3-lnput AND Gate
TTL. Compatible Inputs Hex Inverter Schmitt Trigger Gate
TTL Compatible Inputs Dual 4-lnput NAND Gate
TTL Compatible Inputs Dual4-lnput AND Gate
CMOS Compatible Input Triple 3-lnput NOR Gate
TTL Compatible Inputs Triple 3-lnput NOR Gate
TTL Compatible Inputs 8-lnput NAND Gate
CMOS Compatible Inputs Quad 2-lnput OR Gate
TTL Compatible Inputs Quad 2-lnput OR Gate
Triple 3-lnput NAND Gate
Dual-D Flip Flop with Set and Resel Positive Edge Trigger
Dual-D Flip Flop with Set and Resat. Positive Edge Trigger
Quad 2-lnput Exclusive-OR Gate
Dual J-K Flip-Flop
Dual J-R Flip-Flop with Set and Resel Positive Edge Trigger
Dual J-K Flip-Flop with Set and Resel Negative Edge Trigger
Inverting 3-10-8 Line DecoderIDemultiplexer
Inverting 3-10-8 Line DecoderIDemultlplexer
Dual2-to-4 Une DecoderIDemultlplexer
Dual 4-lnput Multiplexer
4-10-8 Line Decoder/Demultiplexer
Quad 2-lnput Multiplexer
Synchronous Counter BCD Decade Counter, Asynchronous Reset
Presettable Counter 4-Blt Binary Counter, Asynchronous Reset
Presettable Counter 4-Blt Binary Counter, Asynchronous Reset
Synchronous Presettable Counter
8-BIt Serlal-In/Parallel-Out Shift Register
8-BIt Parallel-In/Serlal-Qut Shift Register
8-BIt Parallel-In/Serlal-Out Shift Register
Sync. Counter Presettable Up/Down BCD Decade Counter, Async. Reset
Sync. Counter Presettable Up/Down BCD Decade Counter, Async. Reset
Sync. Counter Presettable Up/Down 4-BIt Counter, Async. Reset
Octal BufferlUne Driver, 3-State
Octal BufferlUne Driver, 3-State
Octal BulferlUne Driver, 3-State
Octal Transceiver
Octal Transceiver
Octal D Flip-Flop with Master Reset
Octal 0 Flip-Flop with Master Reset
Octal Transparent Latch, 3-state
Octal Transparent Latch, 3-State
Octal D-Type Flip-Flop Positive Edge Trigger, 3-State
Octal D-Type Flip-Flop Positive Edge Trigger, 3-state
Dual Decade Ripple Counter
Inverting Octal Bufler/Llne Driver, 3-State
Octal Transparent Latch 3-State Output
Octal D-Type Flip-Flop, Positive Edge Trigger, 3-state

Rad-Hard CMOS Logic
ACS/ACTS
• TSQS..4 proceaa

• Total doae 1 x 108 RAD (SI)
• Transient upaef >1 x 1010 RADalSEC
• Latch up free
• SEU 1 x 10-10 error8ttIM-day

ACTS240 0cIaI buffer/llne driver, ktata
ACTS244 0cIaI buffer/llne driver, 3-8tate
ACTS245 0cIaI tranacelver. 3-atate

Additional part types 10 follow; consuM factory

ASIC - Bipolar Analog/Digital Rad Hard
ASIC - Bipolar Analog

PARAMETER

NPN

PNP

BV CEO

40

40

Semicustom or Customer Designed
Using Fastrack

V

HFE

200

130

Fr

05'

05'

V

GHz
15

Vp

- 40 volt bipolar dielectric isolation process
- Ft - 0.75 Ghz NPN/04 Ghz PNP
- Single or dual level metal interconnect
- Transistor level and/or cell design
- 1000 transistor capability (approx. 24 op amps)

UNITS

35

BVoss

HDI1000
High Frequency (EBHF) Process

SURFACE
ZENER

PJFET

130

VA

V

75

V

Vz

52

V

BURIED
ZENER

UNITS

• EBHN4 FBHP4 peak Fl at liCE' 5'0'

PARAMETER

NPN

PNP

BV CEO

20

20

HDI2000
Very High Frequency (VHF) Process

PJFET

V

- 20 volt bipolar dielectric isolation process
- Ft = 1.2 Ghz NPN/l.0 Ghz PNP
- Dual level metal interconnect
- Transistor level and/or cell design
- 1500 transistor capability (approx. 36 op amps)

V

20

BVoss
HFE

150

120

Fr

10'

09'

GHz

V

10

Vp

V

18

90

VA

54

Vz

V

• VHFLN4 VHFLP4 peak Fr at VCE _ 5'0'

PARAMETER

HDI3000
Ultra High Performance (UHF) Process
- 10 volt b80lar sOlfrocess
- Ft - 8.0 hz NPNI .0 Ghz PNP
- Dual level metal interconnect
- 5000 transistor capability (approx. 120 op amps)

NPN

BVCEO

10

10

HFF

125

60

60

30

BURIED
ZENER

NJFET

PNP

UNITS
V

FT

13Hz

v.

V

Vz

Cell Type

Quantity

HTA 1000/2000
EBHFNHF Tile Array

- Bipolar analog "gate array"
- 10 identical tiles (62 transistors per tile)
- 8-10 op amp capability
- High performance macro cell library
- 40 bond pads
- 40 volV20 volt supply; Ft = 0.75 Ghz/l.2 Ghz

5.3

Stabilized for nominal closed loop gain of 1.2,5 or 10 wrth choice
of bipolar or JFET Inputs Outputs short CirCUli protected

Voltage Comparators

General purpose or low power lIollage comparators wrth chOice
of bipolar or JFET Inputs Output logiC 19vells set by user

Voltage References

5 0 Volt, 2 5 Voh and 1 5 Volt references

High Speed Unity Gain Buffers

ChOice 01 bipolar or JFET Inputs
S~

Sample & Hold DeVices

ChOICe 01 bipolar or JFET Inputs Sell contained

Video Amplifiers

Gain of lOX or 40X Differential CirCUits Intended tal video
applicatiON.

capacitor

Mixer

Olfferentlal CllCUIt Intended for video applications

Multipliers

OHered with and without Internal op amp Olfferentlal CirCUits
Intended for vldao apphcatlons

GATES
PRODUCT

- Rad Hard Digital CMOS Gate Array
- 1.2 micron, double level metal interconnect
- 10K usable gates
- Workstation support - Fastrack, Mentor, Valid

Description/Application

OperatIOnal Amplifiers

Custom - Harris Designed
T AGC40K - AVLSIR Process

V

I/O

TOTAL USABLE SIGNAL

PWR/
GND

SIZE
(Mils.)

TAGC40170

1.700

1.280·

60

8

133x213

TAGC40620

6.200

4.650·

104

8

254x254

TAGC41350 13.500 10,120·

172

8

37Sx375

Estimated at 75% usable Actual value Will vary

8.

AUA/AUASC - TSOS4 Process
- Rad hard digital CMOS/sOS gate array and standard cell
- 1.2 micron, double level metal interconnect
- 15K usable gates
- Workstation support - Fastrack, Mentor, Valid, Lazar
- Genesil silicon compiler available

I/O

GATES

SIze

I

PRODUCT TOTAL IUSABLE SIGNAL PWR/GND
10KAUA
2DKAUA

10.03~
20,080

17.500.
15.000·

164
216

1

·Estlmated at 75% usable Actual value Will vary

(Mils

I

24

342x321

40

437x429

Bipolar Analog Design Toolset

Front to Back Design Toolset (Harris Part No. HA-ABF2B)
Item
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15

Harris Part No.

Description

HA-ABF
HA-SLICE
CA-151
CA-200
CA-206
CA-300
CA-310
CA-315
CA-320
CA-975
SU460FGX8
SU-X539H
SU-X301H
SU-SS207
SU-SS09

Analog Bipolar Design Framework/l..ibr~
SLICE Circuit Simulator, Monte Carlo
Color Design Framework
Schematics
Simulation Environment
Layout
PD Check, Design Rules Check
PD Extract, Parasitics
PD Compare, Layout-to-Schematic
B/W Versatec Plotter Driver
Sun SPARCstation 1, 16" Monitor, and Accelerator
327 MB Standalone Disk wlTape Drive
USA Keyboard and Mouse
SPARCstation 1,1/4" Software
SPARCstation 1, Manuals

Front to Back Design Toolset (Harris Part No. HA-ABFE)
Item
1
2
3
4
5
6
7
8

Harris Part No.

Description

HA-ABF
HA-SLICE
CA-FE
SU460FGX8
SU-X539H
SU-X301H
SU-SS207
SU-SS09

AnalO!l BifJ<>lar Deslgn Framework/Libl"!ll"y.
SLICE Circuit Simulator, Monte Carlo
Front-end Package (151, 200, 206, 97X)
Sun SPARCstation 1, 16" Monitor, and Accelerator
327 MB Standalone Disk wlTape Drive
USA Keyboard and Mouse
SPARCstation 1, 1/4" Software
SPARCstation 1, Manuals

Semicustom - Customer Designed Using Fastrack
HDI1000 - High Frequency (EBHF) Process
The EBHF process provides the analog system designer ASIC capabilities for high
performance analog (75 MHz bandwidth) applications requiring precision and high speed.
An inherent radiation-hardened analog solution with no parasitic substrate effects.

Features:
- NPN & PNP bipolar transistors
PNP Device Type

NPN Device Type

Device Geometry

EBHP 1

EBHN 1

Single emitter, single base, single collector

EBHP2

EBHN2

Single emitter, single base, ring collector

EBHP3

EBHN3

Single emitter, dual base, dual collector

EBHP4

EBHN4

Single emitter, dual base, ring collector

EBHP5

EBHN5

Dual emitter, single base, dual collector

EBHP6

EBHN6

Dual emitter, single base, ring collector

- PJFET
High input gate impedance
Low channel resistance
Low offset voltage
Low input bias current

-Cell family
Operational amplifiers
Voltage comparators
Voltage references
High speed unity gain buffers

Resistor
NiCr resistors
P+ resistors
N+ resistors
P base resistors
pinched by N+

CaRacitor
Stable MOS construction
Voltage independent
Dielectrically isolated
Low temperature
coefficient. ..............-lppmrC

Sample & hold devices
Video amplifiers
Mixers
Multipliers

Zener diode
Surface zener diode

and more

Applications:
- B~olar IC's for analog signal processing and control
- HlQh performance ASICs
- Telemetry

8

Semicustom - Customer Designed Using Fastrack
HDI2000 - Very High Frequency (VHF) Process
The VHF process provides the analog system designer ASIC capabilities for high frequency, very high
performance (120 MHz bandwidth) analog applications requiring high precision at high speeds. An inherent
radiation-hardened analog solution with no parasitic substrate effects.

Features:
- NPN & PNP bipolar transistors
PNP Device Type

NPN Device Type

VHFLP1

VHFLN1

Device Geometry
Single emitter, single base, single collector

VHFLP2

VHFLN2

Single emitter, single base, ring collector

VHFLP3

VHFLN3

Single emitter, dual base, ring collector

VHFLP4

VHFLN4

Dual emitter, single base, ring collector

- PJFET
High input gate impedance
Low channel resistance
Low offset voltage
Low input bias current

-Cell family
Operational amplifiers
Voltage comparators
Voltage references
High speed unity gain buffers

Resistor
NiCr resiStors
P+ resistors
N+ resistors
P base resistors
pinched by N+

Zener diode
ClI(!acitor
Surface zener diode
Stable MOS construction
Buried zener diode
Voltage Independent
Dielectrically isolated
Low temperature
coefflcient...............-lppmfC

Sample & hold devices
Video amplifiers
Mixers
Multipliers

Applications:
- Telemetry systems
- CommUnications
- High performance signal processing and control

and more

Semicustom - Customer Designed Using Fastrack
HDI3000 - Ultra High Performance (UHF) Process
The UHF process provides the analo~ system designer ASIC capabilities for very high performance
(800 MHz bandwidth) analog applications requiring real-time response and precision.

Features:
- NPN & PNP bipolar transistors
PNP Device Type

NPN Device Type

UHFP1

UHFN1

Single emitter, single base, single collector

UHFP2

UHFN2

Single emitter, single base, ring collector

UHFP3

UHFN3

Single emitter, dual base, ring collector

UHFP4

UHFN4

Dual emitter, single base, ring collector

-NJFET

High input gate impedance
Low channel resistance
Low offset voltage
Low input bias current

-Cell family
Current feedback amplifiers
Operational amplifiers
Voltage comparators
Voltage references

Resistor
NiCr resistors
P+ resistors
N+ resistors
P base resistors
pinched by N+

Device Geometry

Capacitor
Stable POS construction
Voltage independent
DieleClrically isolated
Low temperature
coefficient

Zener diode
Surface zener diode
Buried zener diode

Sample & hold devices
Video amplifiers
Mixers
Current output multiplexers

Applications:

- Data acquisition and processing
- Radar guided missiles
- Ground radar
- Avionics
- Video

8,

Semicustom - Customer Designed Using Fastrack
HTA 1000/2000 - EBHFNHF Tile Array
The HTA 1000/2000 tile array provides the analog system designer with a fast turn, low cost tile array
methodology for the design of high performance, high speed analog circuits.

Features:
- Same device characteristics as shown in EBHFNHF features section respectively
- Personalized by two metal interconnect and one NiCr resistor level
- Tile Array Contents
Device Tile (10): each includes 66 active devices and 4 diffused resistors.
Small Caps 'rile (6): each includes 4 small capacitors (2 -15pF, 2 - 5pF) and 2 buried zeners.
Large Caps Tile (2): each includes 4 - 51 pF large capacitors.
Large NPN, PNP (24); includes 12 large NPN transistors and 12 large PNP transistors
Trim Res (6): includes 6 areas of open poly for trimmable NiCr resistors
Device Tile Contents
Region

Quantity

1
2

25
22

3

3
3
1
4
4
4
4

4
5
6
7

8
9
10

-

Device Type
Small geometry. NPN transistors
Small geometry. PNP tranSistors
PJ FET transistors
PJFET tranSistors
Small geometry. PJFET transistor
Medium geometry. low-Rb. NPN transistors
Medium geometry. low-Rb. PNP transistors
P-base resistors
Surface zeners for input protection
Areas for NiCr resistors

Device Tile Architecture

Applications:
- General analog system implementation
- Quick turn, low cost. IC desi!;n verification
- Prototype & system demonstration units
- Low volume production units

Size
10JJITI x 20JlTl emitter
10JJITI x 20JJlTl emitter
w .104JJ1T1. 1.12JJ1Tl
w. 120JJlTl. I. 12JJ1Tl
w. 19J1Tl. I • 12JJ1Tl
10JJlTl x 80JJlTl emitter
10JJITI x 80JJlTl emitter
650U

-

Custom - Harris Designed
TAGC40K - AVLSIR Process
Family of radiation-hardened high performance CMOS digital gate array specifically designed to operate in
demanding military and space environments were extreme radiation levels are present

Features:
- Radiation hardened
Total dose ...................................... > 1 x 1~ rads ~i)
Survivability .................................. > 1 x 10.. 2 rads Sij
Transient upset ........................ > 3.5 x 1()9 rads (SI s
Latchup .............................................. ~one measur~
Neutron fluence ................... > 1 x 101 neutrons/cm
SEU ....................................... < 1 x 10-8 errorslbit/day
- Product ouUine
Gates

.

Product

Total

Usable'

TAGC40170

1,700

1,280

60

TAGC40620

6,200

4,650

104

TAGC41350

13,500

10,120

172

110

Estimated at 75% usable. Ac1ual value wi. vary.

- Extensive library with over 140 elements
- Latchup resistant
- > 3500 volt ESO protection
- Boundary scan tlO's and scan flip flops allow for the design of highly testable circuits
- Screening and qualification 10 Mil-Std-883C method 5004/5005, class B and class S

Applications:
- SPace vehicles/stations
-Missile
- Smart munitions
-Ailbome

8)

Custom - Harris Designed
AUAlAUASC - TSOS4 Process
Family of radiation-hardened hiph performance CMOS silicon on sapphire digital gate arrays and standard cells
designed to operate in demanding military and space applications requiring extreme radiation hardness and low
power consumption.

Features:
- Radiation hardened
Total dose ....................................... > 1 x 106 rads (Si)
Survivability ................................ > 1 x 1012 rads (Sills
Transient upset ........................ > 3.5 x 10 11 rads (SI)/s
Latchup ................................................ none measure~
Neutron fluence ..................... > 1 x 1014 neutrons/cm
SEU ...................................... < 1 x 10- 10 errorslbiVday
- Gate array product outline
110

Gates

.

Product

Total

Usable

Signal

Pwr/Gnd

Size (Mils.)

10KAUA

10,034

7,500'

164

24

342 x 321

20KAUA

20,080

15,000'

216

40

437 x 429

EstImated a175% usable. Actual value WI' vary.

- Extensive cell library with over 184 elements
- Latchup resistant
- Boundary-scan for highly testable circuits
- Screening and qualification to Mil-Std-883C method 5004/5005, class B and class S

Applications:

- Space equipment
- Smart munitions
- Missile systems
- Airborne

Mixed Signal ASICs
Semicustom - Customer Designed Using Fastrack
HPA 2000 - Power ASIC Library
- 16 digital logic functions
- 8 analog macro functions
- 4.5 to 15 volt logic cells
- 1 MHz output frequency
- 0 to + 125' C operating temperature
- 5 VO functions
- Sizeable output DMOS driver
- 60V up to 20 amp output
- 4Jl DLM (double level metal) process
- Typical design 300 logic gates with 15 analog cells & 2 power DMOS

HBC 2500 - BIMOS-E Analog/Digital Library
- 60 analog cells
- 15 volt max. output voltage @ .5 amps
-12 volt minimum supply voltage
- Up to 50 MHz output frequency
- Typical design 100-2000 logic gates with 30-60 analog cells
- 60 digital cens
- 5 volt & 15 volt logic
- 0 to +125' C operating temperature
- 3Jl DLM (double level metal) process

Custom Processes - Harris Designed
PowerBIMOS
- 80 volts up to 5 amp outputs
- 80 volt NPN & PNP devices
- -40 to +150' C operating temperature
- Capable of full load dump @ 80 volts
- P & N channel DMOS output devices
- 4.5 to 80 volt operating voltage
- Applications - high side switches, low side switches,
sWitching regulators, bridges, etc.
- Power freq. > 300K, small signal freq. > 100 MHz

Power Bipolar
- 60 volts up to 1 amp outputs
- 4.5 to 60 volt operating voltage
- Applications - high side switches, low side switches,
sWitching regulators, bridges, automotive driver circuits, etc.
- 60 volt NPN & PNP devices
- -40 to +125' C operating temperature

HVIC
- 600 volt up to .1 amp NPN
- 25 volt scalable N & P transistors
- CMOS logic operational from 2.5 to 18 volts with 1.5ns delays
- 2A NMOS output devices
- -40 to +125'C operating temperature

HV-500
- 500 volt 5Jl DI (Dielectric Isolation Bipolar) technology
- Used in Harris HV-1205, 2405 & HV-250, 255, 350, 355 mosfet drivers
- -40 to +85' C operating temperature
- SLM (single level metal) with NPN freq. > 320 MHz
- 200 volt variation used in SUC's (Subscriber Line Interface Circuits) (HV-200)

e

Semicustom - Custom Designed Using Fastrack™
HPA2000 - Power ASIC Library
Process Features:
- Cost effective 4JJ junction isolated BiCMOS Process
- Complementary bipolar & MOS devices rated at 15V
- Multiple power DMOS device rated up to 20A, 60V
- Diffused resistors, zeners, capacitors, available
- Double level metal process
- Heavy second level metal for high current
- Macrocells rated to +125'C

HPA2000 Power ASICTM Design Library
- Bipolar, CMOS, & power tool sets available
- Cell library supports logic, analog & power functions
- Menu driven user interface
- Hierarchical schematic capture environment
- cdcSpice & VERILOG mixed mode simulator
- Behavioral level modelS available on standard cells to reduce simulation time
- Statistical simulation support for best, typical, & worst case analysis
- Macro level Monte Carlo analysis

Applications:
Power Supplies:
- DC to DC converters
- Distributed supply
- Switching regulators
- Power amplifiers
- Flvback regulators/converters
- UPS power supplies

Motion Control:
- Stepper motors
- DC brush permanent magnet motors
- DC brush less motors
- Voice COils
- Switched reluctance

Available Macrocells:
CMOS Logic:
- Logic functions can operate from 4.5V to 15V
- Propagation delay of minimum inverter with
fanout of 1 is 3nS
Inverter (1X, 3X, 4X)
NAND (2, 3, or 4 inputs)
NOR (2, 3, or 4 inputs)
XOR (exclusive OR)
Set reset latch
D-type flip flop with set & reset
Expandable parallel to serial shift register
Expandable ripple counter
Transmission gate
I/O Functions:
- TTL receiver
- TTL driver
- ESD J)rotection network (1KV min)
- Input Schmitt trigger
- CMOS driver

Power Interface:
- Mosfet/IGBT drivers
- Solid state relays
- Solenoid actuators
- Print head drivers
- Display drivers
- Power factor controllers
- Low side switches

Analog Macro Functions:
- Bandgap reference 5.1 V
- Thermal detector
- On-chip power supply regulator - 12 volts
- Upper & lower rail sensing comparators, both
bipolar & MOS inputs
- Current references
- 12V zener regulated reference
- Transconductance amplifier
- VoltaQe to current converter
- Inverting & non-inverting gate drivers
Components:
- Resistors: 16, 5 & 9Ulsquare
- Zeners, zener zap
- Scalable bipolars & MOSFET transistors
- Scalable 60V DMOS power device with
(4.4mUlcm 2)

Semicustom - Custom Designed Using Fastrack™
HBC2500 - BiMOS-E Analog/Digital ASIC Library
Process Features:
- Cost effective 3JJ junction isolated BiCMOS Process
- Low power silicon-gate CMOS technology; 3 to 16 volt operation
- B!po1ar & MOS on a single small die
- High quality resistors, zeners, & poly to poly capacitors available
- Double level metal process
- Up to 1 amp current capability
- Macrocells rated from -55'C to +125'C
- SOMHz, 1OOVlJ,lS op amps
- 20 to 30 nS propagation delay time on comparators

HBC2500 BiMOS-E Design Library
- More than 150 cell types available
- Cell library supports CMOS logic, primitive & macrocells (op amps, comparators, oscillators, voltage references,
AID & D/A converters, etc.
- Menu driven user interface
- Hierarchical schematic capture environment
- cdcSpice & VERILOG mixed mode simulator
- Behavioral level models available on standard cells to reduce simulation time
- Statistical simulation support for best, typical, & worst case analysis
- Macro level Monte Carlo analysis

Applications:
Power Supplies:
- DC to DC converter
COntrol
- Distributed supplies
- Switching regulators
- PoWer amplifiers
- Flyback regulators!
converters
- UPS power supply
control

Motion Control:
- Stepper motor
controllers
- DC brush permanent
magnet motors
- DC brush less motor
control
- Voice coil controllers
- Switched reluctance

Power Interface:
- MOSFET drivers
- Solid state relay
control
- Solenoid actuators
- Print head controllers
- Display drivers
- Power factor controllers
- Power monitoring
circuits

Signal Processing:
- Data acquisition
systems
- Sonar & radar
processing
- Medical equipment
- Communications
systems
- Audio & video
processing

Available Macrocells:
CMOS Logic:
- Logic functions can operate from 3V to 15V
- Propagation delay of minimum inverter with
fanout of 1 is 3.5nS @ Vee. 15V
Inverters (1X to 17X)
NAND (2, 3, or 4 inputs)
AND/OR (9 types available)
NOR (2, 3, or 4 inputs)
XORIXNOR (exclusive ORINOR)
Octal counter/dividerldecorder (3 types)
D-type flip flops (3 types)
TTL to CMOS converters (2 types)
One-shot multivibrator
Analog/digital transmission gates
I/O Functions:
- MOS transistors (open drain n-channel MOS/FETs)
- Input/output pads (no protection)
- Input/output pads with ESD protection >2000KV
- TTL to CMOS logic level converters
- "Star" terminal pad for multiple supplies

Analog Macro Functions:
- Bandgap references 1.2V & 2.5V
- Analog delay circuits (100,JJS, 10mS)
- Op-amps (8 types. bipolar or CMOS inputs
CMOS or bipolar outputs, transconductance,
!)rogrammable gain)
- Comparators (6 types; clocked, latching)
- Oscillators (3 types; Xtal, LC & "555" type)
- Phase lock loop
- Over current protection (P & NMOS devices)
- Over-temperature protection circuit for IC
- 6 & 8 bit DAC (digital to analog) cells
- 8 bit successive approximation AID cell
- Delta - Sigma AID converter cell
- Half "H" switch (0.5 amp drivers) cell
Components:
- Resistors: from 0.025 to 2KU/square
- Zeners (14.2, 5 & 9.2V), zener zap
- Capacitors (poly to poly, poly to P, N)
- Scalable NMOS & PMOS transistors to
1d.0.8amps
- Scalable NPN & PNP bipolar transistors
to Id. 0.8 amps, FT .700,10 MHz NPN,
350 MHz PNP
- Diodes (small signal & parastic)

Custom - Harris Designed
Power BiMOS ASIC Technology
Process Features:
- Cost effective 31! junction isolated BiCMOS process
- Operating voltage 3 to BOV
- NPN & PNP BOV transistors up to 5 amps
- Power N & P DMOS device rated up to SA, BOV
- Diffused resistors, zeners, capacitors, available
- Double level metal process
- Typical cells; diff pairs, bandgaps, matched capacitor networks, switched capacitor filters
- Process rated -40' C to +125' C
- Isolated diodes allow bridge configurations
- Handles automotive load dump

Power BiPolar ASIC Technology
Process Features:
- Cost effective 41! bipolar process
- Operating voltage 2 to 4SV
- NPN & PNP 4SV transistors up to 5 amps
- Diffused resistors, zeners, capacitors, available
- Double level metal process
- Process rated -40' C to +125' C
- Isolated diodes allow bridge configurations
- Handles automotive load dump

Applications:
Power Supplies:
- DC to DC converters
- Distributed supply
- Switching regulators
- Power amplifiers
- Flyback regulators/converters
- UPS power supplies

Motion Control:
- Stepper motors
- DC brush permanent
magnet motors
- DC brushless motors
- Voice coils
- Switched reluctance

Power Interface:
- MosfeVIGBT drivers
- Solid state relays
- Solenoid actuators
- Print head drivers
- Display drivers
- Power factor controllers
- Low/high side switches
- Quad drivers
- Automotive LSS & HSS

Custom - Harris Designed
HPA1000 - HVIC High Voltage ASIC Technology
Process Features:
- Cost effective 4J1 junction isolated BiCMOS process
- Operating voltage 5 to 500V
- Scalable NPN > 100mA @ 500V transistor
- Scalable power N & P DMOS device> 2A @ 30V
- DHfused resistors & capacitors, available
- Double level metal process
- >5OOV hioh voltage diode available
- Typical digital gate count <1000 gates
- Process rated -40'C to +125'C
- CMOS logic operational from 2.5 - 20V
- ft - 5 MHz @ 2A available on NPN transistor

HV-200, HV-500 High Voltage ASIC Technology
Process Features:
- 4J1 dielectrically isolated bipolar process
- Operating voltage 5 to 250V (HV-200~
eratin volta e 5 to 500V (HV-500
&
208v transistors avallab e (HV-200)
- NPN & PNP 500V transistors available (HV-500)
- Resistors, zener, capacitors, available
- > 300V SC~HV-200) available
- > 580V SCR HV-500) available
- Process rat -40'C to +125'C
- ft > 300 MHz low voltage PNP & NPN
- ft > 1 MHz high voltage NPN
- ft > 100 KHz high voltage PNP

~N P~P

Applications:
Power sU5l1es:
- High vo
e DC to DC converters
- Distribut supply
- Switching regulators
- High voltage power amplifiers
- Flvback regulators/converters
- UPS power supplies
- AC to DC converters

Motion Control:
- High voltage motor controllers
- DC brush permanent
magnet motors
- DC brushless motors
- Voice coils
- Switched reluctance
- AC motor controllers

Power Interface:
- Mosfet/lGBT drivers
- Solid state relays
- Solenoid actuators
- SLlC(Subscriber Line Interface Circuits)
- High voltage switches
- Power factor controllers
- Electronic ballasts
- HVAC controllers
- Electric vehicles

II

Sales Offices,
Representatives and
Authorized Distributors

North American Sales Offices and Representatives
ALABAMA
Harris Semiconductor
Suite 103
Offlce Park South
600 Boulevard South
Huntsville, AL 35802
TEL: (205)-883-2791
FAX: 205 883 2861
Glestlng " Associates
Suite 15
4835 University Square
Huntsville, AL 35816
TEL: (205) 830-4554
FAX: 205 8304699
ARIZONA
Compass Marketing" Sales,
Inc.
11801 N. Tatum Blvd. #101
Phoenix, AZ. 85028
TEL: (602) 996-0635
FAX: 602 9960586
P.O. Box 65447
Tucson, AZ. 85728
TEL: (602) 557-0580
FAX: 602 557 0581
CALIFORNIA
Harris Semiconductor
" Suite 320
1503 So. Coast Drive
Costa Mesa, CA 92626
TEL: (714) 433-0600
FAX: 714 433 0682
Harris Semiconductor
Suite 308
5250 W. Century Blvd.
Los Angeles, CA 90045
TEL: (310) 649-4752
FAX: 310 649 4804
Harris Semiconductor
" 3031 lisch Way
1 Plaza South
San Jose, CA 95128
TEL: (408) 985·7322
FAX: 408 985 7455
Harris Semiconductor
" Suite 350
6400 Canoga Ave.
Woodland Hills, CA 91367
TEL: (818) 992-0686
FAX: 818 883 0136
CK Associates
8333 Clairernont Mesa Blvd.
Suite 102
San Diego, CA 92111
TEL: (619) 279-0420
FAX: 619 279 7650
Ewing Foley, Inc.
185 Linden Avenue
Auburn, CA 95603
TEL: 916-885-8591
FAX: 916 885 6594

Harris Microwave
Semiconductor Products

Harris Semiconductor
300 6th Avenue, North
Indian Rocks Beach, FL 34635
TEL: (813) 595-4030
FAX: 813 595 5780

Harris Microwave
SemIconductor
1530 McCarthy Blvd.
Milpitas, CA 95035
TEL: (408) 433-2222
TWX: 910 336 2247
FAX: 408 432 3268

GEORGIA
Glestlng " Associates
" SUite 108
2434 Hwy. 120
Duluth, GA 30136
TEL: (404) 476-0025
FAX: 404 4762405

Gray" Steward, Inc.
1054 Whispering Pines Dr.
Scotts Valley, CA 95066
TEL: (408) 439-8905
FAX: 408 439 9001

ILLINOIS
Harris Semiconductor
" Suite 600
1101 Perimeter Dr.
Schaurrburg, IL 60173
TEL: (708) 240-3480
FAX: 708 6191511

CANADA
Blakewood Electronic
Systems, Inc.
1A - 12880 Bathgate Way
Richmond, BC Canada
Canada V6V lZ4
TEL: (604) 273-9910
FAX: 604 2731483

Oasis Sales
1101 Tonne Road
Elk Grove Village, IL 60007
TEL: (708) 640-1850
FAX: 708 640 9432

Clark Hurman Associates
Unit 14
20 Regan Road
Brampton, Ontario
Canada L7A IC3
TEL: (416) 840-6066
FAX: 416 840-6091

INDIANA
Harris Semiconductor
" Suite 100
11590 N. Meridian SI.
Carmel, IN 46032
TEL: (317) 843-5180
FAX: 317 843 5191

66 Colonnade Rd.
Suite 205
Napean, Ontario
Canada K2E 7K7
TEL: (613) 727-5626
FAX: 613 7271707

Glestlng " Associates
370 Ridgepoint Dr.
Carmel, IN 46032
TEL: (317) 844·5222
FAX: 317 844 5861

4 Chester
Pointe Claire, Quebec
Canada H9R 4H7
TEL: (514) 426-0453
FAX: 514 426 0455

IOWA
Advanced Technical Sales
Inc.
375 Collins Road, NE
Cedar Rapids, IA 52402
TEL: (319) 393-8280
FAX: 319 393 7258

COLORADO
Compass Marketing
Suite 3500
5600 So. Quebec St.
Greenwood Village, CO 80111
TEL: (303) 721-9663
FAX: 303 721 0195

Oasis Sales
Suite 203
4905 Lakeside Dr., NE
Cedar Rapids, IA 52402
TEL: (319) 377-8738
FAX: 319377 8803

CONNECTICUT
Advanced Tech Sales, Inc.
Westview Office Park
Bldg. 2, Suite lC
850 N. Main Street Extension
Wallingford, CT 06492
TEL: (203) 284-0838
FAX: 203 284 8232

KANSAS
Advanced Technical Sales,
Inc.
Suite 8
601 North Mur·Len
Olathe, KS 66062
TEL: (913) 782-8702
FAX: 913 782 8641

FLORIDA
Harris Semiconductor
" 1301 Woody Burke Rd.
Melbourne, FL 32902
TEL: (407) 724-3576
FAX 4077243130

KENTUCKY
Glestlng " Associates
212 Grayhawk Court
Versailles, KY 40383
TEL: (606) 873-2330
FAX: 606 873 6233

"Field Application Assistance Available

2

July 1992
MARYLAND
New Era Sales, Inc.
678 Ritchie Highway
Severna Park, MD 21146
TEL: (410) 544-4100
FAX: 410 544-6092
MASSACHUSETTS
Harris Semiconductor
" Suite 240
3 Burlington Woods
Burlington, MA 01803
TEL: (617) 221-1850
FAX: 617 221 1866
Advanced Tech Sales
Suite 102
348 Park Street
Park Place West
N. Reading, MA 01864
TEL: (508) 664·0888
FAX: 508 664 5503
MICHIGAN
Harris Semiconductor
" Suite 460
27777 Franklin Rd.
Southfield, MI 48034
TEL: (313) 746-0800
FAX: 313 746 0516
Glestlng " Associates
Suite 113
34441 Eight Mile Rd.
Livonia, MI 48152
TEL: (313) 478-8106
FAX: 313 477 6908
6898 Curtis Dr.
Coloma, MI 49038
TEL: 616-468-4200
FAX: 6164686511
1279 Skyhills N.E.
Comstock Park, MI 49321
TEL: (616) 784-9437
FAX: 616 784 9438
MINNESOTA
Oasis Sales
Suite 210
7805 Telegraph Road
Bloomington, MN 55438
TEL: (612) 941-1917
FAX: 612 9415701
MISSOURI
Advanced Technical Sales
13755 SI. Charles Rock Rd.
Bridgeton, MO 63044
TEL: (314) 291·5003
FAX: 314 291 7958
NEW JERSEY
Harris Semiconductor
" Suite 210 North
6000 Midlantic Drive
MI. Laurel, NJ 08054
TEL: (609) 727·1909
FAX: 609 727 9099

North American Sales Offices and Representatives (Continued)
Harris Semiconductor
724 Route 202
P.O. Box 591 MIS 13
Somerville, NJ 08876
TEL: (908) 685-6150
FAX: 908 685-6140
Trltek Sales, Inc.
Suite 410
One Cherry Hill
Cherry Hill, NJ 08002
TEL: (609) 667-0200
FAX: 6096678741
NEW MEXICO
Compass Mktg. & Sales, Inc.
Suite 109
4100 Osuna Rd., NE
Albuquerque, NM 87109
TEL: (505) 344-9990
FAX: 505 345 4848
NEW YORK
Harris Semiconductor
Hampton Business Center
1611 RI. 9, Suite U3
Wappingers Falls, NY 12590
TEL: (914) 298-0413
FAX: 914 298 0425
Foster & Wager, Inc.
69 Governeurs Lane
Endicott, NY 13760
TEL: (607) 748-5963
FAX: 607 748 5965
42 Redspire Way
East Amherst, NY 14051
TEL: (716) 688-7864
FAX: 716 688-7864
2511 Browncroft Blvd.
Rochester, NY 14625
TEL: (716) 385-7744
FAX: 716 586 1359

7696 Mountain Ash
Liverpool, NY 13090
TEL: (315) 457-7954
FAX: 315 457 7076

Suite 521
26250 Euclid Avenue
Cleveland, OH 44132
TEL: 216-261-9705
FAX: 216 2615624

Trlonlc Associates, Inc.
320 Northern Blvd.
Great Neck, NY 11021
TEL: (516) 466-2300
FAX: 516 4662319

2159 Riverhill Rd.
Columbus, OH 43221
TEL: 614-459-4800
FAX: 614 459 4801

Harris Microwave
Semiconductor Products

OKLAHOMA
Nova Marketing
SUite 1339
81250 East 51st Street
Tulsa, OK 74145
TEL: (800) 826-8557
TEL: (918) 660-5105
FAX: 918 665 3815

KLMGarner
46 Clinton SI.
P.O. BoxC
Clark Mills, NY 13321
TEL: (315) 853-6126
FAX: 315 8533011
111 Marsh Rd.
Pittsford, NY 14534
TEL: (716) 381-8350
FAX: 7163852103

OREGON
Northwest Marketing Assoc.
SUite 330
6975 SW Sandburg Road
Portland, OR 97223
TEL: (503) 620-0441
FAX: 503 684 2541

NORTH CAROLINA
Harris Semiconductor
4020 Stirrup Creek Dr.
Building 2A, MS/2T08
Durham, NC 27703
TEL: (919) 549-3600
FAX: 919 549 3660

PENNSYLVANIA
Glestlng & Associates
471 Walnut Street
Pittsburgh, PA 15238
TEL (412) 828-3553
FAX: 412 828 6160

New Era Sales
Suite 203
1110 Navajo Dr.
Raleigh, NC 27609
TEL: (919) 878-0400
FAX: 9198788514

TEXAS
Harris Semiconductor
, Suite 115
17000 Dallas Parkway
Dallas, TX 75248
TEL: 214-733-0800
FAX: 214 733 0819

OHIO
Glesting & Associates
P.O. Box 39398
2854 Blue Rock Rd.
Cincinnati, OH 45239
TEL: (513) 385-1105
FAX: 5133855069

Nova Marketing
Suite 174
8350 Meadow Rd.
Dallas, TX 75231
TEL: (214) 750-6082
FAX: 214 750 6068
Suite 180
8310 Capitol of Texas Hwy.
Austin, TX 78731
TEL: (512) 343-2321
FAX: 512343-2487
Suite 206
9207 Country Creek Rd.
Houston, TX 77036
TEL: (713) 988-6082
FAX: 713 7741014
UTAH
Compass Marketing & Sales
4001 South 700 East
Suite 500
Salt Lake City, UT 84107
TEl: (801) 264-6606
FAX: 801 264 6601
WASHINGTON
Northwest Marketing Assoc.
Suite 330N
12835 Bel-Red Road
Bellevue, WA 98005
TEL: (206) 455-5846
FAX: 206 451 1130
WISCONSIN
Oasis Sales
1305 N. Barker Rd.
Brooklield, WI 53005
TEL: (414) 782-6660
FAX: 414 782 7921

'Field Application Assistance Available

North American Authorized Distributors
CORPORATE OFFICES
Arrow/Schweber
25 Hub Dr.
Melville, NY 11747
TEL: (516) 391-1300
FAX: 516 3911644
Almec Electronics Corp.
14360 S.E. Eastgate Way
Bellevue, WA 98007
TEL: (206) 843-9992
FAX: 206 643 9709
Anthem
1160 Ridderpark Dr.
San Jose, CA 95131
TEL: (408) 453-1200
FAX: 408 4414500

Hall-Mark Electronics
11333 Pagemill Rd.
P.O. Box 222035
Dallas, TX 75243
TEL: (214) 343-5000
FAX: 214 3435988

EMC (Electronics Mktg Corp)
1150 West Third Avenue
Columbus, OH 43212
TEL: (614) 299-4161
FAX: 614 299 4121
Falcon Electronics
5 Higgins Dr.
Milford, CT 08460
TEL: (203) 878-5272
FAX: 203 877 2010

HamlltonlAvnet
10950 W. Washington Blvd.
Culver City, CA 90230
TEL: (310) 558-2000
FAX: 310 558 2809 (Mil)
FAX: 310 558 2076 (Com)

Gerber Electronics, Inc.
128 Carnegie Row
Norwood, MA 02062
TEL: (617) 769-6000
FAX: 617 766 8931

ITT Multlcomponents
300 North Rivermede Rd.
Concord, Ontario
Canada L4K 2Z4
TEL: (416) 798-4884
FAX: 416 798 4889

3

Newark Electronics, Inc.
4801 N. Ravenswood
Chicago, IL 60640
TEL: (312) 784-5100
FAX: 312 784 5100 X3107
Wyle Laboratories
(Commercial & Military)
3000 Bowers Avenue
Santa Clara, CA 95051
TEL: (408) 727-2500
FAX: 408 727 5896

Obsolete Products
Rochester Electronic
10 Malcom Hoyt Drive
Newburyport, MA 01950
TEL: (508) 462-9332
FAX: 508 462 9512

North American Authorized Distributors
ALABAMA
Arrow/Schweber
Huntsville
TEL: (205) 837-6955
Hall-Mark
Huntsville
TEL: (205) 837-8700
HamlltonlAvnet
Huntsville
TEL: (205) 837-7210
ARIZONA
Anthem
Tell1l8
TEL: (602) 966-6600
Arrow/Schweber
Tell1l8
TEL: (602) 431-0030
Hall-Mark
Phoenix
TEL (602) 437-1200
HamlitonlAvnet
Chandler
TEL: (602) 961-6411
WyIe laboratories
Phoenix
TEL: (602) 437-2088
CAUFORNIA
Anthem
Chatsworth
TEL: (818) 700-1000
E.lrvine
TEL: (714) 768-4444
Rocklin
TEL: (916) 624-9744
San Diego
TEL: (619) 453-9005
San Jose
TEL: (408) 452-2287
Arrow/schweber
Calabasas
TEL:(818) 880-9686
Irvine
TEL: (714) 454-4372
San Diego
TEL: (619) 565-4800
San Jose
TEL: (408) 441-9700
TEL: (408) 432-7171
Hall-Mark
Chatsworth
TEL: (818) 773-4500
Rocklin
TEL: (916) 624-9781
San Diego
TEL: (619) 288-1201
San Jose
TEL: (408) 432-4000
Irvine
TEL: (714) 727-6000

July 1992

HamlltonlAvnet
Costa Mesa
TEL: (714) 641-6111
Costa Mesa
TEL: (714) 641-4100
Gardena
TEL: (310) 217-2046
CulverCily
TEL: (310) 558-2000
Roseville
TEL: (916) 781-6614
San Diego
TEL: (619) 571-7525
Sunnyvale
TEL: (408) 743-3300
Woodland Hills
TEL: (818) 700-6545
Wyle laboratories
Calabasas
TEL: (818) 680-9000
Irvine
TEL: (714) 863-9953
Rancho Cordova
TEL: (916) 638-5282
San Diego
TEL: (619) 565-9171
Santa Clara
TEL: (408) 727-2500

COLORADO
Anthem
Englewood
TEL: (303) 790-4500
Arrow/Schweber
EnglewOod
TEL: (303) 799-0258
Hall-Mark
Englewood
TEL: (303) 790-1662
HamlltonlAvnet
Englewood
TEL: (303) 799-7800
Colorado Springs
TEL: (719) 637-0055
Wyle laboratories
Thornton
TEL: (303) 457-9953
CONNECTICUT
Anthem
Waterbury
TEL: (203) 575-1575
Arrow/Schweber
Wallingford
TEL: (203) 265-7741
Falcon
MlHord
TEL: (203) 878-5272
Hall-Mark
Cheshire
TEL: (203) 271-2844
HamlitonlAvnet
Danbury
TEL: (203)743-9799

CANADA
Arrow/Schweber
Burnaby, British Columbia
TEL: (604) 421-2333
Dorval, Quebec
TEL: (514) 421-7411
Nepan, Ontario
TEL: (613) 226-6903
Misslssagua, Ontario
TEL: (416) 670-7769
HamlitonlAvnet
Burnaby, B.C.
TEL: (604) 420-4101
Misslssaugua, Ontario
TEL: (416) 564-6060
Napean, Ontario
TEL: (613) 727-7501
SI. Laurent, Quebec
TEL: (514) 335-1000
ITT Multlcomponents
Vancouver
TEL: (604) 421-6222
Calgary, Alberta
TEL: (403) 273-2780
Concord, Ontario
TEL: (416) 798-4684
Edmonton, Alberta
TEL: (800) 332-8367
V. 51. Laurent, Quebec
TEL: (514) 335-7697
Napean, Ontario
TEL: (613) 596-6980
Winnipeg, Manitoba
TEL: (204) 786-8401

FLORIDA
Arrow/Schweber
Deerfield Beach
TEL: (305) 429-8200
Lake Mary
TEL: (407) 333-9300
HallMark
Casselberry
TEL: (407) 830-5855
Largo
(813) 541-7440
Pompano Beach
TEL: (305) 971-9280
HamiltonlAvnet
Ft. Lauderdale
TEL: (305) 733-6300
St. Petersburg
TEL: (813) 573-3930
Winter Park
TEL: (407) 657-9018
GEORGIA
Arrow/schweber
Duluth
TEL: (404)497-1300
Hall-Mark
Duluth
TEL: (404) 623-4400
HamiltonlAvnet
Duluth
TEL: (404) 623-5475

4

ILLINOIS
Anthem
Schaumburg
TEL: (708) 884-0200
Arrow/schweber
Itasca
TEL: (708) 25().()5()()
Hall-Mark
Wood Dale
TEL: (312) 800-3800
HamlltonlAvnet
Bensenville
TEL: (708) 800-6566
Newark
Chicago
TEL: (312) 784-5100
INDIANA
Arrow/schweber
Indianapolis
TEL: (317) 299-2071
Hall-Mark
Indianapolis
TEL: (317) 872-6875
HamlitonlAvnet
Carmel
TEL: (317) 844-9333
IOWA
Arrow/Schweber
Cedar Rapids
TEL: (319) 395-7230
HamlltonlAvnet
Cedar Rapids
TEL: (319) 362-4757
KANSAS
Arrow/schweber
Lenexla
TEL: (913}541-9542
Hall-Mark
Lenexa
TEL: (913) 688-4747
HamlltonlAvnet
Lenexa
TEL: (913) 541-7924
KENTUCKY
HamlltonlAvnet
Lexington
TEL: (606) 288-4911
MARYLAND
Anthem
Columbia
TEL: (410) 995-6640
Arrow/schweber
Columbia
TEL: (410) 596-7800
Falcon
Baltimore
TEL: (410) 247-5800
Hall-Mark
Columbia
TEL: (410) 988-9800
HamlltonlAvnet
Columbia
TEL: (410) 995-3528

North American Authorized Distributors (Continued)
Pinebrook
TEL: (201) 227-7880

Highland Heights
TEL: (216) 442-3441

Hall-Mark
Parsippany
TEL: (201) 515-3000

Hall-Mark
Worthington
TEL: (614) 888-3313

Moorestown
TEL: (009) 235-1900

Solon
TEL: (216) 349-4632

Gerber
Norwood
TEL: (617) 769-6000

HamlitonlAvnet
Cherry Hili
TEL: (609) 424-0100

Hall-Mark
Billerica
TEL: (508) 667-0902

Parsippany
TEL: (201) 515-5300

HamlitonlAvnet
Dayton
TEL: (513) 439-6700
TEL: (513) 439-6721

MASSACHUSETTS
Anthem
Wilmington
TEL: (508) 657-5170
ArrowlSchweber
Wilmington
TEL: (508) 658-0900

HamlitonlAvnet
Peabody
TEL: (508) 531-7430

NEW MEXICO
HamlitonlAvnet
Albuquerque
TEL: (505) 765-1500

MICHIGAN
ArrowlSchweber
Livonia
TEL: (313) 462-2290

NEW YORK
Anthem
Commack
TEL: (516) 864-6600

Hall-Mark
Livonia
TEL: (313) 462-1205

Falcon
Hauppauge
TEL: (516) 724-0980

HamlitonlAvnet
Novl
TEL: (313) 347-4270

ArrowlSchweber
Hauppauge
TEL: (516) 231-1000

Grandville
TEL: (616) 531-0345

Rochester
TEL: (716) 427-0300

MINNESOTA
Anthem
Eden Prairie
TEL: (612) 944-5454

Hall-Mark
Fairport
TEL: (716) 425-3300

ArrowlSchweber
Eden Prarle
TEL: (612) 941-5280
Hall-Mark
Bloomington
TEL: (612) 941-2600
HamlitonlAvnet
Minnetonka
TEL: (612) 932-0600
MISSOURI
ArrowlSchweber
SI. Louis
TEL: (314) 567-6888
Hall-Mark
Earth City
TEL: (314) 291-5350
HamlitonlAvnet
Chesterfield
TEL: (314) 537-1600
NEW HAMPSHIRE
HamlitonlAvnet
Manchester
TEL: (603) 624-9400
NEW JERSEY
Anthem
Pinebrook
TEL: (201) 277-7960
ArrowlSchweber
Marlton
TEL: (609) 596-8000

Columbus
TEL: (614) 882-7389
Solon
TEL: (216) 349-5100
OKLAHOMA
ArrowlSchweber
Tulsa
TEL: (918) 252-7537
Hall-Mark
Tulsa
TEL: (918) 254-6110
HamlitonlAvnet
Tulsa
TEL: (918) 252-7297
OREGON
AlmaciArrow
Beaverton
TEL: (503) 629-8090
Anthem
Beaverton
TEL: (503) 643-1114

Ronkonkoma
TEL: (516) 737-0600

HamlitonlAvnet
Beaverton
(503) 627-0201

HamlitonlAvnet
Syracuse
TEL: (315) 434-2426

Wyle laboratories
Beaverton
TEL: (503) 643-7900

Hauppauge
TEL: (516) 434-7490
Rochester
TEL: (716) 475-9130

PENNSYLVANIA
Anthem
Horsham
TEL: (215) 443-5150

Westbury
TEL: (516) 997-6868

ArrowlSchweber
Pittsburgh
TEL: (412) 963-6807

NORTH CAROLINA
ArrowlSchweber
Raleigh
TEL: (919) 876-3132

HamiltonlAvnet
Pittsburgh
TEL: (412) 772-1881

EMC
Charlotte
(704) 394-6195
Hall-Mark
Raleigh
TEL: (919) 878-0712

TEXAS
ArrowlSchweber
Austin
TEL: (512) 835-4180

HamlitonlAvnet
Raleigh
TEL: (919) 878-0819

Dallas
TEL: (214) 380-6464
Houston
TEL: (713) 530-4700

OHIO
ArrowlSchweber
Solon
TEL: (216) 248-3990
Centerville
TEL: (513) 435-5563
EMC
Columbus
TEL: (614) 299-4161

5

July 1992
HamlitonlAvnet
Austin
TEL: (512) 832-4306
Dallas
TEL: (214) 404-9906
Stafford
TEL: (713) 274-9336
Wyle laboratories
Austin
TEL: (512) 345-8853
Houston
TEL: (713) 879-9953
Richardson
TEL: (214) 235-9953
UTAH
Anthem
Salt Lake City
TEL: (801) 973-8555
ArrowlSchweber
Salt Lake City
TEL: (801) 973-6913
HamlitonlAvnet
Salt Lake City
TEL: (801) 268-2022
Wyle laboratories
West Valley
TEL: (801) 974-9953
WASHINGTON
AlmaciArrow
Bellevue
TEL: (206) 643-9992
Spokane
TEL: (509) 924-9500
Anthem
Bothell
TEL: (206) 483-1700
Hall-Mark
Seattle
TEL: (206) 547-0415
TEL: 1-800-548-3976
FAX: 206 632 4814
HamlitonlAvnet
Redmond
TEL: (206) 881-6697
Wyle laboratories
Redmond
TEL: (206) 881-1150
WISCONSIN
ArrowlSchweber
Brookfield
TEL: (414) 792-0150
Hall-Mark
New Berlin
TEL: (414) 797-7844
HamiitonlAvnet
Waukesha
TEL: (414) 784-4518

Hall-Mark
Austin
TEL: (512) 258-8848

South American
Authorized Distributor

Dallas
TEL: (214) 343-5000
TEL: (214) 553-4300
Houston
TEL: (713) 781-6100

Grattec Electronic Sales Inc.
One Boca Place. Suite 305 East
2255 Glades Road
Boca Raton. Florida 33431
TEL: (407) 994-0933
FAX: 407 994-5518

European Sales Offices and Representatives
European Sales Headquarters
Harris Semiconductor
Mercure Cenler
Rue de la Fusee, 100
1130 Brussels, Belgium
TEL: 32 2 246 21 11
FAX: 32 2 246 22 05
FAX: 32 2 246 22 06
TLX:61566
AUSTRIA
Transistor
Vertrlebsgesellschafl mbH
&CoKG
AUholstrasse 41 A
A - 1130 Vienna
TEL: 43222 82 94 01 0
FAX: 43 222 82 64 40
TWX: 133738
FINLAND
TeknokltOY
Reinkkalan Karlano
SF - 51200 Kangasniemi
TEL: 358 59 432031
FAX: 358 59 432367
FRANCE
, Harris Semiconductaurs SARL
2-4, Avenue de l'Europe
78140 Velizy
TEL: 33 1 34 65 40 80 (Dist)
TEL: 33 1 34 65 4027 (Sales)
FAX: 33 1 39 64 054
TLX:697060
Unlrep
Z. I. De La Bonde 1BIS
Rue Marcel Paul
R - 91300 MASSY
TEL: 33 1 69 20 03 64
FAX: 33 1 69200061
GERMANY
, Harris Semiconductor
GmbH
Putzbrunnerstrasse 69
8000 Muenchen 83
TEL: 49 89 63813 0
FAX: 49 89 6376201
TLX:529051
Harris Semiconductor
GmbH
Kieler strasse 55 • 59
2085 Quickborn
TEL: 49 4106 5002 04
FAX: 49 4106 68850
TLX: 211582
Harris Semiconductor
GmbH
Wegener Strasse, 5/1
7032 Sindelfingen
TEL: 49 7031873469
FAX: 49 7031 873 849
TLX: 7265431
Ecker Michelstadt GmbH
Koningsberger Strasse, 2
D - 6120 Michelstadt
TEL: 49 6061 2233
FAX: 496061 5039
TWX: 4191630

Erwin W. Hildebrandt
Nieresch 32
D - 4405 Notluln-Darup
TEL: 492502 6065
FAX: 49 25021889
TWX: 892565

TURKEY
EMPAAS
Elektronik Mamulleri
Pazarlama AS
Besyol Londra Aslalti
34630 Sefakoyl Istanbul
TEL: 90 1 5993050
FAX: 90 1 598 5353
TWX: 21137

Fink Handelvertretung
Margreider Platz
Laurinweg 1
D - 8012 Otlobrunn Bel
Munchen
TEL: 49 89 6097 004
FAX: 49 89 6098 170
TWX: 529298

UNITED KINGDOM
• Harris Semiconductor Ltd
Riverside Way
Camberley
Surrey GU15 3YQ
TEL: 44276 686 886
FAX: 44 276 682 323
Laser Electronics
Ballynamoney
Greenore
Co. Louth, Ireland
TEL: 353 4273165
FAX: 353 4273518
TWX: 43679

ISRAEL
Aviv Electronics Ltd
P.O. Box 58175
5, Arad Street
Ramat Hahayal
IS· Tel Aviv 61581
TEL: 972 3 544 7262
FAX: 972 3 544 7650
TWX: 33572

S.M.D.
182, Hall Lane
Aspull, Wigan
Lanes WN2 2SS
TEL: 44 942 54867
FAX: 44 942 525317
Stuart Electronics Ltd.
Phoenix House
Bothwell Road
Castle hill, Carluke
Lanarkshire ML8 5UF
TEL: 44 555 51566
FAX: 44555 51562
TWX: 777404
YUGOSLAVIA
Avtotehna
P.O. Box 593
Celovska 175
YU - 61000 Ljubljana
TEL: 38 61552341
FAX: 38 61 191 112
TWX: 31639

'Field Application Assistance Available

ITALY
' Harris SRL
Viale Fulvio Testi, 126
20092 Cinisello Balsamo
TEL: 39 2 262 07 61
(Disti & OEM ROSE)
TEL: 3922409501
(Disti & OEM Italy)
FAX: 39 2 248 66 20
392 262 22 158 (ROSE)
TWX: 324019

European Authorized Distributors
AUSTRIA
Transistor
Vertrlebsgesellschafl mbH
&CoKG
Auhofstrasse 41 A
A - 1130 Vienna
TEL: 43 222 82 94 01 0
FAX: 43222 82 64 40
TWX: 133738

PORTUGAL
Crlstalonles Componentes
De Radio E Televlsao Lda
Rua Bernardim Ribeiro, 25
P-l100Lisbon
TEL: 351 13534631
FAX: 351 13561755
TWX: 64119

BELGIUM
Diode Belgium
Keiberg II
Minervastraat, 141B2
B-193O Zaventem
TEL: 32 27254511
FAX: 32 2 725 46 60

SPAIN
ElcosS.A.
Loeches 1·3
SP - 28008 Madrid
TEL: 341 5417510
FAX: 34 1 541 75 11

• lnelco Components &
Networks Company SAINV
Avenue des Croix de Guerre 94
B - 1120 Brussels
TEL: 32 2 2442811
FAX: 32 2 216 46 06
TWX: 64475

SWEDEN
Martlnsson Electronlk AB
I nstrumentvagen 15
P.O. Box 9060
S - 12609 Haegersten
TEL: 46 8 744 03 00
FAX: 46 8 744 34 03
TWX: 13077

DENMARK
Ditz Schweitzer AIS
Vallensbaekvej 41
Postboks 5
DK - 2605 Brondby
TEL: 45 42 45 30 44
FAX: 45 42 45 92 06
TWX: 33257

SWITZERLAND
BASIX fur Elektronlk AG
Hardturmstrasse 181
Postfach
CH - 8010 Zurich
TEL: 41 1 276 11 11
FAX: 41 1 276 1234
TWX: 822966

6

FINLAND
Ylelselektronlikka OY
Teleress
P.O. Box 63
Luomannotko, 6
SF • 02201 Espoo
TEL: 358 0 452 16 22
FAX: 358 0 452 33 37
FRANCE
' Almex
48 rue de l'Aubepine
Zone Industrielle
D'Antony-BP 102
F - 92160 Antony
TEL: 33 1 40 96 54 00
FAX: 33 1 46 66 60 28
TWX: 250067
• CCI Electronlque
5 Rue Marcelin Berthelot
Zone Industrielle D'Antony
BP92
F - 92164 Antony Cedex
TEL: 33 1 46 66 21 82
FAX: 33 1 40 96 92 26
TWX: 203881
• Avnet RTF
81 Rue Pierre Semard
F - 92320 Chatillon Sous
Bagneux
TEL: 33 1 49 65 27 00
FAX: 33 1 49652738
TWX: 632247

European Authorized Distributors (Continued)
, Tekelec Airtronic
Cite Des Bruyeres
Rue Carle Vernet
F - 92310 Sevres
TEL: 33 1 46 23 24 25
FAX: 33 1 450721 91
TWX: 634018

SascoGmbH
Hermann-Oberth Strasse 16
D - 8011 PutzbrunnBei-Muenchen
TEL: 49 89 46110
FAX: 4989 46 11 270
TWX: 529504

Ha"'. &Jm/conductor
Chip Distrlbutol'S

Spoerle Electronic KG
Max-Planck Strasse 1-3
D - 6072 Dreieich
1- Bei-Frankfurt
TEL: 49 6103 30 48
FAX: 496103304201
TWX: 417972

Hybritech CM (HCM)
7, Avenue Jullot Curie
F - 17027 LA Rochelle Cedex
TEL: 33 46 451270
FAX: 33 46 45 04 44
TWX: 793034
Edgetek
Zai De Courtaboeuf
Avenue Des Andes
91952 Les Ulis Cedex
TEL: 33 1 64 46 06 50
FAX: 33 1 69 28 43 96
TWX: 600333
Eltek Semiconductaurs
Z. A. De La Tuilerie
B. P. 1077
78204 Mantes-La-Jolie
TEl: 33134771616
FAX: 33 1 34 77 95 79
TWX: 699737
GERMANY
Alfred Neye Enetechnik
GmbH
Schillerstrasse 14
D - 2085 Quickborn
TEL: 49 4106 6120
FAX: 49 4106 61 2268
TWX: 213590
Bit-Electronic AG
Dingolfinger Strasse 6
Postfach 800245
D - 8000 Muenchen 80
TEL: 49 89 4180070
FAX: 49 89 4180 07 20
TWX: 5212931
ECS Hilmar Frahsdorf
GmbH
Electronic Components
Service
Carl-Zeiss Strasse 3
D - 2085 Quickborn
TEL: 49 4106 70050
FAX: 49 4106 700537
TWX: 213693
Indeg Industria Elektronik
GmbH
Postfach 1563
Emil Kommerling Str. 5
D - 6780 Pirmasens
TEL: 49 6331 94 065
FAX: 49 6331 94064
TWX: 452269
Jermyn GmbH
1M Dachsstueck 9
D - 6250 Limburg 4
TEL: 49 6431 508 0
FAX: 49 6431 508289
TLX: 415257 0

NORWAY
Hans H. Schive AlS
Undelstadlia 27
Postboks 185
N - 1371 Asker
TEL: 472 900 900
FAX: 47 2 904 484
TWX: 19124
PORTUGAL
Cristelonlca
Componentes De Radio E
Televisao, Lda
Rua Bernardim Ribeiro, 25
P - 1100 Lisbon
TEL: 351 1353 46 31
FAX: 351 1356 1755
TWX: 64119

GREECE
SemiconCo.
104 Aeolou Street
GR - 10564 Athens
TEL: 30 1 32 53 626
FAX: 30 1 321 60 63
TWX: 216684

SPAIN
Amitron S.A.
Avenida Valladolid 47D BAJO
SP - 28008 Madrid
TEL: 34 1 542 0906
TEL: 34 1 5479313
FAX: 34 1 248 79 58
TWX: 45550

ISRAEL
Aviv Electronics Ltd
P.O. Box 24190
5, Arad Street
Ramat Hahayal
IS - Tel-Aviv 69710
TEL: 972 3 544 7262
FAX: 972 3 544 7650
TWX: 33572

EBV Elekronik S.A.
Salvatierra 4
SP - 28034 Madrid
TEL: 34 1 3581835
FAX: 34 1 729 37 52
TWX: 23382

ITALY
Eureletlronica SpA
Via Enrico Fermi, 8
I - 20090 Assago (MI)
TEL: 392 488 00 22
FAX: 392 488 02 75

SWEDEN
Martinsson Elektronik AB
Instrumentvaegen 15
Box 9060
S - 126 09 Hagersten
TEL: 46 8 744 03 00
FAX: 46 8 774 34 03
TWX: 13077

EBV Elektronik SRL
Via Frova, 34
F-20092 Cinisello Balsamo
(MI)
TEL: 392 660.17111
FAX: 39 2 660.17020

SWITZERLAND
BASiX fur Elektronik AG
Hardturmstrasse 181
Postfach
CH - 8010 Zurich
TEL: 4112761111
FAX: 41 1 2761234
TWX: 822966

Lasl Elettronlca SpA
Viale Fulvio Testi 280
I - 20126 Milano
TEL: 39 2 66 10 13 70
FAX: 39 2 6610 13 85
TWX: 352040
Sliverster Ltd.
Viale Fulvio Testi 280
I - 20126 Milano
TEL: 39 266 1251
FAX: 39 2 6610 1359
TWX: 332189

TURKEY
EMPA
Elektronik Mamulleri
Pazarlama AS
Besyol Londra Aslalti
34630 Selakoy/lstanbul
TEL: 90 1 599 3050
FAX: 90 1 598 5353
TWX: 21137

NETHERLANDS
' Auriema Nederland BV
Doornakkersweg, 26
NL - 5642 MP Eindhoven
TEL: 31 4081 6565
FAX: 31 4081 1815
TWX: 51992

UNITED KINGDOM
Avnet Access Ltd
Jubilee House
Jubilee Road
Letchworth
Hertfordshire SG6 10H
TEL: 44 462 480888
FAX: 44 462 682467
TWX: 826505

Dioda Components BV
Coltbaan 17
NL - 3439 NG Nieuwegein
TEL: 31 340235924
FAX: 31 34029 12 34
7

ESD Distribution Ltd
Edinburgh Way
Harlow
Essex CM20 2DE
TEL: 44 279 626777
FAX: 44 279 441687
TWX: 818801
Farnell Electronic
Components Ltd.
Marketing & Purchasing Div.
Armley Road, Leeds
West Yorkshire LS 12 2QQ
TEL: 44 532 790101
FAX: 44 532 633404
TWX: 55147
Jermyn Distribution
Vestry Industrial Estate
Sevenoaks
Kent TN14 5EU
TEL: 44 732743743
FAX: 44 732 451251
TWX: 95142
Macro Marketing Ltd
Burnham Lane
Slough, Berkshire SL 1 6LN
TEL: 44 628 604422
FAX: 44 628 666873
TWX: 847945
Micromark Electronics Ltd.
Boyn Valley Road
Maidenhead
Berkshire SL6 4DT
TEL: 44 628 76176
FAX: 44 628 783799
TWX: 847397
Thame Components
Thame Park Rd.
Thame, Oxlordshire OX9 3UQ
TEL: 44 844 261188
FAX: 44 844 261681
TWX: 837917

Ha"'. &Jmlconductor
Chip Distributol'S
Die Technology Ltd.
Corbrook Rd.
Chadderton
Lancashire OL9 9SD
TEL: 44 61 6263827
FAX: 44 61 6274321
TLX:668570
Eltek Semiconductor Ltd.
Nelson Rd. Industrial Estate
Dartmouth
Devon T06 9NA
TEL: 44 803 83 4455
FAX: 44 803 83 3011
Rood Technology
Test House Mill Lane
Alton
Hampshire GU34 20G
TEL: 44 420 88022
FAX: 44 420 87259
TLX:858456

'Field Application Assistance
Available

Asian Pacific Offices and Representatives
NORTH ASIA
Sales Headquarters
JAPAN
Harris K.K.
Shinjuku NS Bldg. Box 6153
2-4-1 Nishi-Shinjuku
Shinjuku-ku, Tokyo 163·08
Japan
TEL: 81-3-3345·8911
FAX: 81-3-3345-8910

KOREA
Harris Semiconductor YH
RM #419-1 4TH FL.
Korea Air Terminal Bldg.
159-1, Sam Sung-Dong,
Kang Nam-ku, Seoul
135-728, Korea
TEL: 82·2-551-0931/4
FAX: 82-2-551-0930

SOUTH ASIA
Sales Headquarters
HONG KONG
Harris Semiconductor H.K.
Ltd.
13/F Fourseas Building
208-212 Nathan Road
Tsirnshatsui, Kowloon
TEL: 852-723·6339
FAX: 852·739-8946
TLX:78043645

Inhwa Company, Ltd.
3 Fir., Suh Kang Bldg.
#789-21, Yoksam-dong,
Kangnam-ku, Seoul
TEL: 822-554·7341
FAX: 822-557·5043

AUSTRALIA
VSI Promark Electronics
Pty Ltd
16 Dickson Avenue
Artarmon NSW 2064
TEL: (61) 2-439-4655
FAX: (61) 2·439-6435

SINGAPORE
Harris Semiconductor
Pte Ltd.
105 Boon Keng Road
#OH)1 Singapore 1233
AI!: Sales Office
TEL: 65-291-0203
FAX: 65-293-4301
TLX: RS36460 RCASIN
TAIWAN
Harris Semiconductor
Room 1103
600 Ming Chuan East Road
Taipei
TEL: 886-2·716-9310
FAX: 886-2·715-3029
TLX: 78525174

Asian Pacific Authorized Distributors
AUSTRALIA
VSI Promark Electronics
Pty Ltd
16 Dickson Avenue
Artarmon, NSW 2064
TEL: (61) 2-439-4655
FAX: (61) 2·439-6435
HONG KONG
Dodwell Engineering
7/F, Cavendish Centre
23 Yip Hing Street
Wong Chuk Hang
TEL: (852) 555-4633
FAX: (852) 873-0625

Takachlho Kohekl Co., Ltd.
1-2-8, Yotsuya
Shinjuku-ku, Tokyo 160
TEL: 03-3355-6696
FAX: 03-3357-5034

Electrocon Products, Ltd.
8F, Block B
Prosperity Centre
77 Container Port Road
Kwai Chung, N.T.
TEL: (852) 481-6022
FAX: (852) 481·5804

KOREA
KumOh Electric Co., Ltd.
203-1, Jangsa-Dong,
Chongro-ku, Seoul
TEL: 822-279-3614
FAX: 822-272·6496

JAPAN
Hakuto Co., Ltd.
Toranomon Sangyo Bldg.
1-2-29, Toranomon
Minato-ku, Tokyo 105
TEL: 03-3225-8910
FAX: 03-3597-8975

Karin Electronic Supplies
Co., Ltd.
7F, Kann Bldg.
166 Wai Yip Street
Kwun Tong, Kowloon
TEL: (852) 389-8252
FAX: (852) 343-6479

Macnlca Inc.
Hakusan High Tech Park
1-22-2, Hakusan
Midori-ku, Yokohama-shi,
Kanagawa 226
TEL: 045-939-6116
FAX: 045-939-6117

Means Come Ltd.
Room 1007, Harbour Centre
8 Hok Cheung Street
Hung Hom, Kowloon
TEL: (852) 334·8188
FAX: (852) 334-8649

Jepico Corp.
Shinjuku Daiichi Seimei Bldg.
2-7-1, Nishi-Shinjuku
Shinjuku-ku, Tokyo 163
TEL: 03-3348-0611
FAX: 03-3348-0623

Sunnice Electronics Co., Ltd.
Flat F,5/F, Everest Ind. Ctr.
396 Kwun Tong Road
KOWloon,
TEL: (852) 790-8073
FAX: (852) 763-5477

Micron, Inc.
M isuzu Bldg.
4-27-12, Sendagaya,
Shibuya-Ku, Tokyo 151
TEL: 03-3796-1860
FAX: 03-3796-1866

Willas Co., Ltd.
8F, Wing Tai Centre
12 Hing Yip Street
Kwun Tong, Kowloon
TEL: (852) 3414281
FAX: (852) 3431229

Okura Electronics Co., Ltd.
2-3-6, Ginza Chuo-ku,
Tokyo 104
TEL: 03-3564-6871
FAX: 03-3564-6870

Inhwa Company, Ltd.
3 Fir., Suh Kang Bldg.
#789-21, Yoksam-dong,
Kangnam-ku, Seoul
TEL: 822-554-7341
FAX: 822-557-5043
NEW ZEALAND
Components and Instrumentation NZ, Ltd.
Semple Street
Porirua, Wellington
P.O. Box 50-548
TEL: (64) 4-237-5632
FAX: (64) 4-237-8392
PHILIPPINES
Crystalsem, Inc.
216 Ortega Street
San Juan, Metro
Manila 3134
TEL: (632) 79-05-29
TLX: 722-22031 (IMCPH)

SINGAPORE
B.B.S Electronics PTE, Ltd.
1 Genting Link
#06·03 Perfect I ndust. Bldg.
Singapore 1334
TEL: (65) 7488400
FAX: (65) 7488466
Device Electronics PTE, Ltd.
605B MacPherson Road
04-12 Citimac Ind. Complex
Singapore 1336
TEL: (65) 2886455
FAX: (65) 2879197
TAIWAN
Galaxy Far East Corporation
8F-6, No. 390, Sec. 1
Fu Hsing South Road
Taipei, Taiwan
TEL: 886-2-705-7266
FAX: 886-2-708-7901
Acer Sertek Inc.
3F, No. 135, Sec. 2
Chien Kuo N. Road
Taipei, Taiwan
TEL: (886) 2-501-0055
FAX: (886) 2-501-2521
TECO Enterprise Co., Ltd.
1OFL., No. 292, Min-Sheng
W. Road, Taipei
Taiwan, ROC
TEL: (886) 2:521-9676
FAX: (886) 2-542-6006
THAILAND
Grawlnner Co., Ltd.
2261227 Phahonyothin Rd.
Phyathai, Bangkok 10400
TEL: (662) 271-8742
FAX: (662) 271-2494

~
HARRIS
\&J
SEMICONDUCTOR

8



Source Exif Data:
File Type                       : PDF
File Type Extension             : pdf
MIME Type                       : application/pdf
PDF Version                     : 1.3
Linearized                      : No
XMP Toolkit                     : Adobe XMP Core 4.2.1-c043 52.372728, 2009/01/18-15:56:37
Create Date                     : 2014:01:08 16:41:21-08:00
Modify Date                     : 2014:01:08 23:45:11-08:00
Metadata Date                   : 2014:01:08 23:45:11-08:00
Producer                        : Adobe Acrobat 9.55 Paper Capture Plug-in
Format                          : application/pdf
Document ID                     : uuid:4e0e1119-cd66-4068-bcf9-c5d5d0afeb87
Instance ID                     : uuid:74b156e7-4e3e-40ef-8e37-a50d4622868f
Page Layout                     : SinglePage
Page Mode                       : UseNone
Page Count                      : 312
EXIF Metadata provided by EXIF.tools

Navigation menu