1994_Cypress_Programmable_Logic_Data_Book 1994 Cypress Programmable Logic Data Book
User Manual: 1994_Cypress_Programmable_Logic_Data_Book
Open the PDF directly: View PDF .
Page Count: 506
Download | ![]() |
Open PDF In Browser | View PDF |
Programmabl Logi l Programmable logic Data Book 1994/1995 ~ ~~YPRESS=============================== How To Use This Book Overall Organization Key to Waveform Diagrams This book has been organized by product type, beginning with Product Information. The products are next, starting with Small PLDs, then CPLDs, FPGAs, and Software. A section containing Quality and Reliability is next, followed by a Package Diagrams section. Within each section, data sheets are arranged in order of part number. Rising edge of signal will occur during this time. Falling edge of signal will occur during this time. Recommended Search Paths To search by: Use: Product line Table of Contents or flip through the book using the tabs on the right-hand pages. Size The Product Selector Guide in section l. Numeric part number Numeric Device Index. The book is also arranged in order of part number. Signal may transition during this time (don't care condition). Signal changes from highimpedance state to valid logic level during this time. Signal changes from valid logic level to high-impedance state during this time. Other manufacturer's The Cross Reference Guide part number in section l. Military part number The Military Selector Guide in section 1. Published July 7, 1994 All trademarks listed herein are of their respective companies. © Cypress Semiconductor Corporation, 1994. The information contained herein is subject to change without notice. Cypress Semiconductor Corporation assumes no responsibility for the use of any circuitry other than circuitry embodied in a Cypress Semiconductor Corporation product. Nor does it conveyor imply any license under patent or other rights. Cypress Semiconductor does not authorize its products for use as critical components in life-support systems where a malfunction or failure of the product may reasonably be expected to result in significant injury to the user. The inclusion of Cypress Semiconductor products in life-support systems applications implies that the manufacturer assumes ali risk of such use and in so doing indemnifies Cypress Semiconductor against ali damages. ~ Table of Contents ....... ?cYPRESS Table of Contents Page Number General Product Information Cypress Semiconductor Background ......................................................................... 1-1 Ordering Information ..................................................................................... 1-4 Cypress Semiconductor Bulletin Board System (BBS) Announcement. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 1-5 Application Notes Listing .................................................................................. 1-6 Product Selector Guide .................................................................................... 1-7 Product Line Cross Reference .............................................................................. 1-9 Military Overview ...................................................................................... " 1-14 Military Product Selector Guide .......................................................................... " 1-15 Military Ordering Information ............................................................................. 1-17 Small PLDs (Programmable Logic Devices) Introduction to Cypress PLDs Device PAL20 Series PALC20 Series PALCE16V8 PALCE20V8 PLDC20G10 PLDC20GlOB PLD20GI0C PLDC20RAlO PALC22VlO PALC22VlOB PAL22VlOC PAL22VPlOC PAL22VlOCF PAL22VPlOCF PALC22VlOD PAL22VlOG PAL22VPlOG CY7C330 CY7C331 CY7C332 CY7C335 CY7C258 CY7C259 2-1 Description 4.5-ns, Industry-Standard PLDs 16L8, 16R8, 16R6, 16R4 ............................ 2-6 Reprogrammable CMOS PALC 16L8, 16R8, 16R6, 16R4 . . . . . . . . . . . . . . . . . . . . . . . . . .. 2-16 Flash Erasable, Reprogrammable CMOS PAL Device ............................ " 2-30 Flash Erasable, Reprogrammable CMOS PAL Device ............................ " 2-38 CMOS Generic 24-Pin Reprogrammable Logic Device ............................. 2-39 CMOS Generic 24-Pin Reprogrammable Logic Device ............................. 2-39 Generic 24-Pin PAL Device .................................................. " 2-47 Reprogrammable Asynchronous CMOS Logic Device ............................. 2-57 Reprogrammable CMOS PAL Device ........................................... 2-68 Reprogrammable CMOS PAL Device ........................................... 2-69 Universal PAL Device ........................................................ 2-70 Universal PAL Device ........................................................ 2-70 Universal PAL Device ........................................................ 2-81 Universal PAL Device ........................................................ 2-81 Flash Erasable, Reprogrammable CMOS PAL Device ............................ " 2-82 Universal PAL Device ........................................................ 2-91 Universal PAL Device ........................................................ 2-91 CMOS Programmable Synchronous State Machine ....................... . . . . . . .. 2-101 Asynchronous Registered EPLD .............................................. 2-112 Registered Combinatorial EPLD .............................................. 2-126 Universal Synchronous EPLD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2-136 2K x 16 Reprogrammable State Machine PROM .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2-151 2K x 16 Reprogrammable State Machine PROM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2-151 CPLDs (Complex PLDs) Device CY7C340 EPLD Family CY7C341 CY7C341B CY7C342 CY7C342B CY7C343 CY7C343B CY7C344 CY7C344B CY7C346 CY7C346B Description Multiple Array Matrix High-Density EPLDs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 3-1 192-Macrocell MAX EPLD ..................................................... 3-7 192-Macrocell MAX EPLD ..................................................... 3-7 128-Macrocell MAX EPLD .................................................... 3-24 128-Macrocell MAX EPLD .................................................. " 3-24 64-Macrocell MAX EPLD ..................................................... 3-42 64-Macrocell MAX EPLD ................................................... " 3-42 32-Macrocell MAX EPLD ..................................................... 3-58 32-Macrocell MAX EPLD " ................................................. " 3-58 128-Macrocell MAX EPLD .................................................... 3-73 128-Macrocell MAX EPLD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 3 -73 iii Table of Contents CPLDs (Complex PLDs) (continued) Device CY7C361 FLASH370 CPLD Family CY7C371 CY7C372 CY7C373 CY7C374 CY7C375 CY7C376 CY7C377 CY7C378 CY7C379 Page Number Description Ultra High Speed State Machine EPLD ......................................... 3-91 High-Density Flash CPLDs .......................... , ......................... 3-92 32-Macrocell Flash CPLD ..................................................... 3-99 64-Macrocell Flash CPLD .................................... . . . . . . . . . . . . . . .. 3 -107 64-Macrocell Flash CPLD .................................................... 3-115 128-Macrocell Flash CPLD ................................................... 3-125 128-Macrocell Flash CPLD ................................................... 3-135 192-Macrocell Flash CPLD ................................................... 3-146 192-Macrocell Flash CPLD ................................................... 3-147 256-Macrocell Flash CPLD ................................................... 3-148 3-149 256-Macrocell Flash PLD FPGAs (Field Programmable Gate Arrays) Device pASIC380 Family CY7C381A CY7C382A CY7C3381A CY7C3382A CY7C383A CY7C384A CY7C385A CY7C386A CY7C387A CY7C388A CY7C389A Description Very High Speed CMOS FPGAs ................................................ 4-1 Very High Speed lK (3K) Gate CMOS FPGA ..................................... 4-8 Very High Speed lK (3K) Gate CMOS FPGA ......... . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4-8 3.3V High Speed lK (3K) Gate CMOS FPGA ... . . . . . . . . . .. . . .. . . . .. . .. . . .. .. .. .. 4-17 3.3V High Speed lK (3K) Gate CMOS FPGA ................................... , 4 -17 Very High Speed 2K (6K) Gate CMOS FPGA .................................... 4-25 Very High Speed 2K (6K) Gate CMOS FPGA .................................... 4-25 Very High Speed 4K (12K) Gate CMOS FPGA .................................. , 4-34 Very High Speed 4K (12K) Gate CMOS FPGA ................................... 4-34 Very High Speed 8K (24K) Gate CMOS FPGA .. .. . . . . . . . .. .. .. . . .. . .. . . . .. . . .. .. 4-45 Very High Speed 8K (24K) Gate CMOS FPGA .................................. , 4-45 Very High Speed 12K (36K) Gate CMOS FPGA .................................. 4-56 Software PLD, CPLD, and FPGA Development Tools Overview .......................................................... 5-1 Device Description Warp2 CY3120/CY3125 VHDL Compiler for PLDs, CPLDs, and FPGAs ................................... 5-2 Warp3 CY3130/CY3135 VHDL Development System for PLDs and FPGAs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5-7 Impulse3 Device Programer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5 -12 Third-Party Tools ........................................................................................ 5-14 Quality and Reliability PLD Programming Information ......... . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 6-1 pASIC380 Family Reliability Report ......................................................................... 6-3 Power Characteristics of Cypress Programmable Logic Products . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 6-12 Quality, Reliability, and Process Flows ...................................................................... 6-20 Thpe and Reel Specifications .............................................................................. , 6-35 Packages Thermal Management and Component Reliability ............................................................. 7-1 Package Diagrams ........................................................................................ 7 - 8 Sales Representatives and Distributors Direct Sales Offices North American Sales Representatives International Sales Representatives Distributors iv Numeric Device Index Page Number Device Number Description CY3120/CY3125 CY3130/CY3135 CY7C330 CY7C331 CY7C332 CY7C335 CY7C258 CY7C259 CY7C340 EPLD Family CY7C341 CY7C341B CY7C342 CY7C342B CY7C343 CY7C343B CY7C344 CY7C344B CY7C346 CY7C346B CY7C361 CY7C371 CY7C372 CY7C373 CY7C374 CY7C375 CY7C376 CY7C377 CY7C378 CY7C379 CY7C381A CY7C382A CY7C3381A CY7C3382A CY7C383A CY7C384A CY7C385A CY7C386A CY7C387A CY7C388A CY7C389A FLASH370 CPLD Family Impulse3 PAL20 Series PALC20 Series PAL22VlOC PAL22VPlOC PAL22VlOCF PAL22VPlOCF PAL22VI0G PAL22VPlOG PALC22VlO PALC22VlOB Wmp2 VHDL Compiler for PLDs, CPLDs, and FPGAs ............................. 5-2 Warp3 VHDL Development System for PLDs and FPGAs ........................... 5-7 CMOS Programmable Synchronous State Machine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2-101 Asynchronous Registered EPLD .............................................. 2-112 Registered Combinatorial EPLD .............................................. 2-126 Universal Synchronous EPLD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2-136 2K x 16 Reprogrammable State Machine PROM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2-151 2K x 16 Reprogrammable State Machine PROM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2-151 Multiple Array Matrix High-Density EPLDs ....................................... 3-1 192-Macrocell MAX EPLD ..................................................... 3-7 192-Macrocell MAX EPLD ..................................................... 3-7 128-Macrocell MAX EPLDs ................................................... 3-24 128-Macrocell MAX EPLDs ................................................... 3-24 64-Macrocell MAX EPLD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 3-42 64-Macrocell MAX EPLD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 3-42 32-Macrocell MAX EPLD ..................................................... 3-58 32-Macrocell MAX EPLD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 3-58 128-Macrocell MAX EPLDs ................................................... 3-73 128-Macrocell MAX EPLDs ................................................... 3-73 Ultra High Speed State Machine EPLD ......................................... 3-91 32-Macrocell Flash CPLD ..................................................... 3-99 64-Macrocell Flash CPLD ........................................... . . . . . . . .. 3 -107 64-Macrocell Flash CPLD .................................................... 3-115 128-Macrocell Flash CPLD ................................................... 3-125 128-Macrocell Flash CPLD ................................................... 3-135 192-Macrocell Flash CPLD ................................................... 3-146 192-Macrocell Flash CPLD ................................................... 3-147 256-Macrocell Flash CPLD ................................................... 3-148 256-Macrocell Flash PLD .................................................... 3-149 Very High Speed lK (3K) Gate CMOS FPGA ..................................... 4-8 Very High Speed lK (3K) Gate CMOS FPGA ..................................... 4-8 3.3V High Speed lK (3K) Gate CMOS FPGA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4-17 3.3V High Speed lK (3K) Gate CMOS FPGA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4-17 Very High Speed 2K (6K) Gate CMOS FPGA .................................... 4-25 Very High Speed 2K (6K) Gate CMOS FPGA .................................... 4-25 Very High Speed 4K (12K) Gate CMOS FPGA ................................... 4-34 Very High Speed 4K (12K) Gate CMOS FPGA ................................... 4-34 Very High Speed 8K (24K) Gate CMOS FPGA ................................... 4-45 Very High Speed 8K (24K) Gate CMOS FPGA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4-45 Very High Speed 12K (36K) Gate CMOS FPGA .................................. 4-56 High-Density Flash CPLDs .................................................... 3-92 Device Programer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5 -12 4.5-ns, Industry-Standard PLDs 16L8, 16R8, 16R6, 16R4 ............................ 2-6 Reprogrammable CMOS PALC 16L8, 16R8, 16R6, 16R4 . . . . . . . . . . . . . . . . . . . . . . . . . .. 2-16 Universal PAL Device 2-70 Universal PAL Device 2-70 Universal PAL Device 2-81 2-81 Universal PAL Device 2-91 Universal PAL Device Universal PAL Device ........................................................ 2-91 Reprogrammable CMOS PAL Device ........................................... 2-68 Reprogrammable CMOS PALR Device .......................................... 2-69 v Numeric Device Index Device Number Description PALC22V10D PALCE16V8 PALCE20V8 pASIC380 Family PLDC20GlO PLDC20G10B PLD20G1OC PLDC20RA10 Flash Erasable, Reprogrammable CMOS PAL Device. . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2-82 Flash Erasable, Reprogrammable CMOS PAL Device .......... ; . . . . . . . . . . . . . . . . . .. 2-30 Flash Erasable, Reprogrammable CMOS PAL Device. . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2-38 Very High Speed CMOS FPGAs ................................................ 4-1 CMOS Generic 24-Pin Reprogrammable Logic Device .............. '............... 2-39 CMOS Generic 24-Pin Reprogrammable Logic Device. . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2-39 Generic 24-Pin PAL Device. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2-47 Reprogrammable Asynchronous CMOS Logic Device ............................. 2-57 Page Number vi Generallnformafion 1 II 1. ?cYPRESS General Product Information Section Contents Page Number Cypress Semiconductor Background ......................................................................... 1-1 Ordering Information ..................................................................................... 1-4 Cypress Semiconductor Bulletin Board System (BBS) Announcement .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 1-5 Application Notes Listing .................................................................................. 1-6 Product Selector Guide ........................ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 1-7 Product Line Cross Reference .............................................................................. 1-9 Military Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 1-14 Military Product Selector Guide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 1-15 Military Ordering Information ............................................................................. 1-17 key changes to their systems very late in the development cycle to ensure competitive advantage. Used extensively in an wide range of applications, PLDs constitute a large and growing market. Cypress's UltraLogic TM product line addresses the high-density programmable logic market. UltraLogic includes the pASIC380 family of field-programmable gate arrays (FPGAs), the industry'S fastest. It also includes the highest performance complex PLDs, the FLASH370 family. Both of these product families are supported by Cypress's VHDL (Very high-speed integrated circuit Hardware Description Language) based Wafp3 TM , the industry's most advanced software design tool. Cypress pioneered the use of VHDL for PLD programming, and Wap software is a key factor in the company's overall success in the PLD market. Cypress is a leading provider of the industry-standard 22VlO PLD with a wide range of offerings including a BiCMOS 22VlO at 5 ns. Cypress is committed to competing in all ranges of the PLD market, with small devices, the MAX TM CY7C340 EPLD line, and the UltraLogic products. To support these products, Cypress offers one of the industry's broadest range of programming tools and software for the programming of its PLDs. Cypress provides one of the industry's broadest ranges of CMOS EPROMs and PROMs. Cypress owns a large share of the highspeed CMOS PROM market, and with its new cost structure, is effectively penetrating the mainstream EPROM market with a popular 256 Kbit EPROM and the introduction of the world's fastest 1 Megabit EPROM at 25 ns. FCT Logic products are used in bus interface and data buffering applications in almost all digital systems. With the addition of the FCT logic product line, Cypress now offers over 46 standard logic and bus interface functions. The products are offered in the second generation FCT-T format, which is pin-compatible with the older FCT devices, but adds TTL (transistor-to-transistor logic) outputs for significantly lower ground bounce and improved system noise immunity. Cypress also offers the most popular devices with on-chip 25-ohm termination resistors (FCT2-T) to further lower ground bounce with no speed loss. Included in the new product family is the CYBUS3384, a bus switch that enables bidirectional data transfer between multiple bus systems or between 5 volt and 3.3 volt devices. This broad product offering is produced on Cypress's high-volume, CMOS manufacturing lines. Cypress Semiconductor Background Cypress Semiconductor was founded in April 1983 with the stated goal of serving the high-performance semiconductor market. This market is served by producing the highest -performance integrated circuits using state-of-the-art processes and circuit design. Cypress is a complete semiconductor manufacturer, performing its own process development, circuit design, wafer fabrication, assembly, and test. The company went public in May 1986 and has been listed on the New York Stock Exchange since October 1988. The initial semiconductor process, a CMOS process employing 1.2-micron geometries, was introduced in March 1984. This process is used in the manufacturing of Static RAMs and Logic circuits. In the third quarter of 1984, a 1.2-micron CMOS EPROM process was introduced for the production of programmable products. At the time of introduction, these processes were the most advanced production processes in the industry. Following the 1.2-micron processes, a O.8-micron CMOS SRAM process was implemented in the first quarter of 1986, and a O.8-micron EPROM process in the third quarter of 1987. In keeping with the strategy of serving the high-performance markets with state-of-the-art integrated circuits, Cypress introduced two new processes in 1989. These were a bipolar submicron process, targeted for ECL circuits, and a BiCMOS process to be used for most types of TTL and ECL circuits. The circuit design technology used by Cypress is also state of the art. This design technology, along with advanced process technology, allows Cypress to introduce the fastest, highest-performance circuits in the industry. Cypress's offers products in four divisions: the Static Memory Division, the Programmable Products Division, the Computation Products Division, and the Data Communications Division. Static Memories Division Cypress is a market-leading supplier of SRAMs, providing a wide range of SRAM memories for leading companies worldwide. SRAMs are used in high-performance personal computers, workstations, telecommunications systems, industrial systems, instrumentation devices, and networking products. Cypress's lower production cost structure allows the company to compete effectively in the high-volume personal computer and workstation market for SRAMs, including providing cache RAMs to support today's high-performance microprocessors, such as Pentium TM , and PowerPC TM. This business, combined with upcoming low-voltage products for the cellular communications, portable instrument, and laptop/notebook PC markets, positions Cypress for future success in this key product area. Multichip modules is a fast-growing market segment that consists of multiple semiconductor chips mounted in packages that can be inserted in a computer circuit board. Cache modules for personal computers are the mainstay of this product line, and Cypress has announced major design wins for these products in IBM's PSNaluePoint TM line of PCs, and in Apple Computer's highest performing Power Macintosh TM products. Data Communications Division This is an especially significant area for Cypress since it represents a more market-driven orientation for the company in a fast-growing market segment. As part of the new company strategy' Cypress has dedicated this product line to serve the highspeed data communications market with a range of products from the physical connection layer to system-level solutions. HOTLink 1M, high-speed, point-to-point serial communications chips have been well received. HOTLink, along with the recently announced SONET./SDS Serial Transceiver (SSTTM), address the fast-growing market segments of Asynchronous Transfer Mode (ATM) and Fibre Channel communications. The data communications division encompasses related products including RoboCiock, a programmable skew clock buffer that adjusts complex timing control signals for a broad range of systems. The division also offers a broad range of First-In, First-Out (FIFO) memories, used to communicate data between systems operating at different frequencies, and Dual-Port Memories, used to distribute data to two different systems simultaneously. Programmable Products Division With increasing pressure on system designers to bring products to market more quickly, programmable logic devices (PLDs) are becoming extremely popular. PLDs are logic control devices that can be easily programmed by engineers in the field, and later erased and reprogrammed. This allows the designers to make 1-1 II Computation Products Division Manufacturing at the site since 1990 with a charter to specialize in IC packaging, the Alphatec facility has almost a century of person-years experience working for U.S. semiconductor suppliers. Thoroughly modern, MIL 883-certified, and with fully developed administrative, logistic, and manufacturing systems in place, the facility has earned an exceptional reputation for hermetic assembly and out-going quality. Cypress San Jose maintains complete management control of Cypress Bangkok's assembly, test, mark, and ship operations within the facility, thus assuring complete continuity of San Jose's back-end operations and quality. Cypress has added Tape Automated Bonding (TAB) to its package offering. TAB, a surface-mount packaging technology, provides the densest lead and package footprint available for fully tested die. From Cypress's facility in Minnesota, a VME Bus Interface Products group has been in operation since the acquisition of VTC's fab in 1990. Cypress manufactures VIC and VAC VME devices on the 0.8 micron CMOS process. The Cypress motto has always been "only the best-the best facilities, the best equipment, the best employees ... all striving to make the best CMOS, BiCMOS, and bipolar products." This division focuses on the high-volume, high-growth market surrounding the desktop computer. It is the second of Cypress's market-oriented divisions. The division includes timing technology products offered through Cypress's IC Designs Subsidiary in Kirkland, Washington, and a new line of PC chipsets. IC Designs products are used widely in personal computers and disk drives, and the product line provides Cypress with major inroads into these growing markets. IC Designs clock oscillators control the intricate timing of all aspects of a computer system, including signals for the computer's central processing unit (CPU), keyboard, disk drives, system bus, serial port, and real-time clock. They replace all of the metal can oscillators used in the system. This product line includes QuiXTAL -a programmable metal can oscillator that replaces individual oscillators used to control timing signals in virtually every type of electronics equipment. Cypress's chipset offerings include products for 486-based personal computers, as well as PCI local bus controllers for graphics and multimedia desktop applications. Cypress has announced plans to introduce a low-power, 3.3 volt chipset for the Pentium P54C, as well as P54C bus controller. 1M - Cypress Facilities Situated in California's Silicon Valley (San Jose), Round Rock (Austin), Texas, and Bloomington, Minnesota, Cypress houses R&D, design, wafer fabrication, and administration. There are additional Cypress Design Centers in Starkville, Mississippi, Colorado Springs, Colorado, and the United Kingdom, and a PLD software design group in Beaverton, Oregon. The facilities are designed to the most demanding technical and environmental specifications in the industry. At the Texas and Minnesota facilities, the entire wafer fabrication area is specified to be a Class 1 environment. This means that the ambient air has less than 1 particle of greater than 0.2 microns in diameter per cubic foot of air. Other environmental considerations are carefully insured: temperature is controlled to a ±0.1 degree Fahrenheit tolerance; filtered air is completely exchanged more than 10 times each minute throughout the fab; and critical equipment is situated on isolated slabs to minimize vibration. Attention to assembly is equally critical. Cypress manufactures 100 percent of our wafers in the United States, at our front-end fabrication sites in California (San Jose), Minnesota (Bloomington), and Texas (Round Rock). Cypress Texas, our largest fab, and Cypress Minnesota, our newest fab, are both Class 1 facilities. To improve our global competitiveness, we chose to move most of our back-end assembly, test, and mark operations to a facility in Thailand. Be assured that Cypress's total quality commitment extends to the new site-Cypress Bangkok. The move to Bangkok consummated an intense search by Cypress for a world-class, environmentally sophisticated facility that we could bring on line quickly. The Cypress search team scrutinized fifteen manufacturing facilities in five countries and chose a site managed by Alphatec Electronics Co., Ltd., a privately owned, entrepreneurial company promoted by the Thailand Board of Investment. Cypress Bangkok occupies almost 25,000 square feet-a significant portion of the manufacturing floor space available within the facility. The full facility at Bangkok occupies more than 85,000 square feet on a site that encompasses 25 acres-sufficient room for expansion to a number of buildings in a campus-like setting. Cypress Process Technology In the last decade, there has been a tremendous need for highperformance semiconductor products manufactured with a balance of SPEED, RELIABILITY, and POWER. Cypress Semiconductor overcame the classically held perceptions that CMOS was a moderate-performance technology. Cypress initially introduced a 1.2-micron "N" well technology with double-layer poly and a single-layer metal. The process employed lightly doped extensions of the heavily doped source and drain regions for both "N" and "P" channel transistors for significant improvement in gate delays. Further improvements in performance, through the use of substrate bias techniques, have added the benefit of eliminating the input and output latch-up characteristics associated with older CMOS technologies. Cypress pushed process development to new limits in the areas of PROMs (Programmable Read Only Memory) and EPLDs (Erasable Programmable Logic Devices). Both PROMs and EPLDs have existed since the early 1970s in a bipolar process that employed various fuse technologies and was the only viable high-speed nonvolatile process available. Cypress PROMs and EPLDs use EPROM technology, which has been in use in MOS (Metal Oxide Silicon) since the early 1970s. EPROM technology has traditionally emphasized density while forsaking performance. Through improved technology, Cypress produced the first high-performance CMOS PROMs and EPLDs, replacing their bipolar counterparts. To maintain our leadership position in CMOS technology, Cypress introduced a sub-micron technology in 1987. This 0.8 micron breakthrough made Cypress's CMOS one of the most advanced production processes in the world. The drive to maintain leadership in process technology has not stopped with the 0.8-micron devices. Cypress introduced a 0.65-micron process in 1991. A O.5-micron process is currently in production. Although not a requirement in the high-performance arena, CMOS technology substantially reduces the power consumption for any device. This improves reliability by allowing the device to operate at a lower die temperature. Now higher levels of integration are possible without trading performance for power. For in- 1-2 stance, devices may now be delivered in plastic packages without any impact on reliability. While addressing the performance issues of CMOS technology, Cypress has not ignored the quality and reliability. aspects of technology development. Rather, the traditional failure mechanisms of electrostatic discharge (ESD) and latch-up have been addressed and solved through process and design technology innovation. ESD-induced failure has been a generic problem for many highperformance MOS and bipolar products. Although in its earliest years, MOS technology experienced oxide reliability failures, this problem has largely been eliminated through improved oxide growth techniques and a better understanding of the ESD problem. The effort to adequately protect against ESD failures is perturbed by circuit delays associated with ESD protection circuits. Focusing on these constraints, Cypress has developed ESD protection circuitry specific to 1.2-, 0.8-, 0.65-, and O.S-micron CMOS process technology. Cypress products are designed to withstand voltage and energy levels in excess of 2001 volts and 0.4 milli-joules. Latch-up, a traditional problem with CMOS technologies, has been eliminated through the use of substrate bias generation techniques, the elimination of the "P" MOS pull-ups in the output drivers, the use of guardring structures and care in the physical layout of the products. Cypress has also developed additional process innovations and enhancements: multilayer metal interconnections, advanced metal deposition techniques, silicides, exclusive use of plasma for etching, and 100-percent stepper technology with the world's most advanced equipment. Cypress has developed a BiCMOS technology to augment the capabilities of the Cypress CMOS processes. The new BiCMOS technology is based on the Cypress 0.8-micron CMOS process for enhanced manufacturability. Like CMOS, the process is scalable, to take advantage of finer line lithography. Where speed is critical, Cypress BiCMOS allows increased transistor performance. It also allows reduced power in the non-speed critical sections of the design to optimize the speed/power balance. The BiCMOS process makes memories and logic operating up to 400 MHz possible. Cypress technologies have been carefully designed, creating products that are "only the best" in high-speed, excellent reliability, and low power. IBM PC and IBM ESCON are registered trademarks of International Business Machines Corporation. QuickPro II, HOTLink, and Wa1p2 are trademarks of Cypress Semiconductor Corporation. 1-3 II Ordering Information In general, the valid ordering codes for all products follow the format below; e.g., CY7C128-45DMB, PALC16R8L-35PC PAL&PLD PREFIX DEVICE 'PALC' PALC PALC PALCE PLDC CY rt6R81 16R8 22VlO 16V8 20010 7C330 SUFFIX • -25 L M B' L:::~~ ~8l -25 P C -25 WC -33 P C FAMILY PAL 20 LOW POWER PAL 20 PAL 24 VARIABLE PRODUCT TERMS FLASH-ERASABLE PAL20 GENERIC PLD 24 PLD SYNCHRONOUS STATE MACHINE PROCESSING B = MIL-STD-883C FOR MILITARY PRODUCT = LEVEL 2 PROCESSING FOR COMMERCIAL PRODUCT T = SURFACE-MOUNTED DEVICES TO BE TAPE AND REELED R = LEVEL 2 PROCESSING ON TAPE AND REELED DEVICES TEMPERATURE RANGE C = COMMERCIAL (O°CTO +70°C) I = INDUSTRIAL (-40°C TO +85°C) M= MILITARY (-55°CTO +125°C) PACKAGE B =PLASTIC PIN GRID ARRAY (PPGA) D = CERAMIC DUAL IN-LINE PACKAGE (CERDIP)/BRAZED DIP E =TAPE AUTOMATED BONDING (TAB) F =FLATPACK (SOLDER-SEALED FLAT PACKAGE) G =PIN GRID ARRAY (PGA) H = WINDOWED LEADED CHIP CARRIER J =PLASTIC LEADED CHIP CARRIER (PLCC) K =CERPACK (GLASS-SEALED FLAT PACKAGE) L =LEADLESS CHIP CARRIER (LCC) N =PLASTIC QUAD FLATPACK (PQFP) P =PLASTIC DUAL IN-LINE (PDIP) Q = WINDOWED LEADLESS CHIP CARRIER (LCC) R = WINDOWED PIN GRID ARRAY (PGA) S =SOIC (GULL WING) T =WINDOWED CERPACK U =CERAMIC QUAD FLATPACK (CQFP) V =SOJ W =WINDOWED CERAMIC DUAL IN-LINE PACKAGE (CERDIP) X =DICE (WAFFLE PACK) Y = CERAMIC LEADED CHIP CARRIER Z =TSOP HD = HERMETIC DIP (MODULE) HV = HERMETIC VERTICAL DIP PF =PLASTIC FLAT SIP PS =PLASTIC SIP PZ =PLASTICZIP BG =BALL GRID ARRAY SPEED (ns or MHz) L = LOW-POWER OPTION A, B, C, D, G, CF = REVISION LEVEL Cypress FSCM #65786 1-4 Cypress Semiconductor Bulletin Board System (BBS) Announcement Cypress Semiconductor supports a 24-hour electronic Bulletin Board System (BBS) that allows Cypress Applications to better serve our customers by allowing them to transfer files to and from the BBS. The BBS is set up to serve in multiple ways. One of its purposes is to allow customers to receive the most recent versions of programming software. Another is to allow the customers to send PLD programming files that they are having trouble with to the BBS. Cypress Applications can then find the errors in the files, correct them, and place them back on the BBS for the customer to download. The customer may also ask questions in our open forum message area. The sysop (system operator) will forward these questions to the appropriate applications engineer for an answer. The answers then get posted back into the forum. The BBS also allows the customer to communicate with their local FAE electronically, and to download both application notes and the latest versions of selected datasheets. Communications Set-Up The BBS uses a US Robotics HST Dual Standard modems capable of 14.4-Kbaud rates without compression and rates upwards of 19.2-Kbaud with compression. It is compatible with ccnT Y.32 bis, Y.32, Y.22 (2400-baud), Bell 212A (1200-baud), CCITT Y.42, and CCITT Y.42 bis. It also handles MNP levels 2, 3, 4, and 5. To call the BBS, set your communication package parameters as follows: Baud Rate: 1200 baud to 19.2 Kbaud. Max. is determined by your modem. Data Bits: 8 Parity: None (N) Stop Bits: 1 In the U.S. the phone number for the BBS is (408) 943-2954. In Japan the BBS number is 81-423-69-8220. In Europe the BBS number is 49-810-62-2675. These numbers are for transmitting data only. If the line is busy, please retry at a later time. When you access the BBS, an initial screen with the following statement will appear: Rybbs Bulletin Board Mter you choose the graphics format you want to use, the system will ask for your first and last name. If you are a first-time user, you will be asked a few questions for the purposes of registration. Otherwise you will be asked for your password, and then you will be logged onto the BBS, which is completely menu driven. Downloading Application Notes and Datasheets A complete listing of files that may be downloaded is included on the BBS. Application notes and selected datasheets are available for downloading in two formats, PCL and Postscript. An "hp" in front of the file name indicates it is a PCL file and can be downloaded to Hewlett-Packard LaserJets and compatible printers. Files without the hp preceding them are in Postscript and can be downloaded to any Postscript printer. If you have any problems or questions regarding the BBS, please contact Cypress Applications at (408) 943-2821 (voice). 1-5 II Application Notes Contact a Cypress representative or use the Cypress Bulletin Board System to get copies of the application notes listed here. ABEL 4.0/4.1 and the CY7C330, CY7C331, and CY7C332 Bus-Oriented Maskable Interrupt Controller CMOS PAL Basics CY7C330 as a Multi-Channel Mbus Arbiter CY7C331 Asynchronous Self-Timed VMEbus Requestor CY7C344 as a Second-Level Cache Controller for the 80486 Design Tips for Advanced Max Users Designing a Multiprocessor Interrupt Distribution Unit with MAX DMA Control Using the CY7C342 MAX EPLD FDDI Physical Connection Management Using the CY7C330 FIFO RAM Controller with Programmable Flags Interfacing PROMs and RAMs to DSP Using Cypress MAX Products Introduction to Programmable Logic PAL Design Example: A GCR EncoderlDecoder pASIC380 Power vs. Operating Frequency PLD-Based Data Path For SCSI-2 State Machine Design Considerations and Methodologies T2 Framing Circuitry Understanding the CY7C330 Synchronous EPLD Using ABEL to Program the Cypress 22VlO Using ABEL to Program the CY7C330 Using ABEL 3.2 to Program the CY7C331 Using CUPL with Cypress PLDs Using LoglIC to Program the CY7C330 Using One-Hot-State Coding to Accelerate a MAX State Machine Using the CY7C330 in Closed-Loop Servo Control Using the CY7C331 as a Waveform Generator Using the CY7C344 with the PLD ToolKit Are Your PLDs Metastable? State Machine Design Considerations and Methodologies Designing with the CY7C335 and Wmp2 VHDL Compiler The FLASH370 Family Of CPLDs and Designing with Warp2 Implementing a Reframe Controller for the CY7B933 HOTLink Receiver in a CY7C371 CPLD Architectures and Technologies for FPGAs Designing with FPGAs An Introduction to Cypress's 380 Family of FPGAs and the Warp3 Design Tool CY7C380 Family Quick Power Calculator Using Scan Mode on pASIC380 For in-Circuit Testing Getting Started Converting .ABL Files to VHDL Top-Down Design Methodology With VHDL (Designing an Interrupt Controller) Abel - HDL vs. IEEE-1076 VHDL VHDL Techniques for Optimal Design Fitting Describing State Machines with Warp2 VHDL Using Hierarchical VHDL Design Glossary '93 Glossary '94 1-6 -'f~ Product Selector Guide 'CYPRESS PLDs Size Organization Pins Speed (ns) Part Number PAL20 PAL20 PAL20 16L8 16R8 16R6 20 20 20 PAL16L8 PAL16R8 PAL16R6 PAL20 16R4 20 PAL16R4 tpo = 4.5/5/7 ts/co = 2.5/4.5,2.5/5,3.5/6 tpo/s/co = 4.5/2.5/4.5,5/2.5/5, 7/3.5/6 tpo/s/co = 4.5/2.5/4.5,5/2.5/5, 7/3.5/6 tpo = 20 ts/co = 15/12 tpo/s/co = 20/20/15 tpo/s/co = 20/20/15 tpo/s/co = 7.5/5/5, 10/6/7, 15/10/8 tPD/s/co = 7.5/5/5, 10/6/7, 15/10/8 tpo/s/co = 25/15/15 tpo/s/co = 15/10/10 PAL20 PALlO PAL20 PAL20 PALCE20 PALCE24 PAL24 PAL24 16L8 16R8 16R6 16R4 16V8-Macrocell 20V8-Macrocell 22V10-Macrocell 22V10-Macrocell 20 20 20 20 20S 24 24S 24S PALC16L8/L PALC16R8/L PALC16R6/L PALC16R4/L PALCE16V8 PALCE20V8 PALC22VlO/L PALC22VlOB PAL24 22V10-Macrocell 24S PAL22VlOC PAL24 22VP1 O-Macrocell 24S PAL22VP10C PALCE24 PAL24 PAL24 PLD24 PLD24 PLD24 PLD24 PLD28 22V10-Macrocell 22VlO-Macrocell 22VPlO-Macrocell 20G 10-Generic 20G 1O-Generic 20G 1O-Generic 20RA10-Asynchronous 7C330-State Machine 24 24 24 24S 24S 24S 24S 28S PALC22V10D PAL22VlOG PAL22VPlOG PLDC20GlO PLDC20GlOB PLD20G10C PLD20RAlO CY7C330 tpo/s/co =6/3/5.5,7.5/3/6, 10/3.6/7.5 tpo/s/co = 6/3/5.5,7.5/3/6, 10/3.6/7.5 tpo/s/co = 7.5/5/5, 10/6/7, 15/10/8 tpo/s/co = 5/2.5/4,6/3/5.5 tpo/s/co = 5/2.5/4,6/3/5.5 tpo/s/co = 25/15/15 tpo/s/co = 15/12/10 tpo/s/co = 7.5/3/6.5, 10/3.6/7.5 tpo/s/co = 15/10/15 fMAX., tIS, tco = 66 MHz/3ns/12ns PLD28 7C331-Asynchronous, Registered 7C335-Universal Synchronous 28S CY7C331 tPD/S/CO = 20/12/20 28S CY7C335 fMAX/tIS = 100MHz/2ns, 83MHz/2ns PLD28 IccflsB Packages (rnA@ns) Availability 180 180 180 D,J,P D,J,P D,J,P Now Now Now 180 D,J,P Now 70,45 70,45 70,45 70,45 115/90/55 115/90/55 90,55 90 Now Now Now Now Now Q494 Now Now 190 D,L,P,Q,Y,W D,L,P'Q, Y,W D,L,P'Q,Y,W D,L,P'Q,Y,W D,J,L,P D,J,L,P D,J,K,L,P,Q,W D,H,J,K,L, P,Q,W D,J,L,P 190 D,J,L,P Now 130/90/90 190 190 55 70 190 80 130@50 MHz 120@25ns D,J,L,P D,J,L D,J,L D,J,L,P,Q,W D,H,J,L,P,Q,W D,J,L,P D,H,J,L,P,Q,W D,H,J,L,P,Q,W Now Now Now Now Now Now Now Now D,H,J,L,P,Q,W Now 140 D,H,J,L,P,Q,W Now Now CPLDs Size MAX28 MAX44 MAX68 MAX84 MAX100 FLASH37044 FLASH37044 FLASH37084 FLASH37084 FLASH370160 FLASH370160 FLASH370240 FLASH370160 FLASH370240 Organization 7C344-32 Macrocell 7C343-64 Macrocell 7C342-128 Macrocell 7C341-192 Macrocell 7C346-128 Macrocell Pins 28S 44 68 84 84, 100 7C371-32-Macrocell 44 FlashCPLD 7C372-64-Macrocell 44 FlashCPLD 7C373-64-Macrocell 84, FlashCPLD 100 7C374-128-Macrocell 84, FlashCPLD 100 7C375-128-Macrocell 160 FlashCPLD 7C376-192-Macrocell 160 FlashCPLD 7C377-192-Macrocell 240 FlashCPLD 7C378-256-Macrocell 160 FlashCPLD 7C379-256-Macrocell 240 FlashCPLD Speed (ns) Part Number IccflsB Packages (rnA) Availability CY7C344/B CY7C343/B CY7C342/B CY7C341/B CY7C346/B tpo/s/co = 15/9/10,10/6/5 tpo/s/co = 20/12/12, 12/8/6 tpo/s/co = 25/15/14, 12/8/6 tpo/s/co = 25/20/16, 15/10/7 tpo/s/co = 25/15/14, 15/10/7 200/150 135/125 250/225 380/360 250/225 D,H,J,P,W H,J,R H,J,R H,J,R H,J,N,R Now Now Now Now Now CY7C371 fMAX/tsitco = 143MHz/6.5 ns/6.5 ns 150n'BD J,Y Now CY7C372 fMAX/tsltco= 100 MHz/6.5 ns/6.5 ns 180n'BD J,Y Q494 A,J,G,Y Q494 300n'BD A,J,G,Y Now 300n'BD A,G,U Now CY7C376 fMAX/tsitco = 100 MHz/6.5 nsf 6.5ns fMAX/tsitco = 100 MHz/6.5 nsf 6.5ns fMAX/tS/tco = 100 MHz/6.5 nsf 6.5ns fMAX/ts/tco = 83 MHz/l 0 ns/10 ns 180n'BD 300n'BD A,G Q495 CY7C377 fMAXIts/tco = 83 MHz/l 0 ns/lO ns 300n'BD BGA,N,G Q495 CY7C378 fMAX/ts/tco = 83 MHz/lO ns/lO ns 300n'BD A,G Q295 300n'BD BGA,N,G Q295 CY7C373 CY7C374 CY7C375 CY7C379 fMAX/tsitco = 83 MHz/lO ns/lO ns 1-7 II ~rcypRESS Product Selector Guide FPGAs Size pASIC380lK pASIC380lK pASIC380lK3.3V pASIC380lK3.3V ~~SIC380- pASIC3802K pASIC3804K pASIC3804K ~~SIC380- ~~SIC380- pASIC38012K Organization CMOS8xI2,IKGates FPGA CMOS 8x12, lK Gates FPGA 3.3V CMOS 8x12, lK Gates FPGA 3.3V CMOS 8x12, lK Gates FPGA CMOS 12x16, 2K Gates FPGA CMOS 12x16, 2K Gates FPGA CMOS 16x24, 4K Gates FPGA CMOS 16x24,4KGates FPGA CMOS 24x32, 8K Gates FPGA CMOS 24x32, 8K Gates FPGA CMOS 32x36, 12K Gates FPGA Pins Part Number Speed Grade ICc/ISB (rnA) 44 CY7C381A -0,"-1,-2 ISB= 10 68, 100 44 CY7C382A -0, -1,-2 CY7C3381A -0,-1,-2 CY7C3382A Packages Availability J Now ISB = 10 A,G,J Now ISB=2 J Q394 -0,-1,-2 ISB=2 A,G,J Q394 CY7C383A -0,-1,-2 ISB= 10 J Now CY7C384A -0,-1,-2 ISB = 10 A,G,J Now CY7C385A -0,-1,-2 ISB= 10 A,J Now CY7C386A -0, -1,-2 ISB= 10 A,G,U Now CY7C387A -0,-1,-2 ISB = 10 A,G Q195 208 CY7C388A -0,-1,-2 ISB = 10 N,G Q195 208 CY7C389A -0,-1,-2 ISB = 10 N Q495 68, 100 68 84, 100 84, 100 144 160 144 Design and Programming Tools Part Name Wa1p2 for PC Wmp2 for Sun Wa1p3 for PC Wa1p3 for Sun Impulse3 Part Number lYpe VHDL Design Tool VHDL Design Tool VHDL/CAE Design Tool VHDL/CAE Design Tool Programmer CY3120 CY3125 CY3130 CY3135 CY3500 Notes: The above specifications are for the commercial temperature range of O°C to 70°e. Military temperature range (-55°C to + 125°C) product processed to MIL-STD-883 Revision C is also available for most products. Speed and power selections may vary from those above. Contact your local sales office for more information. Commercial grade product is available in plastic, CERDlp, or LCe. Military grade product is available in CERDIP, LCC, or PGA. F, K, and T packages are special order only. All power supplies are Vee = 5V ± 10%. 22S, 24S, 28S stands for 300 mil. 22-pin, 24-pin, 28-pin, respectively. 28.4 stands for 28-pin 400 mil, 24.4 stands for 24-pin 400 mil. PLCC, SOJ, and SOIC packages are available on some products. F, K, and T packages are special order only. Package Code: B = PLASTIC PIN GRID ARRAY D = CERDIP E = TAPE AUTOMATED BOND (TAB) F = FLATPACK G = PIN GRID ARRAY (PGA) H = WINDOWED HERMETIC LCC J = PLCC K = CERPACK L = LEADLESS CHIP CARRIER (LCC) N = PLASTIC QUAD FLATPACK P = PLASTIC Q = WINDOWED LCC R = WINDOWED PGA S T U V W X Y Z HD HV PF PS PZ BG = = = = = = = = = = = = = = SOIC WINDOWED CERPACK CERAMIC QUAD FLATPACK SOJ WINDOWED CERDIP DICE CERAMIC LCC TSOP HERMETIC DIP (Module) HERMETIC VERTICAL DIP PLASTIC FLAT SIP PLASTIC SIP PLASTIC ZIP BALL GRID ARRAY 1-8 .....;:::===:;. -. -~ Product Line Cross Reference ~}CYPRESS CYPRESS PALC16L8-25C PALC16L8-30M PALC16L8-35C PALC16L8-40M PALC16L8L- 35C PALC16R4-25C PALC16R4-30M PALC16R4-35C PALC16R4-40M PALC16R4L- 35C PALC16R6-25C PALC16R6-30M PALC16R6-35C PALC16R6-40M PALC16R6L- 35C PALC16R8-25C PALC16R8-30M PALC16R8-35C PALC16R8-40M PALC16R8L-35C PALC22VlO-35C PALC22VlO-40M PALC22VlOL- 25C PALC22VlOL- 35C PLDC20G10-35C PLDC20GlO-40M CYPRESS PALC16L8L- 25C PALC16L8-20M PALC16L8-25C PALC16L8-30M PALC16L8L-25C PALC16R4L- 25C PALC16R4-20M PALC16R4-25C PALC16R4-30M PALC16R4L- 25C PALC16R6L- 25C PALC16R6-20M PALC16R6-25C PALC16R6-30M PALC16R6L- 25C PALC16R8L- 25C PALC16R8-20M PALC16R8-25C PALC16R8-30M PALC16R8L-25C PALC22VlO-25C PALC22VlO-30M PALC22V10- 25C PALC22V10L- 25C PLDC20G10-25C PLDC20GlO-30M ALTERA CYPRESS PREF1X:CY 7C344-25WC 7C344-20WC 7C344-15WC Call Factory 7C344-20WC 7C344-25WC 7C344-25WMB 7C344- 25WMB 7C344-25HC 7C344-20HC 7C344-15HC Call Factory 7C344-20HC 7C344-25HC 7C344-20H1 7C344- 25HMB 7C344-25HMB 7C344-25JC 7C344-20JC 7C344-15JC Call Factory 7C344-2OJC 7C344-25JC 7C344-25PC 7C344-20PC 7C344-15PC Call Factory 7C344-20PC 7C344-25PC 7C343-35HC 7C343-25HC 7C343-30HC 7C343-35HI PREF1X:EPM 5032DC 5032DC-2 5032DC-15 5032DC-17 5032DC-20 5032DC-25 5032DM 5032DM-25 5032JC 5032JC-2 5032JC-15 5032JC-17 5032JC-20 5032JC-25 503211-20 5032JM 5032JM-25 5032LC 5032LC-2 5032LC-15 5032LC-17 5032LC-20 5032LC-25 5032PC 5032PC-2 5032PC-15 5032PC-17 5032PC-20 5032PC-25 5064JC 5064JC-1 5064JC-2 506411 ALTERA 5064JM 5064LC 5064LC-1 5064LC-2 5128AGC-1 5128AGC-2 5128AGC-3 5128AJC-1 5128AJC-2 5128AJC-3 5128ALC-1 5128ALC-2 5128ALC-3 5128GC 5128GC-1 5128GC-2 5128GM 5128JC 5128JC-1 5128JC-2 512811 512811-2 5128JM 5128LC 5128LC-1 5128LC-2 5128LI 5128LI-2 5130GC 5130GC-1 5130GC-2 5130GM 5130JC 5130JC-1 5130JC-2 5130JM 5130LC 5130LC-1 5130LC-2 5130LI 5130LI-2 5130QC 5130QC-1 5130QC-2 5130Q1 5192AGC-1 5192AGC-2 5192AJC-l 5192AJC-2 5192ALC-1 5192ALC-2 5192GC 5192GC-1 5192GC-2 5192JC 5192JC-1 5192JC-2 519211 5192LC 5192LC-1 5192LC-2 CYPRESS 7C343-35HMB 7C343-35JC 7C343-25JC 7C343-30JC 7C342B-12RC 7C342B-15RC 7C342B-20RC 7C342B-12HC 7C342B-15HC 7C342B-20HC 7C342B-12JC 7C342B-15JC 7C342B-20JC 7C342-35RC 7C342-25RC 7C342-30RC 7C342-35RMB 7C342-35HC 7C342-25HC 7C342-30HC 7C342-35H1 7C342-30HI 7C342-35HMB 7C342-35JC 7C342-25JC 7C342-3OJC 7C342-35JI 7C342-30H1 7C346-35RC 7C346-25RC 7C346-30RC 7C346-35RM 7C346-35HC 7C346-25HC 7C346-30HC 7C346-35HM 7C346-35JC 7C346-25JC 7C346-30JC 7C346-35JI 7C346-301l 7C346-35NC 7C346-25NC 7C346-30NC 7C346-35N1 7C34IB-15RC 7C34IB-20RC 7C341B-15HC 7C34IB-20HC 7C34IB-15JC 7C43IB-2OJC 7C341-35RC 7C341-25RC 7C341-30RC 7C341-35HC 7C341-25HC 7C341-30HC 7C341-35H1 7C341-35JC 7C341-25JC 7C341-3OJC 1-9 AMD SMDPN 5962-8515501RX 5962-85155012X 5962-8515502RX 5962-85155022X 5962-8515503RX 5962-85155032X 5962-8515504RX 5962-85155042X 5962-8515505RX 5962-85155052X 5962-8515506RX 5962-85155062X 5962-8515507RX 5962-851550nX 5962-8515508RX 5962-85155082X 5962-8515509RX 5962-85155092X 5962-851551ORX 5962-85155 102X 5962-8515511RX 5962-85155112X 5962-8515512RX 5962-85155122X 5962-8515513RX 5962-8515514RX 5962-85155 15RX 5962-8515516RX 5962-8515517RX 5962-8515518RX 5962-8515519RX 5962-8515520RX 5962-8605301LA 5962-86053013A 5962-8605301KA 5962-8605302LA 5962-86053023A 5962-8605302KA 5962-8605304LA 5962-86053043A 5962-8605304KA 5962-86053053A 5962-8605305KA 5962-8605305LA 5962-8851501RX 5962-885150l2X 5962-8851502RX 5962-88515022X 5962-8851503RX 5962-88515032X 5962-8851504RX 5962-88515042X PREF1X:Am PREF1X:SN SUFF1X:B SUFF1X:D SUFF1X:F SUFF1X:L SUFF1X:P MACHllO-12JC MACHllO-15JC CYPRESS SMDPN 5962-8871309RX 5962-8871309XX 5962-8871310RX 5962-88713 lOXX 5962-88713 11RX 5962-88713 11 XX 5962-88713 12RX 5962-88713 12XX 5962-8871309RX 5962-88713 09XX 5962-88713 10RX 5962-8871310XX 5962-8871311RX 5962-88713 11XX 5962-88713 12RX 5962-88713 12XX 5962-92338 OlMRX 5962-92338 OlMXX 5962-923380lMRX 5962-9233802MXX 5962-9233803MRX 5962-9233803MXX 5962-9233804MRX 5962-9233804MXX 5962-9233801MRX 5962-9233802MRX 5962-9233803MRX 5962-9233804MRX 5962-9233801MRX 5962-9233802MRX 5962-9233803MRX 5962-9233804MRX 5962-8984101LX 5962-89841013X 5962-89841 01KX 5962-89841 01 LX 5962-89841013X 5962-8984101KX 5962-89841 02LX 5962-89841023X 5962-89841 02KX 5962-89841063X 5962-89841 06KX 5962-8984106LX 5962-88713 09RX 5962-88713 09XX 5962-88713 lORX 5962-8871310XX 5962-88713 11RX 5962-88713 llXX 5962-88713 12RX 5962-88713 12XX PREF1X:CY PREF1X:CY SUFF1X:B SUFF1X:DORW SUFF1X:F SUFF1X:L SUFF1X:P 7C371-83JC 7C371-66JC II ':arcYPRESS AMD MACHllO-20JC MACHIIO-20/BXA MACHI30-ISJC MACH130- 20JC MACH130-20/BXA MACH21O-I2JC MACH21O-ISJC MACH210-20JC MACH21O- 20/BXA MACH210A-lOJC MACH21OA-I2JC MACH230-ISJC MACH230-2OJC MACH43S -ISJC MACH43S-20JC PAL16L8-4C PAL16L8-SC PAL16L8-7C PAL16L8-10/B PAL16L8 -12/B PAL16L8-D/2 PAL16L8A-4C PAL16L8A-4M PALI6L8AC PAL16L8ALC PALI6L8ALM PAL16L8AM PAL16L8BM PALI6L8C PAL16L8LC PAL16L8LM PAL16L8M PALI6L8QC PAL16L8QM PAL16R4-4C PAL16R4-SC PAL16R4-7C PAL16R4-1O/B PAL16R4-12/B PAL16R4-D/2 PAL16R4A -4C PAL16R4A-4M PAL16R4ALC PAL16R4ALM PAL16R4AM PAL16R4BM PAL16R4C PALI6R4LC PAL16R4LM PAL16R4M PAL16R4QC PAL16R4QM PAL16R6-4C PAL16R6-SC PAL16R6-7C PAL16R6-1O/B PAL16R6-I2/B PAL16R6- D/2 PAL16R6A -4C PAL16R6A -4M PAL16R6AC PAL16R6ALC CYPRESS 7C37I-66JC 7C37I-66YMB 7C373-83JC 7C373-66JC 7C373-66YMB 7C372-100JC 7C372-83JC 7C372-66JC 7C372-66YMB 7C372-I2SJC 7C372-100JC 7C374-83JC 7C374-66JC 7C374-83JC 7C374-66JC PALI6L8-4C PAL16L8-SC PAL16L8-7C PAL16L8-lOM PAL16L8-lOM PAL16L8-7C PALC16L8L- 3SC PALC16L8-40M PALC16L8-2SC PALC16L8-2SC PALC16L8-30M PALC16L8-30M PALC16L8-20M PALC16L8-3SC PALC16L8-3SC PALCI6L8-40M PALC16L8-40M PALC16L8L-3SC PALC16L8-40M PAL16R4-4C PAL16R4-SC PALI6R4-7C PALI6R4-lOM PAL16R4-lOM PAL16R4-7C PALC16R4L-3SC PALCI6R4-40M PALC16R4-2SC PALC16R4-30M PALC16R4-30M PALCI6R4-20M PALCI6R4-3SC PALC16R4-3SC PALC16R4-40M PALC16R4-40M PALCI6R4L-3SC PALCI6R4-40M PALI6R6-4C PAL16R6-SC PAL16R6-7C PAL16R6-10M PAL16R6-lOM PAL16R6-7C PALCI6R6L-3SC PALCI6R6-40M PALC16R6-2SC PALC16R6-2SC Product Line Cross Reference AMD PALI6R6ALM PAL16R6AM PALI6R6BM PALI6R6C PALI6R6LC PALI6R6LM PALI6R6M PAL16R6QC PALI6R6QM PAL16R8-4C PAL16R8-SC PALI6R8-7C PALI6R8 -1O/B PAL16R8-12/B PAL16R8-D/2 PAL16R8A -4C PAL16R8A-4M PALI6R8AC PAL16R8ALC PAL16R8ALM PALI6R8AM PALI6R8BM PAL16R8C PAL16R8LC PAL16R8LM PAL16R8M PAL16R8QC PAL16R8QM PAL22VlO-7JC PAL22VlO-7PC PAL22VlO-lODC PAL22VlO-lOJC PAL22VlO-lOPC PAL22VlO-12/B3A PAL22VlO-12/BLA PAL22VlO -lSDC PAL22VIO-lSJC PAL22VlO-1SPC PAL22VIO- 20/B3A PAL22VlO- 20/BLA PAL22VIO/B3A PAL22VlO/BLA PAL22VIOA/B3A PAL22VIOA/BLA PAL22VIOADC PAL22VIOAJC PAL22VlOAPC PAL22VIODC PAL22VlOJC PAL22VlOPC PALCEI6V8H-7JC/4 PALCE16V8H-7PQ4 PALCEI6V8H-IOJQ4 PALCEI6V8H-lOPC/4 PALCE16V8H-lSJQ4 PALCE16V8H-ISPC/4 PALCE16V8H-25JQ4 PALCEI6V8H-25PC/4 PALCE16V8Q-lSJC/4 PALCE16V8Q-lSPQ4 PALCE16V8Q-25JQ4 PALCE16V8Q-25PQ4 CYPRESS PALCI6R6- 30M PALCI6R6-30M PALCI6R6- 20M PALCI6R6-3SC PALCI6R6-3SC PALCI6R6-40M PALC16R6-40M PALCI6R6L- 3SC PALCI6R6-40M PALI6R8-4C PALI6R8-SC PAL16R8-7C PAL16R8-lOM PALI6R8-lOM PAL16R8-7C PALC16R8L-3S PALC16R8-40M PALC16R8-2SC PALC16R8-2SC PALCI6R8-30M PALC16R8- 30M PALC16R8-20M PALC16R8-3SC PALC16R8-3SC PALC16R8-40M PALC16R8-40M PALC16R8L-3S PALC16R8-40M PALC22VIOD -7JC PALC22VlOD-7PC PALC22VIOD-IODC PALC22VlOD-lOJC PALC22VIOD-lOPC PALC22VlOB-lOLMB PALC22VlOB-lODMB PALC22VIOB-lSDC PALC22VlOB-lSJC PALC22VlOB-ISPC PALC22VlOB-20LMB PALC22VlOB-20DMB PALC22VlO-3SLMB PALC22VlO-3SDMB PALC22VlO-25LMB PALC22VIO-2SDMB PALC22VI0- 2SDC PALC22VlO- 2SJC PALC22VlO- 25PC PALC22VlO- 3SDC PALC22VlO-3SJC PALC22VIO-3SPC PALCE16V8-7JC PALCE16V8-7PC PALCE16V8-10JC PALCE16V8-lOPC PALCEI6V8-1SJC PALCE16V8-1SPC PALCEI6V8-2SJC PALCE16V8-2SPC PALCE16V8L-lSJC PALCEI6V8L-ISPC PALCE16V8L-25JC PALCE16V8L-25PC AMD PALCE22VIOH-7JC PALCE22VlOH-lOPC PALCE22VIOH-lOJC PALCE22VlOH-lOPC PALCE22VlOH -IS/B3A PALCE22VIOH -lS/BLA PALCE22VlOH-lSJC PALCE22VlOH-ISPC PALCE22VlOH -20/B3A PALCE22VlOH -20/BLA PALCE22VlOH -2S/B3A PALCE22VlOH -2S/BLA PALCE22VlOH-2SJC PALCE22VlOH-2SPC PALCE22VlOH -30/B3A PALCE22VlOH -30/BLA ATMEL PREFIX:AT 22VlO 22VlO-1S CYPRESS PREFIX:CY PALC22VlO PALC22VlOB HARRIS CYPRESS PREFIX:HM PREFIX:HPL SUFFIX:8 PREFIX: 1 PREFIX:9 PREFIX:4 PREFIX:3 16LC8-S 16LC8-8 16LC8-9 16RC4-S 16RC4-8 16RC4-9 16RC6-S I6RC6-8 16RC6-9 16RC8-S I6RC8-8 16RC8-9 PREFIX:CY PREFIX:CY SUFFIX:B SUFFIX:D SUFFIX:F SUFFIX:L SUFFIX:P PALC16L8L- 3SC PALC16L8-40M PALC16L8-40M PALC16R4L- 3SC PALC16R4-40M PALC16R4-40M PALCI6R6L-3SC PALCI6R6-40M PALC16R6-40M PALC16R8L- 3SC PALC16R8-40M PALC16R8-40M INTEL PREFIX:8SC PREFIX:8SC PREFIX:D PREFIX:L PREFIX:P SUFFIX:/B 22VlO-10C 22VlO-lOC 22VlO-lOC 22VlO-lOC 22VlO-lSC 22VlO-1SC PREFIX:CY PREFIX:PLD SUFFIX:D SUFFIX:L SUFFIX:P SUFFIX:B PALC22VlOD-7C PALC22VlOD -lOC PAL22VlOC-7C+ PAL22VlOC-I0C+ PALC22VlOB-lSC PALC22VlOD-ISC Note: Unless otherwise noted, product meets all performance specs and is within 10 rnA on Icc and S rnA on ISB + = meets all performance specs but may not meet Icc or ISB * = meets all performance specs except 2V data retention-may not meet Icc or ISB functionally equivalent t = SorConly 1-10 CYPRESS PALC22VlOD-lOJC PALC22VlOD-7PC PALC22VlOD-IOJC PALC22VIOD-lOPC PALC22VlOD -lSLMB PALC22VlOD -ISDMB PALC22VlOD-ISJC PALC22VlOD-lSPC PALC22VlOD -20LMB PALC22VIOD -20DMB PALC22VIOD -2SLMB PALC22VIOD -2SDMB PALC22VlOD-2SJC PALC22VlOD-2SPC PALC22VlOD -2SLMB PALC22VlOD -2SDMB CYPRESS -.,~ Product Line Cross Reference ,CYPRESS LATTICE PREFIX:EE PREFIX: GAL PREFIX:ST SUFFIX:B SUFFIX:D SUFFIX:L SUFFIX:P GALl6V8A-lOU GALl6V8A-lOLP GALl6V8A -l5U GALl6V8A -l5LP GALl6V8A -15QJ GALl6V8A -l5QP GAL16V8A - L5U GAL16V8A - 25LP GAL16V8A-25QJ GAL16V8A-25QP GAL16V8B-7U GAL16V8B-7LP GAL16V8B-lOU GAL16V8B-lOUI GAL16V8B-lOLP GAL16V8B-lOLPI GAL16V8B-15UI GAL16V8B-15LPI GAL16V8B- 25UI GAL16V8B- 25LPI GAL20V8A GAL20V8B GAL22VlOB-7U GAL22VlOB -7LP GAL22VlOB-IOU GAL22VlOB-lOLP GAU2VlOB-l5LD /883 GAL22VlOB-l5U GAL22VlOB-15UI GAL22VlOB-15LP GAL22VlOB -l5LPI GAL22VlOB -l5LR /883 GAL22VlOB- 20UI GAL22VlOB- 20LD /883 GAL22VlOB- 20LPI GAL22VlOB- 20LR /883 GAL22V10B - 25LD /883 GAL22VlOB - 25U GAL22VlOB - 25UI GAL22VlOB - 25LP GAL22VlOB- 25LPI GAL22VlOB- 25LR /883 GAL22VlOB - 30LD /883 GAL22VlOB - 30LR /883 GAL22VlOC-5U GAL22VlOC-7U GAL22VlOC-7PC CYPRESS PREFIX:CY PREFIX:PALCE PREFIX:CY SUFFIX:B SUFFIX:D SUFFIX:L SUFFIX:P PALCEl6V8-l0JC PALCEl6V8 -lOPC PALCEl6V8-l5JC PALCE16V8-15PC PALCE16V8L-15JC PALCE16V8L-l5PC PALCEl6V8-25JC PALCEl6V8 - 25PC PALCE16V8L-25JC PALCE16V8L-25PC PALCE16V8-7JC PALCE16V8-7PC PALCEl6V8-lOJC PALCE16V8-lOJI PALCE16V8-10PC PALCE16V8 -lOPI PALCEl6V8-l5JI PALCE16V8-15PI PALCE16V8- 25JI PALCE16V8-25PI PALCE20V8 PALCE20V8 PALC22VlOD-7JC PALC22VlOD -7PC PALC22VlOD-lOJC PALC22V10D-lOPC PALC22VlOD15DMB PALC22VIOD-15JC PALC22VlOD-l5JI PALC22VlOD-l5PC PALC22VIOD-l5PI PALC22VlOD15LMB PALC22VlOD-l5JI PALC22VlODl5DMB PALC22VlOD-l5PI PALC22VlODl5LMB PALC22V10D25DMB PALC22VIOD-25JC PALC22VlOD-25JI PALC22VlOD-25PC PALC22VIOD-25PI PALC22VlOD25LMB PALC22VIOD25DMB PALC22VIOD25LMB PAL22VlOG-5JC PAL22VIOD-7JC PAL22VlOD-7PC MMI/AMD SUFFIX:883B SUFFIX:F SUFFIX:J SUFFIX:L SUFFIX:N SUFFIX:SHRP PALl2LlOC PALl 2Ll OM PALl4L8C PALl4L8M PAL16L6C PALl6L6M PALl6L8A-2C PAL16L8A - 2M PAL16L8A -4C PAL16L8A-4M PAL16L8AC PAL16L8AM PAL16L8B-2C PAL16L8B-2M PALl6L8B-4C PALl6L8B-4M PAL16L8BM PAL16L8C PAL16L8D-4C PAL16L8D-4M PAL16L8M PALl6R4A-2C PALl6R4A-2M PALl6R4A-4C PALl6R4A-4M PALl6R4AC PALl6R4AM PALl6R4B-2C PAL16R4B-2M PALl6R4B-4C PALl6R4B-4M PALl6R4BM PALl6R4C PALl6R4D-4C PAL16R4M PAL16R6A - 2C PALl6R6A - 2M PALl6R6A-4C PALl6R6A-4M PALl6R6AC PALl6R6AM PALl6R6B-2C PAL16R6B-2M PALl6R6B-4C PALl6R6B-4M PAL16R6BM PALl6R6C PALl6R6D-4C PAL16R6M PALl6R8A - 2C PALl6R8A-2M PALl6R8A-4C PAL16R8A-4M PALl6R8AC PAL16R8AM PAL16R8B-2C CYPRESS SUFFIX:B SUFFIX:F SUFFIX:D SUFFIX:L SUFFIX:P SUFFIX:B PLDC20GlO-35C PLDC20GlO-40M PLDC20GlO-35C PLD20GlO-40M PLD20GlO-35C PLDC20GlO-40M PALC16L8-35C PALC16L8-40M PALC16L8L-35C PALC16L8-40M PALCl6L8-25C PALC16L8-30M PALC16L8-35C PALC16L8-30M PALC16L8L- 35C PALC16L8-40M PALC16L8-20M PALC16L8-35C PALCl6L8L- 25C PALC16L8-30M PALCl6L8-40M PALC16R4- 35C PALC16R4-40M PALC16R4L- 35C PALCl6R4-40M PALCl6R4-25C PALC16R4-30M PALCl6R4-25C PALC16R4-30M PALCl6R4L- 35C PALCl6R4-40M PALC16R4-20M PALC16R4-35C PALCl6R4L- 25C PALCl6R4-40M PALC16R6-35C PALCl6R6-40M PALCl6R6L- 35C PALCl6R6-40M PALCl6R6-25C PALCl6R6-30M PALC16R6-25C PALC16R6-30M PALC16R6L- 35C PALCl6R6-40M . PALC16R6-20M PALC16R6-35C PALCl6R6L- 25C PALC16R6-40M PALC16R8-35C PALC16R8-40M PALCl6R8L- 35C PALC16R8-40M PALC16R8 - 25C PALC16R8-30M PALCl6R8-25C 1-11 MMI/AMD PALl6R8B-2M PALl6R8B-4C PALl6R8B-4M PALl6R8BM PALl6R8C PALl6R8D-4C PALl6R8M PAL18L4C PALl8L4M PAL20LlOAC PAL20LlOAM PAL20LlOC PAL20LlOM PAL20L2C PAL20L2M PAL20L8A-2C PAL20L8A-2M PAL20L8AC PAL20L8AM PAL20L8C PAL20L8M PAL20R4A-2C PAL20R4A-2M PAL20R4AC PAL20R4AM PAL20R4C PAL20R4M PAL20R6A - 2C PAL20R6A-2M PAL20R6AC PAL20R6AM PAL20R6C PAL20R6M PAL20R8A-2C PAL20R8A - 2M PAL20R8AC PAL20R8AM PAL20R8C PAL20R8M PALC22VIO/A CYPRESS PALCl6R8-30M PALCl6R8L- 35C PALCl6R8-40M PALCl6R8-20M PALCl6R8 - 35C PALCl648L- 25C PALCl6R8-40M PLDC20GlO-35C PLDC20GlO-40M PLDC20GlO-35C PLDC20G10-30M,. PLDC20GlO-35C PLDC20GIO-40M PLDC20GlO-35C PLDC20GlO-40M PLDC20GlO-35C PLDC20GlO-40M PLDC20GlO-25C PLDC20GlO- 30M PLDC20GlO-35C PLDC20GlO-40M PLDC20GlO-35C PLDC20GlO-40M PLDC20GlO-25C PLDC20GlO-30M PLDC20GlO-35C PLDC20GlO-40M PLDC20GlO-35C PLDC20GlO-40M PLDC20GlO-25C PLDC20GlO-30M PLDC20GlO-35C PLDC20G10-40M PLDC20GlO-35C PLDC20GlO-40M PLDC20GlO-25C PLDC20GlO-30M PLDC20GlO-35C PLDC20GlO-40M PALC22VlO-35C NATIONAL PREFIX:DM PREFIX:GAL PREIFX:IDM PREFIX:NM PREFIX:NMC SUFFIX:J SUFFIX:N 18L4C l8L4M 20L2M GAL22VIO-15C GAL22VlO-2OI GAL22V1O-20M GAL22VIO-25C GAL22VIO-3OI GAL22VIO-30M PALl 64A2M PAL16L8A2C PALl6L8A2M PAL16L8AC CYPRESS PREFIX:CY PREFIX:None PREFIX:CY PREFIX:CY PREFIX:CY SUFFIX:D SUFFIX:P PLDC20GlO-35C PLDC20GlO-40M PLDC20GlO-40M PALC22VlOD-15C PALC22VlOD-l5I PALC22VlOD-15M PALC22VIOD - 25C PALC22VIOD - 251 PALC22VlOD-25M PALC16R4-40M PALC16L8-35C PALC16L8-40M PALCl6L8-25C II Product Line Cross Reference NATIONAL PAL16LSAM PAL16LSB2C PAL16LSB2M PAL16LSB4C PAL16LSB4M PAL16LSBM PAL16LSC PAL16LSM PAL16R4A2C PAL16R4AC PAL16R4AM PAL16R4B2C PAL16R4B2M PAL16R4B4C PAL16R4B4M PAL16R4BM PAL16R4C PAL16R4M PAL16R6A2C PAL16R6A2M PAL16R6AC PAL16R6AM PAL16R6B2C PAL16R6B2M PAL16R6B4C PAL16R6B4M PAL16R6BM PAL16R6C PAL16R6M PAL16R8A2C PAL16R8A2M PAL16R8AC PAL16R8AM PAL16R8B2C PAL16R8B2M PAL16R8B4C PAL16R8B4M PAL16R8BM PAL16R8C PAL16R8M PAL20L2C PAL20LSAC PAL20LSAM PAL20LSBC PAL20LSBM PAL20LSC PAL20LSM PAL20LlOB2C PAL20LlOB2M PAL20LlOC PAL20LlOM PAL20R4AC PAL20R4AM PAL20R4BC PAL20R4BM PAL20R4C PAL20R4M PAL20R6AC PAL20R6AM PAL20R6BC PAL20R6BM PAL20R6C CYPRESS PALC16LS-30M PALC16LS-25C PALC16LS-30M PALC16LSL- 35C PALC16LS-40M PALC16LS-20M PALC16LS-35C PALC16LS-40M PALC16R4-35C PALC16R4-25C PALC16R4-30M PALC16R4-25C PALC16R4-30M PALC16R4L-35C PALC16R4-40M PALC16R4-20M PALC16R4-35C PALC16R4-40M PALC16R6-35C PALC16R6-40M PALC16R6-25C PALC16R6-30M PALC16R6-25C PALC16R6-30M PALC16R6L- 35C PALC16R6-40M PALC16R6-20M PALC16R6-35C PALC16R6-40M PALC16R8-35C PALC16R8-40M PALC16R8-25C PALC16R8-30M PALC16R8-25C PALC16R8 - 30M PALC16R8L-35C PALC16R8-40M PALC16R8- 20M PALC16R8-35C PALC16R8-40M PLDC20GlO-35C PLDC20GlO-25C PLDC20GlO-30M PLDC20GlO-25C PLDC20GlO-30M PLDC20GlO-35C PLDC20G 1O-40M PLDC20GlO-25C PLDC20GlO-30M PLDC20GlO-35C PLDC20G 10-40M PLDC20GlO-25C PLDC20GlO-30M PLDC20GlO-25C PLDC20G 10-30M PLDC20GlO-35C PLDC20G1O-40M PLDC20GlO-25C PLDC20G 10-30M PLDC20GlO-25C PLDC20GlO-30M PLDC20GlO-35C NATIONAL PAL20R6M PAL20R8AC PAL20R8AM PAL20R8BC PAL20R8BM PAL20R8C PAL20R8M CYPRESS PLDC20GlO-40M PLDC20GlO-25C PLDC20G 10-30M PLDC20GlO-25C PLDC20GlO-30M PLDC20GlO-35C PLDC20GlO-40M QUICKLOGIC PREFIX:QL 8X12B-*CG68C 8X12B- *CG681 8X12B-*CG68M 8X12B- *PF100C 8X12B-*PFlOOI 8X12B-*PL44C 8X12B- *PL441 8X12B-*PL68C 8X12B- *PL681 12X16B- *CG84C 12X16B-*CG84I 12X16B- *CG84M 12X16B- *PF100C 12X16B-*PFlOOI 12X16B- *PL68C 12X16B- *PL68I 12X16B- *PLS4C 12X16B- *PLS4I 16X24B-*GC144C 16X24B- *GC144I 16X24B-*GC144M 16X24B- *PF100C 16X24B- *PFlOOI 16X24B- *PF144C 16X24B-*PF144I 16X24B- *PLS4C 16X24B- *PLS4I 24X32B- *GC44C 24X32B-*GC1441 24X32B-*GC144MB 24X32B-*GC208C 24X32B- *GC2081 24X32B-*GC208M 24X32B-*PF144C 24X32B- *PF144I 24X32B- *PF208C 24X32B- *PF2081 CYPRESS PREFIX:CY 7C382A-*GC 7C382A-*GI 7C382A - *GMB 7C382A-*AC 7C382A-*AI 7C381A-*JC 7C381A-*JI 7C382A-*JC 7C382A-*JI 7C384A-*GC 7C384A-*GI 7C384A - *GMB 7C384A-*AC 7C384A-*AI 7C383A-*JC 7C383A-*JI 7C384A-*JC 7C384A-*JI 7C386A-*GC 7C386A-*GI 7C386A-*GMB 7C385A-*AC 7C385A-*AI 7C386A-*AC 7C386A-*AI 7C385A-*JC 7C385A-*JI 7C387A-*GC 7C387A-*GI 7C387A-*GMB 7C388A-*GC 7C388A-*GI 7C388A-*GMB 7C387A-*AC 7C387A-*AI 7C388A-*AC 7C388A-*AI TI PREFIX:JBP PREFIX:PAL PREFIX:SM PREFIX:SMJ PREFIX:SN PREFIX:TBP PREFIX:TIB PREFIX:TMS SUFFIX:F SUFFIX:J SUFFIX:N 22V10AC 22VlOAM PAL16LS-5C CYPRESS PREFIX:CY SUFFIX:P PREFIX:CY PREFIX:CY PREFIX:CY PREFIX:CY PREFIX:CY PREFIX:CY SUFFIX:F SUFFIX:L SUFFIX:D PALC22VlO-25C PALC22VlO-30M PAL16LS-5C TI PAL16LS-7C PAL16LS-7M PAL16LS-10C PAL16LS-10M PAL16LS-12M PAL16LS-15C PAL16LS-15M PAL16LS-20M PAL16LS-25C PAL16LS-30M PAL16LSA-2C PAL16LSA-2M PAL16LSAC PAL16LSAM PAL16R4-5C PAL16R4-7C PAL16R4-7M PAL16R4-lOC PAL16R4-lOM PAL16R4-12M PAL16R4-15C PAL16R4-15M PAL16R4-20M PAL16R4-25C PAL16R4-30M PAL16R4A-2C PAL16R4A-2M PAL16R4AC PAL16R4AM PAL16R6-5C PAL16R6-7C PAL16R6-7M PAL16R6-lOC PAL16R6-lOM PAL16R6-12M PAL16R6-15C PAL16R6-15M PAL16R6-20M PAL16R6- 25C PAL16R6-30M PAL16R6A-2C PAL16R6A-2M PAL16R6AC PAL16R6AM PAL16R8-5C PAL16R8-7C PAL16R8-7M PAL16R8-lOC PAL16R8-10M PAL16R8-12M PAL16R8-15C PAL16R8-15M PAL16R8-20M PAL16R8-25C PAL16R8-30M PAL16R8A-2C PAL16R8A-2M PAL16R8AC PAL16R8AM PAL20LSA - 2C PAL20LSA - 2M PAL20LSAC Note: Unless othetwise noted, product meets all performance specs and is within 10 rnA on Icc and 5 rnA on ISB + = meets all performance specs but may not meet Icc or ISB * - meets all performance specs except 2V data retention-may not meet Icc or ISB functionally equivalent t = SOIConly 1-12 CYPRESS PAL16LS-7C PAL16LS-7M PAL16LS-7C PAL16LS-lOM PAL16LS-lOM PAL16LS-7C PAL16LS-lOM PALC16LS-20M PALC16LS-25C PALC16LS-30M PALC16LS-35C PALC16LS-40M PALC16LS- 25C PALC16LS-30M PAL16R4-5C PAL16R4-7C PAL16R4-7M PAL16R4-7C PAL16R4-lOM PAL16R4-lOM PAL16R4-7C PAL16R4-lOM PALC16R4-20M PALC16R4-25C PALC16R4-30M PALC16R4-25C PALC16R4-40M PALC16R4-25C PALC16R4-30M PAL16R6-5C PAL16R6-7C PAL16R6-7M PAL16R6-7C PAL16R6-lOM PAL16R6-lOM PAL16R6-7C PAL16R6-10M PALC16R6-20M PALC16R6-25C PALC16R6-30M PALC16R6-25C PALC16R6-40M PALC16R6-25C PALC16R6-30M PAL16R8-5C PAL16R8-7C PAL16R8-7M PAL16R8-7C PAL16R8-10M PAL16R8-lOM PAL16R8-7C PAL16R8-10M PALC16R8-20M PALC16R8-25C PALC16R8-30M PALC16R8-25C PALC16R8-40M PALC16R8-25C PALC16R8-30M PLDC20GlO-25C PLDC20GlO-30M PLDC20GlO-25C Product Line Cross Reference TI PAL20L8AM PAL20LlOA-2C PAL20LlOA - 2M PAL20LlOAC PAL20LlOAM PAL20R4A - 2C PAL20R4A - 2M PAL20R4AC PAL20R4AM PAL20R6A-2C PAL20R6A - 2M PAL20R6AC PAL20R6AM PAL20R8A - 2C PAL20R8A - 2M PAL20R8AC PAL20R8AM PAL22VlO-7C PAL22VIO-7C PAL22VlO-15C PAL22VlO-20M PAL22VlOAC PAL22VIOAC PAL22VlOAM PAL22VIOAM PAL22VIOC PAL22VlOC CYPRESS PLDC20GIO-30M PLDC20GlO-25C PLDC20GlO- 30M PLDC20GlO-35C PLDC20G 10-30M PLDC20GlO-25C PLDC20G 10-30M PLDC20GlO- 25C PLDC20G 10- 30M PLDC20GlO-25C PLDC20GlO- 30M PLDC20GlO-25C PLDC20GlO- 30M PLDC20GlO-25C PLDC20GlO- 30M PLDC20GlO- 25C PLDC20G 10- 30M PALC22VlOD -7C PAL22VlOC-7C PALC22VlOB-15C PALC22VlOB-20M PALC22VIO- 25C PALC22VlOL- 25C PALC22VlO-25MB PALC22VIO- 30MB PALC22VlO-35C PALC22VlOL- 35C a 1-13 Military Overview at Group A are listed in a table at the end of each final data sheet, with a notation as to which specific Group A test subgroups apply. Features Cypress products are designed using our state-of-the-art CMOS and BiCMOS processes, and they must meet the full - 55 to + 125 degrees Celsius operational criteria for military use. The commitment continues with the 1986 DESC certification of our automated U.S. facility in San Jose, California. Cypress meets the stringent quality and reliability requirements of MIL-STD-883D and MIL-I-38535B and participates in each of the military processing programs: MIL-STD-883D compliant, SMD (Standardized Military Drawing), and QML. Assembly Traceability Code@) Cypress Semiconductor places an assembly traceability code on every military package that is large enough to contain the code. The ATC automatically provides traceability for that product to the individual wafer lot. This unique code provides Cypress with the ability to determine which operators and equipment were used in the manufacture of that product from start to finish. Quality and Reliability Product Design Every Cypress product is designed to meet or exceed the full temperature and functional requirements of military product. This means that Cypress builds military product as a matter of course, rather than as an accidental benefit of favorable test yield. Designs are being carried out in our industry-leading O.65-micron CMOS and BiCMOS processes. Cypress is able to offer a family of products that are industry leaders in density, low operating and standby current, and high speed. In addition, our technology results in products with very small manufacturable die sizes that will fit into the LCCs and flatpacks so often used in military programs. DESC-Certified Facility On May 8, 1986, the Cypress facility at 3901 North First Street in San Jose, California was certified by DESC for the production of JAN Class B CMOS Microcircuits. And, most recently, on February 16, 1994, Cypress received QML (Qualified Manufacturers List) transitional certification from DESC to the requirements of MIL- I - 38535B. This certification allows Cypress to continue to produce JAN products as well as manufacture devices listed on the QML. QML certification attests to Cypress' commitment to quality and reliability through the use of statistical process control and total quality management. Our wafer fabrication facilities are Class 10 (San Jose) and Class 1 (Round Rock, TX and Bloomington, MN) manufacturing environments and our assembly facility is also a clean room. Datasheet Documentation Every Cypress final data sheet is a corporate document with a revision history. The document number and revision appears on each final data sheet. Cypress maintains a listing of all data sheet documentation and a copy is available to customers upon request. This gives a customer the ability to verify the current status of any data sheet and it also gives that customer the ability to obtain updated specifications as required. Every final data sheet also contains detailed Group A subgroup testing information. All of the specified parameters that are tested MIL-STD-883D and MIL-I-38535B spell out the toughest of quality and reliability standards for military products. Cypress products meet all of these requirements and more. Our in-house quality and reliability programs are being updated regularly with tighter and tighter objectives. Please refer to the chapter on Quality, Reliability, and Process Flows for further details. Military Product Offerings Cypress offers three levels of processing for military product. First, all Cypress products are available with processing in full compliance with MIL-STD-883, Revision D. Second, selected products are available to the SMD (Standardized Military Drawing) program administered by DESC. These products are not only fully MIL-STD-883D compliant, but are also screened to the electrical requirements ofthe applicable military drawing. Third, selected products are available as JAN devices. These products are processed in full accordance with MIL-I -38535B and they are screened to the electrical requirements of the applicable JAN slash sheet. Product Packaging All packages for military product are hermetic. A look at the package appendix in the back of this data book will give the reader an appreciation of the variety of packages offered. Included are cerDIPs, windowed CerDIPs, leadless chip carriers (LCCs), windowed leadless chip carriers, cerpaks, windowed cerpaks, quad cerpaks, windowed quad cerpaks, bottom-brazed flatpacks, and pin grid arrays. Summary Cypress Semiconductor is committed to the support of the military marketplace. Our commitment is demonstrated by our product designs, our DESC-certified facility, our documentation and traceability, our quality and reliability programs, our support of all levels of military processing, and by our leadership in special packaging. Assembly Traceability Code is a trademark of Cypress Semiconductor Corporation. 1-14 II -.,~ Military Product Selector Guide ,CYPRESS PLDs Organization PAL20 PALC20 PALC20 PLD24 PLD24 PLDC24 PLD24 PLDC24 PLD24 PLDC24 PLDC24 PLDC24 PLDC24 PLDC24 PLDC28 PLDC28 PLDC28 PLDC28 PLDC28 PLD28 16L8, 16R8, 16R6, 16R4 16L8, 16R8, 16R6, 16R4 16L8, 16R8, 16R6, 16R4 22VlOC-Macrocell 22V10C-Macrocell 22VlO-Macrocell 22VlO-Macrocell 22VlO-Macrocell 22VlO-Macrocell 22V10-Macrocell 22V10-Macrocell 22VlOD-Macrocell 20G 10-Generic 20RA10-Asynchronous 7C330-State Machine 7C330-State Machine 7C331-Asynchronous 7C331-Asynchronous 7C332-Combinatorial 7C335-Synchronous Pins 20 20 20 24S 24S 24S 24S 24S 24S 24S 24S 24S 24S 24S 28S 28S 28S 28S 28S 28S Part Number PAL16XX PALC16XX PALC16XX PAL22VlOC PAL22VPlOC PALC22VlO PALC22VlOB PALC22VlO PALC22VlOB PALC22VlOB PALC22VlOB PALC22VlOD PLDC20G10 PLD20RA10 CY7C330 CY7C330 CY7C331 CY7C331 CY7C332 CY7C335 JAN/SMD Number!l]" 5962-92338(0) 5962-88678(W) 5962-88713(0) 5962-91760(0) 5962-91760(0) 5962-87539(W) 5962-87539(W) 5962-88670(0) 5962-88670(0) M38510/507(W) M3851 0/508( 0) 5962-89841(0) 5962-88637(0) 5962-90555(0) 5962-89546(W) 5926-90802(0) 5962-90754(W) 5962-89855(0) 5962-91584(W) 5862-9451O(W) Speed (ns/MHz) tpD=7,1O tpD = 20,30 tpD = 20,30 tpD/S/CO = 10/3.6/7.5 tpD/S/CO = 10/3.6/7.5 tPD/S/CO = 25/18/15 tPD/S/CO = 20/17/15 tpD/S/CO = 25/18/15 tpD/S/CO = 15/12/10 tPD/S/CO = 15/12/10 tPD/S/CO = 15/12/10 tPD/S/CO = 10/6/7 tPD/S/CO = 20/17/15 tpD/SU/CO = 20/10/20 50,40,28 MHz 50,40,28 MHz tpD = 25, 30, 40 tpD = 25,30,40 tpD = 20,25,30 fMAX5 = 66.6,50,83 Icc (rnA@ns/MHz) 883 Availability 180@7 70@20 70@20 190@1O 190@1O 100@25 100@20 100@25 120@15 120@15 120@15 130@1O 80@30 100@25 180@40MHz 180@40MHz 200@20MHz 200@20MHz 200@24MHz 160@ 66.6 MHz Now Now Now Now Now Now Now Now Now Now Now Now Now Now Now Now Now Now Now Now CPLDs Organization MAX28 MAX40 MAX68 MAX84 MAX100 PLDC28 FrAsH370 -44 FrAsH370 -44 FrAsH370 -84 FLAsH370 -84 FrAsH370 -160 FrAsH370 -160 FrAsH370 -240 FrAsH370 -160 FrAsH370 Pins Part Number 7C344-32 Macrocell 7C343-64 Macrocell 7C342-128 Macrocell 7C341-192 Macrocell 7C346-128 Macrocell 7C361-State Machine 7C371-32 Macrocell 28S 40/44 68 84 84/100 28S 44 CY7C344 CY7C343 CY7C342 CY7C341 CY7C346 CY7C361 CY7C371 7C372-64 Macrocell 44 CY7C372 7C373-64 Macrocell 84 CY7C373 7C374-128 Macrocell 84 CY7C374 7C375-128 Macrocell 160 CY7C375 7C376-192 Macrocell 160 CY7C376 7C377-192 Macrocell 240 CY7C377 7C378-256 Macrocell 160 CY7C378 7C379-256 Macrocell 240 CY7C379 -240 JAN/SMD Number ll ]* 5962-9061l(W) 5962-92158(W) 5962-89468(W) 5962-92062(W) 5962-91344(W) 5962-94684(0) Icc Speed (ns/MHz) (rnA@ns/MHz) 883 Availability tpD=25,35 tpD = 25,30,35 tpD = 30,35,40 tpD = 30,35,40 tpD = 30,35 100,83,66 MHz fMAX/tS/tco = 83MHz/ 10/10 fMAX/tS/tco= 83MHz/ 8/8 fMAX/tS/tco = 83MHz/ 8/8 fMAX/tS/tco = 83MHz/ 8/8 fMAX/tS/tco = 83MHz/ 8/8 fMAX/tS/tco = 83MHz/ 12/12 fMAX/tS/tco=83MHz/ 12/12 fMAX/tS/tco = 83MHz/ 12/12 fMAX/tS/tco = 83MHz/ 12/12 220@25 225@25 320@30 480@30 320@35 150@100MHz 260@83 Now Now Now Now Now Now Now Speed (ns/MHz) (rnA@ns/MHz) 883 Availability 300@83 3094 300@83 3094 370@83 Now 370@83 Now 300(fBD 4095 300(fBD 4095 300(fBD 2095 300(fBD 2095 FPGAs Organization 1KFPGA 2KFPGA 4KFPGA CMOS8x12 CMOS 12x16 CMOS 16x24 8KFPGA CMOS 24x32 Pins 68 84 145/ 160 145/ 160/ 208 Part Number JAN/SMD Number!l]* Icc CY7C382A CY7C384A CY7C386A -0,-1 -0,-1 -0,-1 20 20 20 3094 4094 Now CY7C387N8A -0,-1 20 1095 1-15 · ~.;~ 'CYPRESS Military Product Selector Guide Notes: The Cypress facility at 3901 North First Street in San Jose, CA is DESC-certified for JAN class B production. All of the above products are available with processing to MIL-STD-883D at a minimum. Many of these products are also available either to SMD (Standardized Military Drawings) or to JAN slash sheets. The speed and power specifications listed above cover the full military temperature range. 22S stands for 24S stands for 28S stands for 32S stands for 22-pin 300-mil DIP. 24-pin 300-mil DIP. 28-pin 300-mil DIP. 32-pin 300-mil DIP. a 1-16 Military Ordering Information Cypress Semiconductor fully supports the DESC standardized Military Drawing Program for devices that are compliant to the Class B requirements of MIL-STD-883D. Listed below are the SMDs for which Cypress is an approved source of supply. Please contact your local Cypress representative for the latest SMD update. DESC SMD (Standardized Military Drawing) Approvals[1] Package [3) SMDNumber 5962-87539 5962-87539 5962-87539 5962-87539 5962-87539 5962-87539 5962-87539 5962-88637 5962-88637 5962-88637 5962-88637 5962-88637 5962-88670 5962-88670 5962-88670 5962-88670 5962-88670 5962-88670 5962-88670 5962-88670 5962-88670 5962-88670 5962-88670 5962-88670 5962-88670 5962-88670 5962-88678 5962-88678 5962-88678 5962-88678 5962-88678 5962-88678 5962-88678 5962-88678 5962-88678 5962-88678 5962-88678 5962-88678 5962-88678 5962-88678 5962-88678 5962-88713 5962-88713 5962-88713 5962-88713 5962-88713 5962-88713 5962-88713 5962-88713 5962-88713 5962-88713 5962-88713 5962-88713 5962-88713 5962-88713 5962-88713 5962-88713 01LX 013X 02LX 023X 03LX 04LX 043X 01KX 01 LX 02KX 02LX 023X 01KX 01LX 013X 02KX 02LX 023X 03KX 03LX 04KX 04LX 043X 05KX 05LX 053X 01XX 02XX 03RX 03XX 04RX 04XX 07XX 09RX 09XX 10RX lOXX llRX 11 XX 12RX 12XX 01RX 05RX 05XX 06RX 07RX 07XX 08RX 08XX 09RX 09XX lORX lOXX 11RX 11XX 12RX 12XX Cypress [2) Part Number PALC22V10-25WMB PALC22VlO-25QMB PALC22VlO- 30WMB PALC22VlO- 30QMB PALC22V10-40WMB PALC22VlOB-20WMB PALC22V10B-20QMB PLDC20G 10-40KMB PLDC20G10-40DMB PLDC20G 10-30KMB PLDC20GlO-30DMB PLDC20GlO-30LMB PALC22V10-25KMB PALC22V10-25DMB PALC22VlO-25LMB PALC22VlO- 30KMB PALC22V10- 30DMB PALC22VlO-30LMB PALC22VlO-40KMB PALC22V10-40DMB PALC22VlOB- 20KMB PALC22V10B- 20DMB PALC22V10B-20LMB PALC22V10B-15KMB PALC22VlOB-15DMB PALC22V10B -15LMB PALC16L8-40QMB PALC16R8-40QMB PALC16R6-40WMB PALC16R6-40QMB PALC16R4-40WMB PALC16R4-40QMB PALC16R6- 30QMB PALC16L8-20WMB PALC16L8-20QMB PALC16R8-20WMB PALC16R8-20QMB PALC16R6-20WMB PALC16R6-20QMB PALC16R4-20WMB PALC16R4-20QMB PALC16L8-40DMB PALC16L8- 30DMB PALC16L8- 30LMB PALC16R8- 30DMB PALC16R6- 30DMB PALC16R6- 30LMB PALC16R4- 30DMB PALC16R4- 30LMB PALC16L8-20DMB PALC16L8-20LMB PALC16R8-20DMB PALC16R8-20LMB PALC16R6-20DMB PALC16R6-20LMB PALC16R4-20DMB PALC16R4-20LMB Description 1Ype 24.3 DIP 28SLCC 24.3 DIP 28 S LCC 24.3 DIP 24.3 DIP 28 S LCC 24CP 24.3 DIP 24CP 24.3 DIP 28SLCC 24CP 24.3 DIP 28SLCC 24CP 24.3 DIP 28SLCC 24CP 24.3 DIP 24CP 24.3 DIP 28S LCC 24CP 24.3 DIP 28SLCC 20SLCC 20SLCC 20.3 DIP 20SLCC 20.3 DIP 20S LCC 20SLCC 20.3 DIP 20SLCC 20.3 DIP 20SLCC 20.3 DIP 20S LCC 20.3 DIP 20SLCC 20.3 DIP 20.3 DIP 20SLCC 20.3 DIP 20.3 DIP 20SLCC 20.3 DIP 20SLCC 20.3 DIP 20SLCC 20.3 DIP 20S LCC 20.3 DIP 20SLCC 20.3 DIP 20S LCC W14 Q64 W14 Q64 W14 W14 Q64 K73 D14 K73 D14 L64 K73 D14 L64 K73 D14 L64 K73 D14 K73 D14 L64 K73 D14 L64 Q61 Q61 W6 Q61 W6 Q61 Q61 W6 Q61 W6 Q61 W6 Q61 W6 Q61 D6 D6 L61 D6 D6 L61 D6 L61 D6 L61 D6 L61 D6 L61 D6 L61 1-17 Product Description 24-Pin CMOS UV EPLD 24-Pin CMOS UV EPLD 24-Pin CMOS UV EPLD 24-Pin CMOS UV EPLD 24-Pin CMOS UV EPLD 24-Pin CMOS UV EPLD 24-Pin CMOS UV EPLD Generic CMOS PLD Generic CMOS PLD Generic CMOS PLD Generic CMOS PLD Generic CMOS PLD 24-Pin CMOS PLD 24-Pin CMOS PLD 24-Pin CMOS PLD 24-Pin CMOS PLD 24-Pin CMOS PLD 24-Pin CMOS PLD 24-Pin CMOS PLD 24-Pin CMOS PLD 24-Pin CMOS PLD 24-Pin CMOS PLD 24-Pin CMOS PLD 24-Pin CMOS PLD 24-Pin CMOS PLD 24-Pin CMOS PLD 20-Pin CMOS UV EPLD 20-Pin CMOS UV EPLD 20-Pin CMOS UV EPLD 20-Pin CMOS UV EPLD 20-Pin CMOS UV EPLD 20-Pin CMOS UV EPLD 20-Pin CMOS UV EPLD 20-Pin CMOS UV EPLD 20-Pin CMOS UV EPLD 20-Pin CMOS UV EPLD 20-Pin CMOS UV EPLD 20-Pin CMOS UV EPLD 20-Pin CMOS UV EPLD 20-Pin CMOS UV EPLD 20-Pin CMOS UV EPLD 20-Pin CMOS PLD 20-Pin CMOS PLD 20-Pin CMOS PLD 20-Pin CMOS PLD 20-Pin CMOS PLD 20-Pin CMOS PLD 20-Pin CMOS PLD 20-Pin CMOS PLD 20-Pin CMOS PLD 20-Pin CMOS PLD 20-Pin CMOS PLD 20-Pin CMOS PLD 20-Pin CMOS PLD 20-Pin CMOS PLD 20-Pin CMOS PLD 20-Pin CMOS PLD -- -.;~ Military Ordering Information 'CYPRESS DESC SMD (Standardized Military Drawing) Approvals[l] (continued) Package[3] SMDNumber 5962-89468 5962-89468 5962-89468 5962-89546 5962-89546 5962-89546 5962-89546 5962-89546 5962-89546 5962-89546 5962-89841 5962-89841 5962-89841 5962-89841 5962-89841 5962-89841 5962-89841 5962-89841 5962-89841 5962-89841 5962-89841 5962-89841 5962-89841 5962-89841 5962-89841 5962-89841 5962-89841 5962-89841 5962-89855 5962-89855 5962-89855 5962-89855 5962-89855 5962-89855 5962-89855 5962-89855 5962-89855 5962-89855 5962-90555 5962-90555 5962-90555 5962-90555 5962-90555 5962-90555 5962-90754 5962-90754 5962-90754 5962-90754 5962-90754 5962-90754 5962-90754 5962-90754 5962-90754 5962-91584 5962-91584 5962-91584 5962-91584 5962-91584 01XX 01YX 01ZX 01XX 02XX 02YX 023X 03XX 03YX 033X 01KX 01LX 013X 02KX 02LX 023X 03KX 03LX 033X 04KX 04LX 043X 05KX 05LX 053X 06KX 06LX 063X 01MYX OlMZX OlM3X 02MXX 02MYX 02MZX 03MXX 03MYX 03MZX 03M3X 01 LX 02KX 02LX 023X 03KX 03LX OlMYX OlMZX 02MYX 02MZX 02M3X 03MXX 03MYX 03MZX 03M3X 01MYX 01MZX 02MYX 02MZX 02M3X Cypress [2] Part Number CY7C342-35RMB CY7C342-35HMB CY7C342-35TMB CY7C330-28WMB CY7C330-40WMB CY7C330-40TMB CY7C330-40QMB CY7C330-50WMB CY7C330-50TMB CY7C330-50QMB PALC22V10D-30KMB PALC22VlOD- 30DMB PALC22VlOD-30LMB PALC22VIOD- 20KMB PALC22VlOD-20DMB PALC22V10D- 20LMB PALC22VlOD-15KMB PALC22V1·0D-15DMB PALC22VlOD-15LMB PALC22VlOD- 25KMB PALC22VlOD-25DMB PALC22VlOD - 25LMB PALC22VlOD-15KMB PALC22VlOD-15DMB PALC22VlOD-15LMB PALC22VlOD-lOKMB PALC22VlOD -lODMB PALC22VlOD-10LMB CY7C331-40KMB CY7C331-40YMB CY7C331-40LMB CY7C331-30DMB CY7C331-30KMB CY7C331-30YMB CY7C331-25DMB CY7C331-25KMB CY7C331-25YMB CY7C331-25LMB PLDC20RAlO-35DMB PLDC20RAlO- 25KMB PLDC20RA10- 25DMB PLDC20RAlO- 25LMB PLDC20RAlO-20KMB PLDC20RAlO-20DMB CY7C331-40TMB CY7C331-40HMB CY7C331-30TMB CY7C331-30HMB CY7C331-30QMB CY7C331- 25WMB CY7C331-25TMB CY7C331-25HMB CY7C331-25QMB CY7C332-25TMB CY7C332-25HMB CY7C332-20TMB CY7C332- 20HMB CY7C332-20QMB Description 1YPe Product Description 68PGA 68S01 68QFP 28.3 DIP 28.3 DIP 28CP 28SLCC 28.3 DIP 28CP 28SLCC 24CP 24.3 DIP 28SLCC 24CP 24.3 DIP 28SLCC 24CP 24.3 DIP 28 SLCC 24CP 24.3 DIP 28SLCC 24CP 24.3 DIP 28S LCC 24CP 24.3 DIP 28SLCC 28CP 28 SJCQ 28S LCC 28.3 DIP 28CP 28 S JCQ 28.3 DIP 28CP 28 S JCQ 28SLCC 24.3 DIP 24CP 24.3 DIP 28SLCC 24CP 24.3 DIP 28CP 28 SJCQ 28CP 28 SJCQ 28SLCC 28.3 DIP 28CP 28 SJCQ 28SLCC 28CP 28 S JCQ 28CP 28SJCQ 28 SLCC H81 R68 T91 W22 W22 T74 Q64 W22 T74 Q64 K73 D14 L64 K73 D14 L64 K73 D14 L64 K73 D14 L64 K73 D14 L64 K73 D14 L64 K74 Y64 L64 D22 K74 Y64 D22 K74 Y64 L64 D14 K73 D14 L64 K73 D14 T74 H64 T74 H64 Q64 W22 T74 H64 Q64 T74 H64 T74 H64 Q64 128-Macrocell UV EPLD 128-Macrocell UV EPLD 128-Macrocell UV EPLD PLD State Machine PLD State Machine PLD State Machine PLD State Machine PLD State Machine PLD State Machine PLD State Machine CMOSEEPLD CMOSEEPLD CMOS EE PLD CMOSEEPLD CMOS EE PLD CMOSEEPLD CMOSEEPLD CMOSEEPLD CMOSEEPLD CMOSEEPLD CMOS EE PLD CMOSEEPLD CMOSEEPLD CMOSEEPLD CMOSEEPLD CMOSEEPLD CMOSEEPLD CMOS EE PLD Asynchronous PLD Asynchronous PLD Asynchronous PLD Asynchronous PLD Asynchronous PLD Asynchronous PLD Asynchronous PLD Asynchronous PLD Asynchronous PLD Asynchronous PLD Asynchronous CMOS OTP PLD Asynchronous CMOS OTP PLD Asynchronous CMOS OTP PLD Asynchronous CMOS OTP PLD Asynchronous CMOS OTP PLD Asynchronous CMOS OTP PLD Asynchronous UV PLD Asynchronous UV PLD Asynchronous UV PLD Asynchronous UV PLD Asynchronous UV PLD Asynchronous UV PLD Asynchronous UV PLD Asynchronous UV PLD Asynchronous UV PLD Registered Combinatorial UV EPLD Registered Combinatorial UV EPLD Registered Combinatorial UV EPLD Registered Combinatorial UV EPLD Registered Combinatorial UV EPLD 1-18 II ~~ Military Ordering Information ,CYPRESS DESC SMD (Standardized Military Drawing) Approvals[1] (continued) Package[3] SMDNumber 5962-91760 5962-91760 5962-91760 5962-91760 5962-91760 5962-91760 5962-92062 5962-92062 5962-92062 5962-92062 5962-92158 5962-92338 5962-92338 5962-92338 5962-92338 5962-92338 5962-92338 5962-92338 5962-92338 5962-92338 5962-92338 5962-92338 5962-92338 5962-92338 5962-92338 5962-92338 5962-92338 5962-92338 5962-92338 5962-92338 5962-92338 5962-92338 5962-92338 5962-92338 5962-92338 5962-93144 5962-93144 5962-93144 5962-93144 OlM3X 02M3X 03M3X 04M3X 05M3X 06M3X OlMXX 01MYX 02MXX 02MYX 02MXX 01MRX 01MSX 01MXX 02MRX 02MSX 02MXX 03MRX 03MSX 03MXX 04MRX 04MSX 04MXX 05MRX 05MSX 05MXX 06MRX 06MSX 06MXX 07MRX 07MSX 07MXX 08MRX 08MSX 08MXX 01MZX 01MUX 02MZX 02MUX Cypress [2] Part Number PAL22VI0C-15LMB PAL22VI0C-12LMB PAL22VI0C-lOLMB PAL22VPlOC-15LMB PAL22VPI0C-12LMB PAL22VPlOC-I0LMB CY7C341-40HMB CY7C341-40RMB CY7C341- 30HMB CY7C341-30RMB CY7C343-30HMB PALI6L8-lODMB PAL16L8-lOKMB PALI6L8-10LMB PALI6R8-lODMB PALI6R8-10KMB PALI6R8-lOLMB PALI6R6-lODMB PALI6R6-10KMB PALI6R6-lOLMB PAL16R4-lODMB PALI6R4-10KMB PALI6R4-lOLMB PAL16L8-7DMB PALI6L8-7KMB PALI6L8-7LMB PALI6R8-7DMB PAL16R8-7KMB PALI6R8-7LMB PALI6R6-7DMB PALI6R6-7KMB PALI6R6-7LMB PALI6R4-7DMB PALI6R4-7KMB PALI6R4-7LMB CY7C346-35RMB CY7C346- 35HMB CY7C346-30RMB CY7C346 - 30HMB Description 1Ype 28 SLCC 28SLCC 28SLCC 28SLCC 28SLCC 28SLCC 84SJCQ 84PGA 84 SJCQ 84PGA 44 SJCQ 20.3 DIP 20CP 20SLCC 20.3 DIP 20CP 20SLCC 20.3 DIP 20CP 20SLCC 20.3 DIP 20CP 20SLCC 20.3 DIP 20CP 20SLCC 20.3 DIP 20CP 20SLCC 20.3 DIP 20CP 20SLCC 20.3 DIP 20CP 20SLCC 100PGA 84 S JCQ lOOPGA 84SJCQ L64 L64 L64 L64 L64 L64 H84 R84 H84 R84 H67 D6 K71 L61 D6 K71 L61 D6 K71 L61 D6 K71 L61 D6 K71 L61 D6 K71 L61 D6 K71 L61 D6 K71 L61 RI00 H84 RlOO H84 Product Description BiCMOS OTP PLD BiCMOS OTP PLD BiCMOS OTP PLD BiCMOS OTP PLD BiCMOS OTP PLD BiCMOS OTP PLD 192-Macrocell UV EPLD 192-Macrocell UV EPLD 192-Macrocell UV EPLD 192-Macrocell UV EPLD 64-Macrocell UV EPLD 20-Pin BiCMOS PLD 20-Pin BiCMOS PLD 20-Pin BiCMOS PLD 20-Pin BiCMOS PLD 20-Pin BiCMOS PLD 20-Pin BiCMOS PLD 20-Pin BiCMOS PLD 20-Pin BiCMOS PLD 20-Pin BiCMOS PLD 20-Pin BiCMOS PLD 20-Pin BiCMOS PLD 20-Pin BiCMOS PLD 20-Pin BiCMOS PLD 20-Pin BiCMOS PLD 20-Pin BiCMOS PLD 20-Pin BiCMOS PLD 20-Pin BiCMOS PLD 20-Pin BiCMOS PLD 20-Pin BiCMOS PLD 20-Pin BiCMOS PLD 20-Pin BiCMOS PLD 20-Pin BiCMOS PLD 20-Pin BiCMOS PLD 20-Pin BiCMOS PLD 128-Macrocell UV EPLD 128-Macrocell UV EPLD 128-Macrocell UV EPLD 128-Macrocell UV EPLD Notes: 1. Devices listed have been approved by DESC for the SMD indicated as of the date of publication. Contact your local Cypress representative, or the Cypress SMD Hotline at 408/943-2716, for the latest update. 2. Use the SMD part number as the ordering code. 3. Package: SMD Hotline: 408/943-2716 1-19 24.3 DIP = 24-pin 0.300" DIP; 24.6 DIP = 24-pin 0.600" DIP; 28 R LCC = 28 terminal rectangular LCC, S = Square LCC, TLCC = Thin LCC 24 CP = 24-pin ceramic flatpack (Configuration 1); FP = brazed flatpack; PGA = Pin Grid Array. ~~ Military Ordering Information ?CYPRESS JAN M38510 Qualifications Package [3] JAN Number Cypress[2] Part Number Description 'lYpe Product Description Qualification Status JM 3851O/5070lBLA JM 3851O/5070lB3A JM 38510/50702BLA JM 38510/50702B3A JM 38510/50703BLA JM 38510/50703B3A JM 38510/50704BLA JM 38510/50704B3A JM 3851O/5080lBLA JM 3851O/5080lBKA JM 3851O/5080lB3A JM 38510/50802BLA JM 38510/50802BKA JM 38510/50802B3A JM 38510/50803BLA JM 38510/50803BKA JM 38510/50803B3A JM 38510/50804BLA JM 38510/50804BKA JM 38510/50804B3A PALC22VlOB - 30WMB PALC22VIOB-30QMB PALC22VIOB-25WMB PALC22VlOB - 25QMB PALC22VlOB - 20WMB PALC22VIOB - 20QMB PALC22VlOB -15WMB PALC22VlOB-15QMB PALC22VlOB - 30DMB PALC22VIOB-30KMB PALC22VIOB-30LMB PALC22VlOB-25DMB PALC22VlOB- 25KMB PALC22VIOB-25LMB PALC22VlOB - 20DMB PALC22VlOB-20KMB PALC22VlOB-20LMB PALC22VIOB-15DMB PALC22VIOB-15KMB PALC22VIOB-15LMB 24.3 DIP 28SLCC 24.3 DIP 28S LCC 24.3 DIP 28SLCC 24.3 DIP 28 S LCC 24.3 DIP 24CP 28S LCC 24.3 DIP 24CP 28S LCC 24.3 DIP 24CP 28SLCC 24.3 DIP 24CP 28SLCC W14 Q64 W14 Q64 W14 Q64 W14 Q64 D14 K73 L64 D14 K73 L64 D14 K73 L64 D14 K73 L64 CMOSUVPLD CMOSUVPLD CMOSUVPLD CMOSUVPLD CMOSUVPLD CMOSUVPLD CMOSUVPLD CMOSUVPLD CMOSPLD CMOSPLD CMOSPLD CMOSPLD CMOSPLD CMOSPLD CMOSPLD CMOSPLD CMOSPLD CMOSPLD CMOSPLD CMOSPLD Qualified Qualified Qualified Qualified Qualified Qualified Qualified Qualified Qualified Qualified Qualified Qualified Qualified Qualified Qualified Qualified Qualified Qualified Qualified Qualified 1-20 a ==~PRESS Military Ordering Information SMD Ordering Information ---[L-- 5962-XXXXX 01 LX LEAD FINISH A = Solder Dip B = TinPlate C = Gold X = Don't Care (The letter "X" will not be marked on the device, but will be replaced with the actual lead finish designation.) PACKAGE TYPE (Not a complete list) V = 18-pin 0.300 DIP J = R = 20-pin 0.300 DIP Q = S = 20-pin Cerpack K = 2 = W = 22-pin 00400 DIP 3 = L = 24-pin 0.300 DIP 24-pin 0.600 DIP 40-pin 0.600 DIP 24-pin Cerpack 20-pin SQ LCC 28-pin SQ LCC X, Y, Z, U, T, M, Nand 4, 5, 6, 7, 8, 9 = Non-dedicated package designations and will vary per drawing. L--_ _ _ DEVICE CLASS DESIGNATOR No character = Old (Pre March 1990) SMD = New "One Part-One Part Number" System SMD, Class B M 1--_ _ _ _ _ DEVICE TYPE ' - - - - - - - - - - DRAWING NUMBER '-------------DRAWINGPREFIX 5962 = Federal Stock Class (FSC) for microcircuits. Pre-1985 drawings do not have this prefix. Cypress Military Marking Information Manufacturer's identification: Cypress Logo, CYPRESS, CYP, and CY are trademarks of Cypress Semiconductor Corporation. Manufacturer's designating symbol or CAGE CODE: Designating symbol = CETK or ETK CAGE CODE/FSCM Number = 65786 Country of origin: USA = United States of America THA = Thailand In general, the codes for all products (except modules) follow the format below. PAL&PLD PREFIX DEVICE 'PALC' PALC PLDC CY PALCE SUFFIX ~ '-20 DMB i 22VlO 20GIO 7C330 16V8 -15 -20 -50 -25 WMB WMB DMB DMB FAMILY PAL 20 PAL 24 VARIABLE PRODUCT TERMS GENERIC PLD 24 PLD SYNCHRONOUS STATE MACHINE FLASH-ERASABLE PAL20 e.g., PALC16R8-20DMB Cypress FSCM #65786 1-21 Small PLDs 2 fI .z;p ~PRESS Section Contents Small PLDs (Programmable Logic Devices) Page Number Introduction to Cypress PLDs .............................................................................. 2-1 Device PAL20 Series PALC20 Series PALCE16V8 PALCE20V8 PLDC20GlO PLDC20G10B PLD20GlOC PLDC20RAlO PALC22VlO PALC22VlOB PAL22VlOC PAL22VPlOC PAL22VlOCF PAL22VPlOCF PALC22VlOD PAL22VlOG PAL22VPlOG CY7C330 CY7C331 CY7C332 CY7C335 CY7C258 CY7C259 Description 4.5-ns, Industry-Standard PLDs 16L8, 16R8, 16R6, 16R4 ............................ 2-6 Reprogrammable CMOS PALC 16L8, 16R8, 16R6, 16R4 . . . . . . . . . . . . . . . . . . . . . . . . . .. 2-16 Flash Erasa:ble, Reprogrammable CMOS PAL Device .............................. 2-30 Flash Erasable, Reprogrammable CMOS PAL Device .............................. 2-38 ttMOS Generic 24-Pin Reprogrammable Logic Device ............................. 2-39 CMOS Generic 24-Pin Reprogrammable Logic Device ............................. 2-39 Generic 24-Pin PAL Device. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2-47 Reprogrammable Asynchronous CMOS Logic Device ............................. 2-57 Reprogrammable CMOS PAL Device ........................................... 2-68 Reprogrammable CMOS PAL Device ................................. " ........ 2-69 Universal PAL Device ........................................................ 2-70 Universal PAL Device 2-70 Universal PAL Device ........................................................ 2-81 Universal PAL Device ........................................................ 2-81 Flash Erasable, Reprogrammable CMOS PAL Device .................... " ........ 2-82 Universal PAL Device ........................................................ 2-91 Universal PAL Device ........................................................ 2-91 CMOS Programmable Synchronous State Machine ........... . . . . . . . . . . . . . . . . . . .. 2-101 Asynchronous Registered EPLD .............................................. 2 -112 Registered Combinatorial EPLD .............................................. 2-126 Universal Synchronous EPLD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2-136 2K x 16 Reprogrammable State Machine PROM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2-151 2K x 16 Reprogrammable State Machine PROM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2-151 ~~ Introduction to Cypress PLDs ; CYPRESS fixed OR array. The sum of these can be expressed in a Boolean transfer function and is limited only by the number of product terms available in the AND-OR array. A variety of different sizes and architectures are available. This allows for more efficient logic optimization by matching input, output, and product terms to the desired application. Cypress PLD Family Features Cypress Semiconductor's PLD family offers the user a wide range of programmable logic solutions that incorporate leading-edge circuit design techniques as well as diverse process technology capabilities. This allows Cypress PLD users to select PLDs that best suit the needs of their particular high-performance system, regardless of whether speed, power consumption, density, or device flexibility are the critical requirements imposed by the system. Cypress offers enhanced-performance industry-standard 20- and 24-pin device architectures as well as proprietary 28-pin application-tailored architectures. The range of technologies offered includes leading-edge 0.8-micron CMOS EPROM for high speed, low power, and high density, 0.65-micron FLASH technology for high speed, low power and electrical alterability, and 0.5-micron BiCMOS for high-speed, power-sensitive applications. The reprogrammable memory cells used by Cypress serve the same purpose as the fuse used in most bipolar PLD devices. Before programming, the AND gates or product terms are connected via the reprogrammable memory cell to both the true and complement inputs. When the reprogrammable memory cell is programmed, the inputs from a gate or product term are disconnected. Programming alters the transistor threshold of each cell so that no conduction can occur, which is equivalent to disconnecting the input from the gate or product term. This is similar to "blowing" the fuses ofBiCMOS or bipolar fusible devices, which disconnects the input gate from the product term. Selective programming of each of these reprogrammable memory cells enables the specific logic function to be implemented by the user. The programmability of Cypress's PLDs allows the users to customize every device in a number of ways to implement their unique logic requirements. Using PLDs in place of SSI or MSI components results in more effective utilization of board space, reduced cost and increased reliability. The flexibility afforded by these PLDs allows the designer to quickly and effectively implement a number of logic functions ranging from random logic gate replacement to complex combinatorial logic functions. The PLD family implements the familiar "sum of products" logic by using a programmable AND array whose output terms feed a PLD Notation To reduce confusion and to have an orderly way of representing the complex logic networks, logic diagrams are provided for the various part types. In order to be useful, Cypress logic diagrams employ a common logic convention that is easy to use. Figure 1 shows the adopted convention. In part (a), an "x" represents an unprogrammed EPROM cell or intact fuse link that is used to perform the logical AND operation upon the input terms. The convention adopted does not imply that the input terms are connected on the common line that is indicated. A further extension of this convention is shown in part (b), which shows the implementation of a simple transfer function. The normal logic representation of the transfer function logic convention is shown in part (c). PLD Circuit Configurations Cypress PLDs have several different output configurations that cover a wide spectrum of applications. The available output configurations offer the user the benefits of both lower package counts and reduced costs when used. This approach allows designers to select PLDs that best fit their applications. An example of some of the configurations that are available are listed below. Programmable I/O Figure 2 illustrates the programmable I/O offered in the Cypress PLD family that allows product terms to directly control the outputs ofthe device. One product term is used to directly control the three-state output buffer, which then gates the summation of the remaining terms to the output pin. The output of this summation can be fed back into the PLD as an input to the array. This programmable I/O feature allows the PLD to drive the output pin when the three-state output is enabled or, when the three-state output is disabled, the I/O pin can be used as an input to the array. INTRO-1 (a) INTRO-2 (b) INTRO-3 (c) Figure 1. Logic Diagram Conventions 2-1 Introduction to Cypress PLDs rcYPRESS INPUTS FEEDBACK AND /0 11111111111111111111111111111 B"o INTRO-4 Figure 2. Programmable I/O INPUTS, FEEDBACK, AND I/O .-- CLOCK ~ ....... ~b- .--- I- D QI-- ~ ~~ - ...... INTRO-5 Figure 3. Registered Outputs with Feedback Registered Outputs with Feedback Figure 3 illustrates the registered outputs of!ere.d on a nUI?ber of the Cypress PLDs which allow any of these CIrcUIts to fll:nctlOn as. a state sequencer. The summation of the product terms IS stored m the D-type output flip-flop on the rising edge of the system c~ock. The Q output of the flip-flop can then be gated to the outpu~ pm by enabling the three-state output buffer. The output of the flIp-flop can also be fed back into the array as an input term. The output feedback feature allows the PLD to remember and then alter its function based upon that state. This circuit can be used to execute such functions as counting, skip, shift, and branch. Programmable Macrocell The programmable macrocell, illustrated in Figure 4, pro~i.des the capability of defining the architecture of each output mdlVldually. Each of the potential outputs may be specified to be "registered" or "combinatorial." Polarity of each output may also be individually selected allowing complete flexibility of output configuration. Further configurability is provided through "array" configurable "output enable" for each potential output. This feature allows the outputs to be reconfigured as inputs on an individual basis or alternately used as a bidirectionalI/O controlled by the programmable array (see Figure 5). Buried Register Feedback The CY7C331 and CY7C335 PLDs provide registers that may be "buried" or "hidden" by electing feedback of the register output. These buried registers, which are useful in state mach~nes, may.be implemented without sacrificing the use of the associated devIc~ pin as an input. In previous PLDs, when the feedback path was activated, the input pin-path to the logic array was blocked. The p~o prietary CY7C335 reprogram~able sync~ronous st~te mac~me macrocell illustrates the shared mput multiplexer, WhICh proVIdes an alternative input path for the I/O pin associated with a buri~d macrocell register (Figure 6). Each pair of macrocells shares an m- put multiplexer, and as long as alternate macro cells are buried, up to six of the twelve output registers can be buried wi~hout the lo~s of any I/O pins as inputs. The CY7C335 also con tams four dedIcated hidden macro cells with no external output that are used as additional state registers for creating high-performance state machines (Figure 7). Asynchronous Register Control Cypress also offers PLDs that may be used in asynchronous systems in which register clock, set, and reset are controlled by the outputs ofthe product term array. The clock signal is created by t~e processing of external inputs and/or internal feedback by the lOgIC ofthe product term array, whichis then routed to the register clock. The register set and reset are similarly controlled by product ter.m outputs and can be triggered at any time indepen?ent of the regISter clock in response to external and/or feedback mputs process~d by the logic array. The proprietary CY7C.3~ 1 Asynchr?no~s RegI~ tered PLD, for which the I/O macrocellis Illustrated mFlgure 8, IS an example of such a device. The register clock, set, and reset functions of the CY7C331 are all controlled by product terms and are dependent only on input signal timing an? combi~atorial ~elay through the device logic array to enable theIr respective functions. Input Register Cell Other Cypress PLDs provide input re~ister cells to captur~ short duration inputs that would not otherwIse be present at the mputs long enough to allow the device to respond. The proprietary CY7C335 Reprogrammable Synchronous State Mac~ine pr0v.ides these input register cells (Figure 9).The clock for !he mPl!t regIster may be provided from one of two external clock mput pms selectable by a configuration bit, C4, dedicated for this purpose .for each input register. This choice of input register clock allows SIgnals to be captured and processed from two independent sy~tem sou~ces, each controlled by its own independent clock. These mput regIster cells are provided within I/O macrocells, as well as for dedicated input pins. 2-2 Introduction to Cypress PLDs CLOCK AR I DE 0 I ..L.L >- I- MACRO· CELL ~> ~ r-- 11 SP ~ INTRO-6 Figure 4. Programmable Macrocell co OUTPUT REG BYPASS MUX OUTPUT ENABLE t - - - - t - . ~O~U~T_P_U_T_E_N_A_B_LE_PR_O~D_U~C~T_T_E_R_M_ _ _ _ _ _ _O, MUX PIN 14: OE SET PRODUCT TERM . : SCLK1 SCLK2 RESET PRODUCT TERM TO ARRAY o FEED BACK MUX C1 ICLK1 INPUT REGISTER o C2 D o ICLK2 TO ARRAY INTRO-7 CX (11 -16) FROM ADJACENT MACROCELL Figure S. CY7C33S I/O Macrocell 2-3 liD Introduction to Cypress PLDs FROM LOGIC ARRAY FEEDBACK TO LOGIC ARRAY INPUT TO LOGIC ARRAY FEEDBACK TO LOGIC ARRAY FROM LOGIC ARRAY INTRO-8 Figure 6. CY7C335 I/O Macrocell Pair Shared Input MUX SET PRODUCT TERM S >-----1 D Q i SCLK1 SCLK2 RESET PRODUCT TERM INTRO-9 Figure 7. CY7C335 Hidden Macrocell 2-4 --- -'f~ Introduction to Cypress PLDs ; CYPRESS PIN 14 _ _ _---J OE MUX SET PRODUCT TERM S Q I--....- - - - . . . . J D OUTPUT REGISTER CLOCK PRODUCT TERM R RESET PRODUCT TERM __ ~~ r ________ ~FEEDBACK S MUX D Q INPUT REGISTER R INTRO-10 TO SHARED INPUT MUX Figure 8. CY7C331 Registered Asynchronous Macrocell 1 .---- INPUT REGISTER INPUT PIN --ICLK1 ICLK2 Q D 0 -.. .--INPUT REG BYPASS MUX 1 I- 2_ C7 0'----INPUT CLOCK I-MUX TO ARRAY 1 t> INTRO-11 C6 Figure 9. CY7C335 Input Macrocell Document #: 38-00165-B 2-5 J:"AL®~U ~erleS 16L8/16R8 16R6/16R4 4.5-ns, Industry-Standard PLDs Features Functional Description • Ultra high speed supports today's and tomorrow's fastest microprocessors -tPD = 4.5 ns -ts = 2.5 ns - fMAX = 142.9 MHz (external) • Popular industry standard architectures • Power-up RESET • High reliability - Proven Ti-W fuses - AC and DC tested at the factory Cypress PAL20 Series devices consist of the PAL16L8, PAL16R8, PAL16R6, and PAL16R4. Using BiCMOS process and Ti-W fuses, these devices implementthe familiar sum-of-products (AND-OR) logic structure. The PAL device is a programmable AND array driving a fixed OR array. The AND array is programmed to create custom product terms while the OR array sums selected terms at the outputs. • Security fuse The product selector guide details all the different options available. All the regis- tered devices feature power-up RESET. The register Q output is set to a logic LOW when power is applied to the devices. A security fuse is provided on all the devices to prevent copying of the device fuse pattern. Programming The PAL20 Series devices can be programmed using the Impulse programmer available from Cypress Semiconductor. See third party information in thirdparty tool section for further programmer information. Logic Symbols and DIP Pinouts 16R8 16R4 16R6 11 16L8 Vee Vee Vee Vee o o o o o o o o I/O I/O I/O o DE o o ~LLl~= I/O o I/O 0 "---'''~--'"''ll/O o o o o o I/O I/O I/O I/O I/O o 0 LLr'--LJ~= I/O Vss 20-2 20-3 20-4 20-Pin PLCC/LCC Pinouts o o o o o o 20-5 o o o o o o o o 1/0 I/O I/O I/O I/O I/O 20-6 20-7 20-8 28-Pin PLCC (-4 Speed Bin Only) Pinouts () ---y--I Vss m: 0 Vss 0 Vss o~o~o~o I I CP Vss CP Vss CP Vee 0 Vss 0 Vss OE Vee OE Vee I/O I/O 1/0 I/O Vss 0 Vss Vss 0 Vss Vss Vss 0000000 000 ~ ~ PAL is a registered trademark of Monolithic Memories Inc. 2-6 I Vee 0 Vss 0 Vss I/O I/O I/O I/O Vss Vss Vss Vss o~o~o~o ~ Vss 20-11 g~g~g~g > > > 20-12 --. PAL20 Series 16L8/16R8 16R6/16R4 ~.;:Z 'CYPRESS Function Selection Guide Device Dedicated Inputs Outputs Product Terms/Outputs Feedback PAL16L8 10 6 comb. 2 comb. 7 7 I/O Enable prog. prog. - PAL16R8 8 8 reg. 8 reg. pin PAL16R6 8 6 reg. 2 comb. 8 7 reg. I/O pin prog. PAL16R4 8 4 reg. 4 comb. 8 7 reg. I/O pin prog. Speed Selection Guide (Commercial -4/-S/-7, Military -7/-10) Speed Bin tpD (ns) ts (ns) teo (ns) fMAX (MHz) Iec (rnA) -4 4.S 2.5 4.S 142.9 180 -s S 2.S S 133.3 180 -7 7 3.S 6 lOS.3 180 -10 10 4.5 7 87.0 180 Maximum Ratings (Above which the useful life may be impaired. For user guidelines, not tested.) Storage Temperature ................... -6SoC to + IS0°C Ambient Temperature with Power Applied ........................ -SsoC to + 12SoC Supply Voltage to Ground Potential ......... -O.SV to + 7.OV DC Voltage Applied to Outputs in High Z State ..................... -O.SV to Vee + O.SV DC Input Voltage ................... -1.2V to Vee + O.SV DC Input Current (except during programming) ... . . . . . . .. - 30 rnA to + S rnA Operating Range Ambient Temperature Vee O°C to +70°C SV±S% -SSOC to + 12SoC SV ±10% Range Commercial Military[l] DC Electrical Characteristics Over the Operating Range Parameter VOH VOL Description Output HIGH Voltage Output LOW Voltage Min. Test Conditions Vee = Min., VIN = Vm or VIL IOH = -3.2 rnA Commercial IOH= -2mA Military Vee = Min., VIN = Vm or VIL IOL = 24 rnA Commercial IOL = 12 rnA Military Max. Unit V 2A O.S V Vm Input HIGH Voltage Guaranteed Input Logical HIGH Voltage for All Inputs[2] VIL Input LOW Voltage Guaranteed Input Logical LOW Voltage for All Inputs[2] IIX Input Leakage Current OAV ~ VIN ~ 2.7Y, Vee = MaxJ3] II Maximum Input Current VIN Ioz Output Leakage Current Vee = Max., Vss ~ VOUT ~ Vee[3] -100 +100 IlA Ise Output Short Circuit Current Vee = Max., VOUT = 0.SV[4] -30 -130 rnA Icc Power Supply Current Vee = Max., VIN = GND, Outputs Open 180 rnA = S.SY, Vee = Notes: L TA is the "instant on" case temperature. 2. These are absolute values with respect to device ground. All overshoots due to system or tester noise are included. 3. I/O pin leakage is the worse case of IlL and IOZL (or IIH and IOZH). 2.0 -2S0 Max. 4. 2-7 V 0.8 V SO IlA 1 rnA Not more than one output should be tested at a time. Duration of the short circuit should not be more than one second. VOUT = O.5V has been chosen to avoid test problems caused by tester ground degradation. PAL20 Series 16L8/16R8 16R6/16R4 Capacitance[5] Parameter Description qN Input Capacitance I CPo OE I 11 - Is Test Conditions 1Ypical TA = 25°C, f = 1 MHz, VIN = 0, Vee = 5.0V 8 pF 5 pF 8 pF Output Capacitance COUT Unit AC Test Loads and Waveforms 5V ls1 f.r. 1 OUTPUT J _ R2 - TEST POINT CL - Commercial Specification Sl tpD, teo Closed tpzx, tEA Z.H: Open Z. L: Closed tpxz, tER H. Z: Open L. Z: Closed Military CL Rl R2 Rl R2 Measured Output Value 50pF 200Q 390Q 390Q 750Q 1.5V 1.5V 5pF H • Z: VOH - 0.5V L. Z: VOL + 0.5V Switching Characteristics Over the Operating Range[6] -s -4 -7 -10 Description Min. Max. Min. Max. tpD Input or Feedback to Non-Registered Output 16L8, 16R6,16R4 1 4.5 1 5 2 7 2 10 ns ns Parameter Min. Max. Min. Max. Unit tEA Input to Output Enable 16L8, 16R6, 16R4 2 6.5 2 6.5 2 7 2 10 tER Input to Output Disable Delay 16L8, 16R6, 16R4 2 5.5 2 5.5 2 7 2 10 ns tpzx Pin 11 to Output Enable 16R8, 16R6, 16R4 1 6 1 6 2 7 2 10 ns tpxz Pin 11 to Output Disable 16R8, 16R6, 16R4 1 5 1 5 2 7 2 10 ns teo Clock to Output 16R8, 16R6, 16R4 1 4.5 1 5 2 6 2 7 ns tSKEWR Skew Between Registered Outputs 16R8, 16R6, 16R4[5] 1 ns 0.75 1 1 ts Input or Feedback Set-Up Time 16R8, 16R6, 16R4 2.5 2.5 3.5 4.5 ns tH tp Hold Time 16R8, 16R6, 16R4 0 0 0 0 ns Clock Period (teo + ts) 7 7.5 9.5 11.5 ns tw Clock Width 3 3 3.5 5 fMAX Maximum Frequency I External Feedback (l/tp )[7] I Internal Feedback[5, 8] Notes: 5. Tested initially and after any design or process changes that may affect these parameters. 6. See the last page of this specification for Group A subgroup testing information. 7. This specification indicates the guaranteed maximum frequency at which a state machine configuration with external feedback can operate. 8. 2-8 ns 142.9 133.3 105.3 87 175 175 150 133 MHz This specification indicates the guaranteed maximum frequency at which a state machine configuration with internal-only feedback can operate. PAL20 Series 16L8/16R8 16R6/16R4 -'i~ · 'CYPRESS Switching Waveforms[9] INPUTS I/O, ........................REGISTERED FEEDBACK ~'-""-"'-¥ CP REGISTERED -----"""!"'"~:-7!"_ OUTPUTS II ----------~~~ COMBINATORIAL OUTPUTS ----------------------~~~~ REGISTERED OUTPUT 1 _ t_SKEW~R __ __ REGISTERED OUTPUT 2 20-13 Note: 9. Input rise and fall time is 2-ns typical. Power-Up Reset The power-up reset feature ensures that all flip-flops will be reset to LOW after the device has been powered up. The output state will be HIGH due to the inverting output buffer. This feature is valuable in simplifying state machine initialization. A timing diagram and parameter table are shown below. Due to the synchronous operation of the power-up reset and the wide range of ways Parameter Symbol Vee can rise to its steady state, two conditions are required to ensure a valid power-up reset. These conditions are: 1. The Vee must be monotonic. 2. Following reset, the clock input must not be driven from LOW to HIGH until all applicable input and feedback set-up times are met. Parameter Description tpR Power-Up Reset Time ts Input or Feedback Set-Up Time tWL Clock Width LOW Max. 1000 I I Unit ns See Switching Characteristics Power-Up Reset Waveform POWER ~~------------------------------------------------------Vcc _______________4_V~,~~_______________ tpR ______________ ~.I REGISTERED ACTIVE LOW OUTPUT CLOCK 20-14 2-9 =:;;p::- PAL20 Series 16L8/16R8 16R6/16R4 ~ 7CYPRESS 16L8 Logic Diagram 20-Pin DIP/PLCC/LCC (28-Pin PLCC) Pinouts 10 @] 1 0 (24) 3 4 7 8 11 12 15 16 19 20 23 24 27 28 31 0 rJ- · · I- 0----Ci::: (25) 2 512 ,......, ·· I-- 736 4 --< (27) 768 ·· · .....992 5 2 (28) 1024 ~~ ~248 6 2 (2) 1280 ~~ ~504 7 2 (3) 1536 · ·· ,....... ~760 (4) 2 ... 1792 ~O16 9 <>. A ~ 1/°6 16 1/0 5 (16) 15 (14) 14 1/03 (12) 13 (10) 12 (8) 11 19 (7) (5) Vss iJ rJ. · ·· Is 17 (18) ... ··· 8 iJ iJ iJ 18 (20) , ·· · 16 Os <>.1--- .....480 (26) 19 (22) 256 ·· · 3 Vee (1,23 3 4 7 8 11 12 15 16 19 20 23 24 27 28 31 20-15 (6,9,11,13,15,17,19,21) 2-10 PAL20 Series 16L8/16R8 16R6/16R4 -.,~ 7 CYPRESS 16R8 Logic Diagram 20-Pin DIP/PLCC/LCC (28-Pin PLCC) Pinouts elK 1 0 (24) 3 4 7 8 11 12 15 16 19 20 23 24 27 28 §] Vee 31 (1,23 0 ~ (25) ....., ~ ~ ·· · ~ 512 A "i. ·· · ~ ...<' (27) 768 ..... ·· ~ (16) .....992 5 .... ... .? ~ (28) 1024 ··· l.-/ (14) r!..248 6 .A .... ..... ~ ~ (2) 1280 ··· ~~ l.-/ ., 1504 16 7 L (3) 1536 ~~ l.-/ ~ (4) A "i. £ 1792 · ·· 9 A ~ "i. ~ (8) ---I 19 18 (20) ~~ Q 736 4 ..< (27) 768 ~92 r (28) ..... .2 '" · 6 U .A " .2 < .... r (2) L,.../' ~04 7 r .L ').. 1536 · ~60 8 (4) .;? '""'"'"' 016 9 ..< (5) Vss ~ ~ ~ ~J ~J 05 (16) (14) (12) 13 (10) '" 1792 ·· · 18 ~ ~ 1280 ·· · (3) ~ ~ 1024 1248 15 (18) ~ · 5 1/08 (22) 34 7 8 11 12 15 16 19 20 23 24 27 28 12 (8) - 1500V input protection from electrostatic discharge -100% AC and DC tested -10% power supply tolerances - High noise immunity - Security feature prevents pattern duplication -100% programming and functional testing = 70mA • Commercial and military temperature range Cypress PALC20 Series devices are highspeed electrically programmable and UVerasable logic devices produced in a proprictary N-well CMOS EPROM process. These devices utilize a sum-of-products (AND-OR) structure providing users with the ability to program custom logic functions serving unique requirements. Logic Symbols and DIP and SOJ Pinouts 16R8 16L8 16R4 16R6 vee Vee Vee Vee 0 I/O 0 0 0 0 0 0 0 0 0 I/O I/O 0 0 0 0 0 I/O I/O I/O OE OE OE vss I/O I/O I/O I/O I/O I/O 0 0 0 0 0 C20-4 C20-3 C20·2 LCC Pinouts 8 80 0.. __ 0::> 0 0.. __ 0::> 0.. 80 0 _ __ .J;?o _-0::>:::::. ~ 32,1,2019 18 17 16 15 14 910111213 a a a a a 32,1,2019 18 17 16 15 14 910111213 a a a - ~I~-- C20·5 - ~I~go C20-6 3 2/,2019 18 0 17 16 15 14 910111213 0 - ~I~gg PAL is a registered trademark of Advanced Micro Devices. 2-16 I/O a a a a C20-7 I I/O I/O I/O I/O I/O 4 5 6 7 8 -~ -og C20-8 -.,~ PALC20 Series 'CYPRESS EPROM technology is the basis for a superior product with inherent advantages in reliability, testability, programming, and functional yield. EPROM technology has the inherent advantage that all programmable elements may be programmed, tested, and erased during the manufacturing process. This also allows the device to be 100% functionally tested during manufacturing. An ability to preload the registers of registered devices during the testing operation makes the testing easier and more efficient. Combining these inherent and designed-in features provides an extremely high degree of functionality, programmability and assured AC performance, and testing becomes an easy task. The register preload allows the user to initialize the registered devices to a known state prior to testing the device, significantly simplifying and shortening the testing procedure. Functional Description (continued) All combinatorial outputs on the 16R6 and 16R4 as well as 6 of the combinatorial outputs on the 16L8 may be used as optional inputs. All registered outputs have the Q bar side of the register fed back into the main array. The registers are automatically initialized upon power-up to Q output LOW and Q output HIGH. All unused inputs should be tied to ground. All PALC devices feature a security function that provides the user with protection for the implementation of proprietary logic. When invoked, the contents of the normal array may no longer be accessed in the verify mode. Because EPROM technology is used as a storage mechanism, the content of the array is not visible under a microscope. Cypress PALC products are produced in an advanced 1.2-micron N-well CMOS EPROM technology. The use of this proven II Commercial and Industrial Selection Guide Generic Part Number 16L8 16R8 16R6 16R4 tpD (ns) Icc (rnA) Logic Output Enable Outputs (8) 7-wide Programmable ~6~ Bidirectional AND-OR-Invert 2 Dedicated (8) 8-wide AND-OR Dedicated Registered Inverting (6) 8-wideAND-OR Dedicated Registered Inverting (2) 7-wide Programmable Bidirectional AND-OR-Invert (4) 8-wideAND-OR Dedicated Registered Inverting (4) 7-wide AND-OR-Invert L Com'I/Ind -25 -35 45 70 25 45 70 45 70 45 70 ts (ns) -25 -35 teo (ns) -25 -35 35 - - - - - - 20 30 15 25 25 35 20 30 15 25 25 35 20 30 15 25 -40 -20 Programmable Bidirectional Military Selection Guide Generic Part Number 16L8 16R8 16R6 16R4 tpD (ns) Logic Output Enable Icc Outputs (8) 7-wide Programmable ~ 6~ Bidirectional AND-OR-Invert 2 Dedicated (8) 8-wide Dedicated Registered AND-OR Inverting (6) 8-wide Dedicated Registered Inverting AND-OR (2) 7-wide Programmable Bidirectional AND-OR-Invert (4) 8-wide Dedicated Registered AND-OR Inverting (4)7-wide Programmable Bidirectional AND-OR-Invert ts (ns) teo (ns) (rnA) -20 -30 -40 70 20 30 40 - - - - - - 70 - - - 20 25 35 15 20 25 70 20 30 40 20 25 35 15 20 25 70 20 30 40 20 25 35 15 20 25 2-17 -20 -30 -30 -40 ~ =: PALC20 Series rCYPRESS Maximum Ratings (Above which the useful life may be impaired. For user guidelines, not tested.) Storage Temperature .................. -65°C to + 150°C Ambient Temperature with Power Applied ....................... - 55 ° C to + 125 ° C Supply Volt~ge to Ground Potential (Pm 20 to Pm 10) ....................... -O.5V to +7.0V DC Voltage Applied to Outputs in High Z State ......................... -O.5V to +7.0V DC Input Voltage ....................... -3.0V to +7.0V Output Current into Outputs (LOW) .............. 24 mA DC Programming Voltage ......................... 14.0V UV Exposure ........................... 7258 Wsec/cm 2 Static Discharge Voltage ........................ > 1500V (per MIL-STD-883, Method 3015) Latch-Up Current ........................... >200 rnA Operating Range Ambient Temperature Range Commercial Military!l] O°C to +75°C Vee 5V ±10% -55°C to +125°C 5V ±10% Industrial -40°C to +85°C Electrical Characteristics Over the Operating Range (unless otherwise noted)!2] Parameter VOH VOL Description Output HIGH Voltage Output LOW Voltage Min. Test Conditions Vee = Min., VIN = VIH or VIL IOH = -3.2 rnA Com'l/Ind IOH= -2mA Military Vee = Min., VIN = VIH or VIL IOL = 24 rnA Com'l/Ind IOL = 12 rnA Military Input HIGH Level Guaranteed Input Logical HIGH!3] Voltage for All Inputs VIL Input LOW Level Guaranteed Input Logical LOW!3] Voltage for All Inputs IIX Input Leakage Current Vss ~ VIN ~ Vee -10 Vpp Programming Voltage Ipp = 50 rnA Max. 13.0 Ise Output Short Circuit Current Vee = Max., VOUT = 0.5V[4] Icc Power Supply Current All Inputs = GND, Vee = Max., lOUT = 0 mA!S] 2.0 Vee = Max., Vss ~ VOUT ~ Vee V V 0.8 V 10 f,lA 14.0 V -300 rnA "~' 45 mA Com'l/Ind 70 rnA 70 mA 100 f,lA Military Output Leakage Current Unit V 0.4 VIH loz Max. 2.4 -100 Notes: 1. 2. 3. TA is the "instant on" case temperature. See the last page of this specification for Group A subgroup testing information. These are absolute values with respect to device ground. All overshoots due to system or tester noise are included. 4. 5. 2-18 Not more than one output should be tested at a time. Duration of the short circuit should not be more than one second. VOUT = O.5V has been chosen to avoid test problems caused by tester ground degradation. ICC(AC) = (0.6 rnNMHz) X (Operating Frequency in MHz) + ICC(DC)- ICC(DC) is measured with an unprogrammed device. -'i~ PALC20 Series 'CYPRESS Electrical Characteristics Over the Operating Range (Unless Otherwise Noted)[2] (continued) Parameter Vx tpxz (-) 1.5V tpxz (+) 2.6V Output Waveform-Measurement Level VOH 0.5V tpzx (+) Vthc tpzx (-) Vthc tER (-) 1.5V O.5V VOL 0.5V Vx Vx 0.5V VOH 0.5V tER (+) 2.6V tEA (+) Vthc tEA (-) I ~ t I I t 0.5V Vx Vx ~ 0.5V VOL Vthc ~ 0.5V ~ ~ ~ ~ ~ ~ ~ ~ VX C20-9 VX C20-10 VOH C20-11 VOL C20-12 VX C20-13 VX C20-14 VOH C20-15 VOL C20-16 Capacitance[6] Parameter CIN Description Input Capacitance COUT Output Capacitance Test Conditions Max. TA = 25°C, f = 1 MHz 10 pF VIN = 0, Vee = 5.0V 10 pF Unit Switching Characteristics Over Operating Rangd 2, 7, 8] Commercial/Industrial -25 Parameter Description Min. Military -35 Min. Max. Min. -30 Max. Unit Input or Feedback to Non-Registered Output 16L8, 16R6, 16R4 25 35 20 30 40 ns tEA Input to Output Enable 16L8, 16R6, 16R4 25 35 20 30 40 ns tER Input to Output Disable Delay 16L8, 16R6,16R4 25 35 20 30 40 ns tpzx Pin 11 to Output Enable 16R8, 16R6, 16R4 20 25 20 25 25 ns tpxz Pin 11 to Output Disable 16R8, 16R6, 16R4 20 25 20 25 25 ns 25 ns Clock to Output 16R8, 16R6, 16R4 Input or Feedback Set-Up Time 16R8, 16R6, 16R4 15 25 20 30 Max. Min. -40 tpD teo ts Max. -20 15 20 20 25 35 ns 0 60 ns tH tp Hold Time 16R8, 16R6, 16R4 0 0 0 0 Clock Period 35 55 35 45 tw fMAX Clock Width 15 Maximum Frequency 20 28.5 Notes: 6. Tested initially and after any design or process changes that may affect these parameters. 7 _ Part (a) (part (c) for military) of AC Test Loads and Waveforms is used for all parameters except tEA, tER, tpzx and tpxz. Part (b) (part (d) for military) of AC Test Loads and Waveforms is used for tEA, tER, tpzx and tpxz- 12 18 8. 2-19 Max. Min. 20 28.5 ns 25 22 ns 16.5 MHz The parameters tER and tpxz are measured as the delay from the input disable logic threshold transition to VOH - O.SV for an enabled HIGH output or VOL + O.5V for an enabled LOW output. Please see Electrical Characteristics for waveforms and measurement reference levels. PALC20 Series AC Test Loads and Waveforms SV T-}R117SQ SV T-}R117SQ OUTPUT so pF I = R2133Q I S pF = R2133Q = ~R1337Q ~ C20-18 C20·17 Equivalent to: THEVENIN EQUIVALENT MILITARY OUTPUT J o------vvv----o 2.16V = Vthc SV T-}R1337Q OUTPUT so pF 7SQ OUTPUT (b) Commercial (a) Commercial SV Equivalent to: THEVENIN EQUIVALENT COMMERCIAL OUTPUT R2247Q I -= S pF R2247Q -= (c) Military 143Q OUTPUT -= o------vvv----o 2.11 V = Vthm C20·19 (d) Military C20·20 3.0V - - - ~-----s.. GND C20·21 (e) Switching Waveforms INPUTS I/O, _ _"'""''tI. REGISTERED FEEDBACK ~"-¥...l.-'..~ CP REGISTERED OUTPUTS _ _ _ _ _-""'___ tEA COMBINATORIAL OUTPUTS _____________ ~~~ C20·22 Erasure Characteristics Wavelengths of light less than 4000 Angstroms begin to erase the PALC device. In addition, high ambient light levels can create hole-electron pairs that may cause "blank" check failures or "verify errors" when programming windowed parts. This p~e nomenon can be avoided by using an opaque label over the WIndow during programming in high ambient light environments. The recommended dose for erasure is ultraviolet light with a wavelength of 2537 Angstroms for a minimum dose (UV inte?sity multiplied by exposure time) of 25 Wsec/cm2 . For an ultravIolet lamp with a 12 mW/cm 2 power rating, the exposure would be approximately 35 minutes. The PALC device needs to be placed within 1 inch of the lamp during erasure. Permanent damage may result if the device is exposed to high-intensity UV light for an extended period of time. 7258 Wsec/cm 2 is the recommended maximum dosage. 2-20 ---:z PALC20 Series 1 CYPRESS Logic Diagram PALC16L8 INPUTS (0 - 31) 1 0 3 4 7 8 11 12 15 16 19 20 23 24 27 28 31 ..... 0 l:M ~rJ ·· · ~ ~ ~ 0---Ci= .A L.... ..... 8 --..:"1--..... ~ ~ ~ ~ ~ ·· · 19 18 .....a.J- 15 .A 3 .. < ..... .2 16 ·· · ...r--' 23 4 24 · ·· 31 5 .2 · ·· 39 .2 ·· · .£... 48 ·· · 14 rJ- 13 ~ 12 ;J 55 ~ 56 ·· · .... 63 9 15 :rJ- ~~ 47 8 16 ..... 40 7 .... ..... 32 6 .A ~ --<' 17 <:: -v-.... ~ 3 4 7 8 11 12 15 16 19 20 23 24 27 28 11 31 C20-23 2-21 LZ#~ PALC20 Series .'CYPRESS Logic Diagram PALC16R4 INPUTS (0 - 31) 1 0 3 4 7 8 11 12 15 16 19 20 23 24 27 28 31 . ~ .- 0 · ·· l- .... ~ . .- 8 t- ·· · lI- b---- 15 3 L ·· · '~ 23 I """'I ..- - .... - L .A ....- 39 L- ~ '"""1 A -2 - 40 · ·· ~ "'V"oo.I ...? ~ ~ -:=r ~~ .A ~ -v "'I - - ~- 48 ~ ~ ~ ~ 47 J--, ·· · ..- 13 ........ 55 --.:;: L .... 56 ·· · 63 9 -.::: "'V"oo.I ·· · 8 - ./ 1-"""" 32 7 ~~ )--0, 24 31 6 , ~ ·· · 5 ~J 18 """'I 16 4 19 -cJ II- A -v...., ~* -H --.:;: ~ A "'..... 3 4 7 8 11 12 15 16 19 20 23 24 27 28 12 11 31 C20-24 2-22 PALC20 Series Logic Diagram PALC16R6 INPUTS (0 - 31) 1 0 3 4 7 8 11 12 15 16 19 20 23 24 27 28 31 ~~ 0 · "V ....... 8 I-- ·· ~ L.... 1--1 ~ .A'. """-I · I-- .... 23 ~ ~ ~ ~ 24 .... I-II-- · ~ ~ L....i--I 31 A 5 <: -v ..... ~ ~ ·· ~ I-- ~~ i--I 39 .A <:: ~ -)0--, ""'- ~ 40 ··· ,.... ~ i--I A """<;:. ~ "V ..... ~ ~ ~ ~ ~ ~ )- ~~ ·· I-~'f..-/ ~ """"' 55 £ .... 56 ~ A ..... 1=~ ·· · 1--' "_ 12 t-+-' ,...... ~ 63 9 ~ ~ ....J---< 48 8 "'" I-I--L / 47 7 ~ ~ -)0--, 32 6 ~ II-- 16 4 ~ I- 15 3 :J ~~ 0--CC 19 ~ ~ 11 ·v ..... 3 4 7 8 11 12 15 16 19 20 23 24 27 28 31 C20·25 2-23 E =====;~ PALC20 Series 'CYPRESS Logic Diagram PALC16R8 INPUTS (0 - 31) 3 4 7 8 11 12 15 16 19 20 23 24 27 28 31 3 4 7 8 11 12 15 16 19 20 23 24 27 28 31 4 &l I e. C/) :2 ffif- 5 f- U ::::> 0 0 [ 6 2-24 C20-26 · -.;~ PALC20 Series 'CYPRESS 'JYpical DC and AC Characteristics NORMALIZED SUPPLY CURRENT vs. AMBIENT TEMPERATURE 1.6 r - - - - - , - - - - - - - - - , NORMALIZED SUPPLY CURRENT vs. SUPPLY VOLTAGE 1.4 .-------,----,----.-----., ~ 1.2~--+--~--~---1 o « :2 1.01----+----JiL--+---1 a::: oz TA = 25°C f = fMAX - 1.41-~---+-------1 1.21---~--+-------I N :J « ~ O.BI---7I~--t- oz 1.01----~------1 O.BI-----+---~o;;;:---1 w ~ 1.1 ~----I---___F------l « ~ a: 15 Ul 5: ; UJ 0 ~-----:#----------l AMBIENT TEMPERATURE (0C) I------+--___F--_I :2 z 5 L V V 200 400 v O.B 4.0 1.01------#-'------_1 0.9 '---_ _ _.....J...._ _ _ _ _--1 - 55 25 125 AMBIENT TEMPERATURE (OC) " '" ~5 ~O ~ 5~ "ao SUPPLY VOLTAGE (V) / ./ ~ 1.1 o w N :J 1.0 « :2 "" ~ a::: o Z 600 :~ 0.9 O.B 4.0 BOO 1000 4.5 5.0 ~ 5.5 " 6.0 SUPPLY VOLTAGE (V) NORMALIZED CLOCK-TO-OUTPUT TIME vs. TEMPERATURE 1.1 .------.-----,--....-----, a a o o UJ u u ~ 1.01----+--...3\oc--+--_I N :J :2 « oz o ~ a::: a::: o 0.9 NORMALIZED SET-UP TIME vs. SUPPLY VOLTAGE UJ N UJ ~ 1.1 z NORMALIZED CLOCK-TO-OUTPUT TIME vs. SUPPLY VOLTAGE 1.3 . - - - - - - - , - - - - - - - - , « a: 0 CAPACITANCE (pF) NORMALIZED SET-UP TIME vs. TEMPERATURE o 10 o o 0.9 '---_ _ _.....L.._ _ _ _ _---I - 55 25 125 I-----+-----J~ "" 1.2 E. 1.2 ~ 20 o ~ « DELTA PROPAGATION TIME vs. OUTPUT LOADING 1.3 1.0 N AMBIENT TEMPERATURE (0C) NORMALIZED PROPAGATION DELAY vs. TEMPERATURE 1.2 i - - - - - - f - - - - - - J ' - l 1.1 :J 1.0 SUPPLY VOLTAGE (V) oz ~ 0 w 0.6'--_ _ _-'-_ _ _ _ _--' -55 25 125 0.6'--_-'-_ _---'-_ _-'--_--' ~o 4~ 5.0 5~ ao 5: 1.2 ..5:? oW UJ N :J u NORMALIZED PROPAGATION DELAY vs. SUPPLY VOLTAGE 1.11-----+--~----1 Z 0.9'---_--'-_ _---'--_ _-'--_--' 4.0 4.5 5.0 5.5 6.0 SUPPLY VOLTAGE (V) 2-25 25 125 AMBIENT TEMPERATURE (0C) · -.,~ PALC20 Series 'CYPRESS Typical DC and AC Characteristics (continued) DELTA CLOCK-TO-OUTPUT TIME vs. OUTPUT LOADING 20.0 15.0 Ul .s o ~ 10.0 ~ w Cl 5.0 0.0 V o V 200 /' ..- V - « 200 OUTPUT SINK CURRENT vs. OUTPUT VOLTAGE .s 175 rE 150 if 125 ~ z 100 ::> U5 ir 50 25 400 600 800 1000 20 25 - - (ns) - - 35 - - - - 0.0 - - 100 t) 80 \. w '\.. '~ t) J 1.0 if 120 w ::> ~ 60 (f) 40 o L o 1/ 140 2.0 Vee = 5.0V TA = 25°C I- I ::> 3.0 - ::> ::= o 20 0 4.0 0.0 OUTPUT VOLTAGE (V) Package Name "-~ 1.0 2.0 Package 1:ype 70 PALC16L8-20DMB D6 20-Lead (300-Mil) CerDlP PALC16L8-20LMB L61 20-Pin Square Leadless Chip Carrier PALC16L8-200MB 061 20-Pin Windowed Square Leadless Chip Carrier PALC16L8- 20WMB W6 20-Lead (300-Mil) Windowed CerDlP PALC16L8L- 25PC P5 20-Lead (300-Mil) Molded DIP PALC16L8L- 25VC V5 20-Lead (300-Mil) Molded SO] PALC16L8L- 25WC W6 20-Lead (300-Mil) Windowed CerDlP PALC16L8-25PC/PI P5 20-Lead (300-Mil) Molded DIP PALC16L8-25VC V5 20-Lead (300-Mil) Molded SO] PALC16L8-25WC W6 20-Lead (300-Mil) Windowed CerDIP PALC16L8-30DMB D6 20-Lead (300-Mil) CerDlP PALC16L8- 30LMB L61 20-Pin Square Leadless Chip Carrier PALC16L8- 300MB 061 20-Pin Windowed Square Leadless Chip Carrier PALC16L8- 30WMB W6 20-Lead (300-Mil) Windowed CerDlP PALC16L8L-35PC P5 20-Lead (300-Mil) Molded DIP PALC16L8L- 35VC V5 20-Lead (300-Mil) Molded SO] PALC16L8L- 35WC W6 20-Lead (300-Mil) Windowed CerDlP PALC16L8- 35PC/PI P5 20-Lead (300-Mil) Molded DIP PALC16L8-35VC V5 20-Lead (300-Mil) Molded SO] PALC16L8- 35WC W6 20-Lead (300-Mil) Windowed CerDIP PALC16L8-40DMB D6 20-Lead (300-Mil) CerDIP PALC16L8-40LMB L61 20-Pin Square Leadless Chip Carrier PALC16L8-400MB 061 20-Pin Windowed Square Leadless Chip Carrier PALC16L8-40WMB W6 20-Lead (300-Mil) Windowed CerDIP 45 70 45 70 2-26 " '"""'" 3.0 4.0 OUTPUT VOLTAGE (V) Ordering Code 70 40 / 1!z (rnA) 70 30 ---- / 75 g I- Ordering Information tpD ts teo Icc (ns) / I- CAPACITANCE (pF) (ns) /" l- OUTPUT SOURCE CURRENT vs. VOLTAGE ~ Operating Range Military Commercial Military Commercial Military - -,,~ PALC20 Series 'CYPRESS Ordering Information (continued) tpD ts teo Icc Package Name Package 1Ype (ns) (ns) (ns) (rnA) Ordering Code 20 20 15 70 PALCI6R4-20DMB D6 20-Lead (300-Mil) CerDIP PALCI6R4- 20LMB L61 20-Pin Square Leadless Chip Carrier PALCI6R4-200MB 061 20-Pin WindowedSquareLeadless Chip Carrier PALCI6R4-20WMB W6 20-Lead (300-Mil) Windowed CerDIP PALCI6R4L- 25PC P5 20-Lead (300-Mil) Molded DIP PALCI6R4L-25VC V5 20-Lead (300-Mil) Molded SO] PALCI6R4L- 25WC W6 20-Lead (300-Mil) Windowed CerDIP PALC16R4 - 25PCIPI P5 20-Lead (300-Mil) Molded DIP PALCI6R4-25VC V5 20-Lead (300-Mil) Molded SO] PALCI6R4- 25WC W6 20-Lead (300-Mil) Windowed CerDIP PALCI6R4-30DMB D6 20-Lead (300-Mil) CerDIP 25 20 15 45 70 30 35 25 30 20 25 70 45 70 40 35 25 70 PALCI6R4-30LMB L61 20-Pin Square Leadless Chip Carrier PALCI6R4-300MB 061 20-Pin WindowedSquareLeadlessChip Carrier PALCI6R4-30WMB W6 20-Lead (300-Mil) Windowed CerDIP PALCI6R4L-35PC P5 20-Lead (300-Mil) Molded DIP PALCI6R4L- 35VC V5 20-Lead (300-Mil) Molded SO] PALCI6R4L- 35WC W6 20-Lead (300-Mil) Windowed CerDIP PALCI6R4- 35PCIPI P5 20-Lead (300-Mil) Molded DIP PALCI6R4- 35VC V5 20-Lead (300-Mil) Molded SO] PALCI6R4- 35WC W6 20-Lead (300-Mil) Windowed CerDIP PALCI6R4-40DMB D6 20-Lead (300-Mil) CerDIP PALC16R4-40LMB L61 20-Pin Square Leadless Chip Carrier PALC16R4-400MB 061 20-Pin Windowed SquareLeadless Chip Carrier PALC16R4-40WMB W6 20-Lead (300-Mil) Windowed CerDIP 2-27 Operating Range Military Commercial Military Commercial Military II PALC20 Series Ordering Information (continued) tpD (os) (ns) (ns) (rnA) 20 20 15 70 25 ts 20 teo 15 Icc 45 70 30 35 25 30 20 25 70 45 70 40 35 25 70 Ordering Code Package Name Package Type PALC16R6- 20DMB D6 20-Lead (300-Mil) CerDIP PALC16R6-20LMB L61 20-Pin Square Leadless Chip Carrier PALC16R6- 200MB 061 20-Pin WindowedSquare Leadless Chip Carrier PALC16R6-20WMB W6 20-Lead (300-Mil) Windowed CerDIP PALC16R6L- 25PC P5 20-Lead (300-Mil) Molded DIP PALC16R6L- 25VC V5 20-Lead (300-Mil) Molded SO] PALC16R6L- 25WC W6 20-Lead (300-Mil) Windowed CerDIP PALC16R6- 25PCIPI P5 20-Lead (300-Mil) Molded DIP PALC16R6-25VC V5 20-Lead (300-Mil) Molded SO] PALC16R6-25WC W6 20-Lead (300-Mil) Windowed CerDIP PALC16R6-30DMB D6 20-Lead (300-Mil) CerDIP PALC16R6-30LMB L61 20-Pin Square Leadless Chip Carrier PALC16R6-300MB 061 20-Pin WindowedSquareLeadlessChipCarrier PALC16R6-30WMB W6 20-Lead (300-Mil) Windowed CerDlP PALC16R6L- 35PC P5 20-Lead (300-Mil) Molded DIP PALC16R6L-35VC V5 20-Lead (300-Mil) Molded SO] PALC16R6L- 35WC W6 20-Lead (300-Mil) Windowed CerDIP PALC16R6-35PCIPI P5 20-Lead (300-Mil) Molded DIP PALC16R6- 35VC V5 . 20-Lead (300-Mil) Molded SO] PALC16R6-35WC W6 20-Lead (300-Mil) Windowed CerDIP PALC16R6-40DMB D6 20-Lead (300-Mil) CerDIP PALC16R6-40LMB L61 20-Pin Square Leadless Chip Carrier PALC16R6-400MB 061 20-Pin WindowedSquareLeadlessChip Carrier PALC16R6-40WMB W6 20-Lead (300-Mil) Windowed CerDIP 2-28 Operating Range Military Commercial Military Commercial Military · -.;~ PALC20 Series 'CYPRESS Ordering Information (continued) tpD (ns) ts (ns) tco (ns) (rnA) 20 15 70 - - 20 15 45 70 - 25 30 - 20 25 70 45 70 - 35 25 PALCI6R8-20DMB D6 Package lYPe 20-Lead (300-Mil) CerDIP PALCI6R8- 20LMB L61 20-Pin Square Leadless Chip Carrier PALC16R8-200MB 061 20-Pin WindowedSquareLeadless Chip Carrier PALCI6R8-20WMB W6 20-Lead (300-Mil) Windowed CerDIP PALC16R8L- 25PC P5 20-Lead (300-Mil) Molded DIP PALC16R8L- 25WC W6 20-Lead (300-Mil) Windowed CerDIP Icc 70 Ordering Code Package Name PALC16R8-25PCIPI P5 20-Lead (300-Mil) Molded DIP PALC16R8-25WC W6 20-Lead (300-Mil) Windowed CerDIP PALC16R8-30DMB D6 20-Lead (300-Mil) CerDIP PALC16R8-30LMB L61 20-Pin Square Leadless Chip Carrier PALC16R8-300MB 061 20-Pin Windowed Square Leadless Chip Carrier PALC16R8-30WMB W6 20-Lead (300-Mil) Windowed CerDIP PALC16R8L- 35PC P5 20-Lead (300-Mil) Molded DIP PALC16R8L-35WC W6 20-Lead (300-Mil) Windowed CerDIP PALC16R8-35PCIPI P5 20-Lead (300-Mil) Molded DIP PALC16R8-35WC/WC W6 20-Lead (300-Mil) Windowed CerDIP PALC16R8-40DMB D6 20-Lead (300-Mil) CerDIP PALC16R8-40LMB L61 20-Pin Square Leadless Chip Carrier PALC16R8-400MB 061 20-Pin WindowedSquareLeadless Chip Carrier PALC16R8-40WMB W6 20-Lead (300-Mil) Windowed CerDIP MILITARY SPECIFICATIONS Group A Subgroup Testing DC Characteristics Switching Characteristics Parameter Subgroups Parameter Subgroups VOH VOL VIR 1,2,3 1,2,3 1,2,3 1,2,3 1,2,3 1,2,3 1,2,3 1,2,3 tpD 9,10,11 9,10,11 9,10,11 9,10,11 9,10,11 VIL IIX Vpp Icc Ioz tpzx teo ts tH Document #: 38-00001-F 2-29 Operating Range Military Commercial Military Commercial Military II PRELIMINARY PALCEl6V8 Flash Erasable, Reprogrammable CMOS PAL ® Device Features • Up to 16 input terms and 8 outputs Functional Description • Advanced second-generation PAL architecture • Lowpower - 90 rnA max. commercial (10, 15, 25 ns) -115 rnA max. commercial (7 ns) - 130 rnA max. military/industrial (10, 15,25 ns) • DIP, LCC, and PLCC available -7.5, 10, 15, and 25 ns com'l version 5 ns teo 5 ns ts 7.5 ns tpD 125-MHz state machine The Cypress PALCE16V8 is a CMOS Flash Electrical Erasable second-generation programmable array logic device. It is implemented with the familiar sum-ofproduct (AND-OR) logic structure and the programmable macrocell. • Quarter power version -55 rnA max. commercial (15,25 ns) • CMOS Flash technology for electrical erasability and reprogrammability • User-programmable macrocell - Output polarity control - Individually selectable for registered or combinatorial operation -10, 15, and 25 ns military/ industrial versions 7 ns teo 10 ns ts 10 ns tpD 62-MHz state machine The PALCE16V8 is executed in a 20-pin 300-mil molded DIP, a 300-mil cerdip, a 20-lead square ceramic leadless chip carrier, and a 20-lead square plastic leaded chip carrier. The device provides up to 16 inputs and 8 outputs. The PALCE16V8 can be electrically erased and reprogrammed. The programmable macrocell enables the device to function as a superset to the familiar 20-pin PLDs such as 16L8, 16R8, 16R6, and 16R4. • High reliability - Proven Flash technology -100% programming and functional testing Logic Block Diagram (PDIP/CDIP) 1/°1 1/°0 Pin Configuration 1/°2 1/°3 1/0 4 DIP Top View I/Os 1/05 PLCC/LCC Top View 0 ~ CLK/lo 11 12 13 14 15 Is 17 Is GND 1/07 1/°6 1/05 1/04 1/°3 1/°2 1/01 1/°0 OE/Ig c..> .... ~-=d ~~ vee 13 14 15 16 17 1SVS-2 PAL is a registered trademark of Advanced Micro Devices. 2-30 1/°6 1/05 1/04 1/°3 1/02 1SVS·3 - a~~~ IX) Cl mOT""" 1/07 Vee 1SVS·1 PRELIMINARY PALCEl6V8 Functional Description (continued) Electronic Signature The PALCE16V8 features 8 product terms per output and 32 input terms into the AND array. The first product term in a macrocell can be used either as an internal output enable control or as a data product term. There are a total of 18 architecture bits in the PALCE16V8 macrocell; two are global bits that apply to all macro cells and 16 that apply locally, two bits per macrocell. The architecture bits determine whether the macrocell functions as a register or combinatorial with inverting or noninverting output. The output enable control can come from an external pin or internally from a product term. The output can also be permanently enabled, functioning as a dedicated output or permanently disabled, functioning as a dedicated input. Feedback paths are selectable from either the input/output pin associated with the macrocell, the input/output pin associated with an adjacent pin, or from the macro cell register itself. An electronic signature word is provided in the PALCE16V8 that consists of 64 bits of programmable memory that can contain userdefined data. Security Bit A security bit is provided that defeats the readback of the internal programmed pattern when the bit is programmed. Low Power The Cypress PALCE16V8 provides low-power operation through the use of CMOS technology, and increased testability with Flash reprogrammability. fI Power-Up Reset All registers in the PALCE16V8 power-up to a logic LOW for predictable system initialization. For each register, the associated output pin will be HIGH due to active-LOW outputs. Configuration Table Devices Emulated CGo CGI CLOx 0 1 0 Registered Output Registered Med PALs 0 1 1 1 0 0 Combinatorial I/O Combinatorial Output Small PALs 1 1 0 1 1 Combinatorial I/O 1 Cell Configuration Registered Med PALs Input Small PALs 16L8 only MacroceII To Adjacent Macrocell DE Vee -=- 0 X 0 1 0 0 0 0 -=- CG 1 ClOx 1 0 X 0 Q 0 a ClK CG1 for pin 13 to 18 CGo for pin 12 and 19 From Adjacent Pin 16V8-4 2-31 ~ PRELIMINARY -=-rcYPRESS PALCEl6V8 Maximum Ratings (Above which the useful life may be impaired. For user guidelines, not tested.) Storage Temperature .................. -65°C to +150°C Ambient Temperature with Power Applied ....................... -55°C to +125°C Supply Voltage to Ground Potential (Pin 24 to Pin 12) ....................... -0.5V to +7.0V DC Voltage Applied to Outputs in High Z State ......................... -0.5V to +7.0V DC Input Voltage ....................... -0.5V to +7.0V Output Current into Outputs (LOW) .............. 24 rnA DC Programming Voltage ......................... 12.5V >200mA Latch-Up Current Operating Range Ambient Temperature Range Commercial Military[l] O°C to +75°C Vee 5V±5% -55°C to +125°C 5V ±1O% Industrial -40°C to +85°C 5V ±10% Electrical Characteristics Over the Operating Rangel 2] Parameter VOH VOL Description Output HIGH Voltage Output LOW Voltage Min. Test Conditions Vee = Min., VIN = VIH or VIL Vee = Min., VIN = VIH or VIL IOH = -3.2 rnA Com'l IOH= -2mA Mil/Ind IOL = 24 rnA Com'l IOL = 12 rnA Mil/Ind Input HIGH Level Guaranteed Input Logical HIGH Voltage for All Inputs[3] 2.0 VIL[4] Input LOW Level Guaranteed Input Logical LOW Voltage for All Inputs[3] -0.5 IIL[S] Input or I/O LOW Leakage OV ~ VIN ~ VIN (Max.) Current IIH Input or I/O HIGH Leakage 3.5V ~ VIN ~ Vee Current Ise Output Short Circuit Current Vee = Max., VOUT = 0.5V[6,7] Icc Operating Power Supply Current Vee = Max., VIL = Ov, VIH = 3V, 10,15,25 ns Output Open, f = 15 MHz -15L, -25L (counter) 10,15,25 ns -30 Com'l Mil/Ind Unit V 0.5 VIH 7 ns Max. 2.4 V V 0.8 V -100 !-LA 10 !-LA -90 rnA 115 rnA 90 rnA 55 rnA 130 mA Capacitance[7] Parameter CIN COUT Description Input Capacitance Output Capacitance Test Conditions VIN = 2.0V @ f = 1 MHz VOUT = 2.0V @ f = 1 MHz '!Yp. 5 5 Unit pF pF Endurance Characteristics[7] Description Minimum Reprogramming Cycles Test Conditions Normal Programming Conditions Notes: 1. TA is the "instant on" case temperature. 2. See the last page of this specification for Group A subgroup testing information. 3. These are absolute values with respect to device ground. All overshoots due to system or tester noise are included. 4. VIL (Min.) is equal to -3.0V for pulse durations less than 20 ns. 5. 6. 7. 2-32 The leakage current is due to the internal pull-up resistor on all pins. Not more than one output should be tested at a time. Duration of the short circuit should not be more than one second. VOUT = 0.5V has been chosen to avoid test problems caused by tester ground degradation. Tested initially and after any design or process changes that may affect these parameters. ~ ff-.,~ PRELIMINARY ,CYPRESS PALCEl6V8 AC Test Loads and Waveforms ALL INPUT PULSES 3.0V--90% GND 1SV8-5 5V 151 EI OUTPUT f - T 1 TEST POINT _ - .• Specification R2 r CL 1SV8-S - Military Commercial SI tpD, teo Closed tpzx, tEA Z.H: Open Z. L: Closed tpxz, tER H.Z: Open L. Z: Closed CL Rl R2 Rl R2 Measured Output Value SOpF 200Q 390Q 390Q 7S0Q l.SV l.SV H • Z: VOH - O.5V L. Z: VOL + O.SV SpF 2-33 ..::$iiii!iiiia '~PRESS PRELIMINARY PALCEl6V8 Commercial Switching Characteristics[2] 16V8-7 Parameter Description 16V8-10 16V8-15 16V8-25 Min. Max. Min. Max. Min. Max. Min. Max. Unit 3 7.5 3 10 3 15 3 25 ns tpD Input to Output Propagation Delay[8, 9] tpzx OE to Output Enable 6 10 15 20 ns tpxz OE to Output Disable 6 10 15 20 ns tEA Input to Outp'ut Enable Delay[7] 9 10 15 25 ns tER Input to Output Disable Delay[7, 10] 9 10 15 25 ns tco Clock to Output Delay[8, 9] 2 12 ns ts Input or Feedback Set-Up Time 5 2 5 7 2 10 12 7.5 2 15 ns tH Input Hold Time a a a a ns tp External Clock Period (tco + ts) 10 14.5 22 27 ns tWH Clock Width HIGH[7] 4 6 8 12 ns 4 6 8 12 ns tWL Clock Width LOW[7] fMAXl External Maximum Frequency (l!(tco + ts)W' 11] 100 69 45.5 37 MHz fMAX2 Data Path Maximum Frequency (l!(tWH + twdW' 12] 125 83 62.5 41.6 MHz fMAX3 Internal Feedback Maximum Frequency (l!(tCF + tS»[7, 13] 125 74 50 40 MHz tCF Register Clock to Feedback Inpud 7, 14] tpR Power-Up Reset Time[7] 3 6 1 1 Notes: 8. Min. times are tested initially and after any design or process changes that may affect these parameters. 9. This specification is guaranteed for all device outputs changing state in a given access cycle. 10. This parameteris measured as the time after 0 E pin or internal disable input disables or enables the output pin. This delay is measured to the point at which a previous HIGH level has fallen to 0.5 volts below VOH min. or a previous LOW level has risen to 0.5 volts above VOL max. 8 1 10 1 ns flS 11. This specification indicates the guaranteed maximum frequency at which a state machine configuration with external feedback can operate. 12. This specification indicates the guaranteed maximum frequency at which the device can operate in data path mode. 13. This specification indicates the guaranteed maximum frequency at which a state machine configuration with internal only feedback can operate. 14. This parameter is calculated from the clock period at fMAX internal (l/fMAX3) as measured (see Note 10 above) minus tS. 2-34 -'f~ • PRELIMINARY -=-,p CYPRESS PALCEl6V8 Military and Industrial Switching Characteristics[2] 16V8-10 Parameter Description 16V8-15 16V8-25 Min. Max. Min. Max. Min. Max. Unit 3 10 3 15 3 25 ns tpD Input to Output Propagation Delay!8, 9] tpzx OE to Output Enable 10 15 20 ns tpxz 10 15 20 ns tEA OE to Output Disable Input to Output Enable Delayl7j 10 15 tER Input to Output Disable Delayl7, lOj 10 15 25 25 ns ns 12 ns tco Clock to Output Delayl~, '1j 2 ts Input or Feedback Set-Up Time 10 12 15 tH tp Input Hold Time 0 0 0 ns 17 22 27 ns 6 8 12 ns + ts) 7 2 10 2 ns tWH External Clock Period (tco Clock Width HIGHl7j tWL Clock Width LOWl7j 6 8 12 ns fMAXl External Maximum Frequency (lI(tco + tS)[7, 11] 58 45.5 37 MHz fMAX2 Data Path Maximum Frequency (lI(twH + twL»[7, 12] 83 62.5 41.6 MHz fMAX3 Internal Feedback Maximum Frequency (lI(tcF + tS»[7, 13] 62.5 50 40 MHz tCF Register Clock to Feedback Input!7, 14] tpR Power-Up Reset Time l7j 6 1 10 8 1 1 ns ~s Switching Waveform INPUTS, I/O, - - -.......... REGISTERED FEEDBACK _ _ _-'-v CP REGISTERED OUTPUTS _ _ _ _ _ _....L..;.~ COMBINATORIAL OUTPUTS _ _ _ _ _ _ _ _ _ _ _ _""'-K-V 16va·? Power-Up Reset Waveform ~~9~0~%--------------------------VCC POWER 10% SUPPLY VOLTAGE ------......;;;.,.- ~------------- tpR------------~~ REGISTERED -------+-+-------~~~~~~~~~~~-------- ACTIVE LOW OUTPUTS -------+-+-------~~~~~~~~~ CLOCK tpR MAX = 1 !J.s 2-35 16va·a I PRELIMINARY PALCEl6V8 Functional Logic Diagram for PALCEl6V8 r- 1 "~ 00 64 128 0 INPUT LINE NUMBERS PRODUCT LINE FIRST CELL NUMBERS 3 4 7 8 11 12 15 16 19 20 Vee I 23 24 2728 31.--...J 32 96 192 160 ~ A -""I- =f> 256 288 320 384 352 448 416 ..... 480 1- ~f> 3 512 4 .A 5 1152 ~ J 1280 1344 1408 ~ :~ ~ 1376 A 1600 1664 1632 1- => 8 1856 1920 1888 .A '-I> ~.I-- J ~ MC1 CL1 2054 CLO 2126 PTD 2176 2183 ~ :~ ~ 1824 1984 1952 .... 2016 9 MC2 CL1 2053 CLO 2125 PTD 2168 2175 ~ :~ -@ 1568 1728 ...... 1760 1792 -> '50..1- - J 1536 .1- I- 1312 1472 1440 .... 1504 7 j4- ~I> 1184 12261248 6 18 MC3 ICL1 2052 CLO 2124 rPTD 2160 2167 14- 1056 1120 .A ~ ~ 1024 1088 - ~I> ~I- t- J rr- MC4 FCL1 2051 CLO 2123 rPTD 2152 2159 ~ 800 832 896 864 A ~ ~I> 768 960 928 .... 992 r-@] ~~ ~.I- I- J MC6 CL1 2049 CLO 2121 PTD 2136 2143 ~~ MC5 FCL1 2050 CLO 2122 rPTD 2144 2151 ~ 544 576 640 608 704 672 .... 736 MC7 CL1 2048 CLO 2120 PTD 2128 2135 0 3 4 IT I 7 8 11 12 15 16 19 20 23 24 2728 T M '1" Of' '1 '1"' '1" ", '~' BYTE, BYTE 1 I BYTE 2 I BYTE 3 I BYTE 4 I BYTE 5 I BYTE 6 I BYTE : I MSB LSB MSB 2-36 - 'r 31 USER ELECTRONIC SIGNATURE ROW 00 MCO CL1 2055 CLO 2127 PTD 2184 2191 ~ LSB 11 GLOBAL ARCH BITS CG o=2192 CG1=2193 16V8-9 == PRELIMINARY -?cYPRESS PALCEl6V8 Ordering Information (rnA) tpD (ns) ts (ns) teo (ns) 115 7.5 5 5 ICC 90 10 130 130 90 10 10 15 130 130 55 15 15 25 55 25 90 25 130 130 25 25 7.5 10 10 12 12 12 12 15 15 15 15 7 7 7 10 10 10 10 12 12 12 12 Ordering Code Package Name Package 1Ype PALCE16V8-7JC J61 20-Lead Plastic Leaded Chip Carrier PALCE16V8-7PC P5 20-Lead (300-Mil) Molded DIP PALCE16V8-lOJC J61 20-Lead Plastic Leaded Chip Carrier PALCE16V8-lOPC P5 20-Lead (300-Mil) Molded DIP PALCE16V8-lOJI J61 20-Lead Plastic Leaded Chip Carrier PALCE16V8-lOPI P5 20-Lead (300-Mil) Molded DIP PALCE16V8-lODMB D6 20-Lead (300-Mil) CerDIP PALCE16V8-lOLMB L61 20-Pin Square Leadless Chip Carrier PALCE16V8-15JC J61 20-Lead Plastic Leaded Chip Carrier PALCE16V8-15PC P5 20-Lead (300-Mil) Molded DIP PALCE16V8-15JI J61 20-Lead Plastic Leaded Chip Carrier PALCE16V8-15PI P5 20-Lead (300-Mil) Molded DIP PALCE16V8-15DMB D6 20-Lead (300-Mil) CerDIP PALCE16V8-15LMB L61 20-Pin Square Leadless Chip Carrier PALCE16V8L- 25JC J61 20-Lead Plastic Leaded Chip Carrier PALCE16V8L- 25PC P5 20-Lead (300-Mil) Molded DIP PALCE16V8L- 25JC J61 20-Lead Plastic Leaded Chip Carrier PALCE16V8L- 25PC P5 20-Lead (300-Mil) Molded DIP PALCE16V8- 25JC J61 20-Lead Plastic Leaded Chip Carrier PALCE16V8-25PC P5 20-Lead (300-Mil) Molded DIP PALCE16V8-25JI J61 20-Lead Plastic Leaded Chip Carrier PALCE16V8-25PI P5 20-Lead (300-Mil) Molded DIP PALCE16V8-25DMB D6 20-Lead (300-Mil) CerDIP PALCE16V8-25LMB L61 20-Pin Square Leadless Chip Carrier MILITARY SPECIFICATIONS Group A Subgroup Testing DC Characteristics Parameter Subgroups VOH VOL VIR VIL IIX Ioz Icc 1,2,3 1,2,3 1,2,3 1,2,3 1,2,3 1,2,3 1,2,3 Switching Characteristics Parameter Subgroups tpD 9,10,11 9,10,11 9,10,11 9,10,11 teo ts tH Document #: 38-00364-A 2-37 Operating Range Commercial Commercial Industrial Military Commercial Industrial Military Commercial Commercial Commercial Industrial Military ADVANCED INFORMATION PALCE20V8 Flash Erasable, Reprogrammable CMOS PAL ® Device Features • Advanced second·generation PAL architecture • Lowpower - 90 rnA max. commercial (10, 15, 25 ns) -115 rnA max. commercial (7 ns) -130 rnA max. military/industrial (15,25 ns) • Quarter power version - 55 rnA max. commercial • CMOS Flash technology for electrical erasability and reprogrammability • User-programmable macrocell - Output polarity control - Individually selectable for regis. tered or combinatorial operation • DIP, LCC, and PLCC available -7.5, 10, 15, and 25 ns com'l version 5 ns tco 5 ns ts 7.5 ns tpD 125·MHz state machine - 10, 15, and 25 ns military/ industrial versions 7 ns tco 10 ns ts 10 ns tpD 62·MHz state machine • High reliability - Proven Flash technology -100% programming and functional testing Functional Description The Cypress PALCE20V8 is a CMOS Flash Erasable second-generation programmable array logic device. It is implemented with the familiar sum-of-product (AND-OR) logic structure and the programmable macrocell. The PALCE20V8 is executed in a 24-pin 300-mil molded DIP, a 300-mil cerdip, a 28-lead square ceramic leadless chip carrier, and a 28-lead square plasticleaded chip carrier. The device provides up to 20 inputs and 8 outputs. The PALCE20V8 can be electrically erased and reprogrammed. The programmable macrocell enables the device to function as a superset to the familiar 24-pin PLDs such as 20LB, 20R8, 20R6,20R4. Logic Block Diagram (PDIP/CDIP) 01:/111 112 1100 110 2 1/0 1 Pin Configuration 110 3 1/05 1/0 4 110 6 110 7 113 Vee 20V8-1 PLCC/LCC Top View DIP Top View 0 CLK/lo 1 24 11 12 23 22 13 14 15 21 20 16 17 Is 19 110 GND 19 g u U MO ..£-I..!""uzY..!"""" Vee 113 1/0 7 1106 1105 110 4 110 3 110 2 1/0 1 110 0 13 14 15 1/0 6 1/0 5 1/0 4 NC NC 1/0 3 110 2 1/0 1 16 17 Is 112 01:/113 '" 0 0 u ~ 008 -.r~z~..!"""" 20V8-2 PAL is a registered trademark of Advanced Micro Devices, Inc. Document #: 38-00367-A 2-38 20V8-3 PLDC20G 10B/PLDC20G10 CMOS Generic 24-Pin Reprogrammable Logic Device Features • Fast - Commercial: tpD = 15 ns, teo = 10 ns, ts = 12 ns - Military: tPD = 20 ns, teo = 15 ns, ts = 15 ns • Lowpower - Icc max.: 70 rnA, commercial - Icc max.: 100 rnA, military • Commercial and military temperature range • User-programmable output cells - Selectable for registered or combinatorial operation - Output polarity control - Output enable source selectable from pin 13 or product term • Generic architecture to replace standard logic functions including: 20LI0, 20L8, 20R8, 20R6, 20R4, 12L10, 14L8, 16L6, 18L4, 20L2, and 20V8 • Eight product terms and one OE product term per output • CMOS EPROM technology for reprogrammability • Highly reliable - Uses proven EPROM technology - Fully AC and DC tested - Security feature prevents logic pattern duplication - ± 10% power supply voltage and higher noise immunity Functional Description Cypress PLD devices are high-speed electrically programmable logic devices. These devices utilize the sum-of-products (ANDOR) structure providing users the ability to program custom logic functions for unique requirements. In an unprogrammed state the AND gates are connected via EPROM cells to both the true and complement of every input. By selectively programming the EPROM cells, AND gates may be connected to either the true or complement or disconnected from both true and complement inputs. Cypress PLDC20G 10 uses an advanced O.S-micron CMOS technology and a proven EPROM cell as the programmable element. This technology and the inherent Logic Block Diagram I/OE II0g I/Os 1/0 7 1/06 1105 1/03 1/0 4 I/O, 1/0 2 Vee 1/0 0 20G10-1 Pin Configurations Q Z (f (f 880 _ _ Q> Q 80 __ _ _ _ u~:::;;:.:::::. ::0::0 NC PLDC20G10 PLDC20G10B I JEDEC PLCC[l) Top View STDPLCC Top View LCC Top View 10 NC 1/0 2 1/0 3 1/0 4 1/0 5 1/°6 1/0 7 --$~§'~§E NC 1/02 I I NC 1/°3 1/0 4 1/0 5 I I 1/0 6 1/07 t§E9~~ 1/°2 1/°3 1/04 I NC NC 1/0 5 1/°6 1/07 NC NC ---$~§'~ 20G1D-2 Note: 1. The CG7C323 is the PLDC20GlO packaged in the JEDEC-compat- ible 28-pin PLCC pinout. Pin function and pin order is identical for 2-39 20G10-4 - - $ §E~ §' g 20G10-3 both PLCC pinouts. The difference is in the location of the "no connect" or NC pins. I PLDC20G10B/PLDC20G10 :"'rCYPRESS Selection Guide tpD (ns) Icc (rnA) Generic Part Number Com/Ind 20GlOB-15 70 20GI0B-20 70 Mil Mil 20 20 100 20GI0B-25 ts (ns) Com/lnd 15 55 55 Mil 15 12 15 18 20 40 Functional Description (continued) advantage of being able to program and erase each cell enhances the reliability and testability of the circuit. This reduces the burden on the customer to test and to handle rejects. A preload function allows the registered outputs to be preset to any pattern during testing. Preload is important for testing the functionality of the Cypress PLD device. 20GIO Functional Description The PLDC20G 10 is a generic 24-pin device that can be programmed to logic functions that include but are not limited to: 20LlO, 20LS, 20R8, 20R6, 20R4, 12LlO, 14LS, 16L6, 18L4, 20L2, and 20V8. Thus, the PLDC20G10 provides significant design, inventory and programming flexibility over dedicated 24-pin devices. It is executed in a 24-pin 300-mil molded DIP and a 300-mil windowed cerDIP. It provides up to 22 inputs and 10 outputs. When the windowed cerDIP is exposed to UV light, the 20G 10 is erased and then can be reprogrammed. The programmable output cell provides the capability of defining the architecture of each output individually. Each of the 10 output cells may be configured with registered or combinatorial outputs, active HIGH or active LOW outputs, and product term or Pin 13 generated output enables. Three architecture bits determine the configurations as shown in the Configuration Table and in Figures 1 through 8. A total of eight different configurations are possible, 35 25 with the two most common shown in Figure 3 and Figure 5. The default or unprogrammed state is registered/active/LO W /pin 11 0 E. The entire programmable output cell is shown in the next section. The architecture bit 'Cl' controls the registered/combinatorial option. In either combinatorial or registered configuration, the output can serve as an I/O pin, or if the output is disabled, as an input only. Any unused inputs should be tied to ground. In either registered or combinatorial configuration, the output of the register is fed back to the array. This allows the creation of control-state machines by providing the next state. The registeris clocked by the signal from Pin 1. The register is initialized on power up to Q output LOW and Q output HIGH. In both the combinatorial and registered configurations, the source of the output enable signal can be individually chosen with architecture bit 'C2'. The OE signal may be generated within the array, or from the external OE (Pin 13). The Pin 13 allows direct control of the outputs, hence having faster enable/disable times. Each output cell can be configured for output polarity. The output can be either active HIGH or active LOW. This option is controlled by architecture bit 'CO'. Along with this increase in functional density, the Cypress PLDC20GlO provides lower-power operation through the use of CMOS technology and increased testability with a register preload feature. Programmable Output Cell r-------------------~ OE PRODUCT TERM OUTPUT ENABLE MUX C2 I I I I I C2 ------+---~------------------~--r__+--~ Cl Co 20 25 30 80 15 15 30 35 20GI0-40 Com/Ind 10 15 80 20GI0-35 12 25 25 20GI0-30 tco (ns) Mil 12 100 20GlO-25 Com/Ind ------+-------------------------~ PIN 13 2-40 20G10-5 -.;~ PLDC20G10B/PLDC20G10 'CYPRESS Configuration Table Figure C2 Cl Co 1 0 0 0 Product Term OE/Registered/Active LOW Configuration 2 0 0 1 Product Term OE/Registered/Active HIGH 5 0 1 0 Product Term OE/Combinatorial/Active LOW 6 0 1 1 Product Term OE/Combinatorial/Active HIGH 3 1 0 0 Pin 13 OE/Registered/Active LOW 4 1 0 1 Pin 13 OE/Registered/Active HIGH 7 1 1 0 Pin 13 OE/Combinatorial/Active LOW 8 1 1 1 Pin 13 OE/Combinatorial/Active HIGH Registered Output Configurations D D Q Q 20G10-6 20G10-7 Figure 1. Product Term OE/Active LOW Figure 2. Product Term OE/Active HIGH Q 20G10-9 Figure 3. Pin 13 OE/Active LOW Figure 4. Pin 13 OE/Active HIGH Combinatorial Output Configurations[2] C2 = 0 Cl = 1 Co = 1 C2 = 0 Cl = 1 Co = 0 20G10-10 20G10-11 Figure 6. Product Term OE/Active HIGH Figure 5. Product Term OE/Active LOW $ PIN 13 $ "G"" PIN 13 ~"-, Figure 8. Pin 13 OE/Active HIGH Figure 7. Pin 13 OE/Active LOW Note: 2. Bidirectional 110 configurations are possible only when the combinatorial output option is selected 2-41 C2 = 1 Cl = 1 Co = 1 ~ ==:r- _ -..,.,.. PLDC20G1OB/PLDC20G10 i&7CYPRESS Maximum Ratings (Above which the useful life may be impaired. For user guidelines, not tested.) Storage Temperature .................. -65°C to +150°C Ambient Temperature with Power Applied ....................... -55°C to + 125°C Supply Voltage to Ground Potential ........ -O.5V to +7.0V DC Voltage Applied to Outputs in High Z State ......................... -O.5V to +7.0V DC Input Voltage ....................... -3.0V to +7.0V Output Current into Outputs (LOW) .............. 16 rnA DC Programming Voltage PLDC20GlOB and CG7C323B-A ................ 13.0V PLDC20GlO and CG7C323-A ................... 14.0V Latch-Up Current ........................... >200 rnA Static Discharge Voltage ......................... > 500V (per MIL-STD-BB3, Method B015) Operating Range Ambient Temperature O°C to +75°C Range Commercial Vee 5V ±10% Militaryl3J -55°C to + 125°C 5V ±10% Industrial -40°C to +B5°C 5V ±10% Electrical Characteristics Over the Operating Range (Unless Otherwise Noted)[4] Parameter VOH VOL Description Output HIGH Voltage Output LOW Voltage Test Conditions Min. Vee = Min., VIN = VIH or VIL IOH = -3.2 rnA Com'l/Ind IOH= -2 rnA Military Vee = Min., VIN = VIH or VIL IOL = 24 rnA Com'l/Ind IOL = 12 rnA Military Input HIGH Level Guaranteed Input Logical HIGH Voltage for All Inputsl:lJ VIL Input LOW Level Guaranteed Input Logical LOW Voltage for All Inputsl:lJ IIX Input Leakage Current Ise lee Power Supply Current Output Leakage Current loz Unit V 0.5 VIH Vss ~ VIN ~ Vee Output Short Circuit Current Vee = Max., VOUT = 0.5Vl6,7J Max. 2.4 V 2.0 -10 V O.B V +10 /-lA - 90 rnA O~ VIN~ Vee Com'l/lnd-15, -20 70 rnA Vee = Max., lOUT = ornA Unprogrammed Device Com'l/Ind-25, -35 55 rnA Military-20, -25 100 rnA Military-30, -40 BO rnA 100 /-lA Vee = Max., Vss~ VOUT~ Vee -100 Capacitance[7] Parameter CIN Description Input Capacitance COUT Output Capacitance Test Conditions Notes: 3. TA is the "instant on" case temperature. 4. See the last page of this specification for Group A subgroup testing information. 5. These are absolute values with respect to device ground. All overshoots due to system or tester noise are included. TA = 25°C, f = 1 MHz Max. 10 Unit pF VIN = 2.0V, Vee = 5.0V 10 pF 6. 7. 2-42 Not more than one output should be tested at a time. Duration ofthe short circuit should not be more than one second. VOUT = O.5V has been chosen to avoid test problems caused by tester ground degradation. Tested initially and after any design or process changes that may affect these parameters. PLDC20G 10B/PLDC20G10 AC Test Loads and Waveforms (Commercial) R12380 R12380 5V:F1(3190MIL) 5V : F 1 ( 3 1MIL) 90 OUTPUT OUTPUT INCLUDING JIG AND SCOPE 1-= R21700 (2360 MIL) 50 pF INCLUDING JIG AND SCOPE -= (a) Equivalent to: THEVENIN EQUIVALENT (Commercial) 990 OUTPUT 2.08V = Vthc 1-= R21700 (2360 MIL) 5 pF -= 20G10-14 (b) Equivalent to: THEVENIN EQUIVALENT (Military/Industrial) 1360 OUTPUT 2.13V = Vthm o-------vw---o o----vvv------o 20G10-15 20G10-16 Switching Characteristics Over Operating Rangel 3, 8, 9) Commercial B-15 Parameter Description -25 B-20 -35 Min. Max. Min. Max. Min. Max. Min. Max. Unit tpD Input or Feedback to Non-Registered Output 15 20 25 35 tEA Input to Output Enable 15 20 25 35 ns tER Input to Output Disable 15 20 25 35 ns tpzx Pin 11 to Output Enable 12 15 20 25 ns tpxz Pin 11 to Output Disable 12 15 20 25 ns teo Clock to Output 10 12 15 25 ns ns ts Input or Feedback Set-Up Time 12 12 15 30 ns tH tp[lO) Hold Time 0 0 0 0 ns Clock Period 22 24 30 55 ns tWH Clock High Time 8 10 12 17 ns tWL Clock Low Time fMAX[ll) Maximum Frequency Notes: 8. Part (a) of AC Test Loads and Waveforms used for all parameters except tER, tpzx, and tpxz. Part (b) of AC Test Loads and Waveforms used for tER, tpzx, and tpxz. 9. The parameters tER and tpxz are measured as the delay from the input disable logic threshold transition to VOH - 0.5V for an enabled HIGH output or VOL + O.5V for an enabled LOW input. 10. tB minimum guaranteed clock period is that guaranteed for state machine operation and is calculated from tp = ts + teo. The minimum 8 10 12 17 ns 45.4 41.6 33.3 18.1 MHz guaranteed period for registered data path operation (no feedback) can be calculated as the greater of (tWH + twL) or (ts + tH). 11. fMAX, minimum guaranteed operating frequency, is that guaranteed for state machine operation and is calculated from fMAX = 1/(ts + teo). The minimum guaranteed fMAX for registered data path operation (no feedback) can be calculated as the lower of l/(tWH + twL) or 1/(ts + tH). 2-43 PLDC20G10B/PLDC20G10 Switching Characteristics Over Operating Rangel 3, 8, 9] (continued) Military/lndustrial B-20 Parameter Description tpD Input or Feedback to Non-Registered Output B-25 -40 -30 Min. Max. Min. Max. Min. Max. Min. Max. 20 25 30 tEA Input to Output Enable 20 25 tER Input to Output Disable 20 25 tpzx Pin 11 to Output Enable 17 tpxz Pin 11 to Output Disable 17 teo Clock to Output ts Input or Feedback Set-UpTime 15 Hold Time 15 ns 30 40 ns 30 40 ns 20 25 25 ns 20 25 25 ns 15 18 Unit 40 20 20 25 ns 35 ns tH tp(10] 0 0 0 0 ns Clock Period 30 33 40 60 ns tWH Clock High Time 12 14 16 22 ns tWL Clock Low Time 12 14 16 22 ns fMAX[ll] Maximum Frequency 33.3 30.3 25.0 16.6 MHz Switching Waveform INPUTS I/O, 7r'"7'r""K''7\"'"7\. REGISTERED FEEDBACK _ .........w...N CP tpzx REGISTERED OUTPUTS _ _ _ _ _ _~~~ tEA COMBINATORIAL OUTPUTS _ _ _ _ _ _ _ _ _ _""'"-II~.JI..¥ 20G10·17 2-44 ~-....",.. PLDC20G 10B/PLDC20G10 JeYPRESS Functional Logic Diagram 1-ri> 0 4 8 12 16 20 24 28 32 36 40 .--- OE 0 · " ......, ~7 OUTPU CELL ~ OE 0 2 · ~7 · ~7 · ----D: ~ "" J-( ./ OUTPU ~ CELL ~ 7 ~ ~ OUTPU CELL :tf3" --t<= 7 ~ ~ 21 20 19 OgJr~l ~ ---D7 1><1-- ~, OE 0 · 8 OUTPU I> CELL 7 -D7 7 -v OE 0 OUTPU ~ CELL ~, OUTPU ~, """'\ · ~ ~7 ~ ~ OE 0 ·· IP~ -b>7 ~ OE 0 · ~~~ 10 ~7 11 22 B=\ ·· 9 23 1P<1-- ~, OE 0 8 OUTPUl I> CELL ~ · 6 "" ~ OE 0 5 c1----...... t:Ff OE 0 4 OUTPU ~ CELL ..... OE 0 3 "" ......, ~ ~ ~ ~ ~ ~ ~ I> CELL 5 ~'4 if UTPUT CELL 13 20G10-18 2-45 6 E PLDC20G10B/PLDC20G10 irCYPRESS Ordering Information tpD (ns) ts (ns) tco (ns) (rnA) Ordering Code Package Name Package 1Ype 15 12 10 70 20 12 12 70 20 15 15 100 25 15 15 55 25 18 15 100 30 20 20 80 35 30 25 55 40 35 25 80 PLDC20G lOB -15JC/JI PLDC20G lOB -15PC/PI PLDC20G lOB-15WC CG7C323B-A15JC/JIlILj PLDC20G lOB - 20JC/JI PLDC20G 10B- 20PC/PI PLDC20G lOB- 20WC CG7C323B- A20JC/JIllLj PLDC20G 10B-20DMB PLDC20G lOB - 20LMB PLDC20G lOB- 20WMB PLDC20G 10-25JC/JI PLDC20G 10 - 25PC/PI PLDC20G10-25WC CG7C323 - A25JC/JIllLJ PLDC20G lOB- 25DMB PLDC20G 10B- 25LMB PLDC20G lOB- 25WMB PLDC20GI0-30DMB PLDC20G10-30LMB PLDC20G 10-30WMB PLDC20G 10-35JC/JI PLDC20G 10-35PC/pI PLDC20G 10-35WC CG7C323- A35JC/JI[1Lj PLDC20G 10-40DMB PLDC20G 10-40LMB PLDC20G 1O-40WMB J64 P13 W14 J64 J64 P13 W14 J64 D14 L64 W14 J64 P13 W14 J64 D14 L64 W14 D14 L64 W14 J64 P13 W14 J64 D14 L64 W14 28-Lead Plastic Leaded Chip Carrier 24-Lead (300-Mil) Molded DIP 24-Lead (300-Mil) Windowed CerDIP 28-Lead Plastic Leaded Chip Carrier 28-Lead Plastic Leaded Chip Carrier 24-Lead (300-Mil) Molded DIP 24-Lead (300-Mil) Windowed CerDIP 28-Lead Plastic Leaded Chip Carrier 24-Lead (300-Mil) CerDIP 28-Square Leadless Chip Carrier 24-Lead (300-Mil) Windowed CerDIP 28-Lead Plastic Leaded Chip Carrier 24-Lead (300-Mil) Molded DIP 24-Lead (300-Mil) Windowed CerDIP 28-Lead Plastic Leaded Chip Carrier 24-Lead (300-Mil) CerDIP 28-Square Leadless Chip Carrier 24-Lead (300-Mil) Windowed CerDIP 24-Lead (300-Mil) CerDIP 28-Square Leadless Chip Carrier 24-Lead (300-Mil) Windowed CerDIP 28-Lead Plastic Leaded Chip Carrier 24-Lead (300-Mil) Molded DIP 24-Lead (300-Mil) Windowed CerDIP 28-Lead Plastic Leaded Chip Carrier 24-Lead (300-Mil) CerDIP 28-Square Leadless Chip Carrier 24-Lead (300-Mil) Windowed CerDIP Icc Note: 12. The CG7C323 is the PLD20G10 packaged in the JEDEC-compatible 28·pin PLCC pinout. Pin function and pin order is identical for both PLCC pinouts. The principle difference is in the location of the "no connect" (NC) pins. MILITARY SPECIFICATIONS Group A Subgroup Testing DC Characteristics Switching Characteristics Parameter Subgroups Parameter Subgroups VOH 1,2,3 tpD 9,10,11 VOL VIR 1,2,3 tpzx 9,10,11 VIL 1,2,3 1,2,3 IIX 1,2,3 Ioz 1,2,3 Icc 1,2,3 9,10,11 teo 9,10,11 ts 9,10,11 tH Document #: 38-00019-G 2-46 Operating Range Commercial! Industrial Commercial! Industrial Military Commercial! Industrial Military Military Commercial! Industrial Military PLD20GIOC Generic 24-Pin PAL® Device Features • Ultra high speed supports today's and tomorrow's fastest microprocessors -tpD = 7.5 ns -tsu = 3 ns - fMAX = 105 MHz • Reduced ground bounce and undershoot • PLCC and LCC packages with additional Vee and Vss pins for lowest ground bounce • Generic architecture to replace standard logic functions including: 20L10, 20L8, 20R8, 20R6, 20R4, 12L10, 14L8, 16L6, 18L4, 20L2, and 20V8 • Up to 22 inputs and 10 outputs for more logic power • 10 user-programmable output macrocells - Output polarity control - Registered or combinatorial operation - Pin or product term output enable control • Preload capability for flexible design and testability • High reliability - Proven Ti-W fuse technology - AC and DC tested at the factory • Security Fuse Functional Description The PLD20G 10C is a generic 24-pin device that can be used in place of 24 PAL devices. Thus, the PLD20G10C provides significant design, inventory, and programming flexibility over dedicated 24-pin devices. Using BiCMOS process and Ti-W fuses, the PLD20G10C implements the familiar sum-of-products (AND-OR) logic structure. It provides 12 dedicated input pins and 10 I/O pins (see Logic Block Diagram). By selecting each I/O pin as permanent or temporary input, up to 22 inputs can be achieved. Applications requiring up to 21 inputs and a single output, down to 12 inputs and 10 outputs can be realized. The output enable product term available on each I/O or a common pin controlled OE function allows this selection. The PLD20G lOC automatically resets on power-up. The Q output of all internal registers is set to a logic LOW and the Q output to a logic HIGH. In addition, the PRELOAD capability allows the registers to be set to any desired state during testing. A security fuse is provided to prevent copying of the device fuse pattern. Logic Block Diagram and PDIP (P)/CDIP (D) Pin Configuration Gl0C-l Pin Configurations LCC(L) Top View PLCC (J) Top View a:; °°86 __ 0S)S);;;,;;;, I Vss I I vss I I Gl0C-3 PAL is a registered trademark of Advanced Micro Devices 2-47 LJd . -:::z PLD20GIOC z1rcYPRESS Selection Guide Icc (rnA) Commercial Military tpD (ns) Commercial 20GIOC-7 190 20GIOC-IO 190 190 10 10 3.6 3.6 7.5 7.5 90 90 7.5 Military ts (ns) Commercial teo (ns) Commercial Military Commercial 3.0 Military fMAX (MHz) 6.5 105 Military 20GIOC-12 190 190 12 12 4.5 4.5 9.5 9.5 71 20GIOC-15 71 57 190 15 7.5 10 Programmable Macrocell Programming The PLD20GlOC has 10 programmable I/O macrocells (see MacroceU). Two fuses (C 1 and Co) can be programmed to configure output in one of four ways. Accordingly, each output can be registered or combinatorial with an active HIGH or active LOW polarity. The feedback to the array is also from this output. An additional fuse (C2) determines the source of the output enable signal. The signal can be generated either from the individual OE product term or from a common external OE pin. The PLD20G 10C can be programmed using the Impulse3 '" programmer available from Cypress Semiconductor. See third party information is Cypress's Third Party Tools datasheet for further information. Macrocell ,---------------------1 I I OE PRODUCTTERM 10 OUTPUT I-t---t--T"i 1-_ _°...;.°.... S~~~T 01 CP C, Co C, I I I _ _ _ oJ OJ: PIN Impulse3 is a trademark of Cypress Semiconductor Corporation. 2-48 G10C-4 PLD20GIOC Configuration Table Figure C2 Cl 1 0 0 2 5 0 0 6 Configuration C;o O· Product Term OE/Registered/Active LOW 0 1 Product Term OE/Registered/Active HIGH 1 0 Product Term OE/Combinatorial/Active LOW 0 1 1 Product Term OE/Combinatorial/Active HIGH 3 1 0 0 Pin OE/Registered/Active LOW 4 1 0 1 Pin OE/Registered/Active HIGH 7 1 1 0 Pin OE/Combinatorial/Active LOW 8 1 1 1 Pin OE/Combinatorial/Active HIGH Registered Output Configurations C2 = 0 Cl = 0 Co = 0 D C2 = 0 Cl = 0 Co = 1 Q G10C-6 Figure 1. Product Term OE/Active LOW Figure 2. Product Term OE/Active HIGH C2 = 1 Cl = 0 Co = 0 D C2 = 1 Cl = 0 Co = 1 Q G10C-8 Figure 3. Pin OE/Active LOW Figure 4. Pin OE/Active HIGH Combinatorial Output Configurations[1] C2 Cl Co =0 =1 =0 G10C-9 G10C-10 Figure 5. Product Term OE/Active LOW Figure 6. Product Term OE/Active HIGH Figure 7. Pin OE/Active LOW Figure 8. Pin OE/Active HIGH Note: 1. BidirectionalI/O configurations are possible only when the combinatorial output option is selected. 2-49 E ::sF -'f~ PLD20GIOC =-,CYPRESS Maximum Ratings (Above which the useful life may be impaired. For user guidelines, not tested.) , Storage Temperature .................. -65°C to + 150°C Ambient Temperature with Power Applied ....................... -55 ° C to + 125 ° C Supply Voltage to Ground Potential ........ -0.5V to +7.0V DC Voltage Applied to Outputs in High Z State ........................... -O.5V to Vee DC Input Voltage .... ; .................... -O.5V to Vee DC Input Current .................... - 30 rnA to +5 rnA (except during programming) DC Program Voltage .............................. 10V Operating Range Range Commercial Military[2] Ambient Temperature O°C to +70°C Vee 5V±5% -55°C to + 125°C 4.75V to 5.5V DC Electrical Characteristics Over the Operating Range Description Parameter VOH Min. Test Conditions Output HIGH Voltage Vee = Min., VIN = VIH or VIL IOH = -3.2 rnA Com'l IOH= -2mA Mil IOL = 16 rnA Com'l IOL = 12 rnA Mil VOL Output LOW Voltage Vee = Min., VIN = VIH or VIL VIH Input HIGH Voltage Guaranteed Input Logical HIGH Voltage for All Inputs[3] VIL Input LOW Voltage Guaranteed Input Logical LOW Voltage for All Inputs[3] IIX Input Leakage Current Vss~ VIN ~2.7V, II Maximum Input Current VIN = Vee, Vee = Max. Max. Unit V 2.4 0.5 V V 2.0 0.8 V 50 [lA Com'l 100 f,lA Mil 250 -250 Vee = Max. Ioz Output Leakage Current Vee = Max., Vss~ VOUT~ Vee -100 100 f,lA Ise Output Short Circuit Current Vee = Max., VOUT = 0.5V[4] -30 -120 rnA lee Power Supply Current Vee = Max., VIN = GND, Outputs Open Com'l 190 rnA Mil 190 Capacitance[5] Parameter Description CIN Input Capacitance COUT Output Capacitance Notes: 2. TA is the "instant on" case temperature. 3. These are absolute values with respect to device ground. All overshoots due to system or tester noise are included. 4. Not more than one output should be tested at a time. Duration ofthe short circuit should not be more than one second. VOUT = O.5V has been chosen to avoid test problems caused by tester ground degradation. 5. 2-50 Max. Unit 8 10 pF pF Tested initially and after any design or process changes that may affect these parameters. PLD20GIOC AC Test Loads and Waveforms R12380 OUTP~~ ~(3190 MIL) I R21700 (2360 MIL) CL INCLUDING ':' JIG AND SCOPE PID J/K/L G10C-13 o--------vw---- Parameter tER (+), tpLZ 2.6V Equivalent to: THEVENIN EQUIVALENT tEA (+), tPZH 1.5V 1360 o--------vw---Military 2.13V = Vthm tEA ( -), tpZL 1.5V Note: 6. CL = 5 pF for tER and tpxz measurements for all packages. 2-51 Output Waveform-Measurement Level Vth tER (-), tpHz 1.5V 2.08V = Vthc Commercial OUTPUT 15 pF 50pF ':' Equivalent to: THEVENIN EQUIVALENT 990 OUTPUT Package CL[6) VOH O.5V t VOL O.5V 1.5V O.5V 1.5V O.5V ~ ~ t ~ ~ ~ ~ 1.5V G10C-14 2.6V G10C 15 VOH G10C-16 VOL G10C-17 I PLD20GIOC Switching Characteristics PLD20GIOC[7] 20GIOC-7 Description Min. Max. 20GIOC-IO 20GIOC-12 20GIOC-15 Min. Max. Min. Max. Min. Max. Unit tpD Input to Output Propagation Delay[8] 2 7.5 2 10 2 12 2 15 ns tEA Input to Output Enable Delay 2 7.5 2 10 2 12 2 15 ns tER Input to Output Disable Delay[9] 2 7.5 2 10 2 12 2 15 ns tpzx OE Input to Output Enable Delay 2 7.5 2 10 2 12 2 15 ns tpxz OE Input to Output Disable Delay 2 7.5 2 10 2 12 2 15 ns tco Clock to Output Delay[8] 1 6.5 1 7.5 1 9.5 1 10 ns ts Input or Feedback Set-Up Time 3 tH Input Hold Time 0 0 0 0 ns tp External Clock Period (tco + ts) 9 11.1 14 17.5 ns tWH Clock Width HIGH[5] 3 3 3 6 ns tWL Clock Width LOW[5] 3 3 3 6 ns fMAX1 External Maximum Frequency (lI(tco + tS))[10] 105 90 71 57 MHz fMAX2 Data Path Maximum Frequency (lI(tWH + twL))[5, 11] 166 166 166 83 MHz fMAX3 Internal Feedback Maximum Frequency (lI(tcF + tS))[12] 133 100 83 66 MHz tCF Register Clock to Feedback Inputl13] Power-Up Reset Timd 14] Parameter tPR 4.5 1 Notes: 7. AC test load used for all parameters except where noted. 8. This specification is guaranteed for all device outputs changing state in a given access cycle. 9. This parameter is measured as the time after output disable input that the previous output data state remains stable on the output. This delay is measured to the point at which a previous HIGH level has fallen to 0.5 volts below VOH min. or a previous LOW level has risen to 0.5 volts above VOL max. 10. This specification indicates the guaranteed maximum frequency at which a state machine configuration with external feedback can operate. 11. This specification indicates the guaranteed maximum frequency at which an individual output register can be cycled. 4.5 3.6 6.4 1 7.5 7.5 1 ns 7.5 1 ns I-ts 12. This specification indicates the guaranteed maximum frequency at which astatemachineconfigurationwithinternaloniyfeedbackcanoperate. This parameter is tested periodically by sampling production product. 13. This parameter is calculated from the clock period at fMAX internal (fMAX3) as measured (see Note 12) minus ts. 14. The registers in the PLD20G lOC have been designed with the capability to reset during system power-up. Following power-up, all registers will be reset to a logic LOW state. The output state will depend on the polarity of the output buffer. This feature is useful in establishing state machine initialization. To insure proper operation, the rise in Vee must be monotonic and the timing constraints depicted in power-up reset waveforms must be satisfied. 2-52 ---~ PLD20GIOC JCYPRESS Switching Waveform INPUTS I/O, ......- REGISTERED FEEDBACK ~'-¥.~.,... CP II tpzx REGISTERED ------...,...;;;... OUTPUTS: _ _ _ _ _ LlI..~ COMBINATORIAL OUTPUTS: tEA ---------~~~,..,.: --------------~~~ G10C-18 Power-Up Reset Waveform[14] 4V~~~~~~~~~~~~~~~-------------------VCC POWER _ _ _ _ _ _ _ _ _ ~ ~ REGISTERED ACTIVE LOW tpR------.......I.' ----------.:..-------~~---==:;;:Jr_-------- OUTPUT---------------------------------------------~ G10C-19 2-53 ~CYPRESS PLD20GIOC Preload Waveform[15) PIN 13 (1S) -k t OPR1 .......\ PIN 2(3) PIN3 (4) I PINS (7) ~ I( PIN 8 (10) ~ \ I( PINS (11) PRELOAD DATA PINS 14-23 (17-21,23-27) "- t OPR2 CLOCK PIN 1 (2) J t OPR1 ~. t OPR1 \ 1 I t OPR1 ~ \ t OPR1 ) -r '- / "- / t OPR2 t OPR2 t OPR2 \.. t OPR2 t OPR2 t OPR1 L t OPR1 \ OUTPUTS DISABLED -, I ~ t OPR1 t OPR1 1\- PRELOAD DATA CLOCKED IN PRELOAD DATA VILP or VIHP[16] REGISTE RS PRELOAD ED, OUTPUT ENABLED PRELOAD DATA REMOVED G10C-20 Notes: 15. Pins 4 (5),5 (6), 7 (9) at VILP; Pins 10 (12) and 11 (13) at VIHP; Vee (Pin 24 (1 and 28» at VeeI' 16. Pins 2-8 (3-7, 9,10),10 (12),11 (13) can be set at VIHP or VILP to insure asynchronous reset is not active. D/K/P (J/L) Pinouts Forced level on register pin during preload Register Q output state after preload VIHP HIGH VILP LOW Name Description Min. Max. Unit Vpp Programming Voltage 9.25 9.75 V tDPRl Delay for Preload 1 its tDPR2 Delay for Preload 0.5 Its VILP Input LOW Voltage 0 0.4 V VIHP Input HIGH Voltage 3 4.75 V Vecp V cc for Preload 4.75 5.25 V 2-54 . -.,~ PLD20GIOC 'CYPRESS Functional Logic Diagram for PLD20GIOC 1-ri> 0 (2) 4 8 12 16 20 24 28 32 36 40 W ::1-- =~ OE 0 · > cell --f::>7 , OE 0 2 · -D7 W ::1-- Macro· ~ cell (3) OE t:S--\ 0 1P(1.- · 3 -r::;:/ Macro· ~ cell (4) OE 0 I§"") · (5) 4 Macro· > cell CI----- @ -D7 0 · 5 .....,. '" Macro· ~ cell CI----- OE 0 6 (7) ""- ....,. -f:::/ Macro· ~ cell ~ OE 0 7 (9) -b ·7 Macro· ~ cell ....,. ~ OE 0 · 8 '" Macro· ~ cell ./ ..jj: ---{27 ~ (10) .... OE · 9 '" ./ "1,J- 21 (25) 20 19 8 21) 7 20) ~(; Macro· cell ~'4 I> CI-----~ (11 ) ..... OE 0 · 10 '" -D7 CI-----"- (12) 11 (13) --f2 DIK/P (J/L) Pinouts 2-55 6 9) Macro· cell 0 ---f2 7 22 (26) 23) (6) · ~ ~ ~ ~( ~: ~: ~~ (24) OE ---t2 7 23 (27) z:: G10C-21 5 8) (1 7) 13 (16) I ~ ~.;~ PLD20GIOC 'CYPRESS Ordering Information IcC (rnA) 190 tpD (ns) fMAX 7.5 (MHz) 105 10 90 12 15 71 57 Ordering Code PLD20G 10C-7DC PLD20GlOC-7JC PLD20G lOC-7PC PLD20G lOC-lODC PLD20G lOC -lOJC PLD20G lOC-lOPC PLD20GlOC-lODMB PLD20GlOC-lOKMB PLD20G lOC-10LMB PLD20G 10C-12DC PLD20GlOC-12JC PLD20G lOC -12PC PLD20GlOC-12DMB PLD20GlOC-12KMB PLD20G lOC-12LMB PLD20GlOC-15DMB PLD20GlOC-15KMB PLD20GlOC-15LMB Package Name D14 J64 P13 D14 J64 P13 D14 K73 L64 D14 J64 p13 D14 K73 L64 D14 K73 L64 MILITARY SPECIFICATIONS Group A Subgroup Testing DC Characteristics Parameter Subgroups VOH 1,2,3 1,2,3 1,2,3 1,2,3 1,2,3 1,2,3 1,2,3 VOL VIH VrL Irx Ioz Icc Switching Characteristics Parameter Subgroups tpD 7, 8, 9, 7,8,9, 7, 8, 9, 7, 8, 9, teo ts tH 10, 11 10, 11 10, 11 10, 11 Document #: 38-A-00027-A 2-56 Package 1Ype 24-Lead (300-Mil) CerDIP 28-Lead Plastic Leaded Chip Carrier 24-Lead (300-Mil) Molded DIP 24-Lead (300-Mil) CerDIP 28-Lead Plastic Leaded Chip Carrier 24-Lead (300-Mil) Molded DIP 24-Lead (300-Mil) CerDIP 24-Lead Rectangular Cerpack 28-Square Leadless Chip Carrier 24-Lead (300-Mil) CerDIP 28-Lead Plastic Leaded Chip Carrier 24-Lead (300-Mil) Molded DIP 24-Lead (300-Mil) CerDIP 24-Lead Rectangular Cerpack 28-Square Leadless Chip Carrier 24-Lead (300-Mil) CerDIP 24-Lead Rectangular Cerpack 28-Square Leadless Chip Carrier Operating Range Commercial Commercial Military Commercial Military Military PLDC20RAIO Reprogrammable Asynchronous CMOS Logic Device Features • Advanced-user programmable macrocell • CMOS EPROM technology for reprogrammability • Up to 20 input terms • 10 programmable I/O macrocells • Output macrocell programmable as combinatorial or asynchronous Dtype registered output • Product-term control of register clock, reset and set and output enable • Register preload and power-up reset • Four data product terms per output macrocell - Military/Industrial tpD = 20 ns teo 20 ns tsu = 10 ns mabie logic device employing a flexible macrocell structure that allows any individual output to be configured independently as a combinatorial output or as a fully asynchronous D-type registered output. = • Low power - Icc max - 80 rnA (Commercial) -Icc max = 85 rnA (Military) The Cypress PLDC20RAlO provides lower-power operation with superior speed performance than functionally equivalent bipolar devices through the use of high -performance 0.8-micron CMOS manufacturing technology. • High reliability - Proven EPROM technology - >2001V input protection -100% programming and functional testing • Windowed DIP, windowed LCC, DIP, LCC, PLCC available Functional Description The Cypress PLDC20RAlO is a high-performance, second-generation program- • Fast - Commercial tpD 15 ns teo 15 ns tsu 7 ns = = = The PLDC20RAlO is packaged in a 24 pin 300-mil molded DIP, a 300-mil windowed cerDIP, and a 28-lead square leadless chip carrier, providing up to 20 inputs and 10 outputs. When the windowed device is exposed to UV light, the 20RAlO is erased and can then be reprogrammed. Logic Block Diagram I/Og 1/06 I/Os 1/00 Vee RA10-1 Selection Guide Generic Part Number tpD ns Com'l 20RAI0-15 15 20RAlO-20 20 tsu ns Mil/Ind Com'l teo ns Mil/Ind 7 20 10 Com'l Icc ns Mil/Ind 15 10 20 Com'l MiI/lnd 80 20 80 85 20RAI0-25 25 15 25 85 20RAI0-35 35 20 35 85 2-57 II =r ~'i~ PLDC20RAIO 'CYPRESS Pin Configurations STD PLCC/HLCC Top View LCC Top View ~-=31~ 12 5 13 14 15 16 17 NC 6 4 3 2'1; 282726 • • 25 9 g'~ .:- 31~ ~ 9 g'~ NC 1/02 1/03 1/04 1/05 1/06 1/07 10 11 JEDEC PLCC/HLCC [1] Top View 12131415161718 NC 13 14 NC 15 16 5 1/°2 1/°3 1/°4 1/05 1/°6 1/07 NC NC .!' RA10-2 _00_'" $I~ ~ ~ RA10-3 Macrocell Architecture Figure 1 illustrates the architecture of the 20RAlO macrocell. The cell dedicates three product terms for fully asynchronous control of the register set, reset, and clock functions, as well as, one term for control of the output enable function. The output enable product term output is ANDed with the input from pin 13 to allow either product term or hardwired external control of the output or a combination of control from both sources. If product-term-only control is selected, it is automatically chosen for all outputs since, for this case, the external output enable pin must be tied LOW The active polarity of each output may be programmed independently for each output cell and is subsequently fixed. Figure 2 illustrates the output enable options available. When an I/O cell is configured as an output, combinatorial-only capability may be selected by forcing the set and reset product term outputs to be HIGH under all input conditions. This is achieved by programming all input term programming cells for these two product terms. Figure 3 illustrates the available output configuration options. An additional four uncommitted product terms are provided in each output macrocell as resources for creation of user-defined logic functions. Programmable I/O Because any of the ten I/O pins may be selected as an input, the device input configuration programmed by the user may vary from a total of nine programmable plus ten dedicated inputs (a total of nineteen inputs) and one output down to a ten-input, ten-output configuration with all ten programmable I/O cells configured as outputs. Each input pin available in a given configuration is avail- 1/°2 1/°3 1/°4 NC 1/0 5 1/°6 1/07 12 13 14 NC 15 16 17 _00_'" $ ~I~~ ~ RA10-4 able as an input to the four control product terms and four uncommitted product terms of each programmable I/O macrocell that has been configured as an output. An I/O cell is programmed as an input by tying the output enable pin (pin 13) HIGH or by programming the output enable product term to provide a Law, thereby disabling the output buffer, for all possible input combinations. When utilizing the I/O macrocell as an output, the input path functions as a feedback path allowing the output signal to be fed back as an input to the product term array. When the output cell is configured as a registered output, this feedback path may be used to feed back the current output state to the device inputs to provide current state control of the next output state as required for state machine implementation. Preload and Power-Up Reset Functional testability of programmed devices is enhanced by inclusion of register preload capability, which allows the state of each register to be set by loading each register from an external source prior to exercising the device. Testing of complex state machine designs is simplified by the ability to load an arbitrary state without cycling through long test vector sequences to reach the desired state. Recovery from illegal states can be verified by loading illegal states and observing recovery. Preload of a particularregister is accomplished by impressing the desired state on the register output pin and lowering the signal level on the preload control pin (pinl) to a logic LOW level. If the specified preload set-up, hold and pulse width minimums have been observed, the desired state is loaded into the register. To insure predictable system initialization, all registers are preset to a logic LOW state upon power-up, thereby setting the active LOW outputs to a logic HIGH. Note: 1. The CG7C324 is the PLDC20RAIO packaged in the JEDEC-compatib1e 28-pin PLCC pinout. Pin fuction and pin order is identical for both PLCC pinouts. The principle differencd is in the location of the "no connect" (NC) pins. 2-58 PLDC20RAIO OUTPUT ENABLE (FROM PIN 13) PRELOAD (FROM PIN 1) TO I/O PIN I RA10-5 Figure I. PLDC20RAIO Macrocell Programmable Output Always Enabled ~- -~[)o-- RA10-7 RA10-6 Combination of Programmable and Hardwired External Pin RA10-8 RA10-9 Figure 2. Four Possible Output Enable Alternatives for the PLDC20RAIO 2-59 PLDC20RAIO Registered/Active LOW Combinatorial/Active LOW RA10-10 RA10-11 Combinatorial/Active HIGH Registered/Active HIGH RA10-12 Figure 3. Four Possible Macrocell Configurations for the PLDC20RAIO 2-60 RA10-13 .~ PLDC20RAIO 'CYPRESS Maximum Ratings (Above which the useful life may be impaired. For user guidelines, not tested.) Latch-Up Current ............................ >200 rnA DC Program Voltage .............................. 13.0V Storage Temperature ................... -6SoC to +1S0°C Ambient Temperature with Power Applied ........................ -SSoC to +12SoC Supply Voltage to Ground Potential (Pin 24 to Pin 12) ........................ -O.SV to +7.0V DC Voltage Applied to Outputs in High Z State .......................... -O.SV to +7.0V DC Input Voltage ...................... -3.0 V to + 7.0 V Output Current into Outputs (LOW) ............... 16 rnA Static Discharge Voltage ........................ > 2001 V (per MIL-STD-SS3, Method 301S) Operating Range Ambient Temperature Range Commercial Vee SV ± 10% O°C to +7SoC Industrial -40°C to +SSoC SV ± 10% Military[2] -SSoC to +12SoC SV ± 10% Electrical Characteristics Over the Operating Rangel3] Parameter VOR Description Test Conditions Output HIGH Voltage Vee = Min., VIN=VIHorVIL Min. lOR = -3.2 rnA Com'l = -2 rnA IOL = SrnA Mil/Ind lOR Max. 2.4 Unit V VOL Output LOW Voltage Vee = Min., VIN = VIHor VIL VIR Input HIGH Level Guaranteed Input Logical HIGH Voltage for All Inputs[4] VIL Input LOW Level Guaranteed Input Logical LOW Voltage for All Inputs[4] O.S V IIX Input Leakage Current Vss ~ VIN ~ Vee, Vee = Max -10 +10 !lA loz Output Leakage Current Vee = Max., Vss ~ VOUT ~ Vec -40 +40 I-tA Isc Output Short Circuit Currend5] Vcc = Max., VOUT = 0.SV[6] -30 -90 rnA ICCI Standby Power Supply Current Vcc= Max., VIN ICC2 Power SUP~7 Current at Frequency 5 = GND Outputs Open V CC = Max., Outputs Disabled (In High Z State) Device Operating af fMAX 0.5 Com'l 2.0 V V 7S rnA Mil/Ind SO rnA Com'l SO rnA Mil/Ind SS rnA Capacitance[S] Parameter Description CIN Input Capacitance COUT Output Capacitance Test Conditions VIN = 2.0 V @ f = 1 MHz VOUT = 2.0 V @ f = 1 MHz Max. Unit 10 pF 10 pF Notes: 2. 3. 4. TA is the "instant on" case temperature. See the last page of this specification for Group A subgroup testing information. These are absolute values with respect to devicee ground and all overshoots due to system or tester noise are included. 5. 6. 2-61 Tested initially and after any design or process changes that may affect these parameters. Not more than one output should be tested at a time. Duration ofthe short circuit should not be more than one second. VOUT = 0.5 V has been chosen to avoid test problems caused by tester ground degradation. II PLDC20RAIO AC Test Loads and Waveforms (Commercial) R14S7Q (470Q MIL) SV~ I OUTPUT SO pF ~~8~~~NG -= R14S7Q (470Q MIL) 90% S V 5 n R2 R2 OUTPUT GND 270Q 270Q (319Q Mil) S pF (319Q Mil) -= SCOPE I ~~8~~~NG OUTPUT Parameter tpXZ(-) tpXZ(+) -= SCOPE (a) Equivalent to: ALL INPUT PULSES 3.0V---- -= RAl0-15 RAl0-14 (b) THEVENIN EQUIVALENT (Commercial) ~ Equivalent to: 1.86V=Vthc THEVENIN EQUIVALENT (Military/Industrial) OUTPUT RAl0-16. ~ 2.02V=Vthc , Output Waveform-Measurement Level Vth l.SV 2_6V VOH O.5V O.SV VOL O.5V tpZX(+) Vthc Vx tpZX(-) Vthc Vx tER(-) l.SV VOH O.5V tER(+) 2_6V O.5V Vthc Vx tEA(-) Vthc Vx , O.5V VOL tEA(+) t t t t O.SV O.5V (c) 2-62 t t , F; ~ ~ F; ~ ~ ~ ~ Vx RAl0-1B Vx RAl0-19 VOH RAl0-20 VOL RA10-21 Vx RAl0-22 Vx RA10-23 VOH VOL .. RAl0-24 RAl0-25 RA10-17 , -.;~ PLDC20RAI0 'CYPRESS Switching Characteristics Over the Operating Rangel 3, 7, 8] Commercial -15 -20 Parameter Description tpD Input or Feedback to Non-Registered Output Min. Max. Min. Military/Industrial -25 -20 Max. Min. Max. 15 20 20 Min. Max. -35 Min. Max. Unit 25 35 ns tEA Input to Output Enable 15 20 20 30 35 ns tER Input to Output Disable 15 20 20 30 35 ns tpzx Pin 13 to Output Enable 12 15 15 20 25 ns tpxz Pin 13 to Output Disable 12 15 15 20 25 ns tco Clock to Output 15 20 20 25 35 ns tsu Input or Feedback Set-UpTime 7 15 10 10 20 ns tH Hold Time 3 5 3 5 5 ns tp Clock Period (tsu '+ tCO) 22 30 30 40 55 ns tWH Clock Width HIGH[5] 10 13 12 18 25 ns tWL Clock Width LOW[5] 10 13 12 18 25 ns fMAX Maximum Frequency (l/tp )[5] 45.5 33.3 33.3 25.0 18.1 MHz ts Input of Asynchronous Set to Registered Output 15 20 20 25 40 ns tR Input of Asynchronous Reset to Registered Output 15 20 20 25 40 ns tARW Asynchronous Reset Width[5] 15 20 20 25 25 ns tASW Asynchronous Set Width[5] 15 20 20 25 25 ns tAR Asynchronous Set/ Reset Recovery Time 10 12 12 15 20 ns twp Preload Pulse Width 15 15 15 15 15 ns tsup Preload Set-Up Time 15 15 15 15 15 ns tHP Preload Hold Time 15 15 15 15 15 ns Notes: 7. Part (a) of AC Test Loads was used for all parameters except tEA, tER, tpzx and tpxz, which use part (b). 8. The parameters tER and tpxz are measured as the delay from the input disable logic threshold transition to VOH - 0.5 V for an enabled 2-63 HIGH output or VOL +O.5V for an enabled LOW output. Please see part (c) of AC Test Loads and Waveforms for waveforms and measurement reference levels. PLDC20RAIO Switching Waveform INPUTS, REGISTERED FEEDBACK CP ASYNCHRONOUS RESET ASYNCHRONOUS SET OUTPUTS (HIGH ASSERTED) OUTPUT ENABLE INPUT PIN RA10-26 Preload Switching Waveform PIN 13 OUTPUT ENABLE REGISTER OUTPUTS PIN 1 PRELOAD CLOCK RA10-27 Asynchronous Reset ASYNCHRONOUS RESET OUTPUT RA10-28 Asynchronous Set ASYNCHRONOUS SET OUTPUT RA10-29 2-64 Functional Logic Diagram 1 .... --y - [t· ~ ~ - 0 7 3"""" ~ . h D· R ~~ It 22 ' 3" ~ II DR ~~ Z3 " ... 21 ~ ~ A ~ ...... 2' ttR' -~~ 3, ..... U 31 • P L- - h .n ~~~ .7 - fD ~17 -~ 55 8 .... • P ", L- 511 ...... g.J'o" := ........ ~ ~ L- ... -v [j • P h ~~ 10 .J'-. L- PL • p L- 72 ~ fD ~r ....., 71 11 R" DR" ~~ ID 71 8 • P .. ..:= R' fDR' [1 9 R P 6-" .a o PL - --~~ 5'" 7.]'0, 23 .... - .A PL • P ~ 13 D 3 • 7 . " 12 15 I i II 20 2l 2' 27 21 31 32 35 31 2-65 I - -.,~ PLDC20RAIO ,CYPRESS Ordering Information ICC2 tpD (ns) tsu (ns) tco (ns) 80 15 7 15 80 85 85 85 20 20 25 35 10 10 15 20 20 20 25 35 Ordering Code Package Name Package 1Ype Operating Range Commercial PLDC20RAI0-15HC H64 28-Pin Windowed Leaded Chip Carrier PLDC20RA1O-15JC J64 28-Lead Plastic Leaded Chip Carrier PLDC20RA1O-15PC P13 24-Lead (300-Mil) Molded DIP PLDC20RAI0-15WC W14 24-Lead (300-Mil) Windowed CerDIP CG7C324- A15HC H64 28-Pin Windowed Leaded Chip Carrier CG7C324- A15JC J64 28-Lead Plastic Leaded Chip Carrier PLDC20RA1O-20HC H64 28-Pin Windowed Leaded Chip Carrier PLDC20RA1O- 20JC J64 28-Lead Plastic Leaded Chip Carrier PLDC20RA1O- 20PC P13 24-Lead (300-Mil) Molded DIP PLDC20RA1O- 20WC W14 24-Lead (300-Mil) Windowed CerDIP CG7C324- A20HC H64 28-Pin Windowed Leaded Chip Carrier CG7C324- A20JC J64 28-Lead Plastic Leaded Chip Carrier PLDC20RA1O-20DI D14 24-Lead (300-Mil) CerDIP PLDC20RA1O-20JI J64 28-Lead Plastic Leaded Chip Carrier PLDC20RA1O- 20PI P13 24-Lead (300-Mil) Molded DIP PLDC20RAI0-20WI W14 24-Lead (300-Mil) Windowed CerDIP PLDC20RA1O- 20DMB D14 24-Lead (300-Mil) CerDIP PLDC20RAI0- 20HMB H64 28-Pin Windowed Leaded Chip Carrier PLDC20RA1O-20LMB L64 28-Square Leadless Chip Carrier PLDC20RA1O- 200MB 064 28-Pin Windowed Leadless Chip Carrier PLDC20RAI0-20WMB W14 24-Lead (300-Mil) Windowed CerDIP PLDC20RA1O-25DI D14 24-Lead (300-Mil) CerDIP PLDC20RA1O-25JI J64 28-Lead Plastic Leaded Chip Carrier PLDC20RAI0- 25PI P13 24-Lead (300-Mil) Molded DIP PLDC20RA1O-25WI W14 24-Lead (300-Mil) Windowed CerDIP PLDC20RA1O- 25DMB D14 24-Lead (300-Mil) CerDIP PLDC20RA1O- 25HMB H64 28-Pin Windowed Leaded Chip Carrier PLDC20RA1O- 25LMB L64 28-Square Leadless Chip Carrier PLDC20RA1O- 250MB 064 28-Pin Windowed Leadless Chip Carrier PLDC20RA1O- 25WMB W14 24-Lead (300-Mil) Windowed CerDIP PLDC20RA1O-35DI D14 24-Lead (300-Mil) CerDIP PLDC20RA1O-35JI J64 28-Lead Plastic Leaded Chip Carrier PLDC20RA1O-35PI P13 24-Lead (300-Mil) Molded DIP PLDC20RA1O-35WI W14 24-Lead (300-Mil) Windowed CerDIP PLDC20RA1O-35DMB D14 24-Lead (300-Mil) CerDIP PLDC20RAI0-35HMB H64 28-Pin Windowed Leaded Chip Carrier PLDC20RA1O-35LMB L64 28-Square Leadless Chip Carrier PLDC20RA1O-350MB 064 28-Pin Windowed Leadless Chip Carrier PLDC20RA1O-35WMB W14 24-Lead (300-Mil) Windowed CerDIP 2-66 Commercial Industrial Military Industrial Military Industrial Military ~~ PLDC20RAIO . 'CYPRESS MILITARY SPECIFICATIONS Group A Subgroup Testing DC Characteristics Parameter Subgroups VOH 1,2,3 VOL 1,2,3 VIR 1,2,3 VIL 1,2,3 IIX 1,2,3 Ioz 1,2,3 Icc 1,2,3 I Switching Characteristics Parameter Subgroups tpD 9,10,11 9,10,11 9,10,11 9,10,11 9,10,11 tpzx teo tsu tH Document #: 38-00073-E 2-67 This is an abbreviated datasheet. Contact a Cypress representative for complete specifications. For new designs, please refer to the PALC22VIOD. PALC22VIO Reprogrammable CMOS PAL® Device • 2S, 30, 40 ns military • Up to 22 input terms and 10 outputs • High reUability - Proven EPROM technology -100% programming and functional testing • Windowed DIP, windowed LeC, DIP, LCC, and PLCC available Features • Advanced second-generation PAL architecture • Lowpower - SS mA max. un' - 90 mA max. standard -120 mA max. miUtaay • CMOS EPROM technology for reprogrammability • Variable product terms - 2 x (8 through 16) product terms • User-programmable macroceU - Output polarity control - Individually selectable for registered or combinatorial operation • 20, 2S, 3S ns commercial and industrial Functional Description The Cypress PALC22V10 is a CMOS second-generation programmable logic array device. It is implemented with the familiar sum-of-products (AND-OR) logic structure and a new concept, the "programmable macrocel1." The PALC22V10 is available in 24-pin 300-mil molded DIPs, 300-mil windowed cerDIPs, 28-lead square ceramic leadless chip carriers, 28-lead square plastic leaded chip carriers, and provides up to 22 inputs and 10 outputs. When the windowed cerDIP is exposed to UV light, the 22V10 is erased and can then be reprogrammed. The programmable macrocell provides the capability of defining the architecture of each output individually. Each of the 10 potential outputs may be specified as registered or combinatorial. Polarity of each output may also be individually selected, allowing complete fleXibility of output configuration. Further configurability is provided through array-configurable output enable for each potential output. This feature allows the 10 outputs to be reconfigured as inputs on an individual basis, or aIternatelyused as a combination I/O CODtrolled by the programmable array. Logic Block Diagram (pDIP/CDIP) and Pin Configurations 'Iss CP/I PLee Lee Top View I >5 I 6 I NC I 9 10 11 , Top View --~~~gg '4 '3 '2 ;1' 282726 " •- 25 24 23 22 21 20 19 12131415161718 - - --~~~g'g 1/02 li03 1/0 4 NC 1/0 5 1/0 6 1/0 7 (1)0- '" co ~z ~~ V10-2 PAL is a registered trademark of Advanced Micro Devices. Document #: 38-00020-H 2-68 I N/C I I - - $ ~- ~ g V10-3 This is an abbreviated data sheet. Contact a Cypress Representative for complete specifications. For new designs, please refer to the PALC22VIOD. PALC22VIOB Reprogrammable CMOS PAL® Device Features • Advanced second-generation PAL architecture • Low power - 90 rnA max. standard -100 rnA max. military • CMOS EPROM technology for reprogrammability • Variable product terms - 2 x (8 through 16) product terms • User-programmable macrocell - Output polarity control - Individually selectable for registered or combinatorial operation - "IS" commercial and industrial 10 ns tco 10 ns ts • • • • 15 ns tpD 50 MHz - "IS" and "20" military 10/15 ns tco 10/17 ns ts 15/20 ns tpD 50/31 MHz Up to 22 input terms and 10 outputs Enhanced test features - Phantom array -Top test - Bottom test -Preload High reliability - Proven EPROM technology -100% programming and functional testing Windowed DIP, windowed LCC, DIP, LCC, PLCC available Functional Description The Cypress PALC22VlOB is a CMOS second-generation programmable logic array device. It is implemented with the familiar sum-of-products (AND-OR) logic structure and a new concept, the "Programmable Macrocell." The PALC22VIOB is executed in a 24-pin 300-mil molded DIP, a 300-mil windowed cerDIP, a 28-lead square ceramic leadless chip carrier, a 28-lead square plastic leaded chip carrier, and provides up to 22 inputs and 10 outputs. When the windowed cerDIP is exposed to UV light, the 22VIOB is erased and can then be reprogrammed. Logic Block Diagram (PDIP/CDIP) and Pin Configurations Vss CP/I 1104 1/01 1/°2 1/°3 1/°0 VCC V10B·1 LeC PLCC Top View Top View _ _ 1:i:&?886 OZ:5::::'::::::' --~~yg'~ 1/°2 1/°3 1 1 N/C --cnO;jlz moo QQ 1 1/0 4 N/C N/C 1/0 5 1/°6 1/°7 - V10B·2 PAL is a registered trademark of Advanced Micro Devices. Document #: 38-00195-A 2-69 - cnQ- ~z (J) co ~ ~ V10B·3 PAL22VIOC PAL22VPIOC Universal PAL® Device Features • Ultra high speed supports today's and tomorrow's fastest microprocessors -tPD = 6 ns -ts = 3 ns -fMAX = 117 MHz BiCMOS process and Ti-W fuses, the PAL22VlOC and PAL22VPlOC use the familiarsum-of-products(AND-OR)logic structure and a new concept, the programmable macrocell. • 10 user-programmable output macrocells - Output polarity control - Registered or combinatorial operation - 2 new feedback paths (PAL22VPI0C) • Synchronous PRESET, asynchronous RESET, and PRELOAD capability for flexible design and testability • High reliability - Proven Ti-W fuse technology - AC and DC tested at the factory • Reduced ground bounce and undershoot • PLCC and LCC packages with additional Vcc and Vss pins for lowest ground bounce . • Up to 22 inputs and 10 outputs for more logic power • Security Fuse Functional Description • Variable product terms - 8 to 16 per output The Cypress PAL22VlOC and PAL22VPIOC are second-generation programmable array logic devices. Using Both the PAL22VlOC and PAL22VPI0C provide 12 dedicated input pins and 10 I/O pins (see Logic Block Diagram). By selecting each I/O pin as either permanent or temporary input, up to 22 inputs can be achieved. Applications requiring up to 21 inputs and a single output, down to 12 inputs and 10 outputs can be realized. The output enable product term available on each I/O allows this selection. The PAL22VIOC and PAL22VPlOC feature variable product term architecture, where 8 to 16 product terms are allocated to each output. This structure permits more applications to be implemented with Logic Block Diagram and PDIP (P)/CDIP (D) and Pin Configurations Vss CP/I 1105 I 4 3 2:1: 282726 25 24 23 PAL22V1DC 22 9 PAL22VP1 DC 21 10 20 11 19 12131415161718 - - C/) 00- :!fl:!fl 1/°1 v10c·1 a: () () 85 --~~~g'g Vss 1/°2 PLCC (J)/CLCC (y) Top View LCC{L) Top View I I 11°3 11°4 --()~~:;":;,, 110 2 I 1/°2 1/°3 1/°4 Vss Vss I 1/05 1/°6 1/07 1/°3 11°4 Vss 1/05 11°6 11°7 m co gg - v10c·2 PAL is a registered trademark of Advanced Micro Devices. 2-70 - Cf) Cf)- :!fl:!fl m co gg v10c·3 • PAL22VIOC PAL22VPIOC -'f~ 'CYPRESS Functional Description (continued) Programmable Macrocell these devices than with other PAL devices that have fixed number of product terms for each output. The PAL22VlOC and PAL22VPIOC each has 10 programmable output macrocells (see Macrocell figure). On the PAL22VlOC two fuses (CI and Co) can be programmed to configure output in one of four ways. Accordingly, each output can be registered or combinatorial with an active HIGH or active LOW polarity. The feedback to the array is also from this output (see Figure 1). An additional fuse (C2) in the PAL22VPIOC provides for two feedback paths (see Figure 2). Additional features include common synchronous preset and asynchronous reset product terms. They eliminate the need to use standard product terms for initialization functions Both the PAL22VlOC and PAL22VPlOC automatically reset on power-up. In addition, the preload capability allows the output regIsters to be set to any desIred state during testing. Programming A security fuse is provided on each of these two devices to prevent copying of the device fuse pattern. The PAL22VIOC and PAL22VPlOC can be programmed using the QuickPro II programmer available from Cypress Semiconductor and also with Data I/O, Logical Devices, STAG and other programmers. Please contact your local Cypress representative for further information. W~th the programmable macrocells and variable product term archItecture, the PAL22VlOC and PAL22VPIOC can implement logic functions in the 700 to 800 gate array complexity, with the inherent advantages of programmable logic. Macrocell OE r----------------------.I I I I AR ~-+----------~~ D QI--------~ OUTPUT SELECT MUX Qt-....- - - I CP Key: AR SP OE CP SP INPUT/ FEEDBACK MUX S1 ~ C1 Co C2 [1] --------~------~----------------------------~ MACROCELL I I I I ~----------------------~ v10c·4 Output Macrocell Configuration CP] Cl Co Output'IYPe 0 0 0 Registered Active LOW Polarity Feedback Registered 0 0 1 Registered Active HIGH Registered X 1 0 Combinatorial Active LOW lIO X 1 1 Combinatorial Active HIGH I/O 1 0 0 Registered Active LOW lIO[I] 1 0 1 Registered Active HIGH lIO[I] Note: 1. PAL22VPlOC only. 2-71 = = = = Asynchronous RESET Synchronous PRESET Output Enable Clock Pulse • PAL22VIOC PAL22VPIOC AR AR C2 [1] = 0 C1 = 0 Co = 1 C2[1] = 0 C1 = 0 Co = 0 REGISTER FEEDBACK, REGISTERED, ACTIVE-LOW OUTPUT REGISTER FEEDBACK, REGISTERED, ACTIVE-HIGH OUTPUT C2 [1] = x C1 = 1 Co = 1 C2[1] = X C1 = 1 Co = 0 v10c-7 v10c-8 110 FEEDBACK, COMBINATORIAL, ACTIVE-LOW OUTPUT I/O FEEDBACK, COMBINATORIAL, ACTIVE-HIGH OUTPUT Figure 1. PAL22VIOC and PAL22VPIOC Macrocell Configurations AR SP SP v10c-9 v10c-10 I/O FEEDBACK, REGISTERED, ACTIVE-HIGH OUTPUT 110 FEEDBACK, REGISTERED, ACTIVE-LOW OUTPUT Figure 2. Additional Macrocell Configurations for the PAL22VPIOC 2-72 PAL22VIOC PAL22VPIOC -.;~ 'CYPRESS Selection Guide 22VIOC-6 22VPI0C-6 190 Commercial lee (rnA) 22VIOC-7 22VPIOC-7 190 22VIOC-IO 22VPI0C-IO 190 22VIOC-12 22VPIOC-12 190 190 12 Military tpD (ns) Commercial ts (ns) Commercial teo (ns) Commercial fMAX (MHz) Commercial 190 6.0 7.5 10 10 12 3.0 3.0 3.6 4.5 3.6 4.5 5.5 6.0 7.5 9.5 7.5 9.5 117 111 90 71 90 71 Military Military Military Military 22VI0C-15 22VPIOC-15 190 15 7.5 10 57 Maximum Ratings (Above which the useful life may be impaired. For user guidelines, not tested.) Storage Temperature .................. -65°C to + 150°C Ambient Temperature with Power Applied ....................... - 55 ° C to + 125 ° C Supply Voltage to Ground Potential ........ -0.5V to +7.0V DC Voltage Applied to Outputs in High Z State ........................... -0.5V to Vee DC Input Voltage ......................... -0.5V to Vee DC Input Current. . . . . . . . . . . . . . . . . . .. -30 rnA to + 5 rnA (except during programming) DC Program Voltage ............................ 10.0 V Operating Range Range Commercial Military[2] Ambient Temperature O°C to +70°C Vee 5V±5% -55°C to +125°C 5V±5% DC Electrical Characteristics Over the Operating Range Parameter VOH VOL Description Test Conditions Output HIGH Voltage = -3.2 rnA IOH = -2 rnA IOL = 16 rnA IOL = 12 rnA IOH Vee = Min., VIN = VIH or VIL Output LOW Voltage Vee = Min., VIN = VIH or VIL Min. Com'l Com'l Input HIGH Voltage Guaranteed Input Logical HIGH Voltage for All Inputs[3] Input LOW Voltage Guaranteed Input Logical LOW Voltage for All Inputs[3] IIX Input Leakage Current Vss~ VIN~2.7V, II Maximum Input Current VIN Ioz Output Leakage Current Ise Output Short Circuit Current lee Power Supply Current 0.5 V 2.0 V 0.8 V 50 flA Com'l 100 flA Mil 250 = Max. = Max., Vss~ VOUT~ Vee = Max., VOUT = 0.5V[4] Vee = Max., VIN = GND, Outputs Open V Mil VIL = Vee, Vee = Max. Uuit Mil VIH Vee Max. 2.4 -250 Vee -100 100 flA Vee -30 -120 rnA Com'l 190 rnA Mil 190 Capacitance[5] Parameter Max. Unit CIN Input Capacitance Description 8 pF COUT Output Capacitance 10 pF Notes: 2. tA is the "instant on" case temperature. 3. These are absolute values with respect to device ground. All overshoots due to system or tester noise are included. 4. Not more than one output should be tested at a time. Duration of the short circuit should not be more than one second. VOUT = O.SV has 5. 2-73 been chosen to avoid test problems caused by tester ground degradation. Tested initially and after any design or process changes that may affect these parameters. • ==:IF _ PAL22VIOC PAL22VPIOC ~ =====:;;:~ 'CYPRESS AC Test Loads and Waveforms R1238Q ALL INPUT PULSES 3.0V---- 5V : F l ( 3 1 9 MIL) Q OUTPUT j~8~~~NG SCOPE Equivalent to: I-= CL -= R2 170Q (236Q GND THEVENIN EQUIVALENT Parameter Vx tER(-) 1.5V J/K/LN o-------vvv---- Output Waveform-Measurement Level 2.08V = Vthc THEVENIN EQUIVALENT tER(+) 2.6V tEA(+) 1.5V tEA(-) 1.5V 136Q OUTPUT P/D 50pF v10c-16 v10c-11 Commercial Equivalent to: Package 15 pF[7] MIL) 99Q OUTPUT CL[6] 90% o-------vvv---- 2.13V = Vthm Military Notes: 6_ CL = 5 pF for tER measurement for all packages. 7. VOH 05V VOL 05V Vx 05V Vx 05V ~ ~ ~ ~ t ~ ~ t Vx v10c-12 Vx v10c-13 VOH v10c-14 VOL v10c-15 For high-capacitive load applications (CL = 50 pF), use PAL22VlOG/ PAL22VPlOG. Switching Characteristics[8] 22VIOC-6 22VIOC-7 22VIOC-IO 22VIOC-12 22VIOC-15 22VPIOC-6 22VPIOC-7 22VPIOC-IO 22VPIOC-12 22VPIOC-15 Description Min. Max. Min. Max. Min. Max. Min. Max. Min. Max. Unit tpD Input to Output Propagation Delay[9] 1 6 2 75 2 10 2 12 2 15 ns tEA Input to Output Enable Delay 1 6 2 75 2 10 i 12 2 15 ns tER Input to Delay[lO] 1 6 2 75 2 10 2 12 2 15 ns tco Clock to Output Delay[9] 1 55 1 6.0 1 75 1 95 1 10 ns ts Input or Feedback Set-Up Time 3 3 3.6 45 75 ns tH Input Hold Time 0 0 0 0 0 ns tp External Clock Period (tco + ts) 85 9 11.1 14 17.5 ns tWH Clock Width HIGH[5] 3 3 3 3 6 ns tWL Clock Width LOW[5] 3 3 3 3 6 ns fMAXI External Maximum Frequency (l/(tco + tS»[l1] 117 111 90 71 57 MHz fMAX2 Data Path Maximum Frequency (l/(tWH + twd)[5, 12] 166 166 166 166 83 MHz fMAX3 Internal Feedback Maximum Frequency (l/(tCF + tS»[13] 142 133 100 83 66 MHz tCF Register Clock to Feedback Inpud l4] tAW Asynchronous Reset Width tAR Asynchronous Reset Recovery Time Parameter Output Disable 4 45 6.4 75 75 ns 7.5 8.5 10 12 15 ns 4 5 6 7 10 ns 2-74 PAL22VIOC PAL22VPIOC Switching Characteristics[8] 22VIOC-6 22VIOC-7 22VIOC-IO 22VIOC-12 22VIOC-15 22VPIOC-6 22VPIOC-7 22VPIOC-IO 22VPIOC-12 22VPIOC-15 Parameter Description Min. Max. Min. Max. Min. Max. Min. Max. Min. Max. Unit 2 12 2 12 2 14 2 20 ns tAP Asynchronous Reset to Registered Output Delay 2 tSPR Synchronous Preset Recovery Time 4 5 6 7 10 ns tpR Power-Up Reset Time[15] 1 1 1 1 1 fls 11 Switching Waveform R~~Mi~~~'6 • ----- FEEDBACK SYNCHRONOUS _ _ _....L.V PRESET 14-_14----.1 CP - - - - - - - - ' 1 ASYNCHRO~E~~~ - ___________4-________~~JI REGISTERED OUTPUTS _ _ _ _ _ _ _l..JL~ COMBINATORIAL OUTPUTS v10c-17 Power-Up Reset Waveform[15] ~------------------------------------------------------VCC 1 POWER ________________ 4V "'"1-------~ REGISTERED ACTIVE LOW OUTPUT tpR----------------~.! -------------------------~~-----------------------------------------------~~ CLOCK v10c-18 Notes: 8. AC test load used for all parameters except where noted. 9. This specification is guaranteed for all device outputs changing state in a given access cycle. 10. This parameter is measured as the time after output disable input that the previous output data state remains stable on the output. This delay is measured to the point at which a previous HIGH level has fallen to 0.5 volts below VOH min. or a previous LOW level has risen to 0.5 volts above VOL max. 11. This specification indicates the guaranteed maximum frequency at which a state machine configuration with external feedback can operate. 12. This specification indicates the guaranteed maximum frequency at which an individual output register can be cycled. 13. This specification indicates the guaranteed maximum frequency at which a state machine configuration with internal only feedback can operate. This parameter is tested periodically by sampling production product. 14. This parameter is calculated from the clock period at fMAX internal (fMAX3) as measured (see Note 13) minus ts. 15. The registers in the PAL22VlOC/PAL22VPlOC have been designed with the capability to reset during system power-up. Following powerup, all registers will be reset to a logic LOW state. The output state will depend on the polarity of the output buffer. This feature is usefulin establishing state machine initialization. To insure proper operation, the rise in Vee must be monotonic and the timing constraints depicted in power-up reset waveforms must be satisfied. 2-75 PAL22VIOC PAL22VPIOC ~ ~PRESS -- Preload Waveform[16] PIN 13 (16) Vpp J.: t OPR1 ....\ PIN 2 (3) PIN 3 (4) I{ PIN 6(7) \ If PIN8 (10) \ \ , PIN 9 (11) PRELOAD DATA PINS 14-23 (17-21,23-27) t OPR2 "' l CLOCK PIN 1 (2) t OPR1 ~X t OPR2 tOPR1 -,Jl t OPR2 t ~OPR1 \- ) -r '- / ./ t OPR2 \. t OPR2 "- t OPR2 t OPR1 t OPR1 L t OPR1 X -,r---:. t OPR1 t OPR1 X I OUTPUTS DISABLED PRELOAD DATA CLOCKED IN PRELOAD DATA VILP or VIHP[17j REGISTE RS PRELOADED, OUTPUT ENABLE D PRELOAD DATA REMOVED v10c-19 D/K/P (J/LIY) Pinouts Forced Level on Register Pin During Preload Register Q Output State After Preload VIHP HIGH VILP LOW Name Description Min. Max. Unit VPP Programming Voltage 9.25 9.75 V tDPRl Delay for Preload 1 tDPR2 Delay for Preload 0.5 VILP Input LOW Voltage 0 0.4 V VIHP Input HIGH Voltage 3 4.75 V Veep Vee for Preload 4.75 5.25 V lAs lAS Notes: (The numbers in parenthesis are for the J, L, and Y pins). 16. Pins 4 (5), 5 (6), 7 (9) at VILP; Pins 10 (12) and 11 (13) at VIHP; V CC (Pin 24 (1 and 28» at V CeI' 17. Pins 2-8 (3-7, 9, 10), 10 (12), 11 (13) can be set at VIHP or VILP to insure asynchronous reset is not active. 2-76 PAL22VIOC PAL22VPIOC Functional Logic Diagram for PAL22VIOC/PAL22VPIOC 1 2) rC> 0 4 8 12 16 20 24 28 32 36 40 AR OE 0 ;6b ~ Lb7· ~c::1-- cell ~~ OE 0 Wo- ~tb · ~ cell ---f:::: 11 (4) OE 0 R--' (26) 21 (25) TT ~ ~ l= cell I> ~fr;J- 13 4 cell S~ · (5) =tb =tb rr ~ · 22 L-.o-- s OE 0 3 (27) ~ 9 2 (3) 23 20 (24) ::=[h ~~ IT =[h ~~ IT ::[h ~~ TT =[h, OE 0 1= t= I=~ ~ 5 OE 0 ---f::; I=~ 1= I=:~ OE 0 ~ ~ ~~ OE 0 ~ · SAt - - 9 9 ~ ~ C1--- --D7 (12) cell 6 ( 19) Tr ::[0, ~,. OE 0 · 11 (13) (20) I=: 8 10 17 cell ~ ~ ---f:::: 13 11 (11) (21) ~ 15 OE 0 · (10) 18 ~ 8 · 7 (9) cell 1= ~ ·· 6 (7) 19 (23) ~ ---f:::: 15 (6) cell ~ I> cell 5 (18) T-r ;:~ cell 14 (1 7) L.....-,- -i::;SP ~ D/KIP (J/L!Y) Pinouts 2-77 13 (16) v10c-20 I PAL22VIOC PAL22VPIOC 1Ypical DC and AC Characteristics NORMALIZED SUPPLY CURRENT vs. SUPPLY VOLTAGE 1.6 1.4 Jl1.2 ~ II: oZ 1.0 Y 0.8 ~ ~ /" .9 0 w 1.2 :::J N « ~ 1.0 II: 0 z 0.8 I 4.5 5.0 SUPPLY VOLTAGE 5.5 ~ " ----'---w 1.2 , - - - - - . , - - - - - - - , 0.9 0.8 4.0 25 125 AMBIENT TEMPERATURE (OC) 5.0 r--- 5.5 6.0 NORMALIZED SET·UP TIME vs. SUPPLY VOLTAGE OUTPUTSS~CHING o 4.5 --- SUPPLY VOLTAGE (V) TYPICAL CORRECTION TO tpD AND tco vs. NUMBER OF z '-...... :::J 1.0 « ::2 a: 0 M NORMALIZED PROPAGATION DELAYvs. TEMPERATURE 1\ N 0.6 -55 6.0 1.1 0 Z TA = 25°C 0.6 4.0 1.2 1.4 () o ~ ~ NORMALIZED PROPAGATION DELAYvs. SUPPLY VOLTAGE NORMALIZED SUPPLY CURRENT vs. AMBIENT TEMPERATURE O""'-r-~~~--'--~~~~ 1.2 i= ~ ~ -0.2 ~ 1.1 1 - - - - - - + - - - - - - - - 1 Cl w ~ 1.01-----~:;......------1 ~ a: o a:~ 8a: ;:0 -0.4 I--+-:'~+--I--+,...q..-+--f-I wa: 2:: 0 -0.6 I--+--+--+-:II'F---I--+--+--i---l ~ 0 (!l~ ~ ~ -0.8 l--+--::rIF--+--+--+-+-+--f-1 z 0.91'-----1--------1 ~·~'--5-----L.25-------1-:-'25· ~a:: -1.0 ~ -1 .2 1.2 1.1 1------1------::;1"""--1 81.1 g:j ::::i 1.01------it#-'--------1 « ::2 I\. ~ ~ 1.0 a: 1--~~--I--------1 25 1':--=-=--!-:~-=--:!:--!:--:!:-~ 0.9 0.8 4.0 125 AMBIENT TEMPERATURE (0C) o Z 4.5 5.0 5.5 SUPPLY VOLTAGE 6.0 M NORMALIZED CLOCK TO OUTPUT TIME vs. TEMPERATURE 1.4,....------.--------, o Cl 0.9 « ~ -....----- ~ "- a: o NORMALIZED CLOCK TO OUTPUT TIME vs. SUPPLY VOLTAGE NORMALIZED SET·UP TIME vs. TEMPERATURE ~ :::J 1.0 NUMBER OF DEVICE OUTPUTS CHANGING STATE PER ACCESS CYCLE 1.2 , . . . . - - - - - , - - - - - - - - , a: 1.1 N Z AMBIENT TEMPERATURE (OC) ~ ~ ow 0.9 0.8 4.0 "" --...... 4.5 5.0 SUPPLY VOLTAGE 0 ;: 1.3 0 w 1.2 N :::J '-....... 5.5 M « ::2 1.1 a: 0 Z 6.0 AMBIENT TEMPERATURE (0C) v10c·21 2-78 PAL22VIOC PAL22VPIOC 1Ypical DC and AC Characteristics (continued) DELTA tpo. teo vs. OUTPUT LOADING 8.0 OUTPUT SINK CURRENT E.. a() oIl. ~ 6.0 I----+----+---~~-I / w 90 ~ 75 Z II 2.0 I---"..."----+-~"""""-t---I ~ 60 Z en Iir I- ::::l o 25 50 75 45 30 15 / / 0.0 ..s 70 !zw 60 ~ 50 / " §5 o Vee = 5.0V TA = 25°C - I 1.0 2.0 3.0 4.0 '" "I\.. ~ 40 o OUTPUT VOLTAGE (V) CAPACITANCE (pF) vs. VOLTAGE ::::l II o 100 / J ::::l 4.0 1----+-----17'''---+----1 :...J W Cl <,120 .s 105 I- en OUTPUT SOURCE CURRENT <' vs. OUTPUT VOLTAGE r----,---...,.---,-----, "" 30 ~ 20 ~ 10 o 0 ~ ::::l ::::l 1.0 0.0 2.0 \ 3.0 OUTPUT VOLTAGE \ 4.0 M v10c·22 Ordering Information ICC tpD (mA) (ns) 190 6 fMAX (MHz) 117 7.5 111 Ordering Code PAL22VlOC-61C PAL22VlOC-7DC PAL22VlOC-71C PAL22VI0C-7PC PAL22VlOC-7YC 10 90 PAL22VlOC-lODC PAL22VI0C-101C PAL22VlOC-lOPC PAL22VI0C-lOYC PAL22VlOCM -lODMB PAL22VlOCM -lOKMB PAL22VlOCM -lOLMB PAL22VlOCM -lOYMB 12 71 PAL22VlOC-12DC PAL22VlOC-121C PAL22VlOC-12PC PAL22VlOC-12YC PAL22VlOCM -12DMB PAL22VlOCM -12KMB PAL22VlOCM -12LMB PAL22VlOCM -12YMB 15 57 PAL22VlOCM -15DMB PAL22VlOCM -15KMB PAL22VlOCM -15LMB PAL22VlOCM -15YMB Package Name 164 D14 164 P13 Y64 D14 164 P13 Y64 D14 Package 1Ype 28-Lead Plastic Leaded Chip Carrier 24-Lead (300-Mil) CerDIP 28-Lead Plastic Leaded Chip Carrier 24-Lead (300-Mil) Molded DIP 28-Pin Ceramic Leaded Carrier 24-Lead (300-Mil) CerDIP 24-Lead (300-Mil) CerDIP 24-Lead Rectangular Cerpack L64 Y64 D14 28-Square Leadless Chip Carrier 28-Pin Ceramic Leaded Carrier 24-Lead (300-Mil) CerDIP 164 28-Lead Plastic Leaded Chip Carrier P13 24-Lead (300-Mil) Molded DIP 28-Pin Ceramic Leaded Carrier L64 Y64 D14 K73 L64 Y64 2-79 Commercial 28-Lead Plastic Leaded Chip Carrier 24-Lead (300-Mil) Molded DIP 28-Pin Ceramic Leaded Carrier K73 Y64 D14 K73 Operating Range Commercial Commercial 24-Lead (300-Mil) CerDIP 24-Lead Rectangular Cerpack Military Commercial Military 28-Square Leadless Chip Carrier 28-Pin Ceramic Leaded Carrier 24-Lead (300-Mil) CerDIP 24-Lead Rectangular Cerpack 28-Square Leadless Chip Carrier 28-Pin Ceramic Leaded Carrier Military I PAL22VIOC PAL22VPIOC ==- -'f~~ ~ 'CYPRESS Ordering Information (continued) ICC (mA) 190 tpD (ns) 6 7.5 fMAX (MHz) 117 111 10 90 12 15 71 57 Ordering Code PAL22VPlOC-6JC PAL22VPI0C-7DC PAL22VPlOC-7JC PAL22VPlOC-7PC PAL22VPlOC-7YC PAL22VPlOC-lODC PAL22VPlOC-lOJC PAL22VPlOC-lOPC PAL22VPlOC-lOYC PAL22VPlOCM -lODMB PAL22VPlOCM -lOKMB PAL22VPlOCM -10LMB PAL22VPlOCM -lOYMB PAL22VPlOC-12DC PAL22VPlOC-12JC PAL22VPlOC-12PC PAL22VPlOC-12YC PAL22VPlOCM -12DMB PAL22VPlOCM -12KMB PAL22VPlOCM -12LMB PAL22VPlOCM -12YMB PAL22VPlOCM -15DMB PAL22VPlOCM -15KMB PAL22VPlOCM -15LMB PAL22VPlOCM -15YMB Package 1Ype J64 D14 J64 P13 Y64 D14 J64 P13 Y64 D14 K73 L64 Y64 D14 J64 P13 Y64 D14 K73 L64 Y64 D14 K73 L64 Y64 MILITARY SPECIFICATIONS Group A Subgroup Testing DC Characteristerics Parameters Subgroups VOH 1,2,3 VOL 1,2,3 VIH 1,2,3 1,2,3 VIL Irx Ioz Icc 1,2,3 1,2,3 1,2,3 Switching Characteristics Parameters Subgroups tpD 7, 8, 9, 10, 11 teo ts tH 7, 8, 9, 10, 11 7, 8, 9, 10, 11 7, 8, 9, 10, 11 Document #: 38-A-00020-D 2-80 28-Lead Plastic Leaded Chip Carrier 24-Lead (300-Mil) CerDIP 28-Lead Plastic Leaded Chip Carrier 24-Lead (300-Mil) Molded DIP 28-Pin Ceramic Leaded Carrier 24-Lead (300-Mil) CerDIP 28-Lead Plastic Leaded Chip Carrier 24-Lead (300-Mil) Molded DIP 28-Pin Ceramic Leaded Carrier 24-Lead (300-Mil) CerDIP 24-Lead Rectangular Cerpack 28-Square Leadless Chip Carrier 28-Pin Ceramic Leaded Carrier 24-Lead (300-Mil) CerDIP 28-Lead Plastic Leaded Chip Carrier 24-Lead (300-Mil) Molded DIP 28-Pin Ceramic Leaded Carrier 24-Lead (300-Mil) CerDIP 24-Lead Rectangular Cerpack 28-Square Leadless Chip Carrier 28-Pin Ceramic Leaded Carrier 24-Lead (300-Mil) CerDIP 24-Lead Rectangular Cerpack 28-Square Leadless Chip Carrier 28-Pin Ceramic Leaded Carrier Operating Range Commercial Commercial Commercial Military Commercial Military Military ~ This is an abbreviated data sheet. Contact a Cypress representative for complete specifications. For new designs, please refer to the PALC22VIOD or PAL22VIOG. 'CYPRESS Features • Ultra high speed supports today's and tomorrow's fastest microprocessors -tPD = 7.5 ns -ts = 3 ns - fMAX = 100 MHz - Drives SO-pF load (Cd • "No Connect" PLCC pinout • Up to 22 inputs and 10 outputs for more logic power • Variable product terms - 8 to 16 per output • 10 user-programmable output macrocells - Output polarity control - Registered or combinatorial operation - 2 new feedback paths (PAL22VPI0CF) PAL22VIOCF PAL22VPIOCF Universal PAL® Device • Synchronous PRESET, asynchronous RESET, and PRELOAD capability for flexible design and testability • High reliability - Proven Ti-W fuse technology - AC and DC tested at the factory • Security Fuse Functional Description The Cypress PAI22VlOCF and PAI22VPlOCF are second-generation programmable array logic devices. Using BiCMOS process and Ti-W fuses, the PAL22VlOCF and PAL22VPI0CF use the familiar sum-of-products (AND-OR) logic structure and a new concept, the programmable macro cell. Both the PAL22VlOCF and PAI22VPlOCF provide 12 dedicated input pins and 10 I/O pins (see Logic Block Diagram). By selecting each I/O pin as either permanent or temporary input, up to 22 inputs can be achieved. Applications requiring up to 21 inputs and a single output, down to 12 inputs and 10 outputs can be realized. The output enable product term available on each I/O allows this selection. The PAL22VlOCF and PAL22VPlQCF feature variable product-term architecture, where 8 to 16 product terms are allocated to each output. This structure permits more applications to be implemented with these devices than with other PAL devices that have fixed number of product terms for each output. Logic Block Diagram and PDIP (P)/CDIP (D) Pin Configuration Vss CPjl 10cl-1 Pin Configuration PLCC (J) Top View __ ~~9g'g I NC - - ;, ~- ~ ~ PAL is a registered trademark of Advanced Micro Devices. Document #: 38-A-00047 2-81 10cf-2 I PALC22VIOD Flash Erasable, Reprogrammable CMOS PAL® Device Features • Advanced second-generation PAL architecture • Lowpower - 90 mA max. commercial (10 ns) -130 mA max. commercial (7.5 ns) • CMOS nash EPROM technology for electrical erasabUity and reprogrammabillty • Variable product terms - 2 x (8 through 16) product terms • User-programmable macroceU - Output polarity control - Individually selectable for registered or combinatorial operation • Up to 22 input terms and 10 outputs • DIP, LCC, and PLCC available -7.5 ns commercial version S ns teo Snsts 7.5 nstpD 133-MHz state machine -10 ns military and industrial versions 6nsteo 6ns ts 10 ns tpD nO-MHz state machine -lS-ns commercial and military versions - 2S-ns commercial and military versions • High reUabillty - Proven nash EPROM technology -100% programming and functional testing Functional Description The Cypress PALC22VIO~ is ~ CMOS Flash Erasable second-generation programmable array logic device. It is implemented with the familiar sum-of-products (AND-OR) logic structure and the programmable macrocell. The PALC22VIOD is executed in a 24-pin 300-mil molded DIP, a 300-mil cerDIP, a 28-lead square ceramic leadless chip carrier,a28-leadsquareplasticleadedchipcarrier, and provides up to 22 inputs and 10 outputs. The 22VIOD can be electrically Logic Block Diagram (pDIP/CDIP) and Pin Configurations V10D-1 PLCC LCC Top View Top View __ ~~9g'~ I I NC I 1/°2 1/°3 1/0 4 I N/C 1/0 4 NC 1/0 5 1/0 6 I N/C 1/05 I/OS 1/0 7 1/°2 1/03 1/07 - - oou-$'z 0><0 V10D-2 gg PAL is a registered trademark of Advanced Micro Devices. 2-82 - - $ ~ - gg V10D-4 -- -"~ PALC22VIOD ; CYPRESS Functional Description (continued) erased andreprogrammed. The programmable macrocell provides the capability of defining the architecture of each output individually. Each of the 10 potential outputs may be specified as "reg.istered" or "combinatorial." Polarity of each output may also be llldividually selected, allowing complete flexibility of output configuration. Further configurability is provided through "array" configurable "output enable" for each potential output. This feature allows the 10 outputs to be reconfigured as inputs on an individual basis, or alternately used as a combination I/O controlled by the programmable array. PALC22V10D features a variable product term architecture. There are 5 pairs of product term sums beginning at 8 product terms per output and incrementing by 2 to 16 product terms p~r output. By providing this variable structure, the PALC22VlOD IS optimized to the configurations found in a majority of applications without creating devices that burden the product term structures with unusable product terms and lower performance. Additional features of the Cypress PALC22VlOD include a synchronous preset and an asynchronous reset product term. These product terms are common to all macro cells, eliminating the need to dedicate standard product terms for initialization functions. The device automatically resets upon power-up. 10 potential outputs are enabled using product terms. Any output pin may be permanently selected as an output or arbitrarily enabled as an output and an input through the selective use of individ~al pr?duct terms associated with each output. Each of these outputs IS achieved through an individual programmable macrocell. These macrocells are programmable to provide a combinatorial or registered inverting or non-inverting output. In a registered mode of operation, the output of the register is fed back into the array, providing current status information to the array. This information is available for establishing the next result in applications such as control state machines. In a combinatorial configuration, the combinatorial output or, ifthe output is disabled, the signal present on the I/O pin is made available to the array. The flexibility provided by both programmable product term control of the outputs and variable product terms allows a significant gain in functional density through the use of programmable logic. Along with this increase in functional density, the Cypress PALC22V10D provides lower-power operation through the use of . CMOS technology, and increased testability with Flash reprogrammability. Configuration Table Registered/Combinatorial The PALC22V1 aD, featuringprogrammablemacrocells and variable product terms, provides a device with the flexibility to implement logic functions in the 500- to 800-gate-array complexity. Since each of the 10 output pins may be individually configured as inputs on a temporary or permanent basis, functions requiring up to 21 inputs and only a single output and down to 12 inputs and 10 outputs are possible. The Cl Co a 0 Configuration Registered/Active LOW a 1 Registered/Active HIGH 1 0 Combinatorial/Active LOW 1 1 Combinatorial/Active HIGH Macrocell ,, , ~----------------------I AR , , >--.....'-----+--i Q 1--------1 D OUTPUT SELECT MUX 01--..----1 CP SP INPUT/ FEEDBACK MUX ,, C1 , Co --------~------------------~~~~~--------~ L _ _ _ _ _ _ _ _ _ _MACROCELL ____________ , ~ 2-83 V10D·5 I ~CYPRESS PALC22VIOD Maximum Ratings (Above which the useful life may be impaired. For user guidelines, not tested.) Storage Temperature .................. -65°C to + 150°C Ambient Temperature with Power Applied ....................... -55°C to +125°C Supply Volt~ge to Ground Potential (Pm 24 to Pm 12) ....................... -O.5V to +7.0V DC Voltage Applied to Outputs in High Z State ......................... -O.5V to +7.0V DC Input Voltage ....................... -O.5V to +7.0V Output Current into Outputs (LOW) .............. 16 rnA DC Programming Voltage ......................... 12.5V Latch-Up Current ........................... >200 rnA Static Discharge Voltage (per MIL-STD-883, Method 3015) .............. >2001V Operating Range Ambient Temperature Range Commercial Military[l] O°C to +75°C Vee 5V±5% -55°C to +125°C 5V ±10% Industrial -40°C to +85°C 5V ±10% Electrical Characteristics Over the Operating Rangd 2] Parameter Description Min. Test Conditions VOH Output HIGH Voltage Vee = Min., VIN = VIR or VIL IOH= -3.2 rnA Com'l IOH= -2 rnA Mil/Ind VOL Output LOW Voltage Vee = Min., VIN = VIR or VIL IOL = 16 rnA Com'l IOL = 12 rnA Mil/Ind Max. Unit 2.4 V V 0.5 VIH Input HIGH Level Guaranteed Input Logical HIGH Voltage for All Inputs[3] 2.0 VIL[4] Input LOW Level Guaranteed Input Logical LOW Voltage for All Inputs[3] -0.5 0.8 V IIX Input Leakage Current Vss.5. VIN.5. Veo Vee = Max. -10 10 flA Ioz Output Leakage Current Vee = Max., Vss.5. VOUT .5. Vee -40 40 flA Ise Output Short Circuit Current Vee = Max., V OUT = 0.5V[S,6] -30 -90 rnA IcC! Standby Power Supply Current Ieez l6J Operating Power Supply Current 10,15,25 ns Vee = Max., VIN = GND, 7.5 ns Outputs Open in Unprogrammed 15,25 ns Device 10 ns Com'l 10,15,25 ns Com'l Vee = Max., VIL = Ov, VIR = 3V, Output Open, Device Programmed as a lO-Bit Counter, f= 25 MHz Mil/Ind 7.5 ns 15,25 ns Mil/Ind lOns V 90 rnA 130 rnA 120 rnA 120 rnA 110 rnA 140 rnA 130 rnA 130 rnA Capacitance[6] Parameter CIN COUT Description Input Capacitance Output Capacitance Test Conditions VIN = 2.0V @ f = 1 MHz Min. VOUT = 2.0V @ f = 1 MHz Max. 10 10 Unit pF pF Endurance Characteristics[6] Description Minimum Reprogramming Cycles Test Conditions Normal Programming Conditions Notes: 1. 2. 3. 4. TA is the "instant on" case temperature. See the last page of this specification for Group A subgroup testing information. These are absolute values with respect to device ground. All overshoots due to system or tester noise are included. VIL (Min.) is equal to -3.0V for pulse durations less than 20 ns. 5. 6. 2-84 Not more than one output should be tested at a time. Duration of the short circuit should not be more than one second. VOUT = O.5V has been chosen to avoid test problems caused by tester ground degradation. Tested initially and after any design or process changes that may affect these parameters. -- -.,:z. 7 CYPRESS PALC22VIOD AC Test Loads and Waveforms R1238Q R1238Q OUTP:~:F9(319Q MIL) 5V:F1(319QMIL) OUTPUT INCLUDING JIG AND SCOPE I-= R2170Q (236Q MIL) CL I-= INCLUDING JIG AND SCOPE -= R2170Q (236Q MIL) 5 pF OUTPUT O--IP----1750Q (1.2KQ CL MIL) r -= V10D-6 (a) (c) (b) ALL INPUT PULSES 3.0V---90% I GND V10D-7 (d) Equivalent to: THEVENIN EQUIVALENT (Military) Equivalent to: THEVENIN EQUIVALENT (Commercial) 136Q 99Q OUTPUT o-----vw------- 2.08V = Vthc OUTPUT o-----vw------- 2.13V = Vthm V10D-8 Load Speed 7.5,10, 15, 25 os CL 50pF Package PDIP, CDIp, PLCC,LCC V10D-9 Parameter Vx tER(-) 1.5V VOH 0.5V tER(+) 2.6V tEA(+) OV tEA(-) Output Waveform-Measurement Level Vthc ~ VOL 0.5V Vx 1.5V Vx 0.5V ~ ~ ~ ~ ~ ~ ~ (e) Test Waveforms 2-85 VX V10D-10 Vx V10D-11 VOH V10D-12 VOL V10D-13 \.~CYPRESS PALC22VIOD Commercial Switching Characteristics (PALC22VIOD) [2, 7] 22VIOD-7 Parameter Description 22VIOD-IO 22VIOD-15 Min. Max. Min. Max. Min. Max. Unit 3 7.5 3 10 3 15 ns tpD Input to Output Propagation Delay[8, 9] tEA Input to Outp'ut Enable Delay[lO] 8 10 15 ns tER Input to Output Disable Delay[ll] 8 10 15 ns 8 ns tco Clock to Output Delay[8, 9] 2 tSI Input or Feedback Set-Up Time 5 6 10 tS2 Synchronous Preset Set-Up Time 6 7 10 ns tH Input Hold Time 0 0 a ns tp External Clock Period (tco 10 12 20 ns tWH Clock Width HIGH[6] 3 3 6 ns tWL Clock Width LOW[6] 3 3 6 ns fMAXI External Maximum Frequency (l!(tco + tS»[12] 100 76.9 55.5 MHz fMAX2 Data Path Maximum Frequency (l!(tWH + twd)[6, 13] 166 142 83.3 MHz fMAX3 Internal Feedback Maximum Frequency (l!(tCF + tS»[6, 14] 133 111 68.9 MHz tCF Register Clock to Feedback Inputl 6, 15] tAW Asynchronous Reset Width 8 10 15 ns tAR Asynchronous Reset Recovery Time 5 6 10 ns tAP Asynchronous Reset to Registered Output Delay tSPR Synchronous Preset Recovery Time 6 8 10 ns tpR Power-Up Reset Timd6, 16] 1 1 1 f!s + ts) 5 2 2.5 7 2 3 12 ns 4.5 13 20 ns ns Notes: 7. Part (a) of AC Test Loads and Waveforms is used for all parameters except tER and tEA( +). Part (b) of AC Test Loads and Waveforms is used for tER. Part (c) of AC Test Loads and Waveforms is used for tEA( +). 8. Min. times are tested initially and after any design or process changes that may affect these parameters. 9. This specification is guaranteed for all device outputs changing state in a given access cycle. 10. The test load of part (a) ofACTest Loads and Waveforms is used for measuring tEA(-l' The test load of part (c) of AC Test Loads and Waveforms is used for measuring tEA( + ) only. Please see part (e) of AC Test Loads and Waveforms for enable and disable test waveforms and measurement reference levels. 11. This parameter is measured as the time after output disable input that the previous output data state remains stable on the output. This delay is measured to the point at which a previous HIGH level has fallen to 0.5 volts below VOH min. or a previous LOW level has risen to 0.5 volts above VOL max. Please see part (e) of AC Test Loads and Waveforms 12. 13. 14. 15. 16. 2-86 for enable and disable test waveforms and measurement reference levels. This specification indicates the guaranteed maximum frequency at which a state machine configuration with external feedback can operate. This specification indicates the guaranteed maximum frequency at which the device can operate in data path mode. This specification indicates the guaranteed maximum frequency at which a state machine configuration with internal only feedback can operate. This parameter is calculated from the clock period at fMAX internal (l/fMAX3) as measured (see Note 11 above) minus ts. The registers in the PALC22VlOD have been designed with the capability to reset during system power-up. Following power-up, all registers will be reset to a logic LOW state. The output state will depend on the polarity of the output buffer. This feature is useful in establishing state machine initialization. To insure proper operation, the rise in Vee must be monotonic and the timing constraints depicted in Power-Up Reset Waveform must be satisfied. --;:Z PALC22VIOD 'CYPRESS Military and Industrial Switching Characteristics (PALC22VIOD) [2, 7] 22VIOD-IO Parameter Description 22VIOD-15 22VIOD-25 Min. Max. Min. Max. Min. Max. Unit 3 10 3 15 3 25 ns tpD Input to Output Propagation Delay[8, 9] tEA Input to Output Enable Delay[lO] 10 15 25 ns tER Input to Output Disable Delay[ll] 10 15 25 ns tco Clock to Output Delay[8, 9] 2 15 ns tSI Input or Feedback Set-Up Time 6 10 18 ns tS2 Synchronous Preset Set-Up Time 7 10 18 ns tH Input Hold Time 0 0 0 ns tp External Clock Period (tco 12 20 33 ns tWH Clock Width HIGH[6] 3 6 14 ns tWL Clock Width LOW[6] 3 6 14 ns fMAXI External Maximum Frequency (l/(tco + tS»[12] 76.9 50.0 30.3 MHz fMAX2 Data Path Maximum Frequency (l/(tWH + twd)[6, 13] 142 83.3 35.7 MHz fMAX3 Internal Feedback Maximum Frequency (1/(tCF + tS»[6, 14] 111 68.9 32.2 MHz tCF Register Clock to Feedback Inputl6, 15] + ts) 7 2 3 8 2 4.5 13 ns tAW Asynchronous Reset Width 10 15 25 ns tAR Asynchronous Reset Recovery Time 6 12 25 ns tAP Asynchronous Reset to Registered Output Delay tSPR Synchronous Preset Recovery Time 8 20 25 ns tpR Power-Up Reset Timd6, 16] 1 1 1 Ils 12 2-87 25 20 ns I PALC22VIOD rcYPRESS Switching Waveform R~~Mi~~~% ---T"7000. FEEDBACK SYNCHRONOUS ---~ PRESET CP ASYNCHRONOUS RESET -------+~----+_JI REGISTERED OUTPUTS _ _ _ _ _ _...t...;.¥.,V COMBINATORIAL OUTPUTS _ _ _ _ _ _ _ _ _ _ _--'-~ V10D-14 Power-Up Reset Waveform[16] POWER SUPPLY VOLTAGE 10% ~~9~0~%-----------------------""VCC ------.::If''" ~------------ tpR------------~ REGISTERED -------1-t------~~~~~~~~~~~-------- ACTIVE LOW OUTPUTS -------i-+-------'~~~~~~~~ CLOCK V10D-1S 2-88 PALC22VIOD Functional Logic Diagram for PALC22VIOD 1-ri> 4 1 1 2 4 8 3 36 40 AR OE ~~ ::~ "'" ~th 0 · --f:>7 OE --I 9 ~ OE 0 · " ::j~ 11 3 OE 0 ~ "'" / 4 ~srr- 13 OE 0 · 5 "'- 0 "'- · ~ 15 OE 0 22 L-.- ::~ 21 cell 11" ::~ 20 cell IT ~1 ceil ::~ 9 18 ceil T ::tb srr"" · 7 ~ cell ~ IT 15 OE 6 23 -,. 0 2 cell 13 OE 0 H ~ ~ · =t-'" S~ 11 8 cell 17 rr ::~16 ~ cell TT f~ ::th'5 OE 0 · cell 9 ..., 9 OE 0 ~ · @ ~ 7 10 :-~ ~~ -v SP ""T"T" cell ~ /"t 11 13 V10D-16 2-89 14 • PALC22VIOD Ordering Information (rnA) Ice tpD (ns) ts (ns) teo (ns) 130 7.5 5 5 90 10 150 10 15 90 120 15 25 6 6 7.5 7.5 15 7 7 10 10 15 Ordering Code Package Name PALC22V10D-7JC J64 28-Lead Plastic Leaded Chip Carrier PALC22V10D-7PC P13 24-Lead (300-Mil) Molded DIP PALC22VlOD-10JC J64 28-Lead Plastic Leaded Chip Carrier PALC22VlOD-lOPC P13 24-Lead (300-Mil) Molded DIP PALC22V10D-lODMB D14 24-Lead (300-Mil) CerDIP PALC22VlOD-101l J64 28-Lead Plastic Leaded Chip Carrier PALC22V10D-lOKMB K73 24-Lead Rectangular Cerpack PALC22V10D-lOLMB L64 28-Square Leadless Chip Carrier PALC22VlOD-lOPI P13 24-Lead (300-Mil) Molded DIP PALC22VlOD-15JC J64 28-Lead Plastic Leaded Chip Carrier PALC22V10D-15PC P13 24-Lead (300-Mil) Molded DIP PALC22VlOD-15DMB D14 24-Lead (300-Mil) CerDIP PALC22VlOD-1511 J64 28-Lead Plastic Leaded Chip Carrier PALC22VlOD-15KMB K73 24-Lead Rectangular Cerpack PALC22VlOD-15LMB L64 28-Square Leadless Chip Carrier PALC22VlOD-15PI P13 24-Lead (300-Mil) Molded DIP PALC22VlOD-25DMB D14 24-Lead (300-Mil) CerDIP PALC22VlOD - 2511 J64 28-Lead Plastic Leaded Chip Carrier PALC22V10D-25KMB K73 24-Lead Rectangular Cerpack PALC22VlOD-25LMB L64 28-Square Leadless Chip Carrier PALC22VlOD -25PI P13 24-Lead (300-Mil) Molded DIP MILITARY SPECIFICATIONS Group A Subgroup Testing DC Characteristics Parameter Subgroups VOH VOL VIH VIL IIX Ioz IcC 1,2,3 1,2,3 1,2,3 1,2,3 1,2,3 1,2,3 1,2,3 Switching Characteristics Parameter Subgroups tpD 9,10,11 9,10,11 9,10,11 9,10,11 teo ts tH Package 1Ype Document #: 38-00185-G 2-90 Operating Range Commercial Commercial Military/ Industrial Commercial Military/ Industrial PAL22VIOG PAL22VPIOG PRELIMINARY Universal PAL® Device Features • Ultra high speed supports today's and tomorrow's fastest microprocessors -tPD = 4ns -ts = 2.5 ns - fMAX = 166 MHz (External) • Reduced ground bounce and undershoot • PLCC and LCC packages with additional Vee and Vss pins for lowest ground bounce • Up to 22 inputs and 10 outputs for more logic power • Variable product terms - 8 to 16 per output • 10 user-programmable output macrocells - Output polarity control - Registered or combinatorial operation - 2 new feedback paths (PAL22VPI0G) • Synchronous PRESET, asynchronous RESET, and PRELOAD capability for flexible design and testability • High reliability - Proven Ti-W fuse technology - AC and DC tested at the factory • Security Fuse Functional Description The Cypress PAl22VlOG and PAl22VPlOG are second-generation programmable array logic devices. Using BiCMOS process and Ti-W fuses, the PAL22V10GandPAL22VP10Gusethefamiliar sum-of-products (AND-OR) logic structure and a new concept, the programmabIe macrocell. Both the PAL22V10G and PAL22VPlOG provide 12 dedicated input pins and 10 I/O pins (see Logic Block Diagram). By selecting each I/O pin as either permanent or temporary input, up to 22 inputs can be achieved. Applications requiring up to 21 inputs and a single output, down to 12 inputs and 10 outputs can be realized. The output enable product term available on each I/O allows this selection. The PAL22V10G and PAL22VPlOG feature variable product term architecture, where 8 to 16 product terms are allocated to each output. This structure permits more applications to be implemented with these devices than with other PAL devices that have fixed number of product terms for each output. Logic Block Diagram and PDIP (P)/CDIP (D) Pin Configuration CP/I Vss 1109 1/°8 1/°7 1/°6 1/°4 1/°5 1/°3 1/°2 1/°1 v10g-1 Vss PLCC (J) Top View LCC(L) Top View DIP (P,D) Top View CP/I Vcc 1/°0 Pin Configurations __ l\:uuBo u5t5t;;:,:::, __ l\:uuBrS u5t5t:::,:::, Vee 1/00 1/°1 1/°2 1/°3 1/04 1/05 I/Os 1/0 7 I/Os I/Og I I Vss I 4 3 2:1: 282726 25 24 23 PAL22V10G 22 PAL22VP10G 9 21 10 20 11 19 12131415161718 1/0 2 1/03 1/04 Vss 1/0 5 1/°6 1/0 7 --CI)U)-O)a;) v10g-2 :§P:§P PAL is a registered trademark of Advanced Micro Devices. 2-91 ~~ 1/°2 1/0 3 1/°4 Vss 1/05 1/°6 1/°7 I Vss I - v10g-3 - (J) (f)- :§P:§P 0) ex) ~ ~ v10g-4 • LJP~ PRELIMINARY .'CYPRESS PAL22VIOG PAL22VPIOG Functional Description (continued) Programmable Macrocell Additional features include common synchronous preset and asynchronous reset product terms. They eliminate the need to use standard product terms for initialization functions Both the PAL22VlOG and PAL22VPlOG automatically reset on power-up. In addition, the preload capability allows the output registers to be set to any desired state during testing. A security fuse is provided on each of these two devices to prevent copying of the device fuse pattern. With the programmable macrocells and variable product term architecture, the PAL22VlOG and PAL22VPlOG can implement logic functions in the 700 to 800 gate array complexity, with the inherent advantages of programmable logic. The PAL22VI0G and PAL22VPI0G each has 10 programmable output macrocells (see Macrocell figure). On the PAL22VlOG two fuses (C1 and Co) can be programmed to configure output in one of four ways. Accordingly, each output can be registered or combinatorial with an active HIGH or active LOW polarity. The feedback to the array is also from this output (see Figure 1). An additional fuse (C2) in the PAL22VPlOG provides for two feedback paths (see Figure 2). Programming The PAL22VI0G and PAL22VPlOG can be programmed using the Impulse3 programmer available from Cypress Semiconductor and also with Data I/O, Logical Devices, STAG and other programmers. Please contact your local Cypress representative for further information. Macrocell OE r----------------------, I I I I I I AR r--+----------.-~ D Q 1-------1 OUTPUT SELECT MUX 01--.-----1 CP Key: AR = SP = OE = CP = SP INPUT/ FEEDBACK MUX S1 ~ C1 Co --------~------~------------------------------~ C2 [1] L _ _ _ _ _ _ _ _ _ _MACROCELL ___________ _ ---------+-------' v10g-5 Output Macrocell Configuration C2[1J Cl Co Output'JYpe 0 0 0 Registered 0 0 1 Registered Active HIGH Registered X 1 0 Combinatorial Active LOW I/O Polarity Active LOW Feedback Registered X 1 1 Combinatorial Active HIGH I/O 1 0 0 Registered Active LOW 1/0[1] 1 0 1 Registered Active HIGH 1/0[1] Notes: 1. PAL22VPIOG only. 2-92 Asynchronous RESET Synchronous PRESET Output Enable Clock Pulse PAL22VIOG PAL22VPIOG PRELIMINARY AR AR C 2 [11= 0 C2[11= C1 = 0 Co = 0 0 = 0 Co = 1 C1 v10g-6 v10g-7 REGISTER FEEDBACK, REGISTERED, ACTIVE-LOW OUTPUT REGISTER FEEDBACK, REGISTERED, ACTIVE-HIGH OUTPUT C2 [1 1= X C1 = 1 Co = 0 C2[1 1= X C1 = 1 Co = 1 v10g-9 v10g-B I/O FEEDBACK, COMBINATORIAL, ACTIVE-LOW OUTPUT I/O FEEDBACK, COMBINATORIAL, ACTIVE-HIGH OUTPUT Figure I. PAL22VIOG and PAL22VPIOG Macrocell Configurations AR AR SP SP v10g-10 v10g-11 I/O FEEDBACK, REGISTERED, ACTIVE-LOW OUTPUT I/O FEEDBACK, REGISTERED, ACTIVE-HIGH OUTPUT Figure 2. Additional Macrocell Configurations for the PAL22VPIOG 2-93 • _.~ -=--, -=r PAL22VIOG PAL22VPIOG PRELIMINARY CYPRESS Selection Guide Icc (rnA) Commercial tpD (ns) Commercial 22VI0G-4 22VPI0G-4 190 22VI0G-S 22VPI0G-S 190 22VI0G-6 22VPI0G-6 190 4 5 6.0 7.5 Military 22VI0G-7 22VPI0G-7 190 190 Commercial Military 2.5 2.5 3.0 7.5 3.0 3.0 Commercial 3.5 4/4.5 5.5 6.0 166 153.8 117 6.0 111 Military ts (ns) teo (ns) Military fMAX (MHz) (External) Commercial 111 Military 22VI0G-I0 22VPI0G-I0 190 190 10 10 3.6 3.6 7.5 7.5 90 90 Maximum Ratings (Above which the useful life may be impaired. For user guidelines, not tested.) Storage Temperature .................. -65°C to +150°C Ambient Temperature with Power Applied ....................... -55°C to +125°C Supply Voltage to Ground Potential ........ -O.5V to +7.0V DC Voltage Applied to Outputs in High Z State ........................... -O.5V to Vee DC Input Voltage ......................... -O.5V to Vee DC Input Current .................... - 30 rnA to +5 rnA (except during programming) DC Program Voltage .............................. lOV Junction Temperature (PLCC) ....... , ............ 150°C Operating Range Range Commercial Military[2] Ambient Temperature O°Cto +70°C Vee 5V±5% -55°C to + 125°C 5V ± 10% DC Electrical Characteristics Over the Operating Range Parameter VOH Description Output HIGH Voltage Test Conditions Min. Max. Unit Vee = Min., VIN = VIH or VIL IOH = -3.2 rnA Com' I 2.4 V IOH= -2 rnA Mil 2.4 V IOL = 16 rnA Com'l IOL = 12 rnA Mil VOL Output LOW Voltage Vee = Min., VIN = VIH or VIL VIH Input HIGH Voltage Guaranteed Input Logical HIGH Voltage for All Inputs[3] VIL Input LOW Voltage Guaranteed Input Logical LOW Voltage for All Inputs[3] IIX Input Leakage Current Vss.5. VIN.5. 2.7V, Vee = Max. II Maximum Input Current VIN = Vee, Vee = Max. 0.5 V 0.5 V 2.0 V 0.8 V 50 ~A Com'l 100 ~A Mil 250 flA -250 Ioz Output Leakage Current Vee = Max., V ss .5. VOUT .5. Vee -100 100 flA Ise Output Short Circuit Current Vee = Max., VOUT = 0.5V[4] -30 -120 rnA Icc Power Supply Current Vee = Max., VIN = GND, Outputs Open Com'l 190 rnA Mil 190 Notes: 2. tA is the "instant on" case temperature. 3. These are absolute values with respect to device ground. All overshoots due to system or tester noise are included. 4. 2-94 Not more than one output should be tested at a time. Duration of the short circuit should not be more than one second. VOUT = O.5V has been chosen to avoid test problems caused by tester ground degradation. PAL22VIOG PAL22VPIOG PRELIMINARY Capacitance[5] Parameter Description Unit '!Yp. CrN Input Capacitance 6 pF COUT Output Capacitance 8 pF AC Test Loads and Waveforms R1238Q ALL INPUT PULSES OUTP~~ : F l ( 3 1 9MIL) Q INCLUDING JIG AND SCOPE I-= 3.0V---90% R2170Q (236Q MIL) CL -= GND Equivalent to: THEVENIN EQUIVALENT 99Q OUTPUT v10g-17 v10g-12 o-------wv----- Parameter Vx tER(-) 1.5V 2.08V = Vthc Commercial Equivalent to: THEVENIN EQUIVALENT tER(+) 2.6V tEA(+) L5V tEA(-) 1.5V 136Q OUTPUT o-------wv----Military 2.13V = Vthm Notes: 5. 6. Tested initially and after any design or process changes that may affect these parameters. CL = 5 pF for tER measurement for all packages. 2-95 Output Waveform-Measurement Level VOH O.5V ~ VOL O.5V Vx O.5V Vx O.5V ~ ~ I t: I t: ~ Vx v10g-13 Vx v10g-14 VOH v10g-15 VOL v10g-16 • PAL22VIOG PAL22VPIOG ~ PRELIMINARY rCYPRESS Switching Characteristics[7) Parameter tpD tEA tER tco ts tH tp tWH tWL fMAXl fMAX2 fMAX3 tCF tAW tAR tAP tSPR tpR Description Input to Output Propagation Delay[8) Input to Output Enable Delay Input to Output Disable Delay[9) Clock to Output Delay[8) Input or Feedback Set-Up Time Input Hold Time External Clock Period (tco + ts) OockWidthHlGHL:lJ Oock Width WWL5J External Maximum Frequency (lJ(tco + tS))[lO) Data Path Maximum Frequency [5, 11, 12) Internal Feedback Maximum Fre~uency (lJ(tCF + ts))[ , 13) Register Clock to Feedback Input[14) Asynchronous Reset Width Asynchronous Reset Recovery Time Asynchronous Reset to Registered Output Delay Synchronous 'l"i'eset Recovery Time Power-Up Reset Timd 15 ) 22VIOG-4 22VPIOG-4 Min. Max. 1 4 22VIOG-5 22VPIOG-5 Min. Max. 1 5 22VIOG-6 22VPIOG-6 Min. Max. 1 6 22VIOG-7 22VPIOG-7 Min. Max. 2 7.5 22VIOG-IO 22VPIOG-IO Min. Max. 10 2 Unit ns 1 5 1 6 1 6 2 7.5 2 10" ns 1 4 1 5 1 6 2 7.5 2 10 ns 1 3.5 1 4 1 5.5 1 6.0 1 7.5 ns 2.5 2.5 3 3 3.6 ns 0 6.0 0 6.5 0 8.5 0 9 0 11.1 ns ns 2.0 2.0 166 2.5 2.5 153.8 3 3 117 3 3 111 3 3 90 ns ns MHz 250 200 166 166 133 MHz 181.8 181.8 142 133 100 MHz 3 4 3 4.5 6.4 ns 5 6 7.5 8.5 10 ns 4 4 4 5 6 ns 2 4 1 6 . 7 2 2 11 2 12 2 12 ns 4 4 5 6 ns 1 1 1 1 [lS Notes: 7. AC test load used for all parameters except where hoted. 8. Th~~ specification is guaranteed for all device outputs changing state in a gIven access cycle. 9. This parameter is measured as the time after output disable input that the previous output data state remains stable on the output. This delay is measured to the point at which a previous HIGH level has fallen to 0.5 volts below VOH min. or a previous LOW level has risen to 0.5 volts above VOL max. 10. This specification indicates the guaranteed maximum frequency at which a state machine configuration with external feedback can operate. 11. This specification indicates the guaranteed maximum frequency at which an individual output register can be cycled. 12. Lesser of I/(tWH +twL), Ilteo or l/(tS+tH)' 13. This specification indicates the guaranteed maximum frequency at which a state machine configuration with internal-only feedback can operate. 14. This parameter is calculated from the clock period at fMAX internal (fMAX3) as measured (see Note 11) minus ts. 15. The registers in the PAL22VI0G and PAL22VPlOG have been designed with the capability to reset during system power-up. Following power-up, all registers will be reset to a logic LOW state. The output state will depend on the polarity of the output buffer. This feature is useful in establishing state machine initialization. To insure proper operation, the rise in Vee must be monotonic and the timing constraints depicted in power-up reset waveforms must be satisfied. 2-96 PRELIMINARY PAL22VIOG PAL22VPIOG Switching Waveform R~~~,T~~~'6 - ..............-. FEEDBACK SYNCHRONOUS _--'-...K....I_ PRESET 14--+t-~ CP------"I tER[9] tEA tER[9] tEA REGISTERED OUTPUTS _ _ _ _ _ _........_ II COMBINATORIAL OUTPUTS _ _ _ _ _ _ _ _ _ _ _............... v10g-18 Power-Up Reset Waveform[15] 4_V~~~----------- Vee POWER _ _ _ _ _ _ _ _ tpR REGISTERED ACT~G~~u~ .1 _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _~~ v10g-19 2-97 PAL22VIOG PAL22VPIOG ~ === PRELIMINARY rcYPRESS Preload Waveform[16] PIN 13 (16) Vpp J.: \ t OPR1 I-- PIN2 (3) PIN 3 (4) If PIN 6 (7) \ If PIN 8 (10) ~ \ If PINg (11) PRELOAD DATA PINS 14-23 (17-21,23-27) t OPR2 t OPR2 / t OPR2 _J If.. CLOCK PIN 1 (2) t OPR1 t OPR2 tOPR1 '" -,I, t OPR2 I ..\I t OP R1 '" >- / "- "- t OPR2 t OPR1 t OPR1 IL t OPR1 '" OUTPUTS DISABLED -, ~ t OPR1 VILP or VIHP[17j ~ t OPR1 1\ I PRELOAD DATA CLOCKED IN PRELOAD DATA "- V- REGISTE RS PRELOAOED, OUTPUT ENABLE PRELOAD DATA REMOVED o v10g-20 Notes (the numbers in parantheses refer to J and L packages): 16. Pins 4 (5), 5 (6), 7 (9) at VILP; Pins 10 (12) and 11 (13) at VIHP; Vee (Pin 24 (1 and 28)) at VeeI' 17. Pins 2-8 (3-7, 9,10),10 (12),11 (13) can be set at VIHP or VILP to insure asynchronous reset is not active. Forced Level on Register Pin During Preload Register Q Output State Mter Preload VIHP HIGH VILP LOW Name Description Min. Max. Vpp Programming Voltage 9.25 9.75 Delay for Preload 1 tDPR2 Delay for Preload 0.5 VILP Input LOW Voltage VIHP Input HIGH Voltage Vccp V cc for Preload tDPRl Unit V f,lS f,ls 0 0.4 V 3 4.75 V 4.75 5.25 V 2-98 . -.;~ PAL22VIOG PAL22VPIOG PRELIMINARY 'CYPRESS Functional Logic Diagram for PAL22VIOG/PAL22VPIOG 1 (2) -r{> 0 4 8 12 16 20 24 28 AR OE Lf:::7 · (26) """r""""""T'""" OE 0 S~ S~ ::±r ==frJ- IT ::±r ¥-- TT ::±r rr- TT ::±r ==frJ- IT =±r n~d- ::±r 0 ~ -" ~ · 0 ~ ~ 0 0 ~ ~ 0 5~ OE 0 · 16 (19) 15 cell (18) 9 9 TT' OE 0 · 11 (13) ~ S~ 11 8 (12) cell Sr-- · 10 17 (20) ~ ~ 13 OE (11) cell S · (10) 18 (21) 5 15 OE 7 cell ~ ~ (9) 19 (23) '""'"'"' :;::j ~ (7) cell ~ 5 15 OE 6 20 (24) S · (6) cell ~ ~ 13 OE 5 TT =. OE 21 (25) cell S~ 11 3 4 22 cell 9 · (5) 23 (27) I=>ct---- ::±r = ::±r 0 (4) 40 cell OE (3) 36 Wd- :::& 0 2 -r::: 32 IW ;::& :::1---- ~7 ~SP ........, cell 14 (17) '---r""' 13 (16) DIP (J/L) Pinouts 2-99 v10g·21 I -.;~ ~;CYPRESS Ordering Information tpD Icc fMAX (rnA) 190 (ns) 4 5 6 7.5 (MHz) 166 153.8 117 111 10 90 tpD (ns) 4 5 6 7.5 fMAX (MHz) 166 153.8 117 111 10 90 Icc (rnA) 190 PRELIMINARY Ordering Code PAL22VlOG-41C PAL22VlOG-51C PAL22VlOG-61C PAL22V10G-71C PAL22VlOG-7PC PAL22VIOG-7LMB PAL22VIOG-101C PAL22VlOG-lOPC PAL22VlOG-lOLMB Ordering Code PAL22VPlOG-41C PAL22VPlOG-51C PAL22VPlOG-61C PAL22VPlOG-71C PAL22VPlOG-7PC PAL22VPlOG-7LMB PAL22VPlOG-101C PAL22VPlOG-IOPC PAL22VPlOG-lOLMB Package Name 164 164 164 164 Pl3 L64 164 P13 L64 28-Lead Plastic Leaded Chip Carrier 28-Lead Plastic Leaded Chip Carrier 28-Lead Plastic Leaded Chip Carrier 28-Lead Plastic Leaded Chip Carrier 24-Lead (300-MiI)Molded DIP 28-Pin Square Leadless Chip Carrier 28-Lead Plastic Leaded Chip Carrier 24-Lead (300-Mil) Molded DIP 28-Pin Square Leadless Chip Carrier Package '!Ype 164 164 164 164 P13 L64 164 P13 L64 Package '!Ype 28-Lead Plastic Leaded Chip Carrier 28-Lead Plastic Leaded Chip Carrier 28-Lead Plastic Leaded Chip Carrier 28-Lead Plastic Leaded Chip Carrier 24-Lead (300-Mil) Molded DIP 28-Pin Square Leadless Chip Carrier 28-Lead Plastic Leaded Chip Carrier 24-Lead (300-Mil) Molded DIP 28-Pin Square Leadless Chip Carrier Shaded area contains advanced information. MILITARY SPECIFICATIONS Group A Subgroup Testing DC Characteristerics Parameters Subgroups VOH VOL VIH 1,2,3 1,2,3 1,2,3 VrL 1,2,3 Irx 1,2,3 Ioz 1,2,3 Icc 1,2,3 Switching Characteristics Parameters Subgroups tpD 7, 8, 9, 10, 11 teo ts 7, 8, 9, 10, 11 7,8,9, 10, 11 tH 7, 8, 9, 10, 11 PAL22VIOG PAL22VPIOG Document #: 38-A-00044-B 2-100 Package '!Ype Operating Range Commercial Commercial Commercial Commercial Military Military Operating Range Commercial Commercial Commercial Commercial Military Military CY7C330 CMOS Programmable Synchronous State Machine Features • 1Welve I/O macrocells each having: - registered, three-state I/O pins - input register clock select multiplexer - feed back multiplexer - output enable (OE) multiplexer • All twelve macrocell state registers can be hidden • User-configurable state registersJK, RS, T, or D • One input multiplexer per pair of I/O macrocells allows I/O pin associated with a hidden macrocell state register to be saved for use as an input • Four dedicated hidden registers • Eleven dedicated, registered inputs • Three separate clocks-two inputs, one output • Common (pin 14-controlled) or product term-controlled output enable for each I/O pin • 256 product terms-32 per pair of macrocells, variable distribution • Global, synchronous, product termcontrolled, state register set and reset-inputs to product term are clocked by input clock • 66-MHz operation - 3-ns input set-up and 12-ns clock to output -15-ns input register clock to state register clock • Lowpower -130mAlcc • 28-pin, 300-mil DIP, LCC • Erasable and reprogrammable Functional Description The CY7C330 is a high-performance, erasable, programmable, logic device (EPLD) whose architecture has been optimized to enable the user to easily and efficiently construct very high performance synchronous state machines. The unique architecture of the CY7C330, consisting of the user-configurable output macrocell, bidirectional I/O capability, input registers, and three separate clocks, enables the user to design high-performance state machines that can communicate either with each other or with microprocessors over bidirectional parallel buses of user-definable widths. Logic Block Diagram Selection Guide Maximum Operating Frequency, fMAX (MHz) Commercial Power Supply Current ICCl (rnA) Commercial 7C330-66 66.6 Military 140 7C330-50 50.0 7C330-40 50.0 40.0 2-101 7C330-28 28.5 130 130 160 Military 7C330-33 33.3 150 150 ~'i~ CY7C330 'CYPRESS Pin Configuration Input Clock Multiplexer, and architecture configuration bit C4 which determines the input clock selected. I/O Macrocell LCC/PLCC Top View 5)! The logic diagram of CY7C330 I/O macrocell is shown in Figure 2 There are a total of twelve identical macrocells. -~8dg'g-~ Functional Description (continued) Three separate clocks permit independent, synchronous state machines to be synchronized to each other. The two input clocks, Cl, C2, enable the state machine to sample input signals that may be generated by another system and that may be available on its bus for a short period of time. The user-configurable state register flip-flops enable the designer to designate JK-, RS-, T-, or D-type devices, so that the number of product terms required to implement the logic is minimized. The major functional blocks of the CY7C330 are (1) the input registers and (input) clock multiplexers, (2) the EPROM (AND) cell array, (3) the twelve I/O macrocells and (4) the four hidden registers. Input Registers and Clock Multiplexers Each macro cell consists of: - An Output State register that is clocked by the global state counter clock, CLK (Pin 1). The state register can be configured as aD, JK, RS, or T flip-flop (default is a D-typeflip-flop). Polarity can be controlled in the D flip-flop implementation by use of the exclusive or function. Data is sampled on the LOW to HIGH clock transition. All of the state registers have a common reset and set which are controlled synchronously by Product Terms which are generated in the EPROM cell array. - A Macrocell Input register that may be clocked by either the CK1 or CK2 input clock as programmed by the user with architecture configuration bit C2, which controls the I/O Macrocell Input Clock Multiplexer. The Macrocell Input registers are initialized upon power-up such that all of the Q outputs are at logic LOW level and the Q outputs are at a logic HIGH level. - An Output Enable Multiplexer (OE), which is user programmable using architecture configuration bit CO, can select either the common OE signal from pin 14 or, for each cell individually, the signal from the output enable product term associated with each macrocell. The output enable input signal to the array product term is clocked through the input register by the selected input register clock, CK1 or CK2. - An Input Feedback Multiplexer, which is user programmable, can select either the output of the state register or the output of the Macrocell Input register to be fed back into the array. This option is programmed by architecture configuration bit Cl. If the output of the Macrocell Input register is selected by the Feedback Multiplexer, the I/O pin becomes bidirectional. There are a total of eleven dedicated input registers. Each input register consists of a D flip-flop and a clock multiplexer. The clock multiplexer is user-programmable to select either CK1 or CK2 as the clock for the flip-flop. CK2 and OE can alternatively be used as inputs to the array. The twenty-two outputs of the registers (i.e., the Q and Q outputs of the input registers) drive the array of EPROM cells. co An architecture configuration bit (C4) is reserved for each dedicated input register cell to allow selection of either input clock CKI or CK2 as the input register clock for each dedicated input cell. If the CK2 clock is not needed, that input may also be used as a general-purpose array input. In this case the input register for this input can only be clocked by input clock CKl. Figure 1 illustrates the dedicated input cell composed of an input register, an D TO ARRAY e330-4 FROM ADJACENT MACROCELL C4 C3 e330-3 Figure 2. Macrocell and Shared Input Multiplexer Figure 1. Dedicated Input Cell 2-102 CY7C330 Functional Description (continued) Macrocell Input Multiplexer Each pair ofl/O macrocells share a Macrocell Input Multiplexer that selects the output of one or the other of the pair's input registers to be fed to the input array. This multiplexer is shown in Figure 2. The Macrocell Input Multiplexer allows the input pin of a macrocell, for which the state register has been hidden by feeding back its input to the input array to be preserved for use as an input pin. This is possible as long as the other macrocell of the pair is not needed as an input or does not require state register feedback. The input pin input register output that would normally be blocked by the hidden state register feedback can be routed to the array input path of the companion macrocell for use as array input. State Registers By use of the exclusive OR gate, the state register may be configured as aJK-, RS-, orT-type register. The default is aD-type register. For the D-type register, the exclusive OR function can be used to select the polarity or the register output. The set and reset of the state register are global synchronous signals. They are controlled by the logic of two global productterms, for which input signals are clocked through the input registers by either of the input clocks, CK1 or CK2. Hidden Registers In addition to the twelve macrocells, which contain a total of twenty-four registers, there are four hidden registers whose outputs are not brought out to the device output pins. The Hidden State Register Macrocell is shown in Figure 3. The four hidden registers are clocked by the same clock as the macrocell state registers. All of the hidden register flip-flops have '- J) 1S "' D ./ PIN 1, CLK GLOBAL RESET PRODUCT TERM -I> Each pair of macrocells has a total of thirty-two product terms. Two product terms of each macrocell pair are used for the output enables (OEs) for the two output pins. Two product terms are also used as one input to each ofthe two exclusive OR gates in the macrocell pair. The number of product terms available to the designer is then 32 - 4 = 28 for each macrocell pair. These product terms are divided between the macrocell state register flip-flops as show in Table 1. Table 1. Product Term Distribution for Macrocell State Register Flip-Flops Macrocell Pin Number Product Terms 0 1 2 3 4 5 6 7 8 9 10 11 28 27 26 25 24 23 20 19 18 17 16 15 9 19 11 17 13 15 15 13 17 11 19 9 Hidden State Register Product Term Distribution Each pair of hidden registers also has a total of 32 product terms. Two product terms are used as one input to each of the exclusive OR gates. However, because the register outputs do not go to any output pins, output enable product terms are not required. Therefore, 30 product terms are available to the designer for each pair of hidden registers. The product term distribution for the four hidden registers is shown in Table 2. GLOBAL SET PRODUCT TERM :_/ a common, synchronous set, S, as well as a common, synchronous reset, R, which override the data at the D input. The Sand R signals are product terms that are generated in the array and are the same signals used to preset and reset the state register flip-flops. Macrocell Product Term Distribution Table 2. Product Term Distribution for Hidden Registers Q a I-- "-+- Hidden Register Cell Product Terms 0 1 2 3 19 11 17 13 TO ARRAY ~ ... Architecture Configuration Bits e330·5 Figure 3. Hidden State Register Macrocell 2-103 The architecture configuration bits are used to program the multiplexers. The function of the architecture bits is outlined in Table 3. CY7C330 Table 3. Architecture Configuration Bits Architecture Configuration Bit Output Enable CO Select MUX C1 C2 C3 C4 Number of Bits Function 12 Bits, 1 per I/O Macrocell Value 0-Virgin State 1-Programmed Output Enable Controlled by Pin 14 State Register Feedback MUX 12 Bits, 1 per I/O Macrocell 0-Virgin State State Register Output is Fed Back to Input Array 1-Programmed I/O Macrocell is Configured as an Input and Output of Input Register is Fed to Array I/O Macrocell Input Register Clock Select MUX 12 Bits, 1 per I/O Macrocell 0-Virgin State CK1 Input Register Clock (Pin 2) is Connected to I/O Macrocell Input Register Clock Input 1-Programmed CK2 Input Register Clock (Pin 3) is Connected to I/O Macrocell Input Register Clock Input I/O Macrocell Pair Input Select MUX 6 Bits, 1 per I/O Macrocell Pair 0-Virgin State Selects Data from I/O Macrocell Input Register of Macrocell A of Macrocell Pair 1-Programmed Selects Data from I/O Macrocell Input Register of Macrocell B of Macrocell Pair 0-Virgin State CK1 Input Register Clock (Pin 2) is Connected to Dedicated Input Register Clock Input 1-Programmed CK2 Input Register Clock (Pin 3) is Connected to Dedicated Input Register Clock Input Dedicated Input Register Clock Select MUX 11 Bits, 1 per Dedicated Input Cell Output Enable Controlled by Product Term Maximum Ratings (Above which the useful life may be impaired. For user guidelines, not tested.) Storage Temperature ................. -65°C to +150°C Ambient Temperature with Power Applied ...................... - 55 ° C to + 125 ° C Supply Voltage to Ground Potential (Pm 22 to Pins 8 and 21) ................ -0.5V to +7.0V DC Voltage Applied to Outputs in High Z State ........................ -O.5V to +7.0V DC Input Voltage ...................... -3.0Vto +7.0V Output Current into Outputs (LOW) .............. 12 rnA Static Discharge Voltage ....................... >2001V (per MIL-STD-883, Method 3015) 2-104 Latch-Up Current ........................... >200 rnA DC Programming Voltage . . . . . . . . . . . . . . . . . . . . . . .. 13.0V Operating Range Range Commercial Military[lj Ambient Temperature O°C to +75°C Vee 5V ± 10% -55°C to +125°C 5V ± 10% Note: 1. TA is the "instant on" case temperature. CY7C330 Electrical Characteristics Over the Operating Rangd 2] Description Parameter Min. Test Conditions Unit Max. 2.4 VOR Output HIGH Voltage Vee = Min., VIN = VIR or VIL lOR = -3.2 rnA (Com'l), lOR = -2 rnA (Mil) VOL Output LOW Voltage Vee = Min., VIN = VIR or VIL, IOL = 12 rnA (Com'l), lOR = 8 rnA (Mil) VIR Input HIGH Voltage Guaranteed Logical HIGH Voltage for all Inputs[3] VIL Input LOW Voltage Guaranteed Logical LOW Voltage for all Inputs[3] IIX Input Leakage Current Vss < VIN < Vee, Vee V 0.5 V 2.2 = Max. -10 = Max., Vss < VOUT < Vee, = Max., VOUT = 0.5V[5] Commercial - 66 Vee = Max., VIN = GND V 0.8 V +10 !-LA loz Output Leakage Current Vee -40 +40 !-LA Isd 4] Output Short Circuit Current Vee -30 -90 rnA IcC! Standby Power Supply Current 140 rnA Outputs Open Power Sup~ly Current at Frequency 4, 6] Iee2 Commercial -33, -50 Vee = Max. Outputs Disabled (in High Z State), Device Operating at fMAX External (fMAXI) 130 Military -50 160 Military -28, -40 150 Commercial - 66 180 Commercial - 33, - 50 160 Military -50 200 Military -28, -40 180 rnA Capacitance[4] Parameter Description CIN Input Capacitance COUT Output Capacitance Test Conditions Min. = 2.0V at f = 1 MHz, VOUT = 2.0V at f = 1 MHz, VIN Notes: 2. See the last page of this specification for Group A subgroup testing information. 3. These are absolute values with respect to device ground and all overshoots due to system or tester noise are included. 4. Tested initially and after any design or process changes that may affect these parameters. 5. 6. Max. Unit 10 pF 10 pF Not more than one output should be tested at a time. Duration ofthe short circuit should not be more than one second. VOUT = O.5V has been chosen to avoid test problems caused by tester ground degradation. Tested by periodic sampling of production product. AC Test Loads and Waveforms R1313Q R1313Q OUTP~~ § f l ( 4 7 0Mil)Q I 50 pF INCLUDING _ JIG AND SCOPE OUTP~~ S f 1 ( 4 7 0Mil)Q R2 20SQ (319Q Mil) _ - Equivalent to: I (b) ~ c330-7 c330·6 Equivalent to: THEVENIN EQUIVALENT (Commercial) OUTPUT 90% R2 20SQ (319Q Mil) GND _ ~ 5 ns - 5 PF INCLUDING _ JIG AND SCOPE (a) ALL INPUT PULSES 3.0V THEVENIN EQUIVALENT (Military) 190Q OUTPUT ()--'W'V----() 2.02V = Vthm 2.00V = Vthc c330-8 2-105 c330-9 .. ~ CY7C330 -=-~ CYPRESS AC Test Loads and Waveforms (continued) Parameter tpXZ(-) tpXZ(+) Vx 1.5V Output Waveform-Measurement Level O.5V~ 2.6V VOL tpZX(+) tCER(-) tCER(+) Vthc 1.5V VOH 0.5V~ 2.6V VOL tCEA(+) 0.5V~ Vthc Vx tCEA(-) Vthc c330-11 VOH c330-12 ~~ VOL c330-13 ~~ Vx c330-14 ~~ Vx ~~ VOH c330-15 c330-16 ~~ Vx 0.5V; c330-10 Vx ~~ 0.5V~ 0.5V~ Vx Vx ~~ O.5V~ Vthc Vx tpZX(-) ~~ O.5V~ VOH VOL 0330-17 (c) Test Waveforms and Measurement Levels Switching Characteristics Over the Operating Rangd 2, 7] Parameter tIS tos tco tIH tCEA tCER tpzx tpxz Description Input or Feedback Set-Up Time to Input Register Clock Input Register Clock to Output Register Clock Output Register Clock to Output Delay Input Register Hold Time Input Register Clock to Output Enable Delay Input Register Clock to Output Disable Delay[8] Military Commercial -50 -50 -40 -28 -66 -33 Min. Max. Min. Max. Min. Max. Min. Max. Min. Max. Min. Max. 10 5 5 10 3 5 15 12 20 20 15 35 25 15 20 ns 25 ns 20 20 30 20 25 35 ns ns 20 20 30 20 25 35 ns 20 20 30 20 25 35 ns 20 20 30 20 25 35 ns 5 Pin 14 Enable to Output Enable Delay Pin 14 Disable to Output Disable Delay[8] 30 20 Unit ns 5 5 5 5 5 tWH Input or OutRut Clock Width HIGH[4, 6] 6 8 12 8 10 15 ns tWL Input or Out~ut Clock Width LOW '1,6] 6 8 12 8 10 15 ns 2-106 · -.,~ CY7C330 'CYPRESS Switching Characteristics Over the Operating Rangd 2, 7] (continued) Parameter Description Output Data Stable Time from S~nchronous Clock Input[ troH- tIH Output Data Stable Time This Device Minus liP Reg Hold Time Same Devicd lO] tOH Military Commercial -66 -50 -33 -50 -40 -28 Min. Max. Min. Max. Min. Max. Min. Max. Min. Max. Min. Max. 3 3 3 3 3 3 Unit ns 0 0 0 0 0 0 ns tOH - tIH 33x Output Data Stable Time Minus liP Reg Hold Time 7C330 and 7C332 Devices[ll] 0 0 0 0 0 0 ns tp External Clock Period (treo + trs), Input and Output Clock Common Maximum External Operating FreJtuency (l/(tco + trs» 2] Maximum Regster Toggle Frequency[6, ] 15 20 30 20 25 35 ns 66.6 50.0 33.3 50.0 40.0 28.5 MHz 83.3 62.5 41.6 62.5 50.0 33.3 MHz 74.0 57.0 37.0 57.0 45.0 30.0 MHz fMAXI fMAX2 fMAX3 Maximum Internal Operating Frequency[14] Notes: 7. Part (a) of AC Test Loads is used for all parameters except tCEA, tCER, tpzx, and tpxz, which use part (b). 8. This parameter is measured as the time after output register disable input that the previous output data state remains stable on the output. This delay is measure to the point at which a previous HIGH level has fallen to O.SV below VOH Min. or a previous LOW level has risen to O.SV above VOL Max. Please see part (c) of AC Test Loads and Waveforms for enable and disable test waveforms and measurement reference levels. 9. This parameter is measured as the time after output register clock input that the previous output data state remains stable on the output. 10. This difference parameter is designed to guarantee that any 7C330 output fed back to its own inputs externally or internally will satisfy the input register minimum input hold time. This parameter is guaranteed for a given individual device and is tested by a periodic sampling of production product. 11. This specification is intended to guarantee feeding of this signal to another 33X family input register cycled by the same clock with sufficient output data stable time to insure that the input hold time minimum of the following input register is satisfied. This parameter difference specification is guaranteed by periodic sampling of production product of 7C330 and 7C332. It is guaranteed to be met only for devices at the same ambient temperature and V cc supply voltage. 12. Thisspecificationindicates the guaranteed maximum frequency at which a state machine configuration with external feedback can operate. 13. This specification indicates the guaranteed maximum frequency at which an individual input or output register can be cycled. 14. This specification indicates the guaranteed maximum frequency at which a state machine configuration with only internal feedback can operate. This parameter is tested periodically on a sample basis. Switching Waveform I/O INPUTS, REGISTERED _ _ _.... FEEDBACK INPUTS INPUT CLOCK OUTPUT CLOCK OUTPUTS ---"""'-r PIN 14 OE c330·18 2-107 I .;~ ~'CYPRESS ~ CY7C330 CY7C330 Logic Diagram (Upper Halt) 2-108 CY7C330 CY7C330 Logic Diagram (Lower Half) 2-109 s=-_~ CY7C330 _,CYPRESS Ordering Information (max) fMAX (MHz) 140 66.6 ICCI 160 130 150 130 150 50 50 40 33.3 28.5 Ordering Code Package Name Package 1Ype CY7C330-66HC H64 28-Pin Windowed Leaded Chip Carrier CY7C330-661C 164 28-Lead Plastic Leaded Chip Carrier CY7C330 - 66PC P21 28-Lead (300-Mil) Molded DIP CY7C330-66WC W22 28-Lead (300-Mil) Windowed CerDIP CY7C330-50DMB D22 28-Lead (300-Mil) CerDIP CY7C330-50HMB H64 28-Pin Windowed Leaded Chip Carrier CY7C330-50LMB L64 28-Square Leadless Chip Carrier CY7C330-500MB 064 28-Pin Windowed Leadless Chip Carrier CY7C330-50TMB T74 28-Lead Windowed Cerpack CY7C330-50WMB W22 28-Lead (300-Mil) Windowed CerDIP CY7C330-50HC H64 28-Pin Windowed Leaded Chip Carrier CY7C330-501C 164 28-Lead Plastic Leaded Chip Carrier CY7C330-50PC P21 28-Lead (300-Mil) Molded DIP CY7C330-50WC W22 28-Lead (300-Mil) Windowed CerDIP CY7C330-40DMB D22 28-Lead (300-Mil) CerDIP CY7C330-40HMB H64 28-Pin Windowed Leaded Chip Carrier CY7C330-40LMB L64 28-Square Leadless Chip Carrier CY7C330-400MB 064 28-Pin Windowed Leadless Chip Carrier CY7C330-40TMB T74 28-Lead Windowed Cerpack CY7C330-40WMB W22 28-Lead (300-Mil) Windowed CerDIP CY7C330-33HC H64 28-Pin Windowed Leaded Chip Carrier CY7C330-331C 164 28-Lead Plastic Leaded Chip Carrier CY7C330-33PC P21 28-Lead (300-Mil) Molded DIP CY7C330-33WC W22 28-Lead (300-Mil) Windowed CerDIP CY7C330- 28DMB D22 28-Lead (300-Mil) CerDIP CY7C330-28HMB H64 28-Pin Windowed Leaded Chip Carrier CY7C330-28LMB L64 28-Square Leadless Chip Carrier CY7C330- 280MB 064 28-Pin Windowed Leadless Chip Carrier CY7C330- 28TMB T74 28-Lead Windowed Cerpack CY7C330-28WMB W22 28-Lead 2-110 (300~Mil) Windowed CerDIP Operating Range Commercial Military Commercial Military Commercial Military - -.,:Z CY7C330 ?CYPRESS MILITARY SPECIFICATIONS Group A Subgroup Testing DC Characteristics Parameter Subgroups VOH 1,2,3 VOL 1,2,3 VIH 1,2,3 VIL 1,2,3 IIX 1,2,3 Ioz 1,2,3 Icc 1,2,3 Switching Characteristics Parameter Subgroups tIS 9,10,11 9,10,11 9,10,11 9,10,11 9,10,11 tos tco tCEA tpzx Document #: 38-00064- D 2-111 CY7C331 Asynchronous Registered EPLD Features • Thelve I/O macrocells each having: - One state flip-flop with an XOR sum-of-products input - One feedback flip-flop with input coming from the I/O pin - Independent (product term) set, reset, and clock inputs on all registers - Asynchronous bypass capability on all registers under product term control (r = s = 1) - Global or local output enable on three-state I/O - Feedback from either register to the array • 192 product terms with variable distribution to macrocells • 13 inputs, 12 feedback I/O pins, plus 6 shared I/O macrocell feedbacks for a total of 31 true and complementary inputs • High speed: 20 ns maximum tpD • Security bit • Space-saving 28-pin slim-line DIP package; also available in 28-pin PLCC • Lowpower - 90 rnA typical Icc quiescent -180 rnA Icc maximum - UV-erasable and reprogrammable - Programming and operation 100% testable Functional Description The CY7C331 is the most versatile PLD available for asynchronous designs. Central resources include twelve full D-type flip-flops with separate set, reset, and clock capability. For increased utility, XOR gates are provided at the D-inputs and the product term allocation per flip-flop is variably distributed. I/O Resources Pins 1 through 7 and 9 through 14 serve as array inputs; pin 14 may also be used as a global output enable for the I/O macrocell three-state outputs. Pins 15 through 20 and 23 through 28 are connected to I/O macrocells and may be managed as inputs or outputs depending on the configuration and the macrocell OE terms. Logic Block Diagram 10 1/0 11 1/0 10 II0g IIOa 1/0 6 1/0 1 Vee GND 1/0 0 C331-1 Selection Guide Generic Part Number CY7C331-20 IcC! (rnA) Com'l Mil CY7C331-25 160 150 CY7C331-30 CY7C331-40 130 120 tpD (ns) Com'l ts (ns) Mil 20 150 25 25 30 40 2-112 Com'l 12 12 Mil 15 15 20 tco (ns) Com'l Mil 20 25 25 30 40 -'i~ CY7C331 'CYPRESS Pin Configuration PLCC The D-type flip-flop that is fed from the array (i.e., the state flipflop) has a logical XOR function on its input that combines a single product term with a sum(OR) of a number of product terms. The single product term is used to set the polarity of the output or to implement toggling (by including the current output in the product term). Top View .£'~:.9g'~~ 1/0 3 1/04 1/0 5 The Rand S inputs to the flip-flops override the current setting of the '0' output. The S input sets '0' true and the R input resets '0' (sets it false). If both Rand S are asserted (true) at once, then the output will follow the input ('0' = 'D') (see Table 1). Vee GND 1/0 6 1/0 7 o ~ N ~ 0 (J) ex:> .:-.:-~~~~~ Table 1. RS Truth Table C331-2 I/O Resources (continued) It should be noted that there are two ground connections (pins 8 and 21) which, together with Vee (pin 22) are located centrally on the package. The reason for this placement and dual-ground structure is to minimize the ground-loop noise when the outputs are driving simultaneously into a heavy capacitive load. The CY7C331 has twelve I/O macrocells (see Figure 1 ). Each macrocell has two D-type flip-flops. One is fed from the array, and one from the I/O pin. For each flip-flop there are three dedicated product terms driving the R, S, and clock inputs, respectively. Each macrocell has one input to the array and for each pair of macrocells there is one shared input to the array. The macrocell input to the array may be configured to come from the '0' output of either flip-flop. R S Q 1 o o 1 1 1 D o Shared Input Multiplexer The input associated with each pair of macrocells may be configured by the shared input multiplexer to come from either macrocell; the '0' output of the flip-flop coming from the I/O pin is used as the input signal source (see Figure 2). Product Term Distribution The product terms are distributed to the macro cells such that 32 product terms are distributed between two adjacent macrocells. TO PIN 14 (INVERTED) OE PTERM OUT SET PTERM TO I/O PIN OUT ClK PTERM OUT RESET PTERM IN ClK PTERM IN SET PTERM IN RESET PTERM XOR PTERM OR PTERMS TO INPUT BUFFER INPUT FLIP-FLOP TO SHARED INPUTMUX C331-3 TO PIN 14 (INVERTED) Figure 1. I/O Macrocell 2-113 CY7C331 Product Term Distribution (continued) The pairing of macrocells is the same as it is for the shared inputs. Eigh t of the product terms are used in each macrocell for set, reset, clock, output enable, and the upper part of the XOR gate. This leaves 16 product terms per pair of macrocells to be divided between the sum-of-products inputs to the two state registers. The following table shows the I/O pin pairing for shared inputs, and the product term (PT) allocation to macrocells associated with the I/O pins (see Table 2). Table 2. Product Term Distribution Macrocell Pin Number 0 1 2 3 4 5 6 7 8 9 10 11 28 27 26 25 24 23 20 19 18 17 16 15 Product Terms 4 12 6 10 8 8 8 8 10 6 12 4 OUTPUT FROM LOGIC ARRAY MACROCELLA FEEDBACK TO - - - 7 ' 1 LOGIC ARRAY _ _~~ Q-OUTPUT FROM INPUT REGISTER OF 1/0 MACROCELL A INPUTTO - - - . . , . . . , LOGIC ARRAY ----o-..J Q-OUTPUT FROM INPUT REGISTER OF I/O MACROCELL B r-----.J..--..., The CY7C331 is configured by three arrays of configuration bits (CO, Cl, C2). For each macro cell, there is one CO bit and one Cl bit. For each pair of macro cells there is one C2 bit. There are twelve CO bits, one for each macrocell. If CO is programmed for a macro cell, then the three-state enable (OE) will be controlled by pin 14 (the global OE). If CO is not programmed, then the OE product term for that macrocell will be used. There are twelve Cl bits, one for each macrocell. The Cl bit selects inputs for the productterm (PT) array from either the state register (if the bit is unprogrammed) or the input register (if the bit is programmed). There are six C2 bits, providing one C2 bit for each pair of macrocells. The C2 bit controls the shared input multiplexer; if the C2 bit is not programmed, then the input to the product term array comes from the upper macrocell (A). If the C2 bit is programmed, then the input comes from the lower macrocell (B). The timing diagrams for the CY7C331 cover state register, input register, and various combinational delays. Since internal clocks are the outputs of product terms, all timing is from the transition of the inputs causing the clock transition. Maximum Ratings (Above which the useful life may be impaired. For user guidelines, not tested.) Storage Temperature ................... -65°C to +150°C Ambient Temperature with Power Applied ....................... , -55°C to +125°C Supply Voltage to Ground Potential (Pin 28 to Pin 8 or 21) .................... -O.5V to +7.0V DC Input Voltage ........................ -3.0V to +7.0V Output Current into Outputs (LOW) ............... 12 rnA Static Discharge Voltage ........................ > 1500V (per MIL-STD-883, Method 3015) Latch-Up Current ............................ >200 rnA DC Programming Voltage . . . . . . . . . . . . . . . . . . . . . . . .. 13.0 V Operating Range OUTPUT FROM LOGIC ARRAY Range Commercial MACROCELLB FEEDBACK TO LOGIC ARRAY ---- 2001V (per MIL-STD-883, Method 3015) Latch-Up Current ............................ >200 rnA DC Programming Voltage .......................... 13.0V C4 MACROCELL INPUT REGISTER Operating Range C2 CO C1 Range Commercial C332-5 Figure 3. I/O Macrocell Military[l] Ambient Temperature O°C to +75°C Vcc 5V ± 10% -55°C to + 125°C 5V ± 10% Electrical Characteristics Over the Operating Range Parameter VOH VOL Test Conditions Description Output HIGH Voltage Output LOW Voltage Vee == Min., VIN == VIR or VIL Vee == Min., VIN == VIR or VIL Min. Max. lOR == - 3.2 mA Commercial lOR == -2mA Military 10L == 12mA Commercial 10L == 8mA Military 2.4 Unit V 0.5 V VIR Input HIGH Voltage Guaranteed HIGH Input, all Inputs[2] VIL Input LOW Voltage Guaranteed LOW Input, all Inputs[2] IIX Input Leakage Current Vss < VIN < Vee, Vee == Max. loz Output Leakage Current Vee == Max., Vss < VOUT < Vee, -40 +40 f-lA -30 -90 mA Commercial 120 rnA Commercial -15 130 Isc Output Short Circuit Current Vee == Max., VOUT == 0.5V[3] lecl Standby Power Supply Current Vee == Max., VIN == GND Outputs Open lec2 Power Sup~ly Current at Frequency 4, 5] Vee == Max. Outputs Disabled (In High Z State) Device Operating at fMAX External (fMAXl) Notes: 1. TA is the "instant on" case temperature. 2. These are absolute values with respect to device ground and all overshoots due to system or tester noise are included. 3. Not more than one output should be tested at a time. Duration of the short circuit should not be more than one second. VOUT = O.5V has been chosen to avoid test problems caused by tester ground degradation. 4. 5. 2-128 V 2.2 -10 0.8 V +10 f-lA Military 150 Military -20 160 Commercial 180 Military 200 Tested by periodic sampling of production product. Refer to Figure 4 configuration 2. rnA --:-- -.,~ CY7C332 ; CYPRESS Capacitance[6] Parameter Test Conditions Description CIN Input Capacitance COUT Output Capacitance = 2.0V at f = 1 MHz, VOUT = 2.0Vat f = 1 MHz, VIN Max. Unit 10 pF 10 pF Note: 6. Tested initially and after any design or process changes that may affect these parameters. AC Test Loads and Waveforms R1313Q R1313Q OUTP~~ §=l(470Q MIL) 50 pF I INCLUDING JIG AND SCOPE _ - R2 208Q (319Q MIL) _ - I_ (b) 3.0V 90% R2 208Q (319Q MIL) GND 5 PF INCLUDING JIG AND SCOPE (a) Equivalent to: ALL INPUT PULSES OUTP~~ 5 r } ( 4 7 0MIL)Q I ~ 5 ns _ C332-6 C332-7 Equivalent to: THEVENIN EQUIVALENT (Commercial) THEVENIN EQUIVALENT (Military) 190Q OUTPUT ~ 2.02V = Vthm 125Q OUTPUT ~ 2.00V = Vthc C332-9 C332-8 Parameter tpXZ(-) Vx 1.5V Output Waveform-Measurement Level VOH o.svl tpXZ(+) 2.6V VOL tPZX(+) Vthc Vx tpZX(-) tER(-) tER(+) Vthc 1.5V 2.6V Vthc Vthc ~~ ~~ VX C332-10 Vx C332-11 VOH C332-12 o.svl ~F: Vot C332-13 o.sJ ~F: Vx C332-14 VOH Vx tEA(-) O.5V~ Vx VOL tEA(+) O.5V~ ~F: O.5V~ O.5V~ Vx o.svl ~~ ~~ ~F: (c) Test Waveforms and Measurement Levels 2-129 Vx C332-15 VOH C332-16 VOL C332-17 ~ .,~:rcYPRESS CY7C332 Switching Characteristics Over the Operating Range[2] Commercial -15[7] Parameter Description Military -20 -20[7] -25 -25 -30 Min. Max. Min. Max. Min. Max. Min. Max. Min. Max. Min. Max. Unit tpD Input to Output Propagation Delay[8] 15 20 25 20 25 30 ns tlca Input Register Clock to Output Delay[9] 18 20 25 23 25 30 ns tIS Input or Feedback Set-Up Time to Input Register Clock[9] 3 3 3 4 4 4 ns tm Input Register Hold Time [9] 3 3 3 4 4 4 ns tEA Input to Output Enable Delay[lO,l1] 20 20 25 25 25 30 ns tER Input to Output Disable Delay[lO,l1] 20 20 25 25 25 30 ns tpzx Pin 14 Enable to Output Enable Delay[8, 12] 15 15 20 20 20 25 ns tpxz Pin 14 Disable to Output Disable Delay[8, 12] 15 15 20 20 20 25 ns tWH Input Clock Width High[4,9] 9 10 10 10 10 12 ns tWL Input Clock Width Low[4,9] 9 10 10 10 10 12 ns tIOH Output Data Stable Time from Input Register Clock Input[7, 9] 3 3 3 3 4 4 ns tIOH-tm Output Data Stable Time This Device Minus lIP Reg Hold Time Same Devicel7, 13, 14] 0 0 0 0 0 0 ns tIOH tm 33x Output Data Stable Time Minus lIP Reg Hold Time 7C330 and 7C332 Devicel9, 15] 0 0 0 0 0 0 ns tpE External Clock Period (tlCO + tIS)[9] 21 23 28 27 29 34 ns fMAXI Maximum External Operating Fre~uency (1I(tlca + tIS» 9] 47.6 43.4 35.7 37 34.4 29.4 MHz fMAX Maximum Frequency Data Path[9] 55.5 50.0 40.0 50.0 40.0 33.3 MHz Notes: 7. Preliminary specifications. 8. Refer to Figure 4 configuration 1. 9. Refer to Figure 4 configuration 2. 10. Part (a) of AC Test Loads and Waveforms is used for all parameters except tEA, tER, tpzx, and tpxz, which use part (b). Part (c) shows test waveform and measurement reference levels. 11. Refer to Figure 4 configuration 3. 12. Refer to Figure 4 configuration 4. 13. Refer to Figure 4 configuration 5. 14. This specification is intended to guarantee that configuration 5 of Figure 4 with input registered feedback can be operated with all input register clocks controlled by the same source. These parameters are tested by periodic sampling of production product. 15. This specification is intended to guarantee interface compatibility of the other members of the CY7C330 family with the CY7C332. This specification is met for the devices noted operating at the same ambient temperature and at the same power supplyvoJtage. These parameters are tested periodically by sampling of production product. 2-130 -=====-. -=-~PRESS CY7C332 CONFIGURATION 1 PIN ~-------{~===l INPUT OR I/O PIN INPUT REGISTER CONFIGURATION 2 CLOCK 1 OR2 ~-------{~==:l PRODUCT TERM ARRAY CONFIGURATION 3 INPUT OR I/O PIN ~I~ 1-------------------. PIN ~-------{~==j CONFIGURATION 4 INPUT OR I/O PIN INPUT REGISTER DATA INPUT CONFIGURATION 5 PRODUCT TERM ARRAY CLOCK 1 OR2 DATA OUTPUT CLOCK 1 OR2 C332-18 Figure 4. Timing Configurations Switching Waveforms INPUT OR I/O PIN[16] INPUT CLOCK[17] PIN 14 ASOE 4 - - troH[5] tpZX[12] OUTPUT C332-19 Notes: 16. Because OE can be controlled by the OE product term, input signal polarity for control of OE can be of either polarity. Internally the product term OE signal is active HIGH. 17. Since the input register clock polarity is programmable, the input clock may be rising- or falling-edge triggered. 2-131 ~ -~,:rcYPRESS CY7C332 CY7C332 Logic Diagram (Upper Half) ~~o 8 16 24 32 L9603 (GO, 1,3) 48 L971 0 (MBO .. 10) ~72~~~ L9600 (GO, 1,3) &{ .. 40 L550 L9650 (GO..4) 28 L9655 (GO..4) 27 19 . 3 L9606 (GO .. 3) -<:J- L9742 (MB32..44) L1600 "~ ~B:5 . 4 L9755 L961 0 (GO .. 3) L9660 (GO..4) 26 .. 63) L9665 (GO..4) 25 17 ... L9774(~78) 5 L9614 (GO .. 3) 13 ... L9670 (GO..4) 24 ... 6 L9618 (GO.. 3) L3950 L9675 (GO..4) 23 2-132 ==-- - ~ CY7C332 'CYPRESS CY7C332 Logic Diagram (Lower Half) I 7 -- TO UPPER SECTION ~~_~_~lijll~II~II~I~I~II~II~I~II~1~11~lijl~ L4800 L9622 (CO ..3) L9680 (CO..4) 20 ~ L9823 (MS 113 .. 127) L5650 L9685 (CO..4) 19 13 . 10 ~ L6400 L9630 (CO .. 3) L9690 (CO..4) 18 17 .... ~ 11 ~~:~ L7350 L9634 (CO .. 3) L9695 (CO..4) 17 .... 12 L9638 (CO .. 3) L9870 (MB160 .. 180) L8000 L9700 (CO..4) 16 19 13 L9891 L9642 L9050 (CO .. 3) 9 L9646 (CO .. 3) ~~~mH~~~~~mm~illW 2-133 (M~.191) ~ tt:::=::: v C7 0INPUT CLOCK I""- ~ 1 MUX C6 C335-4 Figure 1. CY7C335 Input Macrocell 2-138 CY7C335 co OUTPUT REG BYPASS MUX OUTPUT ENABLE I - - - - / - r ~O_U_T_P_UT __ EN_A_B_L_E_P_R_O_D_U_CT __ TE_R_M____________~O MUX PIN 14: OE SET PRODUCT TERM RESET PRODUCT TERM TO ARRAY INPUT REGISTER o C1 ICLK1 D o ICLK2 C335-5 TO ARRAY CX (11 -16) FROM ADJACENT MACROCELL Figure 2. CY7C335 Input/Output Macrocell 2-139 CY7C335 SET PRODUCT TERM S )------1 D Q ! SCLK1 SCLK2 RESET PRODUCT TERM C335-6 Figure 3. CY7C335 Hidden Macrocell SCLK2 TO OUTPUT MACROCELLS AND HIDDEN MACROCELLS PIN 1 ICLK1 ICLK2 SCLK1 TO OUTPUT MACROCELLS AND HIDDEN MACRO CELLS PIN 2 C8 PIN 3 C335-7 Figure 4. CY7C335 Input Clocking Scheme 2-140 -'i~ CY7C335 ; CYPRESS Maximum Ratings (Above which the useful life may be impaired. For user guidelines, not tested.) Storage Temperature .................. -65°C to + 150°C Ambient Temperature with Power Applied ....................... - 55 ° C to + 125 ° C Supply Voltage to Ground Potential (Pin 22 to Pins 8 and 21) ................. -0.5V to +7.0V DC Voltage Applied to Outputs in High Z State ......................... -O.5V to +7.0V DC Input Voltage ....................... - 3.0V to + 7.0V Output Current into Outputs (Low) ............... 12 rnA Static Discharge Voltage ........................ >2001V (per MIL-STD-883, Method 3015) Latch-Up Current ........................... >200 rnA DC Programming Voltage ......................... 13.0V Operating Range Ambient Temperature Range Commercial O°C to +75°C Vee 5V ± 10% Industrial -40°C to +85°C 5V ± 10% Military[1] -55°C to + 125°C 5V ± 10% Electrical Characteristics Over the Operating Rangef2] Parameter Description Test Conditions VOR Output HIGH Voltage Vee = Min., VIN = VIR or VIL VOL Output LOW Voltage Vee = Min., VIN = VIR or VIL Min. = -3.2 rnA lOR = -2 rnA IOL = 12 rnA IOL = 8 rnA Com'l lOR Input HIGH Level Guaranteed Input Logical HIGH Voltage for All Inputs l3 J VIL Guaranteed Input Logical LOW Voltage for All Inputs ljJ Input Leakage Current Output Leakage Current Ise Output Short Circuit Current Ieel Standby Power Supply Current Iee2 Power Supply Current at Frequency[S] V 0.5 V 0.8 V I-lA Mil/Ind Input LOW Level IIX Unit Mil/Ind Com'l VIR Ioz Max. 2.4 = Max. = Max., Vss'::;' VOUT'::;' Vee Vee = Max., VOUT = 0.5Vl4,SJ Vee = Max., VIN = GND 2.2 V Vss'::;' VIN'::;' Vee, Vee -10 10 Vee -40 40 I-lA -30 -90 rnA Outputs Open Vee = Max., Outputs Disabled (in High Z State), Device Operating at fMAX External (fMAXS) Com'l 140 rnA Mil/Ind 160 rnA Com'l 180 rnA Mil/Ind 200 rnA Capacitance[S] Parameter Description CIN Input Capacitance COUT Output Capacitance Test Conditions Min. = 2.0V @ f = 1 MHz VOUT = 2.0V @ f = 1 MHz VIN Notes: 1. tA is the "instant on" case temperature. 2. See the last page of this specification for Group A subgroup testing information. 3. These are absolute values with respect to device ground and all overshoots due to system or tester noise are included. 4. S. 2-141 Max. Unit 10 pF 10 pF Not more than one output should be tested at a time. Duration ofthe short circuit should not be more than one second. VOUT = O.SV has been chosen to avoid test problems caused by ground degradation. Tested initially and after any design or process changes that may affect these parameters. E 1s~PRESS CY7C335 AC Test Loads and Waveforms (Commercial) R1313Q (470Q MILJIND) 5Vo----"""~., ALL INPUT PULSES 3.0V ------- OUTPUT~----'------' INCLUDING JIG AND SCOPE r-= R220SQ (319Q MiI/lnd) 50PF -= C335-8 (a) I 90% GND 1 I y,,' C = 50 pF T OV OV OUTPUTO VTH = 2.00V (2.02V MIL) tpxz (-) ov C335-9 tpxz (+) VOH 2.6V tCER(-) 1.5V tCER(+) 2.6V tCEA(+) Vth Vx VOH O.5V O.5V Vx ~ ~ O.5V VOL Vth ~ ~ O.5V O.5V Vx tCEA(-) ~ ~ O.5V Vth Vth ~ O.5V Vx tpzx (-) ov C335-10 (d) Three-state Delay Load (Load 2) VOL tpzx (+) Vx Output Waveform-Measurement Level Vx 1.5V 1 1 ~ C = 5PFT (c) Thevenin Equivalent (Load 1) Parameter I R = 125Q (190Q MIL) R = 125Q (190Q MIL) OUTPUTO C335-11 (b) O.5V ~ Figure 5. Test Waveforms 2-142 ~ ~ ~ ~ ~ ~ ~ ~ Vx C335-12 Vx C335-13 VOH C335-14 VOL C335-15 Vx C335-16 Vx C335-17 VOH C335-18 VOL C335-19 • -'i~ CY7C335 'CYPRESS Commercial AC Characteristics 7C335-100 Parameter Description Min. 7C335-83 Max. Min. 7C335-66 7C335-50 Max. Min. Max. Min. Max. Unit Combinatorial Mode Parameters tpD Input to Output Propagation Delay 15 15 20 25 ns tEA Input to Output Enable 15 15 20 25 ns Input to Output Disable tER Input Registered Mode Parameters 15 15 20 25 ns tWH Input and Output Clock Width HIGHl5j 4 5 6 8 ns tWL Input and Output Clock Width LOWl5j 4 5 6 8 ns ns tIS Input or Feedback Set-Up Time to Input Clock 2 2 2 3 tIH Input Register Hold Time from Input Clock 2 2 2 3 tlCO Input Register Clock to Output Delay tIOH Output Data Stable Time from Input Clock 3 3 3 3 ns tIOH - tIH 33x Output Data Stable from Input Clock Minus Input Register Hold Time for 7C330, 7C332, and 7C335[6] 0 0 0 0 ns tpzx Pin 14 Enable to Output Enabled 12 12 15 20 tpxz Pin 14 Disable to Output Disabled 12 12 15 20 fMAXl Maximum Frequency of (2) CY7C335s in Input Registered Mode (Lowest of l/(tlco+tls) & l/(tWL +tWH»[5] fMAX2 Maximum Frequency Data Path in Input Registered Mode (Lowest of (l/(tlCO), l/(tWH+tWL), l/(tls +trn»[5] tlCEA Input Clock to Output Enabled 17 17 20 25 ns Input Clock to Output Disabled tlCER Output Registered Mode Parameters 15 15 20 25 ns 17 17 20 25 ns 15 15 20 25 tCEA tCER 18 18 20 ns 25 ns ns ns 50 50 45.4 35.7 MHz 55.5 55.5 50 40 MHz Output Clock to Output Enabledl5j Output Clock to Output Disabledl5j ns ts Output Register Input Set-Up Time from Output Clock 8 9 12 15 ns tH Output Register Input Hold Time from Output Clock 0 0 0 0 ns tco Output Register Clock to Output Delay 9 10 12 15 ns tC02 Input Output Register Clock or Latch Enable to Combinatorial Output Delay (Through Logic Array)[5] 17 18 23 30 ns tOH Output Data Stable Time from Output Clock 2 2 2 2 ns tOH2 Output Data Stable Time From Output Clock (Through Memory Array)[5] 3 3 3 3 ns tOH2- tIH Output Data Clock Stable Time From Output Clock Minus Input Register Hold Timd5] 0 0 0 0 ns fMAX3 Maximum Frequency with Internal Feedback in Output Registered Modd5] 100 83.3 66.6 50 MHz fMAX4 Maximum Frequency of (2) CY7C335s in Output Registered Mode (Lowest of l/(tco + ts) & l/(tWL + tWH»[5] 58.8 50 41.6 33.3 MHz fMAX5 Maximum Frequency Data Path in Output Registered Mode !Lowest of 1/(tco), 1/(tWL + tWH), 1/(ts + tH»[ ] 111 100 83.3 62.5 MHz 2-143 E1 CY7C335 Commercial AC Characteristics (continued) 7C33S-100 7C33S-83 7C335-66 7C33S-50 Parameter Description Min. tOH - tIH 33x Output Data Stable from Output Clock Minus Input Register Hold Time for 7C330, 7C332, and 7C335[6] 0 tcos Input Clock to Output Clock 10 12 15 20 ns fMAX6 Maximum Frequency Pipelined Mode (Lowest of 1I(tcos), 1I(tco), 1I(tWL + tWH)), 1I(tls + tIHP] 100 83.3 66.6 50 MHz fMAX7 Maximum Frequency of (2) CY7C335s in Pipe lined Mode (Lowest of 1I(tco + tIS) or 1Itcos) 90.9 83.3 66.6 50 MHz Power-Up Reset Parameters Power-Up Reset Timel5, 7J Max. Min. 0 1 tpOR Max. Min. Max. 0 1 Min. Max. 1 Unit ns 0 1 fls Military/Industrial AC Characteristics Parameter 7C335-83 Min. Max. Description 7C33S-66 Min. Max. 7C335-50 Min. Max. 7C33S-40 Min. Max. Unit Combinatorial Mode Parameters tpD Input to Output Propagation Delay 20 20 25 30 ns tEA Input to Output Enable 20 20 25 30 ns 20 20 25 30 ns Input to Output Disable tER Input Registered Mode Parameters Input and Output Clock Width HIGH[5] tWH 10 Input and Output Clock Width LOW[5] 5 6 6 8 tWL 8 10 ns tIS tIH Input or Feedback Set-Up Time to Input Clock 3 3 3 4 ns Input Register Hold Time from Input Clock 3 tlCO Input Register Clock to Output Delay 5 Output Data Stable Time from Input Clock tlOH tlOH - tIH Output Data Stable from Input Clock Minus Input 33x Register Hold Time for 7C330, 7C332, and 7C335[6] 3 3 23 4 25 23 ns ns 30 3 3 3 ns 0 0 0 0 ns tpzx Pin 14 Enable to Output Enabled 15 15 20 30 tpxz Pin 14 Disable to Output Disabled 15 15 20 30 fMAXi Maximum Frequency of (2) CY7C335s in Input Registered Mode (Lowest of 1I(tlco + tIS) & 1I(tWL + tWH))[5] Maximum Frequency Data Path in Input Registered Mode fLowest of (1I(tICO), 1I(tWH + twL), 1I(tls + tIH)) 5] fMAX2 tlCEA ns 3 ns ns 38.4 38.4 35.7 29.4 MHz 43.4 43.4 40 33.3 MHz Input Clock to Output Enabled 20 20 25 30 ns 20 20 25 30 ns 20 20 25 30 ns 20 20 25 30 ns Input Clock to Output Disabled tlCER Output Registered Mode Parameters Output Clock to Output Enabled [5] tCEA tCER ts Output Clock to Output Disabled [5] Output Register Input Set-Up Time to Output Clock 10 12 15 20 ns tH Output Register Input Hold Time from Output Clock 0 0 0 0 ns tco Output Register Clock to Output Delay 11 Notes: 6. This specification is intended to guarantee interface compatibility of the other members of the CY7C330 family with the CY7C335. This specification is met for the devices operating at the same ambient temperature and at the same power supply voltage. 7. 2-144 12 15 20 ns This part has been designed with the capability to reset during system power-up. Following power-up, the input and output registers will be reset to a logic LOW state. The output state will depend on how the array is programmed. -'i~ CY7C335 'CYPRESS Military/Industrial AC Characteristics Parameter (continued) 7C335-83 Min. Max. Description 7C335-66 Min. Max. 7C335-50 7C335-40 Min. Max. Min. Max. 23 Unit teo2 Output Register Clock or Latch Enable to Combinatorial Output Delay (Through Logic Array)[5] tOH Output Data Stable Time from Output Clock 2 2 2 2 ns tOH2 Output Data Stable Time From Output Clock (Through Memory Array)[5] 3 3 3 3 ns tOH2- t IH Output Data Clock Stable Time From Output Clock Minus Input Register Hold TimelS] a a a a ns fMAX3 Maximum Frequency with Internal Feedback in Output Registered Model 5] 83.3 66.6 50 40 MHz fMAX4 Maximum Frequency of (2) CY7C335sin Output Registered Mode (Lower of 1/(teo + ts) & 1/(tWL + tWH))[5] 47.6 41.6 33.3 25 MHz fMAX5 Maximum Frequency Data Path in Output Registered Mode (Lowest of 1/(teo), 1/(tWL + tWH), 1/(ts + tH»[5] 90.9 83.3 62.5 50 MHz tOH - tIH 33x Output Data Stable from Output Clock Minus Input Register Hold Time for 7C330, 7C332, and 7C335[6] a a a a ns 22 30 35 ns Pipelined Mode Parameters teos Input Clock to Output Clock fMAX6 Maximum Frequency Pipelined Mode (Lowest of 1/Heos), 1/(tIS), or 1/(teo», 1/(tIS + tIH)[ Maximum Frequency of (2) CY7C335s in Pipelined Mode (Lowest of 1/( teo + tIS) or 1/teos) Power-Up Reset Parameters Power-Up Reset Timel5, 7] tpOR fMAX7 12 15 20 25 ns 83.3 66.6 50 40 MHz 71.4 66.6 50 40 MHz 2-145 1 1 1 1 f,ls E CY7C335 Switching Waveform INPUT OR I/O PIN INPUT REG. CLOCK - -......1 - - - tWL 14-------- tcas ----+-+---.-l._ OUTPUT REG. CLOCK OUTPUT ....- - - tpD ---~ 1.1----... 14------PIN 14 ASOE tER tlCER ---_.J ------.1 C _ _F----.tpxz 'PZl( C335-20 Power-Up Reset Waveform[7] VCC OUTPUT CLOCK C335-21 2-146 CY7C335 Block Diagram (Page 1 of 2) II TO LOWER SECTION C335-22 2-147 CY7C335 Block Diagram (Page 2 of 2) TO UPPER SECTION C335-23 2-148 CY7C335 Ordering Information fMAX (MHz) (rnA) 100 140 83.3 83.3 66.6 66.6 50 IcC! 160 140 160 140 140 Package Name Package 1:ype CY7C335 -lOOHC H64 28-Pin Windowed Leaded Chip Carrier CY7C335 -lOOJC J64 28-Lead Plastic Leaded Chip Carrier CY7C335 -lOOPC P21 28-Lead (300-Mil) Molded DIP CY7C335 -lOOWC W22 28-Lead (300-Mil) Windowed CerDIP CY7C335-83DI D22 28-Lead (300-Mil) CerDIP CY7C335-83H1 H64 28-Pin Windowed Leaded Chip Carrier 28-Lead (300-Mil) Molded DIP Ordering Code CY7C335 - 83PI P21 CY7C335-83WI W22 28-Lead (300-Mil) Wmdowed CerDIP CY7C335-83DMB D22 28-Lead (300-Mil) CerDIP CY7C335 -83HMB H64 28-Pin Windowed Leaded Chip Carrier CY7C335 -83LMB L64 28-Square Leadless Chip Carrier CY7C335 - 830MB 064 28-Pin Windowed Leadless Chip Carrier 28-Lead (300-Mil) Windowed CerDIP CY7C335 -83WMB W22 CY7C335-83HC H64 28-Pin Windowed Leaded Chip Carrier CY7C335-83JC J64 28-Lead Plastic Leaded Chip Carrier 28-Lead (300-Mil) Molded DIP CY7C335 - 83PC P21 CY7C335 -83WC W22 28-Lead (300-Mil) Windowed CerDIP CY7C335-66DI D22 28-Lead (300-Mil) CerDIP CY7C335-66HI H64 28-Pin Windowed Leaded Chip Carrier CY7C335-66PI P21 28-Lead (300-Mil) Molded DIP CY7C335 - 66WI W22 28-Lead (300-Mil) Windowed CerDIP CY7C335-66DMB D22 28-Lead (300-Mil) CerDIP CY7C335 -66HMB H64 28-Pin Windowed Leaded Chip Carrier CY7C335 - 66LMB L64 28-Square Leadless Chip Carrier CY7C335 -660MB 064 28-Pin Windowed Leadless Chip Carrier CY7C335-66WMB W22 28-Lead (300-Mil) Windowed CerDIP CY7C335-66HC H64 28-Pin Windowed Leaded Chip Carrier CY7C335-66JC J64 28-Lead Plastic Leaded Chip Carrier CY7C335 - 66PC P21 28-Lead (300-Mil) Molded DIP CY7C335 -66WC W22 28-Lead (300-Mil) Wmdowed CerDIP CY7C335-50HC H64 28-Pin Windowed Leaded Chip Carrier CY7C335-50JC J64 28-Lead Plastic Leaded Chip Carrier CY7C335 - 50PC P21 28-Lead (300-Mil) Molded DIP CY7C335 - 50WC W22 28-Lead (300-Mil) Wmdowed CerDIP 2-149 Operating Range Commercial Industrial Military Commercial Industrial Military Commercial Commercial CY7C335 Ordering Information (continued) fMAX (MHz) (rnA) 50 160 40 ICC! Ordering Code 160 Package Name CY7C335-50DI D22 28-Lead (300-Mil) CerDIP CY7C335 - 50HI H64 28-Pin Windowed Leaded Chip Carrier CY7C335-50PI P21 28-Lead (300-Mil) Molded DIP CY7C335 - 50WI W22 28-Lead (300-Mil) Wmdowed CerDIP CY7C335 - 50DMB D22 28-Lead (300-Mil) CerDIP CY7C335 - 50HMB H64 28-Pin Windowed Leaded Chip Carrier CY7C335 - 50LMB L64 28-Square Leadless Chip Carrier CY7C335 - 50QMB Q64 28-Pin Windowed Leadless Chip Carrier CY7C335-50WMB W22 28-Lead (300-Mil) Windowed CerDIP CY7C335-40DI D22 28-Lead (300-Mil) CerDIP CY7C335-40HI H64 28-Pin Windowed Leaded Chip Carrier CY7C335 - 40PI P21 28-Lead (300-Mil) Molded DIP CY7C335-40WI W22 28-Lead (300-Mil) Windowed CerDIP CY7C335 - 40DMB D22 28-Lead (300-Mil) CerDIP CY7C335 -40HMB H64 28-Pin Windowed Leaded Chip Carrier CY7C335-40LMB L64 28-Square Leadless Chip Carrier CY7C335 -40QMB Q64 28-Pin Windowed Leadless Chip Carrier CY7C335-40WMB W22 28-Lead (300-Mil) Windowed CerDIP MILITARY SPECIFICATIONS Group A Subgroup Testing DC Characteristics Parameter Subgroups VOH 1,2,3 VOL VIH 1,2,3 1,2,3 VrL 1,2,3 Irx 1,2,3 Ioz 1,2,3 Icc 1,2,3 Switching Characteristics Parameter Subgroups tpD 9,10,11 trca trs 9,10,11 teo 9,10,11 9,10,11 ts 9,10,11 tH 9,10,11 9,10,11 teas Package 'JYpe Document #: 38-00186-C 2-150 Operating Range Industrial Military Industrial Military CY7C258 CY7C259 2K X 16 Reprogrammable State Machine PROM Features Functional Description • High speed: 100-MHz operation -tcp = 10 ns -tCKO = 8ns -tAS = 2 ns • ll-bit-wide state word • Can be programmed as asynchronous PROM tAA = 18 ns • Optimum speed/ power • Individually bypassable input and output registers • Individually programmable address/ feedback muxes • Synchronous and asynchronous chip select • Synchronous and asynchronous INIT and programmable initialize word • 16 outputs (CY7C259) • Software support • CY7C258 available in 28-pin, 300-mil plastic and ceramic DIP, LCC, PLCC • CY7C259 available in 44-pin LCC and PLCC • Reprogrammable in windowed packages • Capable of withstanding greater than 2001V static discharge The CY7C258 and CY7C259 are 2K x 16 CMOS PROMs specifically designed for use in state machine applications. State machines are one of the most common applications for registered PROMs. The CY7C258 and CY7C259 feature internal state feedback and a variety of programmable features to support lOO-MHz state machines with as many as 2,048 distinct states. It is easy to use a PROM as a state machine. Each array location contains output data as well as information fed back to select the next state. Note that a PROM is only limited by the number of array inputs. If a given state machine can be implemented in the number of inputs/ feedbacks available (11 on the CY7C258/259), then it will always fit in the device. No software minimization is required. Among the programmable features of the CY7C258/CY7C259 are individually bypassable input and output registers. The registers run off the same clock for pipeline capability. Each individual register can be programmed to capture data at the rising edge of the clock or to be transparent. The registers at the inputs are useful for signals that require short set-up times (tAS = 2 ns). The input register does introduce a cycle of latency, however. For signals that directly affect the next state of the machine, each input register can be bypassed. Note that the cycle time remains the same (10-ns min.), even if the inputs are bypassed. Registers at the output are used to hold both state information and output data. These registers are also bypassable for maximum flexibility. Occasionally, an individual output cannot wait for the next clock edge. These outputs are sometimes called Mealy outputs, and can be created by bypassing the appropriate output register. Since the CY7C258 and CY7C259 contain a 2K array, they each require 11 inputs. Each of these inputs can come from an input pin or from internal output register feedback. Eleven individually programmable address muxes allow the user to select the ratio of pin input and state feedback. These devices have both an asynchronous output (OE) and a synchronous chip select (CS). The CS input is polarity programmable and registered twice. Each of Logic Block Diagram INPUT REGISTERS Pin Configurations All REGISTERS ARE BYPASSABlE OUTPUT REGISTERS DIP Top View DATA OUT (Do - D7) OE CS A1 2Kx16 PROGRAMMABLE ARRAY A2 ClK Do A3 D1 At D2 Vee Vss As Vss Vee Vss As D3 A7 D4 As Ds Ag D6 A10 D7 C258-2 CS ot ____-+______-r_ C258-1 2-151 I --. -=:iF CY7C258 CY7C259 ~ -';~ 'CYPRESS Functional Description (continued) the CS registers can be bypassed in the same manner as the address input and output registers. A separately controllable INIT input is included for user resets. If INIT is sampled LOW on the rising edge of CLK, the user programmable initialization word will appear at the outputs after the next CLK cycle. Each of the IN IT registers can be bypassed in the same manner as the address input and output registers. The difference between the CY7C258 and CY7C259 is in the packaging. The CY7C258 has three different types of outputs. D4 - Do are dedicated outputs that do not feed back to the input muxes. Ds - D7 appear on the output pins and are fed back to the input muxes. Finally, D8 - DIS are dedicated feedback lines that do not appear at the output pins. The dedicated feedback allows the CY7C258 to be packaged in 28-pin packages. The CY7C258 is available in 28-pin LCC, PLCC, and slim 300-mil DIP packages. On the CY7C259, all 16 array outputs are available at the pins. Outputs D4 - Do remain as dedicated outputs while Ds - DIS appear at the pins and are also fed back to the input muxes. This organization allows the user maximum flexibility in selecting the ratio of outputs to state feedback. The availability of state information at pins also improves testability. The CY7C259 is packaged in 44-pin LCC and PLCC packages. Several third-party programmers feature support for PROMs as state machines, including Data I/O (ABEL), ISDATA (LOG/iC), and CUPL. The devices are also supported on the Cypress Wmp2 and Wa1p3 development software. The CY7C258 and CY7C259 offer the advantage of low power, superior performance, and programming yield. The EPROM cells allow for each memory location to be 100% tested, with each location being written into, erased, and repeatedly exercised prior to encapsulation. Each PROM is also tested for AC performance to guarantee that the product will meet DC and AC specification limits after customer programming. Pin Configurations (continued) LCC/PLCC (Opaque Only) Top View LCC/PLCC(Opaque Only) Top View .['..;°l~ ~I~ A2 Vee A3 7C258 At. 0 Vee Vss As A6 A7 A3 A4 Do Dl D2 Vee Vss Vss Vee Vss As Vss A6 A7 Ae D3 .:f~JC;88~ 0 ..;0> C258-3 Ed E0°00'" 6 5 4 3 2,1,44434241 40 39 38 37 7C259 10 36 11 35 12 13 32 14 15 16 29 17 1819202122232425262728 D3 D4 Ds Vss Vee D6 D7 De Dg Vee Vss J >g J >g J 65;S :5 E C258-4 Selection Guide Commercial 7C258-10 7C259-10 Bypassed Input Set-Up/Hold 7C258-15 7C259-15 Unit 10 12 15 ns 2/2 or 5/0 3/3 or 7/0 4/4 or 8/1 ns 10/0 12/0 15/0 ns 8 9 11 ns Minimum Cycle Time Registered Input Set-Up/Hold[l] Commercial and Military 7C258-12 7C259-12 Clock-to-Output Note: 1. This parameter is programmable. 2-152 . CY7C258 CY7C259 -.,~ =====, CYPRESS Maximum Ratings (Above which the useful life may be impaired. For user guidelines, not tested.) Storage Temperature .................. -65°C to +150°C Ambient Temperature with Power Applied ....................... -55°C to + 125°C Supply Voltage to Ground Potential ........ -O.5V to + 7.0V DC Voltage Applied to Outputs in High Z State ......................... -0.5V to + 7.0V DC Input Voltage ....................... - 3.0V to + 7.0V DC Program Voltage ............................. 13.0V Static Discharge Voltage ............. " ......... >200lV (per MIL-STD-883, Method 3015) Latch-Up Current ........................... >200 rnA UV Exposure ........................... 7258 Wsec/cm 2 Operating Range Ambient Temperature Vee O°C to +70°C 5V ± 10% Industrial[2] -40°C to +85°C 5V ± 10% Military[3] -55°C to + 125°C 5V ± 10% Range Commercial Electrical Characteristics Over the Operating Rangd 4, 5] Parameter Test Conditions Description VOH Output HIGH Voltage Vee = Min., IOH =-2 rnA VOL Output LOW Voltage Vee = Min., IOL = 8 rnA Min. Max. 2.4 I Commercial I Military Vee = Min., IOL = 6 rnA Unit V 0.4 V 0.4 V V VIH Input HIGH Voltage Guaranteed Input Logical HIGH Voltage for all Inputs 2.0 6.0 VIL Input LOW Voltage Guarantced Input Logical LOW Voltage for all Inputs -3.0 0.8 V IIX Input Load Current GND~ VIN~ -10 +10 fAA loz Output Leakage Current GND ~ VOUT ~ Veo Output Disabled -40 +40 los Icc Output Short Circuit Current[6] Vee = Max., VOUT = GND -20 -90 /-lA rnA Active Current[7] Vee = Max., lOUT = 70 rnA 90 rnA Vee Vee = Max., lOUT = a rnA a rnA I Commercial I Military Capacitance[5] Parameter Description Input Capacitance Output Capacitance CIN COUT Max. 10 Test Conditions TA = 25°C, f = 1 MHz, Vee = 5.0V Notes: 2. Contact a Cypress representative for industrial temperature range specification. 3. TA is the "instant on" case temperature. 4. See the last page of this specification for Group A subgroup testing information. 5. 6. 7. 10 Unit pF pF See Introduction to CMOS PROMs in this Data Book for general information on testing. For test purposes, not more than one output at a time should be shorted. Short circuit test duration should not exceed 30 seconds. Add 1 mA/MHz for AC power component. AC Test Loads and Waveforms[4] soon soon sv OUTPUT OUTPUT 3330 (4030 Mil) I SO pF INCLUDING JIG AND SCOPE - - 90% GND 3330 (4030 Mil) I S pF INCLUDING JIG AND SCOPE (a) Normal Load Equivalent to: ALL INPUT PULSES 3.0V---- sv ~(6S80Mil) §9(6S80Mil) - - (b) High Z Load C258-5 THEVENIN EQUIVALENT 2000 (2S00 Mil) OUTPUT ~ 2.0V (1.9V Mil) 2-153 C258-6 II CY7C258 CY7C259 Switching Characteristics Over the Operating Range[3, 4] Commercial 7C258-10 7C259-10 Parameter Description Min. Max. Commercial and Military 7C258-12 7C259-12 Min. Max. 7C258-15 7C259-15 Min. Max. Unit tcp Clock Period 10 12 15 ns tCH Clock HIGH 4 5 6.5 ns tCL Clock LOW tAsitAH Register Input Set-Up/Hold tABS Address Set-Up to CLK with Input Bypassed 4 5 6.5 ns 2/2 or 5/0 3/3 or 7/0 4/4 or 8/1 ns 10 12 15 ns tABH Address Hold from CLK with Input Bypassed tCSsltCSH Chip Select Set-Up/Hold 0 0 0 ns 2/2 or 5/0 3/3 or 7/0 4/4 or 8/1 ns tIPD Asynchronous INIT to Output Valid with Output Bypassed tCKOl Output CLK to Registered Output Valid 8 tCK02 Output CLK to Output Valid with Output Bypassed 18 21 2 25 ns 9 11 ns 18 21 ns 11 ns 21 tDH Data Hold from CLK tcov CLK to Output Valid[8] tcoz CLK to High Z Output[8] 8 9 11 ns tcsv CS to Output Valid with Input Bypassed[8] 10 12 15 ns tcsz CS to High Z Output with Input Bypassed[8] 10 12 15 ns tOEV OE to Output Valid[8] 8 9 11 ns tOEZ OE to High Z Output[8] 8 9 11 tIsitIH INIT Set-Up/Hold 2 8 2 9 2/2 or 5/0 3/3 or 7/0 4/4 or 8/1 ns ns ns tlBS INIT Set-Up to CLK with Input Bypassed 10 12 15 ns tlBH INIT Hold from CLK with Input Bypassed 0 0 0 ns tPD Propagation Delay with Input and Output Bypassed tICO CLK to Output Valid with Output Bypassed tIw Asynchronous INIT Pulse Width tlDV Asynchronous INIT to Data Valid tICR Asynchronous INIT Recovery to Clock 18 18 18 18 12 10 10 Note: 8. See Output Waveform-Measurement Level. 2-154 12 ns 21 ns 15 12 10 21 ns 15 15 ns ns CY7C258 CY7C259 Output Waveform-Measurement Level : O.SV HighZ Output Rth \~x=o.ov VOH Pin o---J\fVV---o Vx CL = 5pF SV HighZ Output °t 7~x=2.6V VOL Rth Pin~ Vx t CL = 5pF 3330 2:!~f: 7 ~VOH • Vx = O.OV Pin~ Vx CL = 50 pF 2:!~f: 5000 \~ l.SV VOL Vx = 2.6V Pino----JVVy----o Vx CL = 50pF C25B-7 Switching Waveforms Registered Input and Output (combined with INIT) ClK Ao - A10 ____________ ~----J --+________~I----_ Do - 0 7 (015) ____________ ""-____-' '-_ _ _--' ""-_ _ _J ' -_ _ __ CS, OE ASSUMED ACTIVE tiS tlH tlSS tlsH (BYPASSED INIT REG.) C25B-B Bypassed Address and INIT Registers ClK Ao - A10 Do - 0 7 (015) -------------------------+------' CS, OE ASSUMED ACTIVE 2-155 C25B-9 CY7C258 CY7C259 SwitchiQ,g Waveforms (continued) Asynchronous INIT and OE ClK HIGHZ CS ASSUMED ACTIVE C258-10 Single- and Double-Registered Chip Select ClK CS (ACTIVE HIGH) - - - - - - - - - " " - - - - - - - Do - D7 (D15) - - - - - - - - - - - H - I G - H - Z - - - - - - - - - « " "__ VA_l_ID_.....I.))---=-=-=-)+---H-IG-H-Z-(DOUBLE REGISTERED) DE ASSUMED ACTIVE C258-11 Bypassed Output Register[9] ClK Ao - A10 -------------r----' lNlT CS, DE ASSUMED ACTIVE C258-12 Note: 9. Even though the register is bypassed, INIT continues to set the output re?:ister (for feedback purposes). 2-156 CY7C2S8 CY7C2S9 -.;:;Z 'CYPRESS Switching Waveforms Bypassed Input and Output Register (CS and Address) Ao - A10 ____~A~D~D~R~ES~S~____~~~___________________________ -------- tpD CS (ACTIVE HIGH) L~v-1 _____" ____", ,___ HIGHZ HIGHZ DE ASSUMED ACTIVE Asynchronous INIT and Bypassed Output C258-13 Registerf lO ] ClK ""1_1------ DATA 1 tlPD DATA 2 ----~ ------.'\-_ _...11 CS, DE ASSUMED ACTIVE C258-14 Note: 10. Output registers configured as feedback to the array and bypassed with respect to the output. Mode Table Mode LAT (7C258-CLK) vpp (INIT) PGM (CS) (OE) Do-DIS (259) Do-D7 (258) VIHPNILP VFY Latch High Byte VIHP Vpp VIHP VIHP Program Inhibit VILP Vpp VIHP VIHP HI-Z Program Enable VILP Vpp VILP VIHP VIHPNILP Program Verify VILP Vpp VIHP VILP VOHPNOLP 2-157 II CY7C258 CY7C259 ~ WrcYPRESS Programming Pinouts DIP LCC/PLCC (Opaque Only) Vpp 'VF'Y Ao J5GM A1 LAT Do A2 ~.{' I~ I~a .8:»gJ~>gJ000~0'" c( A2 01 02 A3 A.t A7 As Ag DO A.t 01 As Vss 03 04 05 As ~ Vee Vss Vss Vee Vee Vss A5 21 As A7 ......;.,:.:;,:.:;.,::.;;,:.:....,..;-:.n~;,r Vee ~ O2 Vss Vee Vss A.t Vee Vss A5 Vss 03 As A7 As C258-17 06 07 A10 LCC/PLCC (Opaque Only) 6 5 4 3 2,1,4443424140 39 38 9 37 10 36 11 35 12 34 13 33 14 32 7C259 15 31 16 30 29 17 1819202122232425262728 8 0 OloC,) C258-15 c( I.l) U ...,. ('I') C\I.,.. 0 en <~o ~ooooo~ 03 04 05 Vss Vee 06 07 Os 09 Vee Vss C258-16 Programming Information This datasheet provides some but not all of the programming information necessary for on-board programming of the CY7C258 and CY7C259. For more information about on-board programming of Cypress PROMs contact your local Cypress Field Sales Engineer or Field Applications Engineer. 7C258 Bitmap[l1J Programmer Address Decimal Programmer Address Hex Programmer Memory 0 0 Data 7C258 Bit Breakdown DIS D14 DB D12 D11 DlO D9 Ds D7 D6 Ds D4 D3 D2 D1 Do Array Data 2047 7FF Data 2048 800 Address Register Select (1 = Bypassed Register) 2049 801 Array Input Select (1= Feedback) 2050 802 Output Register Select (1 = Bypassed Register) 2051 803 INITWORD (1= INIT Bit 1) 2052 804 Architecture A9 As A7 A6 As A2 A1 An AlOX X X X X A4 A3 A9 As A7 A6 As A2 A1 An AlOX X X X X A4 A3 X X X X X X X X D7 D6 Ds D4 D3 D2 D1 Do D1S D14 D13 D12 D11 DlO D9 Ds D7 D6 Ds D4 D3 D2 D1 Do X X X Note: 11. All eonfigurable bits default to O. 2-158 X X X X X SH Cl C2 CPIBIAX X CY7C258 CY7C259 7C259 Bitmap[ll] Programmer Address Decimal Programmer Address Hex Programmer Memory 7C259 0 0 Data Bit Breakdown DIS DI4 D13 Dl2 Dll DlO D9 Ds D7 D6 DS D4 D3 D2 DI Do Array Data 2047 7FF Data 2048 800 Address Register Select (1 = Bypassed Register) 2049 801 Array Input Select (1 = Feedback) 2050 802 Output Register Select (1 = Bypassed Register) DIS DI4 D13 Dl2 Dll DlO D9 Ds D7 D6 Ds D4 D3 D2 DI Do 2051 803 INITWORD (1 = INIT Bit 1) DIS DI4 D13 DI2 Dll DlO D9 Ds D7 D6 Ds D4 D3 D2 DI Do 2052 804 Architecture AlO A 9 As A7 ~ As X X X X X A4 A3 A2 Al Ao AlO A9 X X X X A4 A3 A2 Al Ao As A7 ~ As X SH CI C2 CP IB IA X X X X X X X Architecture Word Control Word Control Option Bit (258) Bit (259) IA (INIT Async) D2 DlO 0= Default 1 = Programmed Synchronous INIT Asynchronous INIT IB (INIT Bypass) D3 Dll 0= Default 1 = Programmed INIT Registered Bypass INIT Register CP (CS Polarity) D4 Dl2 0= Default 1 = Programmed CS Active LOW CS Active HIGH C2 (CS Bypass) (Buried Register) Ds D13 0= Default 1 = Programmed CS Input Registered Bypass CS Register C1 (CS Bypass) (Input Register) D6 DI4 0= Default 1 = Programmed CS Input Registered Bypass CS Register SH (Set-Up/Hold) D7 DIS 0= Default 1 = Programmed Set-Up/Hold = 2/2 ns Set-Up/Hold = 5/0 ns Programmed level 2-159 Function X X X I CY7C258 CY7C259 ~ :'rcYPRESS 1:ypical DC and AC Characteristics NORMALIZED Icc vs. AMBIENT TEMPERATURE 1.2 1.6 ~ee =~.OV, o ~ « -r--. 1.0 --- -- i-oo- :2 a: ~ 1.4 a w 0.9 1.6 b, TA=25 0 f=B3.3MHz I f=B3.3 MHz aU .9 NORMALIZED tCKOI VS. AMBIENT TEMPERATURE NORMALIZED ICC VS. SUPPLY VOLTAGE .9 1.2 0 w N ::J 1.0 /" « :2 a: O.B 0 " z 1.4 - N ~ ~/ -50 0 50 100 4 4.5 5 5.5 SUPPLY VOLTAGE M TEMPERATURE (C) 01.1 >:: .9 1.0 o gj I I TA = 25°C 30 - 1- 0.9 ::J - o z en 25 ~ 20 ~ ~ W 0.7 0 10 5 / 0.5 0.4 4.0 0 4.5 5.0 5.5 SUPPLY VOLTAGE o 6.0 M 1.1 o ..9- 1.0 0.9 i---- ::J ~ O.B a: o z --- r---- r-- 200 400 25.0 - ::J « :2 600 BOO 1.0 SUPPLY VOLTAGE M -50 - ~ 15.0 ~ 10.0 V 6.0 o 100 150 1.1 - - / 200 400 600 BOO 1000 CAPACITANCE (pF) 2-160 50 NORMALIZED Icc VS. CLOCK PERIOD / L 0 TEMPERATURE (0C) o /" 5.5 ,V" 0.4 -100 1000 J 5.20.0 oa.. 5.0 0.5 5.0 "...,. ~ N / 0.6 4.5 ~ w 1.2 Vee = 4.5V TA = 25°C :..J 0.7 0.4 4.0 0 a: 0 z O.B I en 150 0.6 30.0 I 100 ~ 1.4 DELTA tpD VS. OUTPUT LOADING TA = 25°C ----- ./ // CAPACITANCE (pF) NORMALIZED tpD VS. SUPPLY VOLTAGE 1.2 I V / 50 NORMALIZED tpD VS. AMBIENT TEMPERATURE / 15 0 TEMPERATURE (C) 1.6 Vee = 4.5V, TA=25°C :..J 0.6 o gj I r--- r- 5. ~ O.B a: 0.4 - 100 - 50 6 TYPICAL tCKOl CHANGE VS. OUTPUT LOADING NORMALIZED tCKOl VS. SUPPLY VOLTAGE 1.2 .- l,......--- 0.6 0.4 150 ..., ~ ~ 1.0 ~ O.B oz 0.6 0.8 -100 Vee = 5.0V ~ ••..0 1.2 ow C,) .9 0 w 1.0 0.9 N ::J O.B « 1\ ~ \ :2 a: 0.7 0 z 0.6 "-.... 0.5 0.4 \ o -- r--- 50 75 25 CLOCK PERIOD 100 -- CY7C258 CY7C259 -.;~ 'CYPRESS Ordering Information[12] Speed (ns) 10 12 Ordering Code 10 12 15 Operating Range Commercial H64 28-Pin Windowed Leaded Chip Carrier CY7C258-lOJC J64 28-Lead Plastic Leaded Chip Carrier CY7C258-lOPC P21 28-Lead (300-Mil) Molded DIP CY7C258-lOWC W22 28-Lead (300-Mil) Windowed CerDIP CY7C258-12HC CY7C258-12JC H64 J64 28-Pin Windowed Leaded Chip Carrier 28-Lead Plastic Leaded Chip Carrier CY7C258-12PC P21 W22 28-Lead (300-Mil) Windowed CerDIP CY7C258 -12HMB Speed (ns) Package 1Ype CY7C258 -lOHC CY7C258-12WC 15 Package Name Commercial 28-Lead (300-Mil) Molded DIP 28-Pin Windowed Leaded Chip Carrier CY7C258-12LMB CY7C258 -12QMB H64 L64 Q64 28-Square Leadless Chip Carrier 28-Pin Windowed Leadless Chip Carrier CY7C258-12WMB W22 28-Lead (300-Mil) Windowed CerDIP CY7C258-15HC H64 28-Pin Windowed Leaded Chip Carrier CY7C258-15JC 28-Lead Plastic Leaded Chip Carrier Military Commercial CY7C258-15PC J64 P21 CY7C258-15WC CY7C258 -15HMB W22 H64 CY7C258-15LMB CY7C258-15QMB L64 Q64 28-Pin Windowed Leadless Chip Carrier CY7C258-15WMB W22 28-Lead (300-Mil) Windowed CerDIP Ordering Code Package Name Package 1Ype Operating Range Commercial 28-Lead (300-Mil) Molded DIP 28-Lead (300-Mil) Windowed CerDIP 28-Pin Windowed Leaded Chip Carrier Military 28-Square Leadless Chip Carrier CY7C259-lOHC H67 44-Pin Windowed Leaded Chip Carrier CY7C259-lOJC J67 44-Lead Plastic Leaded Chip Carrier CY7C259-12HC H67 44-Pin Windowed Leaded Chip Carrier CY7C259 -12J C J67 44-Lead Plastic Leaded Chip Carrier CY7C259-12HMB H67 44-Pin Windowed Leaded Chip Carrier CY7C259-12LMB L67 44-Square Leadless Chip Carrier CY7C259-12QMB Q67 44-Pin Windowed Leadless Chip Carrier CY7C259-15HC H67 44-Pin Windowed Leaded Chip Carrier CY7C259-15JC J67 44-Lead Plastic Leaded Chip Carrier CY7C259 -15HMB H67 44-Pin Windowed Leaded Chip Carrier CY7C259-15LMB L67 44-Square Leadless Chip Carrier CY7C259-15QMB Q67 44-Pin Windowed Leadless Chip Carrier Note: 12. Most of these products are available in industrial temperature range. Contact a Cypress representative for specifications and product availability. 2-161 Commercial Military Commercial Military CY7C258 CY7C259 c~ 'CYPRESS MILITARY SPECIFICATIONS Group A Subgroup Testing DC Characteristics Parameter Subgroups VOH 1,2,3, VOL 1,2,3, VIH VIL 1,2,3, 1,2,3, IIX 1,2,3, Ioz 1,2,3, Icc 1,2,3, Switching Characteristics Parameter Subgroups tcp 7, 8, 9, 10, 11 tCH 7,8,9, 10, 11 tCL 7, 8, 9, 10, 11 7,8,9,10,11 tAS tABS 7, 8, 9, 10, 11 tcss 7,8,9, 10, 11 tCSH 7, 8, 9, 10, 11 tIPD tCKOl 7, 8, 9, 10, 11 7, 8, 9, 10, 11 tCKOZ 7,8,9, 10, 11 tDH 7, 8, 9, 10, 11 tcov 7, 8, 9, 10, 11 tcsv 7, 8, 9, 10, 11 tOEV tIS 7, 8, 9, 10, 11 7,8,9, 10, 11 tIH 7, 8, 9, 10, 11 tIBS 7, 8, 9, 10, 11 tIBH 7, 8, 9, 10, 11 7, 8, 9, 10, 11 7,8,9, 10, 11 tpD tICO tIDY 7, 8, 9, 10, 11 7,8,9, 10, 11 tICR 7, 8, 9, 10, 11 tIW Document #: 38-00173-E 2-162 CPLDs 3 El Section Contents Page Number CPLDs (Complex PLDs) Device Description CY7C340 EPLD Family CY7C341 CY7C341B CY7C342 CY7C342B CY7C343 CY7C343B CY7C344 CY7C344B CY7C346 CY7C346B CY7C361 FLAsH370 CPLD Family CY7C371 CY7C372 CY7C373 CY7C374 CY7C375 CY7C376 CY7C377 CY7C378 CY7C379 Multiple Array Matrix High-Density EPLDs . . . . . . . . . . . ... . . . . . . . . . . . . . . . . . . . . . . . . .. 3-1 192-Macrocell MAX EPLD ..................................................... 3-7 192-Macrocell MAX EPLD ..................................................... 3-7 128-Macrocell MAX EPLD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 3 - 24 128-Macrocell MAX EPLD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 3 - 24 64-Macrocell MAX EPLD ..................................................... 3-42 64-Macrocell MAX EPLD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 3-42 32-Macrocell MAX EPLD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 3-58 32-Macrocell MAX EPLD ..................................................... 3-58 128-Macrocell MAX EPLD .................................................... 3-73 128-Macrocell MAX EPLD .................................................... 3-73 Ultra High Speed State Machine EPLD ......................................... 3-91 High-Density Flash CPLDs .................................................... 3-92 32-Macrocell Flash CPLD ..................................................... 3-99 64-Macrocell Flash CPLD .................................................... 3-107 64-Macrocell Flash CPLD .................................................... 3-115 128-Macrocell Flash CPLD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 3-125 128-Macrocell Flash CPLD ................................................... 3-135 192-Macrocell Flash CPLD ................................................... 3-146 192-Macrocell Flash CPLD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 3-147 256-Macrocell Flash CPLD .............................. . . . . . . . . . . . . . . . . . . . .. 3-148 256-Macrocell Flash CPLD ................................................... 3-149 CY7C340 EPLD Family Multiple Array Matrix High-Density EPLDs Features • Erasable, user-configurable CMOS EPLDs capable of implementing highdensity custom logic functions • O.8-micron double-metal CMOS EPROM technology (CY7C34X) • Advanced O.6S-micron CMOS technology to increase performance (CY7C34XB) • Multiple Array MatriX architecture optimized for speed, density, and straightforward design implementation - Programmable Interconnect Array (PIA) simplifies routing - Flexible macrocells increase utilization - Programmable clock control - Expander product terms implement complex logic functions • Warp2'M - Low-cost VHDL compiler for PLDs - IEEE l076-compliant VHDL - Available on PC and Sun platforms • Warp30M - VHDL synthesis - ViewLogic graphical user interface - Schematic capture (ViewDraw OM ) - VHDL simulation (ViewSim'M) - Available on PC and Sun platforms General Description The Cypress Multiple Array Matrix (MAX®) family of EPLDs provides a user-configurable, high-density solution to general-purpose logic integration requirements. With the combination of innovative architecture and state-of-theart process, the MAX EPLDs offer LSI density without sacrificing speed. The MAX architecture makes it ideal for replacing large amounts of TTL SSI and MSI logic. For example, a 74161 counter utilizes only 3% of the 128 macrocells available in the CY7C342. Similarly, a 74151 8-to-1 multiplexer consumes less than 1% ofthe over 1,000 product terms in the CY7C342. This allows the designer to replace 50 or more TTL packages with just one MAX EPLD. The family comes in a range of densities, shown below. By standardizing on a few MAX building blocks, the designer can replace hundreds of different 7400 series part numbers currently used in most digital systems. The family is based on an architecture of flexible macrocells grouped together into Logic Array Blocks (LABs). Within the LAB is a group of additional product terms called expander product terms. These expanders are used and shared by the macrocells, allowing complex functions of up to 35 product terms to be easily implemented in a single macrocell. A Programmable Interconnect Array (PIA) globally routes all signals within devices containing more than one LAB. This architecture is fabricated on the Cypress 0.8-micron, doublelayer-metal CMOS EPROM process, yielding devices with significantly higher integration, density and system clock speed than the largest of previous generation EPLDs. The CY7C34XB devices are 0.65-micron shrinks of the original 0.8-micron family. The CY7C34XBs offer faster speed bins for each device in the Cypress MAX family. The density and performance of the CY7C340 family is accessed using Cypress's Warp2 and Warp3 design software. Warp2 provides state-of-the-art VHDL synthesis for MAX at a very low cost. Warp3 is a sophisticated CAE tool that includes schematic capture (ViewDraw) and timing simulation (ViewSim) in addition to VHDL synthesis. Consult the Warp2 and Warp3 datasheets for more information about the development tools. Max Family Members cy7C344(B) CY7C343 (B) CY7C342 (B) cy7C346 (B) Macrocells 32 64 128 128 192 MAX Flip-Flops 32 64 128 128 192 384 Feature CY7C341(B) MAX Latches[1] 64 128 256 256 MAX Inputs[2] 23 35 59 84 71 MAX Outputs 16 28 52 64 64 28H,J,W,P 44H,J 68H,J,R 84H,J/100R,N 84H,J,R Packages Key: P-Plastic DIP; H-Windowed Ceramic Leaded Chip Carrier; J-Plastic J-Lead Chip Carrier; R-Windowed Pin Grid Array; W-Windowed Ceramic DIP; N-Plastic Quad Flatpack Notes: 1. When all expander product terms are used to implement latches. 2. With one output. PAL is a registered trademark of Advanced Micro Devices. MAX is a registered trademark of Altera Corporation. Wmp2 and Warp3 are trademarks of Cypress Semiconductor Corporation. ViewDraw and ViewSim are trademarks of ViewLogic Corp. Windows is a trademark of Microsoft Corporation. 3-1 II CY7C340 EPLD Family DEDICATED INPUTS /\ ~% 1 V~~V V [rC>-O - >- .... '~ LOGIC BLOCK -I'--.. ARRAY (LAB) F::::: /~ H> - >I- MULTIPLE > ARRAYS (LABS) --[>- DUAL I/O EXPANDER PRODUCT TERMS v FEEDBACK V V >- , - f-1 \ \v MACROCELLS ~ ~ PROGRAMMABLE INTERCONNECT ARRAY (PIA) Figure 1. Key MAX Features 3-2 C340-1 ~~ ~)CYPRESS CY7C340 EPLD Family macrocell, allowing the designer to build gate-intensive logic, such as address decoders, adders, comparators, and complex state machines, without using extra macrocells. The register within the macrocell may be programmed for either D, T, JK, or RS operation. It may alternately be configured as a flow-through latch for minimum input-to-output delays, or bypassed entirely for purely combinatorial logic. In addition, each register supports both asynchronous preset and clear, allowing asynchronous loading of counters of shift registers, as found in many standard TTL functions. These registers may be clocked with a synchronous system clock, or clocked independently from the logic array. Expander Product Terms Functional Description The Logic Array Block The logic array block, shown in Figure 2, is the heart of the MAX architecture. It consists of a macrocell array, expander product term array, and an I/O block. The number of macrocells, expanders, and I/O vary, depending upon the device used. Global feedback of all signals is provided within a LAB, giving each functional block complete access to the LAB resources. The LAB itself is fed by the programmable interconnect array and dedicated input bus. The feedbacks of the macro cells and I/O pins feed the PIA, providing access to them through other LABs in the device. The members of the CY7C340 family of EPLDs that have a single LAB use a global bus, so a PIA is not needed (see Figure 3). The MAX Macrocell Traditionally, PLDs have been divided into either PLA (programmableAND,programmableOR),or PAL® (programmable AND, fixed OR) architectures. PLDs of the latter type provide faster input-to-output delays, but can be inefficient due to fixed allocation of product terms. Statistical analysis of PLD logic designs has shown that 70% of alllogicfunctions (per macrocell) require three product terms or less. The macro cell structure of MAX has been optimized to handle variable product term requirements. As shown in Figure 4, each macrocell consists of a product term array and a configurable register. In the macrocell, combinatorial logic is implemented with three product terms ORed together, which then feeds an XOR gate. The second input to the XOR gate is also controlled by a product term, providing the ability to control active HIGH or active LOW logic and to implement T- and JK-type flip-flops. If more product terms are required to implement a given function, they may be added to the macrocell from the expander product term array. These additional product terms may be added to any The expander product terms, as shown in Figure 5, are fed by the dedicated input bus, the programmable interconnect array, the macrocell feedback, the expanders themselves, and the I/O pin feedbacks. The outputs of the expanders then go to each and every product term in the macrocell array. This allows expanders to be "shared" by the product terms in the logic array block. One expander may feed all macrocells in the LAB, or even multiple product terms in the same macrocell. Since these expanders feed the secondary product terms (preset, clear, clock, and output enable) of each macrocell, complex logic functions may be implemented without utilizing another macrocell. Likewise, expanders may feed and be shared by other expanders, to implement complex multilevel logic and input latches. I/O Block Separate from the macrocell array is the I/O control block of the LAB. Figure 6 shows the I/O block diagram. The three-state buffer is controlled by a macrocell product term and the drives the I/O pad. The input of this buffer comes from a macrocell within the r----------------·I MACROCELL ARRAY I N P U T r----------------· I/O PINS I N P P I U A T S S ________________ J PROGRAMMABLE INTE:RCONNECT ARRAY I I I I I I I I I I I I I I I I I I I I IL _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ JI I I I I I I I I I I I I MACROCELL ARRAY I/O PINS C340-3 C340-2 Figure 2. 1Ypical LAB Block Diagram Figure 3. 7C344 LAB Block Diagram 3-3 -'i~ ~'CYPRESS PROGRAMMABLE INTERCONNECT SIGNALS II " " " " " " " " " i-!-1. i-!-.!. CY7C340 EPLD Family 16 MACROCELL FEEDBACKS (32 FOR 7C344) " " " " " " " " " " " "" I/O OUTPUT ENABLE "'- 7 • PROGRAMMABLE FLIP-FLOP (0, 1, JK, SR) • REGISTERED OR FLOWTHROUGH-LATCH OPERATION • PROGRAMMABLE CLOCK • ASYNC CLEAR AND PRESET PRESET p TO I/OCONTROL r--- I Q --- ~- .....- I---ARRAY CLOCK :~~~~~~~,~ ~ I c I I I I I CLEAR 1~~~~~~nmHH+H~~+ij~~~--~ I "" MACROCELL : :'tHttt~rttt-----------'"'V'-(""":Y11 FEEDBACK "1...~rtttH+----------"";<:::::""" 8 DEDICATED INPUTS " " " " NOTE: ONE SYSTEM CLOCK PER LAB TO PIA " 32 EXPANDER PRODUCT TERMS (64 FOR 7C344) ,. C340-4 Figure 4. Macrocell Block Diagram MACROCELL P-TERMS FROM MACROCELL IN LAB THREE-STATE BUFFER EXPANDER P-TERMS TO PIA (LAB FOR 7C344) C340-5 Figure 6. I/O Block Diagram Figure S. Expander Product Terms 3-4 C340-6 CY7C340 EPLD Family Functional Description (continued) associated LAB. The feedback path from the I/O pin may feed other blocks within the LAB, as well as the PIA. By decoupling the I/O pins from the flip-flops, all the registers in the LAB are "buried," allowing the I/O pins to be used as dedicated outputs, bidirectional outputs, or as additional dedicated inputs. Therefore, applications requiring many buried flip-flops, such as counters, shift registers, and state machines, no longer consume both the macrocell register and the associated I/O pin, as in earlier devices. The Programmable Interconnect Array PLD density and speed has traditionally been limited by signal routing; i.e., getting signals from one macrocell to another. For smaller devices, a single array is used and all signals are available to all macrocells. But as the devices increase in density, the number of signals being routed becomes very large, increasing the amount of silicon used for interconnections. Also, because the signal must be global, the added loading on the internal connection path reduces the overall speed performance of the device. The MAX architecture solves these problems. It is based on the concept of small, flexible logic array blocks that, in the larger devices, are interconnected by a PIA. VHDL (VHSIC Hardware Description Language) is an open, powerful, non-proprietary language that is a standard for behavioral design entry and simulation. It is already mandated for use by the Department of Defense, and supported by every major vendor of CAE tools. VHDL allows designers to learn a single language that is useful for all facets of the design process. Warp3 Warp3 is a sophisticated design tool that is based on the latest version of ViewLogic's CAE design environment. Warp3 features schematic capture (ViewDraw 1M), VHDL waveform simulation (ViewSim ru), a VHDL debugger, and VHDL synthesis, all integrated in a graphical design environment. Warp3 is available on PCs using Windows® 3.1 or subsequent versions, and on Sun workstations. For further information on Warp software, see the Warp2 and Warp3 datasheets contained in this data book. Ordering Information Device Adapters CY3340 Adapter for CY7C341 in PLCC packages. CY3340R Adapter for CY7C341 in PGA packages. CY3342 Adapter for CY7C342 in PLCC packages. CY3342F Adapter for CY7C342 in Flatpack packages. CY3342R Adapter for CY7C342 in PGA packages. CY3342B Adapter for CY7C342B in PLCC packages CY3342BR Adapter for CY7C342B in PGA packages. Development Software Support CY33435 Adapter for CY7C343 in PLCC packages. Warp2 CY3344 Adapter for CY7C344 in DIP and PLCC packages. CY3346 Adapter for CY7C346 in PLCC packages The PIA solves interconnect limitations by routing only the signals needed by each LAB. The architecture is designed so that every signal on the chip is within the PIA. The PIA is then programmed to give each LAB access to the signals that it requires. Consequently, each LAB receives only the signals needed. This effectively solves any routing problems that may arise in a design without degrading the performance of the device. Unlike masked or programmabIe gate arrays, which induce variable delays dependent on routing, the PIA has a fixed delay from point to point. This eliminates undesired skews among logic signals, which may cause glitches in internal or external logic. Wmp2 is a state-of-the-art VHDL compiler for designing with Cypress PLDs and PROMs. Wmp2 utilizes a proper subset of IEEE 1076 VHDL as its Hardware Description Language (HDL) for design entry. VHDL provides a number of significant benefits for the design entry process. Wmp2 accepts VHDLinput, synthesizes and optimizes the entered design, and outputs a JEDEC map for the desired device. For simulation, Wmp2 provides the graphical waveform simulator from the PLD ToolKit. 3-5 CY3346N Adapter for CY7C346 in PQFP packages CY3346R Adapter for CY7C346 in PGA packages El CY7C340 EPLD Family Cross Reference ALTERA PREFIX:EPM 5032DC 5032DC-2 5032DC-15 5032DC-17 5032DC-20 5032DC-25 5032DM 5032DM-25 5032JC 5032JC-2 5032JC-15 5032JC-17 5032JC-20 5032JC-25 5032JI-20 5032JM 5032JM-25 5032LC 5032LC-2 5032LC-15 5032LC-17 5032LC-20 5032LC-25 5032PC 5032PC-2 5032PC-15 5032PC-17 5032PC-20 5032PC-25 5064JC 5064JC-1 5064JC-2 5064JI 5064JM 5064LC 5064LC-1 5064LC-2 5128AGC-1 5128AGC-2 5128AGC-3 5128AJC-1 5128AJC-2 5128AJC-3 5128ALC-1 5128ALC-2 5128ALC-3 5128GC 5128GC-1 5128GC-2 5128GM 5128JC 5128JC-1 5128JC-2 5128JI 5128JI-2 5128JM 5128LC 5128LC-1 5128LC-2 5128LI 5128LI-2 5130GC 5130GC-1 ALTERA CYPRESS PREFIX:CY 7C344-25WC 7C344-20WC 7C344-15WC 5130GC-2 5130GM 5130JC 5130JC-1 5130JC-2 5130JM 5130LC 5130LC-1 5130LC-2 5130LI 5130LI-2 5130QC 5130QC-1 5130QC-2 5130QI 5192AGC-1 5192AGC-2 5192AJC-1 5192AJC-2 5192ALC-1 5192ALC-2 5192GC 5192GC-1 5192GC-2 5192JC 5192JC-1 5192JC-2 5192JI 5192LC 5192LC-1 5192LC-2 Call Factory 7C344-20WC 7C344-25WC 7C344-25WMB 7C344-25WMB 7C344-25HC 7C344-20HC 7C344-15HC Call Factory 7C344-20HC 7C344-25HC 7C344-20HI 7C344-25HMB 7C344- 25HMB 7C344-25JC 7C344-2OJC 7C344-15JC Call Factory 7C344-20JC 7C344-25JC 7C344-25PC 7C344-20PC 7C344-15PC Call Factory 7C344-20PC 7C344-25PC 7C343-35HC 7C343-25HC 7C343-30HC 7C343-35HI 7C343-35HMB 7C343-35JC 7C343-25JC 7C343-3OJC 7C342B-12RC 7C342B-15RC 7C342B-20RC 7C342B-12HC 7C342B-15HC 7C342B-20HC 7C342B-12JC 7C342B-15JC 7C342B-20JC 7C342-35RC 7C342-25RC 7C342-30RC 7C342-35RMB 7C342-35HC 7C342-25HC 7C342-30HC 7C342-35HI 7C342-30HI 7C342-35HMB 7C342-35JC 7C342-25JC 7C342-3OJC 7C342-35JI 7C342-30HI 7C346-35RC 7C346-25RC CYPRESS 7C346-30RC 7C346-35RM 7C346-35HC 7C346-25HC 7C346-30HC 7C346-35HM 7C346-35JC 7C346-25JC 7C346-30JC 7C346-35JI 7C346-30JI 7C346-35NC 7C346-25NC 7C346-30NC 7C346-35NI 7C341B-15RC 7C341B-20RC 7C341B-15HC 7C341B-20HC 7C341B-15JC 7C431B-20JC 7C341-35RC 7C341-25RC 7C341-30RC 7C341-35HC 7C341-25HC 7C341-30HC 7C341-35HI 7C341-35JC 7C341-25JC 7C341-30JC Document #: 38-00087-D 3-6 CY7C341 CY7C341B 192-Macrocell MAX® EPLD Features • 192 macrocells in 12 LABs • 8 dedicated inputs, 64 bidirectional I/O pins • O.8-micron double-metal CMOS EPROM technology (CY7C341) • Advanced O.65-micron CMOS technology to increase performance (CY7C341B) • Programmable interconnect array • 384 expander product terms • Available in 84-pin HLCC, PLCC, and PGA packages Functional Description The CY7C341 and CY7C341B are Erasable Programmable Logic Devices (EPLD) in whlch CMOS EPROM cells are used to configure logic functions within the device. The MAX archltecture is 100% user configurable allowing the devices to accommodate a variety of independent logic functions. The 192 macrocells in the CY7C341/ CY7C341B are divided into 12 Logic Array Blocks (LABs), 16 per LAB. There are 384 expander product terms, 32 per LAB, to be used and shared by the macrocells within each LAB. Each LAB is interconnected with a programmable interconnect array, allowing all signals to be routed throughout the chlp. The speed and density of the CY7C341/ CY7C341B allows them to be used in a wide range of applications, from replacement of large amounts of 7400 series TTL logic, to complex controllers and multifunction chlps. With greater than 37 times the functionality of 20-pin PLDs, the CY7C341/ CY7C341B allows the replacement of over 75 TTL devices. By replacing large amounts of logic, the CY7C341 and CY7C341B reduces board space, part count, and increases system reliability. Each LAB contains 16macrocells. InLABs A, F, G, and L, 8 macro cells are connected to I/O pins and 8 are buried, while for LABs B, C, D, E, H, I, J, and K, 4 macrocells are connected to I/O pins and 12 are buried. Moreover, in addition to the I/O and buried macrocells, thereare32singleproductterm logic expanders in each LAB. Their use greatly enhances the capability of the macrocells without increasing the number of product terms in each macrocell. Logic Array Blocks There are 12 logic array blocks in the CY7C341/CY7C341B. Each LAB consists of a macrocell array containing 16 macrocells, an expander product term arraycontaining32 expanders, and an I/O block. The LAB is fed by the programmable interconnect array and the dedicated input bus. All macrocell feedbacks go to the macrocell array, the expander array, and the programmable interconnect array. Expanders feed themselves and the macrocell array. All I/O feedbacks go to the programmable interconnect array so that they may be accessed by macrocells in other LABs as well as the macrocells in the LAB in which they are situated. Externally, the CY7C341/CY7C341B provide 8 dedicated inputs, one of which may be used as a system clock. There are 64 I/O pins that may be individually configured for input, output, or bidirectional data flow. Programmable Interconnect Array The Programmable Interconnect Array (PIA) solves interconnect limitations by routing only the signals needed by each logic array block. The inputs to the PIA are the outputs of every macrocell within the device and the I/O pin feedback of every pin on the device. Unlike masked or programmable gate arrays, which induce variable delay dependent on routing, the PIA has a fixed delay. This eliminates undesired skews among logic signals, which may cause glitches in internal or external logic. The fixed delay, regardless of programmable interconnect array configuration, simplifies design by assuring that internal signal skews or races are avoided. The result is ease of design implementation, often in a single pass, without the multiple internal logic placement and routing iterations required for a programmable gate array to achieve design timing objectives. Timing Delays Timing delays within the CY7C341/ CY7C341B may be easily determined using Wmp2 TM, Warp3 TM , or MAX + PLUS® software or by the model shown in Figure 1. The CY7C341/ CY7C341B have fixed internal delays, allowing the user to determine the worst case timing delays for any design. For complete timing information, the Warp3 or MAX + PLUS software provides a timing simulator. Design Recommendations Forproperoperation,inputandoutputpins must be constrained to the range GND S (VIN orVOUT) sVcc· Unused inputs must always be tied to an appropriate logic level (either Vee or GND). Each set of V cc and GND pins must be connected together directly atthe device. Power supply decoupling capacitors of at least 0.2 ~F must be connected between V ccand GND. For the most effective decoupling, each Vee pin should be separately decoupled to GND, directly at the device. Decoupling capacitors should have good frequency response, such as monolithic ceramic types. Design Security The CY7C341/CY7C341B contain a programmable design security feature that controls the access to the data programmed into the device. If this programmable feature is used, a proprietary design implemented in the device cannot be copied or retrieved. This enables a high level of design control to be obtained since programmed data within EPROM cells is invisible. The bit that controls this function, along with all other program data, may be reset simply by erasing the device. Selection Guide 7C341B-15·· 7C341B-20 20 380 480 480 360 435 435 7C341-25 7C341B-25 25 380 480 480 360 435 435 7C341-30 7C341B-30 30 380 480 480 360 435 435 7C341-35 7C34IB-35 35 380 480 480 360 435 435 7C341-40 Maximum Access Time (ns) 40 15 Maximum Commercial 380 Operating Industrial 480 Current (rnA) 480 Military Maximum Commercial 360 Standby Industrial 435 Current (rnA) Military 435 Shaded areas contam prelimma ry mtormatlOn. MAX is a registered trademark of Altera Corporation. Warp2 and Warp3 are trademarks of Cypress Semiconductor Corporation. 3-7 ~ CY7C341 CY7C341B ~rcYPRESS Logic Block Diagram - 1 (A6) INPUT/ClK ..... 2 (A5) INPUT ..... 41 (K6) INPUT 42 (J6) INPUT - I 10 11 12 13 14 15 (C2) (B1) (C1) (D2) I SYSTEM CLOCK ~ )7 ~ ~f- A. ----f\ ~t-f- "" -y 7 ~~ LABB , MACRe MACRe MACRe MACR( g::: B- I--v' CEl CEl 18 CEl 19 CFI 9n ft--- ----f\. -y 'If LABC , ~ D-- , ...: MACR( CFI MACR( C~ MACR( ,CFI ~'" fi-'I I ---l\ A -y ;t-'\r- ------l\ , ...:> u -R= fi-- " "" ;t-\r- ------l\ B= D-- > LAB F Vt-- ------l\ A ~- 'If ~- E- CI-- I'r- ~> ~= ~= ~ 54 55 56 57 (J10) (K11) (J11) (H10) ~ 58 59 62 63 (H11) (F10) (G9) (F9) ~ 64 (F11) i-----I::I 69 70 71 72 (D10) (C11) (B11) (C10) 73 74 75 76 77 78 79 80 (A11) (B10) (B9) (A10) (A9) (BS) (AS) (B6) ~ LABH ;El ,13 ;El.114 ;EL .115 ;EL .116 ~ - IJ ;EL ;EL ;EL ;EL ~ MACAC MACRC MACRC MACRC Ir ;EI ;EI ...I\. Y .I'r- " ./I .... ---,I 3,24,45,66 (B5, G2, K7, E10) 18,19,39,40,60,61,81,82 (E1, E2, K5, l5, G10, G11, A7, B7) c:c:- Vee GND 3-8 65 (E11) 67 (E9) 66 (D11) ;EI ;EI LABK 16, 162 163 164 V 'I ---,I (l1) (K2) (K3) (L2) (L3) (K4) (l4) (J5) (l6) (l8) (K8) (l9) (l10) (K9) (l11) (K10) MACROCEUL 149 - 160 ~ J'LMACROCEll 69 - 80 MACRC MACRC MACRC MACRC --l 7 , :;EL 65 AR R( CF 46 47 48 49 50 51 52 53 MACROCEUL 133 - 144 Y ---,I ~A( ,, MACRC ;EL 130 MACRC ;EL 131 MACROI ;EL 132 ----f\. 'I u 7' M CRC MA.CRC MA.CRC MA.CRC ~7 CR( CFL CR( CF <;n MACROCEll 53 - 64 31 32 33 34 35 36 37 38 ~ 7 , , LAB I ~ MACRC ;EL 129 P ---,I =- LABG ;t-'\r- > ::14 ::1<; MACROCEll 37 - 48 27 (H2) 28 (J1) 29 (K1) 30 (J2) (J 7) 43 MACROCEUL 117 - 128 ---'\ g:: INPUT MAl ~RnCFI 1 n::l MACROCEL . 104 ~ ----,I 22 (G3) 23 (G1) 25 (F1) 26 (H1) (l7) 44 )ROCEl ;ROCEl ;ROCEl ;ROCEL ;t-\r- ~ MACROCELL8 MACRnCFI Q MACROCEll 21 - 32 16 (D1) 17 (E3) 20 (F2) 21 (F3) (C7) 83 INPUT ~ROCEl ~ft-- g- ~ (C6) 84 INPUT ~ROCEl ~~ Cr- INPUT :::: = = LAB A (C5) (A4) (B4) (A3) (A2) (B3) (A1) (B2) ..... ..... ~ f---C:J MACROCEll 165 - 176 ~ 7 LABl --~ -- == --c:J () - PERTAIN TO 84-PIN PGA PACKAGE C341-1 CY7C341 CY7C341B rcYPRESS Design Security (continued) The CY7C341/CY7C341B is fully functionally tested and guaranteed through complete testing of each programmable EPROM bit and all internal logic elements thus ensuring 100% programming yield. The erasable nature of these devices allows test programs to be used and erased during early stages of the production flow. The devices also contain on-board logic test circuitry to allow verification of function and AC specification once encapsulated in nonwindowed packages. Pin Configurations PGA PLCC/HLCC Top View Bottom View :s ~~I-I- I/O I/O I/O I/O GND I/O INPUT I/O I/O I/O I/O I/O I/O I/O I/O GND INPUT Vee I/O I/O I/O I/O I/O I/O I/O I/O H I/O I/O I/O I/O G I/O Vee I/O I/O GND GND I/O I/O I/O I/O I/O I/O GND GND I/O I/O Vee I/O D I/O I/O I/O I/O C I/O I/O • I/O I/O B I/O I/O I/O gggggggg»~~~~~~gggggg K I/O I/O I/O I/O I/O I/O GND GND I/O I/O I/O I/O Vee I/O I/O I/O I/O I/O I/O I/O I/O 16 17 18 19 20 21 22 23 24 25 26 27 28 7C341 73 72 71 70 69 68 67 66 65 64 63 62 61 60 59 58 57 56 55 I/O I/O I/O I/O I/O I/O I/O I/O I/O Vee I/O I/O I/O I/O GND GND I/O I/O I/O I/O I/O I/O A I/O I/O I/O INPUT INPUT 7C341 I/O I/O I/O Vee INPUT INPUT I/O INPUT/ INPUT ClK GND I/O I/O I/O I/O GND I/O I/O I/O I/O C341-2 10 11 C341-3 REGISTER INPUT OUTPUT DELAY INPUT DELAY tiN tRD tCOMS tLATCH INPUT/ OUTPUT tOD txz tzx C341-4 Figure 1. CY7C341 Internal Timing Model 3-9 I CY7C341 CY7C341B B~ • .:. CYPRESS Maximum Ratings (Above which the useful life may be impaired. For user guidelines, not tested.) Storage Temperature ................... -65°C to +150°C Ambient Temperature with Power Applied ........................... O°C to +70°C Maximum Junction Temperature (Under Bias) .................................... 150°C Supply Voltage to Ground Potential ......... - 2.0V to + 7.0V Maximum Power Dissipation .................... 2500 m W DC Vee or GND Current ........................ 500 rnA DC Output Current, per Pin ....... . . .. - 25 rnA to + 25 rnA DC Input Voltagel 1] ..................... - 3.0V to +7.0V DC Program Voltage .............................. 13.0V Static Discharge Voltage ........................ > 1l00V (per MIL-STD-883, method 3015) Operating Range Range Commercial Industrial Military Ambient Temperature Vee O°Cto +70°C 5V±5% -40°C to +85°C 5V ± 10% -55°C to +125°C (Case) 5V ± 10% Electrical Characteristics Over the Operating Rangel 2] VOH Parameter Description Output HIGH Voltage VOL VIH Test Conditions· Min. 2.4 Max. Unit V 0.45 V Input HIGH Level 2.2 V IIX Input LOW Level Input Current -0.3 -10 Vee+ O.3 0.8 +10 loz Output Leakage Current !lA !lA los Output Short Circuit Current leCl Power Supply Current (Standby) VI = Vee or GND (No Load) Power SUfply Currentl5 VI = Vee or GND (No Load) f = 1.0 MHz[3, 5] = Min., IOH = -4.0 rnA Vee = Min., IOL = 8 rnA Vee Output LOW Voltage VIL Iee2 tR (Recommended) Input Rise Time tF (Recommended) Input Fall Time GND5VIN5 V ee Va = VeeorGND Vee = Max., VOUT = GND[3,4] -40 V +40 -90 rnA Com'l 360 rnA Mil/lnd Com'l 435 380 rnA MillInd 480 rnA 100 100 ns -30 rnA ns Capacitance[6] Parameter Description Test Conditions TA = 25°C, f Vee = 5.0V Input Capacitance Output Capacitance CIN COUT = 1 MHz, Max. Unit 10 pF 20 pF Notes: 1. 2. 3. 4. Minimum DC input is -0.3Y. During transitions, the inputs may undershoot to -2.0V for periods less than 20 ns. 'lYpical values are for TA = 25°C and Vee = SY. Guaranteed but not 100% tested. Not more than one output should be tested at a time. Duration of the short circuit should not be more than one second. VOUT = O.SV has been chosen to avoid test problems caused by tester ground degradation. "1~ S. 6. This parameter is measured with device programmed as a 16-bit counter in each LAB and is tested periodically by sampling production material. Part (a) in AC Test Load and Waveforms is used for all parameters except tER and txz, which is used for part (b) in AC Test Load and Waveforms. All external timing parameters are measured referenced to external pins of the device. AC Test Loads and Waveforms OUTP~~: 50 pF II R1464Q OUTP~T~ SpF 2S0Q INCLUDING _ JIG AND SCOPE (a) Equivalent to: V ALL INPUT PULSES 3.0V ----.-U-----~ I R2 2S0Q (b) C341-5 GND _ - THEVENIN EQUIVALENT (COMMERCIALJMILITARy) OUTPUT 0 1§,.~p o1.7SV 3-10 C341-6 --- CY7C341 CY7C341B -'f~ 'CYPRESS External Synchronous Switching Characteristics Over the Operating Rangd 6] 7C341B-15 Parameter Description Min. tpDl Dedicated Input to Combinatorial Output Delay[7] Com'l tpD2 I/O Input to Combinatorial Output Delay[8] Com'l 7C341B-20 Max. Unit 15 20 25 ns 20 25 25 33 40 33 40 23 30 37 30 37 33 43 52 43 52 15 20 25 20 25 15 20 25 20 25 7 8 14 8 14 17 20 30 20 30 Max. Min. Mil Mil tpD3 Dedicated Input to Combinatorial Output Delay with Expander Delay[9] Com'l tpD4 I/O Input to Combinatorial Outfut Delay with Expander Delay[3, 10 Com'l tEA Input to Outp'ut Enable Delay[3, 7] Com'l tER Input to Output Disable Delay[6] Com'l Mil Mil Mil Mil tcOl Synchronous Clock Input to Output Delay tc02 Synchronous Clock to Local Feedback to Combinatorial Output[3, 11] tS1 tS2 Dedicated Input or Feedback Set-u~ Time to Synchronous Clock Outpull ,12] I/O Input Set-up Time to Synchronous Clock Input[8] Com'l Mil Com'l Mil Com'l Com'l Synchronous Clock Input High Time Com'l tWL Synchronous Clock Input Low Time Com'l tRW Asynchronous Clear Width[3, 6] Com'l tWH 20 Mil Input Hold Time from Synchronous Clock Input[6] tH 10 Mil Com'l Asynchronous Clear Recovery[3, 7] tpw Asynchronous Clear to Registered Output Delay[5] Asynchronous Preset Width[3, 6] Asynchronous Preset Recovery Timd3, 6] 15 15 24 30 24 30 0 7 8 7 8 5 7 8 7 8 16 22 25 22 25 5 Mil Mil 16 Com'l 22 25 22 25 15 Mil Com'l 15 Mil tpR 13 13 0 Mil tRO Com'l Mil Shaded areas contain p relimmary mformation. 3-11 Min. 0 Mil Com'l Max. 0 0 Mil tRR 7C341-25 7C34IB-25 15 20 25 20 25 ns ns ns ns ns ns ns 25 25 ns ns 25 25 ns ns 20 20 ns ns 20 20 ns ns ns ns CY7C341 CY7C341B External Synchronous Switching Characteristics Over the Operating Rangel6]( continued) Parameter tpo Description Asynchronous Preset to Registered Output Delay[6] External S~nchronous Clock Period (l/fMAX3) ] Com'l External Feedback Maximum Frequency (l/(tCOl + tS1»[3, 14] Com'l Internal Local Feedback Maximum Frequency, lesser of (l/(tSl + tCF» or (1/tCOl)[3, 15] Com'l fMAX3 Data Path Maximum Frequency, least of l/(tWL + tW,V61/(tSl + tH), or (l/teOl) , ] Com'l fMAX4 Maximum Register Toggle Frequency (l/(tWL + tWH»[3, 17] Com'l tOH Output Data Stable Time from Synchronous Clock Input[3, 18] Com'l fMAXl fMAX2 7C341-25 7C341B-25 Min. Min. Min. Max. 15 Mil Com'l tp 7C341B-20 Com'l Synchronous Clock to Local Feedback Inpud3, 13] tCF 7C341B-15 3 Mil 12 Mil 58.8 Mil 76.9 Mil 100 Mil 100 Mil Mil Shaded areas contam p relImina ry mformatlOn. Notes: 7. This specification is a measure of the delay from input signal applied to a dedicated input to combinatorial output on any output pin. This delay assumes no expander terms are used to form the logic function. When this note is applied to any parameter specification it indicates that the signal (data, asynchronous clock, asynchronous clear, and/or asynchronous preset) is applied to a dedicated input only and no signal path (either clock or data) employs expander logic. If an input signal is applied to an I/O pin an additional delay equal to tpIA should be added to the comparable delay for a dedicated input. If expanders are used, add the maximum expander delay tEXP to the overall delay for the comparable delay without expanders. 8. This specification is a measure of the delay from input signal applied to an I/O macrocell pin to any output. This delay assumes no expander terms are used to form the logic function. 9. This specification is a measure ofthe delay from an input signal applied to a dedicated input to combinatorial output on any output pin. This delay assumes expander terms are used to form the logic functions and includes the worst-case expander logic delay for one pass through the expander logic. 10. This specification is a measure of the delay from an input signal applied to an I/O macro cell pin to any output. This delay assumes expander terms are used to form the logic function and includes the worst -case expander logic delay for one pass through the expander logic. This parameter is tested periodically by sampling production material. 11. This specification is a measure of the delay from synchronous register clock to internal feedback ofthe register output signal to the input of the LAB logic array and then to a combinatorial output. This delay assumes no expanders are used, register is synchronously clocked and all feedback is within the same LAB. This parameter is tested periodically by sampling production material. 3 Max. Unit 20 25 ns 20 25 3 3 3 3 Max. 14 16 14 16 50 34.5 50 34.5 62.5 55.5 62.5 55.5 71.4 62.5 71.4 62.5 71.4 62.5 71.4 62.5 3 3 3 3 ns ns MHz MHz MHz MHz ns 12. If data is applied to an I/O input for capture by a macrocell register, the I/O pin set-up time minimums should be observed. These parameters are tS2 for synchronous operation and tAS2 for asynchronous operation. 13. This specification is a measure of the delay associated with the internal register feedback path. This is the delay from synchronous clock to LAB logic array input. This delay plus the register set-up time, tSl, is the minimum internal period for an internal synchronous state machine configuration. This delay is for feedback within the same LAB. This parameter is tested periodically by sampling production material. 14. This specification indicates the guaranteed maximum frequency, in synchronous mode, at which a state machine configuration with external feedback can operate. It is assumed that all data inputs and feedback signals are applied to dedicated inputs. All feedback is assumed to be local originating within the same LAB. 15. This specification indicates the guaranteed maximum frequency at which a state machine, with internal-only feedback, can operate. Ifregister output states must also control external points, this frequency can still be observed as long as this frequency is less than lIteOl. 16. This frequency indicates the maximum frequency at which the device may operate in data path mode (dedicated input pin to output pin). This assumes data input signals are applied to dedicated input pins and no expander logic is used. If any of the data inputs are I/O pins, tS2 is the appropriate ts for calculation. 17. This specification indicates the guaranteed maximum frequency, in synchronous mode, at which an individual output or buried registercan be cycle by a clock signal applied to the dedicated clock input pin. 18. This parameter indicates the minimum time after a synchronous register clock input that the previous register output data is maintained on the output pin. 3-12 CY7C341 CY7C341B External Synchronous Switching Characteristics Over the Operating Rangel 6] (continued) Parameter Description 7C341-30 7C34IB-30 7C341-35 7C34IB-35 Min. Min. Max. Max. Dedicated InRut to Combinatorial Output Delay[7] Com'l 30 35 Mil 30 35 I/O Input to Combinatorial Output Delay[8] Com'l 45 55 Mil 45 55 tpD3 Dedicated Input to Combinatorial Output Delay with Expander Delay[9] Com'l 44 55 Mil 44 55 tpD4 I/O Input to Combinatorial Output Delay with Expander Delay[3, 10] Com'l 59 75 Mil 59 75 tpDl tpD2 tEA tER teal teo2 tSl tS2 tH tWH tWL tRW tRR tRO tpw tpR Input to Outp'ut Enable Delay[3, 7] Input to Output Disable Delay[6] Synchronous Clock Input to Output Delay Com'l 30 35 Mil 30 35 Com'l 30 35 Mil 30 35 Com'l 16 20 Mil 16 20 Synchronous Clock to Local Feedback to Combinatorial Outputl3, 11] Com'l 35 42 35 42 Dedicated Input or Feedback Set-up Time to Synchronous Clock Output[6,12] Com'l 20 25 Mil 20 25 I/O Input Set-up Time to Synchronous Clock Inputl 8] Input Hold Time from Synchronous Clock Inputl 6] Synchronous Clock Input High Time Mil Com'l 39 45 Mil 39 45 Com'l 0 0 Mil 0 0 Com'l 10 12.5 Mil 10 12.5 Synchronous Clock Input Low Time Com'l 10 12.5 Mil 10 12.5 Asynchronous Clear Width[3, 6] Com'l 30 35 Mil 30 35 Com'l 30 35 Mil 30 35 Asynchronous Clear Recovery[3, 7] Asynchronous Clear to Registered Output Delay[5] Asynchronous Preset Width[3, 6] Asynchronous Preset Recovery Timel3, 6] Com'l 30 35 Mil 30 35 3-13 ns 65 ns 90 ns 40 ns 40 ns 23 ns 48 ns ns 15 ns 15 ns 40 ns 40 35 35 ns 65 0 35 35 ns 40 ns 30 30 Unit 52 30 30 Max. ns Com'l Mil Min. 28 Mil Com'l 7C341-40 ns 40 ns 40 ns 40 CY7C341 CY7C341B External Synchronous Switching Characteristics Over the Operating Rangel 6] (continued) 7C341-30 7C341B-30 Parameter tpo tCF tp fMAXI Description Min. Max. 7C341-35 7C341B-35 Min. Max. Asynchronous Preset to Registered Output Delay[6] Com'l 30 35 Mil 30 35 Synchronous Clock to Local Feedback Input[3, 13] Com'l 3 5 Mil 3 5 External S~nchronous Clock Period (l/fMAX3) ] Com'l 20 25 Mil 20 25 External Feedback Maximum Frequency (l/(tCOl + tSl))[3, 14] Com'l 27.7 22.2 Mil 27.7 22.2 33 fMAX2 Internal Local Feedback Maximum Frequency, lesser of (l/(tSI + tcF)) or (l/tcOlP, 15] Com'l 43 Mil 43 33 fMAX3 Data Path Maximum Frequency, least of l/(tWL + tW,V6 1/(tSl + tH), or (l/teOl) , ] Com'l 50 40.0 Mil 50 40.0 fMAX4 Maximum Register Toggle Frequency (l/(tWL + tWH))[3, 17] Com'l 50 40.0 Mil 50 40.0 tOH Output Data Stable Time from Synchronous Clock Input[3, 18] Com'l 3 3 Mil 3 3 3-14 7C341-40 Min. Max. Unit ns 40 ns 7 ns 30 MHz 19.6 MHz 28.5 MHz 33.3 MHz 33.3 ns 3 CY7C341 CY7C341B :"rcYPRESS External Asynchronous Switching Characteristics Over the Operating Range[6] Parameter tACO 1 tAC02 tASI tAS2 tAH tAWH Description Dedicated Asynchronous Clock Input to Output Delay[6] Com'l Asynchronous Clock Input to Local Feedback to Combinatorial Output [19] Com'l Dedicated Input or Feedback Set-up Time to Asynchronous Clock Input[6] Com'l I/O Input Set-Up Time to Asynchronous Clock Input[6] Input Hold Time from Asynchronous Clock Input[6] Asynchronous Clock Input HIGH Timd 6] 7C341B-15 7C341B-20 Min. Min. Max. Mil 25 Mil 5 Asynchronous Clock Input LOW Timd 6,20] tAP fMAXAI fMAXAZ fMAXA3 fMAXA4 tAOH Asynchronous Clock to Local Feedback Input[21] ns 32 40 32 40 5 Com'l 14 Mil :» Com'l 5 Mil Com'l '7 ns 11 11 ns 9 9 ns 11 >< External Asynchronous Clock Period (1!fMAX4) Com'l External Feedback Maximum Frequen~ in Asynchronous Mode lI(tACOI + tASIP Com'l Maximum Internal Asynchronous Frequency[23] Com'l Data Path Maximum Frequency in Asynchronous Mode[24] Com'l 62.5 50 Mil > :': 62,$ 50»»»> 50 Mil 62:5' ns 6 6 Mil 16 ns 6 8 Mil 5 5 20 8 Com'l ns 20 10 Com'l 25 18 10 9 Min. 18 6 Mil tACF Unit 25 5 Mil Mil tAWL Max. 20 20 Max. 15 7C341-25 7C341B-25 13 15 11 15 18 20 18 20 40 33.3 40 33.3 55.5 50 55.5 50 ns ns MHz MHz , Mil Maximum Asynchronous Register Toggle Frequency 1/(tAWH + tAWL)[25] Com'l Output Data Stable Time from Asynchronous Clock Input[26] Com'l Mil »>:: ~», , Mil Shaded areas contain preliminary mformatlOn. Notes: 19. This specification is a measure of the delay from an asynchronous register clock input to internal feedbackofthe register output signal to the input of the LAB logic array and then to a combinatorial output. This delay assumes no expanders are used in the logic of combinatorial output or the asynchronous clock input. The clock signal is applied to the dedicated clock input pin and all feedback is within a single LAB. This parameter is tested periodically by sampling production material. 20. This parameter is measured with a positive-edge-triggered clock at the register. For negative-edge triggering, the tAWH and tAWL parameters must be swapped. If a given input is used to clock multiple registers with both positive and negative polarity, tAWH should be used for both tAWH and tAWL. 21. This specification is a measure of the delay associated with the internal register feedback path for an asynchronous clock to LAB logic array input. This delay plus the asynchronous register set-up time, tASl, is the minimum internal period for an internal asynchronously clocked state machine configuration. This delay is for feedback within the same LAB, and assumes there is no expander logic in the clock path and the clock input signal is applied to a dedicated input pin. This parameter is tested periodically by sampling production material. 22. This specification indicates the guaranteed maximum frequency at which an asynchronously clocked state machine configuration with ex- 15 :y 23. 24. 25. 26. 3-15 . , 40 MHz 40 55.5 50 55.5 50 15 15 15 15 MHz ns ternal feedback can operate. It is assumed that all data inputs, clock inputs, and feedback signals are applied to dedicated inputs, and that no expander logic is employed in the clock signal path or data path. This specification indicates the guaranteed maximum frequency at which an asynchronously clocked state machine with internal-only feedback can operate. This parameter is determined by the lesser of (1/tACF + tASl)) or (1/(tAWH +tAWL)). If register output states must also control external points, this frequency can still be observed as long as this frequency is less than 1/tACOl' This frequency is the maximum frequency at which the device may operate in the asynchronously clocked data path mode. This specification is determined by the least of 1/(tAWH + tAWL), 1/(tASl + tAH) or 1/tACOl. It assumes data and clock input signals are applied to dedicated input pins and no expander logic is used. This specification indicates the guaranteed maximum frequency at which an individual output or buried register can be cycled in asynchronously clocked mode by a clock signal applied to an external dedicated input pin. This parameter indicates the minimum time that the previous register output data is maintained on the output after an asynchronous register clock input applied to an external dedicated input pin. CY7C341 CY7C341B External Asynchronous Switching Characteristics Over the Operating Range[6] (continued) 7C341-30 7C341B-30 Parameter tACO 1 tAC02 tASl tAS2 tAR tAWH tAWL tACF tAP fMAXAI fMAXAZ fMAXA3 fMAXA4 tAGH Description Min. Max. 7C341-35 7C341B-35 Min. Max. Dedicated Asynchronous Clock Input to Output Delay[6] Com'l 30 35 Mil 30 35 Asynchronous Clock Input to Local Feedback to Combinatorial Output [19] Com'l 46 55 Mil 46 55 Dedicated Input or Feedback Set-up Time to Asynchronous Clock Inputf6] Com'l 6 8 Mil 6 8 I/O Input Set-Up Time to Asynchronous Clock Inputf6] Com'l 27 30 Mil 27 30 Input Hold Time from Asynchronous Clock Input[6] Com'l 8 10 Mil Com'l 8 14 10 Mil 14 16 Com'l 11 14 Mil 11 14 Asynchronous Clock Input HIGH Timef6] Asynchronous Clock Input LOW Timef6, 20] Asynchronous Clock to Local Feedback Inputf21] External Asynchronous Clock Period (1IfMAX4) ns 64 ns 33 ns 12 ns 20 ns 20 18 22 18 22 Com'l 25 30 25 30 27 23 Mil 27 23 Maximum Internal Asynchronous Frequency[23] Com'l 40 33.3 Mil 40 33.3 Data Path Maximum Frequency in Asynchronous Modef 24] Com'l 33.3 28.5 Mil 33.3 28.5 Com'l 40 33.3 Mil 40 33.3 Com'l 15 15 Mil 15 15 3-16 ns 45 ns Com'l Mil Unit 10 Mil Com'l Output Data Stable Time from Asynchronous Clock Input[26j Max. 16 External Feedback Maximum Frequency in AsY.!),chronous Mode 1I(tACOI + tASl)[22] Maximum Asynchronous Register Toggle Frequency 1/(tAWH + tAWL)[25] 7C341-40 Min. ns 26 ns 40 MHz 18 MHz 25 MHz 22.2 MHz 25 ns 15 CY7C341 CY7C341B Switching Waveforms External Combinatorial DEDICATED INPUT/ I/O INPUT _ _ _ _ __ t ;PD,m/tpD2'" COMBINATORIAL OUTPUT I--- ~_________ =1---------=1 COMBINATORIAL - - - - - - - - - -tER[6] -REGISTERED OUTPUT _ _ _ _ _ _ _ _ _ _ _ _ HIGH IMP~?tT~~~ I----- tEA[3,7] • ------------- ------------ HIGH-IMPEDANCE 3-STATE VALID OUTPUT C341-7 External Synchronous DEDICATED INPUT/ I/O INPUT[7] ~.___-:--___ E tS1 SYNCHRONOUS CLOCK - - - - - - - - - - ' ASYNCHRONOUS CLEAR/PRESET[7] ------+--+---+-- REGISTERED OUTPUTS ------------:'---f~v i'IIl-I------ tC02 -------1~ COMBINATORIAL OUTPUT FROM REGISTERED FEEDBACK[10] ------------' ""'-----C341-8 External Asynchronous DEDICATED INPUT/ I/O INPUW] ~ ......._"""":""_ _ tAS1 ASYNCHRONOUS CLOCK INPUT - - - - - - ASYNCHRONOUS CLEAR/PRESET[7] ASYNCHRONOUS REGISTERED OUTPUTS ------+-+--f-" --------F-~ 1-1-----... tAC02 -------1~ COMBINATORIAL OUTPUT FROM ASYNCH. REGISTERED FEEDBACK _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _..... ......_ _ _ _ _ __ C341-9 3-17 CY7C341 CY7C341B Internal Switching Characteristics Over the Operating Range[l] 7C341B-20 7C341Jl';"lS Parameter tIN Description Dedicated Input Pad and Buffer Delay tlO I/O Input Pad and Buffer Delay tE)(P Expander Array Delay tLAD Logic Array Data Delay tLAC Logic Array Control Delay tOD Output Buffer and Pad Delay tzx Output Buffer Enable Delay[27) txz Output Buffer Disable Delay tRSU tRH tLATCH Register Set-Up Time Relative to Clock Signal at Register Register Hold Time Relative to Clock Signal at Register Flow-Through Latch Delay tRD Register Delay tCOMB Transparent Mode Delay[28) tCH Clock High Time tCL tIC tICS tpD tpRE tCLR tpcw tpCR tPIA Clock Low Time Asynchronous Clock Logic Delay Synchronous Clock Delay Feedback Delay Asynchronous Register Preset Time Asynchronous Register Clear Time Asynchronous Preset and Clear Pulse Width Asynchronous Preset and Clear Recovery Time Programmable Interconnect Array Delay Time Shaded areas con tam prelimmary mformatlon Notes: 27. Sample tested only for an output change of 500 mY. Mill. Com'l Mil Com'l Mil Com'l Mil Com'l Mil Com'l Mil Com'l Mil vM,~, Min. 3'." >, Max. 4 4 ,4' 4 i" '.' ' '.'.,; 3 ,'" 10 8 10 8 10 10 5 7 7 3 3 3 , 5 Com'l Mil Com'l Mil Com'l Mil Com'l Mil Com'l Mil Com'l Mil Com'l Mil Com'l Mil Com'l Mil Com'l Mil Com'l Mil Com'l Mil Com'l Mil Com'l Mil Com'l Mil Com'l Mil Com'l Mil 5 , 4 5 5 ,. i:' " 4 1 2 2 1 1 2 2 1 1 ,; .•... 4 6 ,~ .... ,: 6;.;;) 6 '" ~7· 0.5 . 8 ,.\ <,.." ..,:" 0.5 1 iT: L, 3 '3<" (:;3 ;3/;< 3:; ;;!,"j;!;.:" 1l00V (per MIL-STD-883, Method 3015) Operating Range Ambient Temperature O°Cto +70°C Range Commercial -40°C to +85°C Vee 5V±5% 5V ± 10% -55°C to +125°C (Case) 5V ± 10% Industrial Military Rangd 2] Test Conditions Vee Vee GND ~ "VIN ~ Vee Va = Vee or GND Vee = Max., VOUT = 0.5V[3,4] VI = GND (No Load) = Vec or GND (No Load) = 1.0 MHz[4] Vi f Min. 2.4 = Min., lOR = -4.0 rnA = Min., IOL = 8.0 rnA 2.2 -0.3 -10 -40 -30 Com'l Mil/Ind Com'l Mil/lnd Max. 0.45 Vee +0.3 0.8 +10 +40 -90 225 275 250 320 100 100 Unit V V V V !1A !1A rnA rnA rnA ns ns Capacitance[6] Parameter Description Input Capacitance Output Capacitance CIN CoUT Test Conditions VIN = 2V, f = 1.0 MHz VOUT = 2V, f = 1.0 MHz Notes: . 1. Minimum DC input is - 0.3V. During transitions, the inputs may undershoot to - 3.0V for periods less than 20 ns. 2. 1YIJical values are for TA = 25 0 C and Vee = Sv. 3. Not more than one output should be tested at a tiJpe. Duration of the short circuit should not be more than one second. VOUT = O.SV has been chosen to avoid test problems caused by tester ground degradation. 4. S. 6. Max. 10 10 Unit pF pF Guaranteed but not 100% tested. This parameter is measured with device programmed as a 16-bit counter in each LAB. Part (a) in AC Test Load and Waveforms is used for all parameters except tER and txz, which is used for part (b) in AC Test Load and Waveforms. All external timing parameters are measured referenced to external pins ofthe device. AC Test LOads and Waveforms[5] R1464Q R1464Q OUTP~~31 OUTPUT 5V31 50 pF INCLUDING JIG AND SCOPE Equivalent to: R2 250Q I _ - - 5pF I R2 250Q INCLUDING _ JIG AND SCOPE (a) ALL INPUT PULSES 3.0V ----..u----~:IL GND _ C342-4 (b) THEVENIN EQUIVALENT (commercial/military) 163Q OUTPUT oO---'V¥\I\ .. _---oo 1.75V 3-26 C342-5 CY7C342 CY7C342B Logic Array Blocks There are 8 logic array blocks in the CY7C342/CY7C342B. Each LAB consists of a macrocell array containing 16 macrocells, an expander product term array containing 32 expanders, and an I/O block. The LAB is fed by the programmable interconnect array and the dedicated input bus. All macro cell feedbacks go to the macrocell array, the expander array, and the programmable interconnect array. Expanders feed themselves and the macrocell array. All I/O feedbacks go to the programmable interconnect array so that they may be accessed by macrocells in other LABs as well as the macrocells in the LAB in which they are situated. Externally, the CY7C342/CY7C342B provides eight dedicated inputs, one of which may be used as a system clock. There are 521/0 pins that may be individually configured for input, output, or bidirectional data flow. Programmable Interconnect Array The Programmable InterconnectArray (PIA) solves interconnect lim itations by routing only the signals needed by each logic array block. The inputs to the PIA are the outputs of every macrocell within the device and the I/O pin feedback of every pin on the device. Unlike masked or programmable gate arrays, which induce variable delay dependent on routing, the PIA has a fixed delay. This eliminates undesired skews among logic signals that may cause glitches in internal or external logic. The fixed delay, regardless of programmable interconnect array configuration, simplifies design by assuring that internal signal skews or races are avoided. The result is ease of design implementation, often in a signal pass, without the multiple internal logic placement and routing iterations re- quired for a programmable gate array to achieve design timing objectives. Timing Delays Timing delays within the CY7C342/CY7C342B may be easily determined using Warp2 TM , Wmp3 ™ , or MAX + PLUS ® software or by the model shown in Figure 1. The CY7C342/CY7C342B has fixed internal delays, allowing the user to determine the worst case timing delays for any design. For complete timing information the Wmp3 or MAX +PLUS software provides a timing simulator. Design Recommendations Operation of the devices described herein with conditions above those listed under"MaximumRatings" may cause permanent damage to the device. This is a stress rating only and functional operation of the device at these or any other conditions above those indicated in the operational sections of this datasheet is not implied. Exposure to absolute maximum ratings conditions for extended periods of time may affect device reliability. The CY7C342/CY7C342B contains circuitry to protect device pins from high static voltages or electric fields, but normal precautions should be taken to avoid application of any voltage higher than the maximum rated voltages. For proper operation, input and output pins must be constrained to the range GND ~ (VIN or VOUT) ~ Vee. Unused inputs must always be tied to an appropriate logic level (either Vee or GND). Each set of V ee and GND pins must be connected together direct1y at the device. Power supply decoupling capacitors of at least 0.2 f.lF must be connected between Vee and GND. For the most effective decoupling, each Vee pin should be separately decoupled to REGISTER LOGIC ARRAY I--+-+-t-il--~CONTROL DELAY j-.....:::.:::..:...~ INPUT tLAC INPUT DELAY tiN OUTPUT DELAY OUTPUT too LOGIC ARRAY DELAY txz tzx tLAO SYSTEM CLOCK DELAY tiCS CLOCK DELAY tiC C342-6 Figure 1. CY7C342/CY7C342B Internal Timing Model 3-27 II CY7C342 CY7C342B GND directly at the device. Decoupling capacitors should have good frequency response, such as monolithic ceramic types have. Design Security The CY7C342/CY7C342B contains a programmable design security feature that controls the access to the data programmed into the device. If this programmable feature is used, a proprietary design implemented in the device cannot be copied or retrieved. This enables a high level of design control to be obtained since programmed data within EPROM cells is invisible. The bit that controls this function, along with all other program data, may be reset simply by erasing the entire device. The CY7C342/CY7C342B is fully functionally tested and guaranteed through complete testing of each programmable EPROM bit and all internal logic elements thus ensuring 100% programming yield. The erasable nature of these devices allows test programs to be used and erased during early stages of the production flow. The devices also contain on-board logic test circuitry to allow verification of function and AC specification once encapsulated in non-windowed packages. 1Ypical Icc vs. fMAX 400.----------------------------, ci. ~ 300 - « > 200 - F 0 « () ..9 100 - 1 kHz 10 kHz 100 kHz 1 MHz 10 MHz 50 MHz MAXIMUM FREQUENCY Output Drive Current ...J « () a::: 100 IOL ~ () 40 I- ::::> c.. I- ::::> 20 0 ..9 0 0.45 1 2 3 Vo OUTPUT VOLTAGE 4 5 M 3-28 --. CY7C342 CY7C342B -'i~ 'CYPRESS Commercial and Industrial External Synchronous Switching Characteristics[6] Over Operating Range Parameter tpDl Description Dedicated Input to Combinatorial Output Delayl7J 7C342B-12 7C342B-15 Min. Min. Max. 12 7C342B-20 Max. Min. 15 Max. 20 Unit ns tpD2 I/O Input to Combinatorial Output Delayl8J 20 25 tPD3 Dedicated Input to Combinatorial Output Delay with Expander Delay[9] 18 23 32 30 ns tpD4 I/O In~ut to Combinatorial Output Delay with Expander Delay '+,10] 26 33 42 ns tEA Input to Output Enable Delayl4, 7J 15 15 ns Input to Output Disable Delayl4, 7J 12 12 20 tER 20 ns 6 14 7 17 ns tCOl Synchronous Clock Input to Output Delay tC02 Synchronous Clock to Local Feedback to Combinatorial Output[4, 11] tS1 Dedicated Input or Feedback Set-Up Time to Synchronous Clock Input[7, 12] 8 10 13 ns tS2 tH I/O Input Set-Up Time to Synchronous Clock Input l7J Input Hold Time from Synchronous Clock Input l7J 16 20 24 ns 0 0 0 ns tWH tWL 4.5 4.5 5 5 7 7 ns ns tRW tRR Synchronous Clock Input HIGH Time Synchronous Clock Input LOW Time Asynchronous Clear Widthl 4, 7J Asynchronous Clear Recovery Timel 4, 7J 12 15 15 20 20 ns ns tRO tpw tpR Asynchronous Clear to Registered Output Delay[7] Asynchronous Preset Widthl 4, 7J Asynchronous Preset Recovery Timel 4, 7J tpo Asynchronous Preset to Registered Output Delayl7J 12 15 20 tCF tp Synchronous Clock to Local Feedback Inputl4, 13J 3 3 3 12 External Synchronous Clock Period (l/(fMAX3))[4] 12 12 15 9 12 ns ns 20 20 15 15 12 8 20 ns ns ns 20 ns ns fMAX1 External Feedback Maximum Frequency (l/(tCOl + tS1))[4, 14] 71.4 58.8 15 47.6 fMAX2 Internal Local Feedback Maximum Frequency, lesser of (l/(tS1 + tCF» or (1/tCOl)[4, 15] 90.9 76.9 62.5 MHz fMAX3 Data Path Maximum Freguency, lesser of (l!(tWL + tWH)), (1!(tS1 + tH)) or (l/tCOl)['+, 16] 111.1 100 71.4 MHz fMAX4 Maximum Register Toggle Frequency (l/(tWL +twH»l4, 17] Output Data Stable Time from Synchronous Clock Input[4, 18] 111.1 100 3 71.4 MHz ns 7C342-25 7C342B-25 7C342-30 7C342B-30 7C342-35 7C342B-35 Min. Min. Min. tOH 3 3 ns MHz Shaded area contams prehmmary mformatlOn. Parameter tpDl tPD2 Description Dedicated Input to Combinatorial Output Delayl7J I/O Input to Combinatorial Output Delayl8J Max. 25 39 Max. 30 46 Max. 35 55 Unit ns ns tPD3 Dedicated Input to Combinatorial Output Delay with Expander Delay[9] 37 44 55 ns tpD4 I/O In~ut to Combinatorial Output Delay with Expander Delay '+,10] 51 60 75 ns tEA Input to Output Enable Delayl4, 7J 25 30 35 ns tER Input to Output Disable Delayl4, 7J 25 30 tCOl Synchronous Clock Input to Output Delay 14 16 35 20 ns ns 3-29 CY7C342 CY7C342B Commercial and Industrial External Synchronous Switching Characteristics (continued) Parameter 7C342-25 7C342B-25 7C342-30 7C342B-30 7C342-35 7C342B-35 Min. Min. Min. tC02 Description Synchronous Clock to Local Feedback to Combinatorial Output[4,11] tSI Dedicated Infut or Feedback Set-Up Time to Synchronous Clock Inputl ' 12] 15 20 25 ns tS2 tH I/O Input Set-Up Time to Synchronous Clock Inputl7J Input Hold Time from Synchronous Clock Input l7J 29 36 45 ns 0 0 0 ns tWH Synchronous Clock Input HIGH Time 10 12.5 ns tWL 10 12.5 ns 25 30 35 ns tRR Synchronous Clock Input LOW Time Asynchronous Clear Widthl 4, 7J Asynchronous Clear Recovery Timel 4, 7J 8 8 25 30 35 tRO tpw Asynchronous Clear to Registered Output DelayL7j Asynchronous Preset Widthl 4, 7J 25 tpR Asynchronous Preset Recovery Timel 4, 7J 25 tpo Asynchronous Preset to Registered Output Delayl7J 25 30 35 tCF tp Synchronous Clock to Local Feedback Inputl4, 13J 3 3 6 tRW Max. 30 25 External Synchronous Clock Period (1/(fMAX3))l4J Max. 35 Max. 42 ns 35 30 35 30 30 Unit ns ns ns 35 ns ns ns 16 20 25 ns fMAXl External Feedback Maximum Frequency (lI(tCOl + tSl))[4, 14] 34.5 27.7 22.2 MHz fMAX2 Internal Local Feedback Maximum Frequency, lesser of (lI(tSI + tCF)) or (lItCOl)[4, 15] 55.5 43.4 32.2 MHz fMAX3 Data Path Maximum Freguency, lesser of (lI(tWL (lI(tSI + tH)) or (lItCOl)!'!' 16] 62.5 50 40 MHz 62.5 50 40 MHz 3 3 3 ns + tWH)), fMAX4 Maximum Register Toggle Frequency (lI(tWL +tWH))l4, 17J tOH Output Data Stable Time from Synchronous Clock Inputl4, 18J Notes: 7. This specification is a measure of the delay from input signal applied to a dedicated input (68-pin PLCC input pin 1,2, 32, 34, 35, 66, or 68) to combinatorial output on any output pin. This delay assumes no expander terms are used to form the logic function. When this note is applied to any parameter specification it indicates that the signal (data, asynchronous clock, asynchronous clear, and/or asynchronous preset) is applied to a dedicated input only and no signal path (either clock or data) employs expander logic. If an input signal is applied to an I/O pin an additional delay equal to tpIA should be added to the comparable delay for a dedicated input. If expanders are used, add the maximum expander delay tEXP to the overall delay for the comparable delay without expanders. 8. This specification is a measure of the delay from input signal applied to an I/O macrocell pin to any output. This delay assumes no expander terms are used to form the logic function. 9. This specification is a measure of the delay from an input signal applied to a dedicated input (68-pin PLCC input pin 1, 2, 32, 34, 35, 36, 66, or 68) to combinatorial output on any output pin. This delay assumes expander tenns are used to form the logic function and includes the worst-case expander logic delay for one pass through the expander logic. 10. This specification is a measure of the delay from an input signal applied to an I/O macrocell pin to any output. This delay assumes expander terms are used to form the logic function and includes the worst-case expander logic delay for one pass through the expander logic. This parameter is tested periodically by sampling production material. 11. This specification is a measure of the delay from synchronous register clock to internal feedback of the register output signal to the input of the LAB logic array and then to a combinatorial output. This delay assumes no expanders are used, register is synchronously clocked and all feedback is within the same LAB. This parameter is tested periodically by sampling production material. 12. If data is applied to an I/O input for capture by a macrocell register, the I/O pin input set-up time minimums should be observed. These parameters are tS2 for synchronous operation and tAS2 for asynchronous operation. 13. This specification is a measure of the delay associated with the internal register feedback path. This is the delay from synchronous clock to LAB logic array input. This delay plus the register set-up time, tSl, is the minimum internal period for an internal synchronous state machine configuration. This delay is for feedback within the same LAB. This parameter is tested periodically by sampling production material. 14. This specification indicates the guaranteed maximum frequency, in synchronous mode, at which a state machine configuration with external feedback can operate. It is assumed that all data inputs and feedback signals are applied to dedicated inputs. All feedback is assumed to be local originating within the same LAB. 15. This specification indicates the guaranteed maximum frequency at which a state machine with internal-only feedback can operate. If register output states must also control external points, this frequency can still be observed as long as this frequency is less than lIteOl. 16. This frequency indicates the maximum frequency at which the device may operate in data path mode (dedicated input pin to output pin). This assumes data input signals are applied to dedicated input pins and no expander logic is used. If any of the data inputs are I/O pins, tS2 is the appropriate ts for calculation. 17. This specification indicates the guaranteed maximum frequency, in synchronous mode, at which an individual output or buried register can be cycled by a clock signal applied to the dedicated clock input pin. 18. This parameter indicates the minimum time after a synchronous register clock input that the previous register output data is maintained on the output pin. 3-30 CY7C342 CY7C342B ~i~ 'CYPRESS Commercial and Industrial External Asynchronous Switching Characteristics[6] Over Operating Range 7C342B-12 7C342B-15 7C342B-20 Description Parameter Min. Max. Min. Max. Min. Max. Unit tACOl Asynchronous Clock Input to Output Delay[7] 12 15 20 ns tAC02 Asynchronous Clock Input to Local Feedback to Combinatorial Outputl 19] 20 25 32 ns tAS1 Dedicated In1jut or Feedback Set-Up Time to Asynchronous Clock Input[ 4 5 5 ns tAS2 I/O Input Set-Up Time to Asynchronous Clock Input[7] 12 14.5 17 ns tAH Input Hold Time from Asynchronous Clock Input[7] 4 5 6 ns tAWH Asynchronous Clock Input HIGH Time[7] 8 9 10 ns tAWL Asynchronous Clock Input LOW Timel7, 20] 6 tACF Asynchronous Clock to Local Feedback Inputl4, 21] tAP External Asynchronous Clock Period (1/(fMAXA4))[4] fMAXA1 External Feedback Maximum Frequency in Asynchronous Mode (l/(tAC01 + tAS1))[4, 22] 7 ns 8 11 9 13 ns 14 16 18 ns 62.5 50 40 MHz fMAXAZ Maximum Internal Asynchronous Frequency[4, 23] 71.4 62.5 55.5 MHz fMAXA3 Data Path Maximum Frequency in Asynchronous Model 4, 24] 83.3 66.6 50 MHz fMAXA4 Maximum Asynchronous Register Toggle Frequency l/(tAwH + tAwd[4, 25] 71.4 62.5 55.5 MHz tAOH Output Data Stable Time from Asynchronous Clock Inpud4, 26] 12 12 12 ns p .. Shaded area con tams prehmmary mformatlOn. Notes: 19. This specification is a measure of the delay from an asynchronous register clock input to internal feedback of the register output signal to the input of the LAB logic array and then to a combinatorial output. This delay assumes no expanders are used in the logic of combinatorial output or the asynchronous clock input. The clock signal is applied to the dedicated clock input pin and all feedback is within a single LAB. This parameter is tested periodically by sampling production material. 20. This parameter is measured with a positive-edge triggered clock at the register. For negative edge triggering, the tAWH and tAwLparameters must be swapped. If a given input is used to clock multiple registers with both positive and negative polarity, tAWH should be used for both tAWH and tAWL. 21. This specification is a measure of the delay associated with the internal register feedback path for an asynchronous clock to LAB logic array input. This delay plus the asynchronous register set-up time, tASl, is the minimum internal period for an internal asynchronously clocked state machine configuration. This delay is for feedback within the same LAB, assumes no expander logic in the clock path, and assumes that the clock input signal is applied to a dedicated input pin. This parameter is tested periodically by sampling production material. 22. This specification indicates the guaranteed maximum frequency at which an asynchronously clocked state machine configuration with external feedback can operate. It is assumed that all data inputs, clock in- 23. 24. 25. 26. 3-31 puts, and feedback signals are applied to dedicated inputs and that no expander logic is employed in the clock signal path or data path. This specification indicates the guaranteed maximum frequency at which an asynchronously clocked state machine with internal-only feedback can operate. This parameter is determined by the lesser of (1/( tACF + tASl» or (l/(tAWH + tAWL». If register output states must also control external points, this frequency can still be observed as long as this frequency is less than l/tACOl. This specification assumes no expander logic is utilized, all data inputs and clock inputs are applied to dedicated inputs, and all state feedback is within a single LAB. This parameter is tested periodically by sampling production material. This frequency is the maximum frequency at which the device may operate in the asynchronously clocked data path mode. This specification is determined by the lesser of l/(tAWH + tAWL>, l/(tASl + tAH) or l!tACOl. It assumes data and clock input signals are applied to dedicated input pins and no expander logic is used. This specification indicates the guaranteed maximum frequency at which an individual output or buried register can be cycled in asynchronously clocked mode by a clock signal applied to an external dedicated input pin. This parameter indicates the minimum time that the previous register output data is maintained on the output after an asynchronous register clock input applied to an external dedicated input pin. I CY7C342 CY7C342B Commercial and Industrial External Asynchronous Switching Characteristics[6] Over Operating Range (continued) Parameter 7C342-30 7C342B-30 7C342-35 7C342B-35 Min. Min. Min. Max. Unit tACOl Asynchronous Clock Input to Output Delayl7J 25 30 35 ns tAC02 Asynchronous Clock Input to Local Feedback to Combinatorial Output[19] 39 46 55 ns tAS1 Dedicated Inti'ut or Feedback Set-Up Time to Asynchronous Clock Inputl I/O Input Set-Up Time to Asynchronous Clock Input l7J tAS2 tAR tAWH tAWL Description 7C342-25 7C342B-25 Input Hold Time from Asynchronous Clock Input l7J Asynchronous Clock Input HIGH Time L7 ] Asynchronous Clock Input LOW Timel7, :lU] tACF tAP Asynchronous Clock to Local Feedback Inputl4, 21J fMAXA1 External Feedback Maximum Frequency in Asynchronous Mode (l/(tACOl + tAS1))[4, 22] fMAXA2 Maximum Internal Asynchronous Frequencyl4, 23J fMAXA3 Data Path Maximum Frequency in Asynchronous Mode[4, 24J fMAXA4 tAOH External Asynchronous Clock Period (l/(fMAXA4))l4J Max. Max. 5 6 8 ns 19 22 28 ns 6 8 10 ns 11 14 16 ns 11 9 15 14 18 ns 22 ns 20 25 30 ns 33.3 27.7 23.2 MHz 50 40 33.3 MHz 40 33.3 28.5 MHz Maximum Asynchronous Register Toggle Frequency l/(tAwH + tAWL)[4,25] 50 40 33.3 MHz Output Data Stable Time from Asynchronous Clock Inputl4, 26J 15 15 15 ns Commercial and Industrial1Ypical Internal Switching Characteristics Over Operating Range Parameter tIN tIO tEXP tLAD tLAC tOD tzx txz tRSU tRH tLATCH tRD tCOMB tCH tCL tIC tICS tFD tpRE tCLR tpcw tpCR tPIA Description Dedicated Input Pad and Buffer Delay I/O Input Pad and Buffer Delay Expander Array Delay Logic Array Data Delay Logic Array Control Delay Output Buffer and Pad Delay Output Buffer Enable Delayl27J Output Buffer Disable Delay Register Set-Up Time Relative to Clock Signal at Register Register Hold Time Relative to Clock Signal at Register Flow Through Latch Delay Register Delay Transparent Mode Delayl28J Clock HIGH Time Clock LOW Time Asynchronous Clock Logic Delay Synchronous Clock Delay Feedback Delay Asynchronous Register Preset Time Asynchronous Register Clear Time Asynchronous Preset and Clear Pulse Width Asynchronous Preset and Clear Recovery Time Programmable Interconnect Array Delay Time Shaded area con tams prelImmary information. 3-32 7C342B-12 7C342B-15 7C342B-20 Min. Min. Min. Max. 2.5 2.5 6 6 5 3 5 5 2 Max. 3 3 8 8 5 3 5 5 4 4 4 1 0.5 1 3 1 2 6 6 6 0.5 3 3 3 3 8 0.5 1 1 3 3 4 4 3 3 8 ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns 2 1 1 1 5 0.5 1 2 2 Unit 4 4 10 10 7 3 5 5 5 5 4 4 3 Max. 10 12 · CY7C342 CY7C342B -.f~ 'CYPRESS Commercial and IndustriallYpical Internal Switching Characteristics Over Operating Range (continued) 7C342-25 7C342B-25 Parameter tIN t10 tEXP tLAD tLAC tOD tzx txz tRSU tRH tLATCH tRD tCOMB tCH tCL tIC tICS tpD tpRE tCLR tpcw tpCR tpIA Description Min. Dedicated Input Pad and Buffer Delay I/O Input Pad and Buffer Delay Expander Array Delay Logic Array Data Delay Logic Array Control Delay Output Buffer and Pad Delay Output Buffer Enable Delayl27J Output Buffer Disable Delay Register Set-Up Time Relative to Clock Signal at Register Register Hold Time Relative to Clock Signal at Register Flow Through Latch Delay Register Delay Transparent Mode Delay[28] Clock HIGH Time Clock LOW Time Asynchronous Clock Logic Delay Synchronous Clock Delay Feedback Delay Asynchronous Register Preset Time Asynchronous Register Clear Time Asynchronous Preset and Clear Pulse Width Asynchronous Preset and Clear Recovery Time Programmable Interconnect Array Delay Time Max. 7C342-30 7C342B-30 Min. Max. 5 6 12 12 10 5 10 10 14 2 1 5 5 3-33 4 2 4 12.5 12.5 18 3 2 7 7 16 2 1 6 6 6 6 14 Notes: 27. Sample tested only for an output change of 500 m V. 28. This specification guarantees the maximum combinatorial delay associated with the macrocell register bypass when the macrocell is configured for combinatorial operation. 10 10 10 10 5 5 20 16 13 6 13 13 4 2 4 3 1 3 8 8 9 9 7 6 14 14 12 5 11 11 8 8 6 6 7C342-35 7C342B-35 Min. Max. 7 7 16 20 Unit ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns E1 CY7C342 CY7C342B Military External Synchronous Switching Characteristics[6] Over Operating Range 7C342B-15 7C342B-20 7C342B-25 7C342-30 7C342B-30 7C342-35 7C342B-35 Min. Min. Min. Min. Min. Max. Unit tpDl Dedicated Input to Combinatorial Output Delay[7] 15 20 25 30 35 ns tPD2 I/O Input to Combinatorial Output Delay[8] 25 32 39 46 55 ns tPD3 Dedicated Input to Combinatorial Output Delay with Expander Delay[9] 23 30 37 44 55 ns tpD4 I/O Input to Combinatorial Output Delay with Expander Delay[4, 10] 33 42 51 60 75 ns tEA Input to Output Enable Delay[4,7] 15 20 25 30 35 ns tER Input to Output Disable Delay[4,7] 15 20 25 30 35 ns tCOl Synchronous Clock Input to Output Delay 7 8 14 16 20 ns tC02 Synchronous Clock to Local Feedback to Combinatorial Output!4,11] 17 20 30 35 42 ns tS1 Dedicated Input or Feedback Set-Up Time to Synchronous Clock Input[7, 12] 10 13 15 20 25 ns tS2 I/O Input Set-Up Time to Synchronous Clock Input[7] 20 24 29 36 45 ns tH Input Hold Time from Synchronous Clock Input(7] 0 0 0 0 0 ns tWH Synchronous Clock Input HIGH Time 5 7 8 10 12.5 ns tWL Synchronous Clock Input LOW Time 5 7 8 10 12.5 ns Parameter Description Max. Max. Max. Max. tRW Asynchronous Clear Width[4, 7] 15 20 25 30 35 ns tRR Asynchronous Clear Recovery Time[4,7] 15 20 25 30 35 ns tRO Asynchronous Clear to Registered Output Deiay(7] tpw Asynchronous Preset Width[4,7] 15 20 25 30 35 ns tpR Asynchronous Preset Recovery Timel4, 7] 15 20 25 30 35 ns tpo Asynchronous Preset to Registered Output Delay[7] 15 20 25 30 35 ns tCF Synchronous Clock to Local Feedback Input[4, 13] 3 3 3 3 6 ns tp External Synchronous Clock Period (1I(fMAX3»[4] 15 12 25 20 14 3-34 16 35 30 20 25 ns ns CY7C342 CY7C342B · -rcYPRESS Military External Synchronous Switching Characteristics[6] Over Operating Range (continued) Parameter 7C342B-15 7C342B-20 7C342B-25 7C342-30 7C342B-30 7C342-35 7C342B-35 Min. Min. Min. Min. Description Min. fMAXl External Feedback Maximum Frequency (lI(tCOl + tSl»[4, 14] 58.8 47.6 34.5 27.7 22.2 MHz fMAX2 Internal Local Feedback Maximum Frequency, lesser of (lI(tSl + tCF» or (lItCOl)[4, 15] 76.9 62.5 55.5 43.4 32.2 MHz fMAX3 Data Path Maximum Frequency, lesser of (lI(twL + tWH» (lI(tSl + tH» or (lItcor)l4, 16] 100 71.4 62.5 50 40 MHz fMAX4 Maximum Register Toggle Frequency (lI(twL + tWH))[4, 17] 100 71.4 62.5 50 40 MHz tOH Output Data Stable Time from Synchronous Clock Inputl 4, 18] 3 3 3 3 3 ns Max. Max. Max. Max. Max. Unit Shaded area contaInS prelimInary InformatIOn. II Military External Asynchronous Switching Characteristics[6] Over Operating Range Parameter 7C342B-20 Min. Min. 7C342B-25 7C342-30 7C342B-30 Min. Min. Max. Unit Asynchronous Clock Input to Output Delay[7] 15 20 25 30 35 ns tAC02 Asynchronous Clock Input to Local Feedback to Combinatorial Output[19] 25 32 39 46 55 ns tASl Dedicated Input or Feedback Set-Up Time to Asynchronous Clock Inputl 7] I/O Input Set-Up Time to Asynchronous Clock Input[7] Max. Max. Max. Max. 7C342-35 7C342B-35 tACOl tAS2 Description 7C342B-15 Min. 5 6 5 6 8 ns 14.5 17 19 22 28 ns tAR Input Hold Time from Asynchronous Clock Input[7] 5 6 6 8 10 ns tAWH Asynchronous Clock Input HIGH Time[7] 9 10 11 14 16 ns tAWL Asynchronous Clock Input LOW Timel7, 20] 7 8 9 11 14 ns tACF Asynchronous Clock to Local Feedback Input[4, 21] tAP External Asynchronous Clock Period (1I(fMAXA4))[4] fMAXAl External Feedback Maximum Frequency in Asynchronous Mode (lI(tACOl + tASl))[4,22] MaximumlnternalAsynchronous Frequency[4,23] fMAXA2 11 13 18 15 22 ns 16 18 20 25 30 ns 50.0 40 33.3 27.7 23.2 MHz 62.5 55.5 50 40 33.3 MHz fMAXA3 Data Path Maximum Fre~uency in Asynchronous Model 4, 4] 66.6 50 40 33.3 28.5 MHz fMAXA4 Maximum Asynchronous Register Toggle Fre~uency lI(tAwH + tAWL)[4, 5] Output Data Stable Time from Asynchronous Clock Input[4, 26] 62.5 55.5 50 40 33.3 MHz 12 12 15 15 15 ns tAOH Shaded area contaInS prelimInary InformatIon. 3-35 CY7C342 CY7C342B Military 1Ypical Internal Switching Characteristics Over Operating Range 7C342B-15 7C342B-20 7C342B-25 Min. Min. Min. 7C342-30 7C342-35 Max. Unit tIN Dedicated Input Pad and Buffer Delay 3 4 5 7 9 ns tlO I/O Input Pad and Buffer Delay 3 4 6 6 9 ns tEXP Expander Array Delay 8 10 12 14 20 ns tLAD Logic Array Data Delay 8 10 12 14 16 ns tLAC Logic Array Control Delay 5 7 10 12 13 ns taD Output Buffer and Pad Delay 3 3 5 5 6 ns tzx Output Buffer Enable Delay[27] 5 5 10 11 13 ns txz Output Buffer Disable Delay 10 11 13 ns tRSU Register Set-Up Time Relative to Clock Signal at Register 4 5 6 8 10 ns tRH Register Hold Time Relative to Clock Signal at Register 4 5 6 8 10 ns Parameter Description Max. 5 Max. 5 Max. Min. Max. Min. tLATCH Flow Through Latch Delay 1 2 3 4 4 ns tRD Register Delay 1 1 1 2 2 ns tCOMB Transparent Mode Delay[28] 1 2 3 4 4 ns tCH Clock HIGH Time 4 6 8 10 12.5 ns tCL Clock LOW Time 4 6 8 10 12.5 ns tIC Asynchronous Clock Logic Delay tICS Synchronous Clock Delay tFD 6 8 14 16 18 ns 0.5 0.5 2 2 3 ns Feedback Delay 1 1 1 1 2 ns tpRE Asynchronous Register Preset Time 3 3 5 6 7 ns tCLR Asynchronous Register Clear Time 3 3 5 6 7 ns tpcw Asynchronous Preset and Clear Pulse Width 3 4 5 6 7 ns tpCR Asynchronous Preset and Clear Recovery Time 3 4 5 6 7 ns tpIA Programmable Interconnect Array Delay Time . . 10 12 I Shaded area con tams prehmmary mformatlOn . 3-36 14 16 20 ns CY7C342 CY7C342B Switching Waveforms External Combinatorial DEDICATED I/O INPUT/ INPUT _ _ _ _ __ ~ tpD"n/tpD2'" ) COMBINATORIAL OUTPUT I+-I+----- tEA[?] =3---------=1 COMBINATORIAL OR - - - - - - - - - -tER[?] -REGISTERED OUTPUT _ _ _ _ _ _~:-----_ _ HIG~~~~~?Si-~~~ - - - - - - - - - - - - External Synchronous DEDICATED INPUTS OR REGISTERED FEEDBACK[?] ......_ _ _ _ _ _ __ =1 ---------- HIGH-IMPEDANCE THREE-STATE VALID OUTPUT C342-7 ......._"""':"'"_ _ El tS1 SYNCHRONOUS CLOCK _ _ _ _ _J ASYNCHRONOUS t CLEAR/PRESET[?] _ _ _ _ O_H_ _---If-----I~ REGISTERED OUTPUTS _ _ _ _ _ _--I~~ ) + - - - - - - tC02 ------~~ COMBINATORIAL OUTPUT FROM _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ __ REGISTERED FEEDBACK[11] . ........_ _ _ _ _ __ C342-8 External Asynchronous DEDICATED INPUTS OR REGISTERED FEEDBACK =1 _ _-:--_ _ tAS1 ASYNCHRONOUS CLOCK INPUT _ _ _ _ __ ASYNCHRONOUS CLEAR/PRESET tAOH -------+--f-"' ASYNCHRONOUS REGISTERED OUTPUTS _ _ _ _ _ _ _-I'-~ 1-..-----... tAC02 -------i~~ COMBINATORIAL OUTPUT FROM _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ __ ASYNCHRONOUS REGISTERED FEEDBACK 3-37 . . .......- - - - - - - C342-9 ... CY7C342 CY7C342B ~~ ;;~ ~'CYPRESS Switching Waveforms (continued) Internal Combinatorial ~ tiN- * INPUT PIN f4-- 1/0 PIN tplA-- ~ tlO 14-- )K EXPANDER ARRAY DELAY tEXP - I+- tLAC, tLAD - )K LOGIC ARRAY INPUT )K LOGIC ARRAY OUTPUT C342 10 Internal Asynchronous tR ~I:= CLOCK PIN tiN CLOCK INTO LOGIC ARRAY "WH r"i-- ~. 1L tiC "Wl } ~ \. ~ *=t J/ " ..... _ _ _ _ CLOCK FROM LOGIC ARRAY J/ \. ,,_ _ _ _ _ DATA FROM LOGIC ARRAY REGISTER OUTPUT - - - - - TO LOCAL LAB LOGIC ARRAY ~ tRSU tRH tRD,tLATCH -+- t tFD ,,----- tCLR.tPRE tplA -+ tFD k= =*.-____________ REGISTER OUTPUT - - - - - - - - - - - - - - - . . . . . : - . . . . : . . : . . TO ANOTHER LAB • C342-11 Internal Synchronous -:E: }=~H~~=r ~ 'N SYSTEM CLOCK PIN _ _- J SYSTEM CLOCK AT REGISTER _ _ tRSU DATA FROM LOGIC ARRAY tRH =* " " '_ _ _ _- ' / ,,'------ ,,'---- --------------------- ~------------------------C342-12 3-38 CY7C342 CY7C342B ==r:- _ ~ -=-; CYPRESS 0; ,;~ Switching Waveforms (continued) Internal Synchronous CLOCK FROM LOGIC ARRAY _ _ _ _.....Ij DATA FROM LOGIC ARRAY OUTPUT PIN C342-13 E 3-39 CY7C342 CY7C342B Ordering Information Speed (ns) 12 15 Ordering Code CY7C342B-12HC CY7C342B-12JC CY7C342B-12RC CY7C342B-15HC/HI CY7C342B-15JC/JI CY7C342B-15RC/RI CY7C342B -15HMB CY7C342B-15RMB 20 CY7C342B- 20HC/HI CY7C342B- 20JC/JI CY7C342B - 20RC/RI CY7C342B- 20HMB CY7C342B- 20RMB 25 CY7C342-25HC/HI CY7C342- 25JC/JI CY7C342- 25 RC/RI CY7C342B- 25HC/HI CY7C342B- 25JC/JI CY7C342B - 25 RC/RI CY7C342B- 25HMB CY7C342B- 25RMB 30 CY7C342-30HC/HI CY7C342-30JC/JI CY7C342 - 30RC/RI CY7C342B- 30HC/HI CY7C342B-30JC/JI CY7C342B - 30RC/RI CY7C342-30HMB CY7C342- 30RMB CY7C342B-30HMB CY7C342B- 30RMB 35 CY7C342- 35HC/HI CY7C342-35JC/JI CY7C342 - 35RC/RI CY7C342B - 35HC/HI CY7C342B-35JC/JI CY7C342B - 35RC/RI CY7C342-35HMB CY7C342- 35RMB CY7C342B- 35HMB CY7C342B-35RMB Package Name H81 J81 R68 H81 J81 R68 H81 R68 H81 J81 R68 H81 R68 H81 J81 R68 H81 J81 R68 H81 R68 H81 J81 R68 H81 J81 R68 H81 R68 H81 R68 H81 J81 R68 H81 J81 R68 H81 R68 H81 R68 Package 1Ype 68-Pin Windowed Leaded Chip Carrier 68-Lead Plastic Leaded Chip Carrier Operating Range Commercial 68-Pin Windowed Ceramic Pin Grid Array 68-Pin Windowed Leaded Chip Carrier 68-Lead Plastic Leaded Chip Carrier Commercial! Industrial 68-Pin Windowed Ceramic Pin Grid Array 68-Pin Windowed Leaded Chip Carrier Military 68-Pin Windowed Ceramic Pin Grid Array 68-Pin Windowed Leaded Chip Carrier 68-Lead Plastic Leaded Chip Carrier Commercial! Industrial 68-Pin Windowed Ceramic Pin Grid Array 68-Pin Windowed Leaded Chip Carrier Military 68-Pin Windowed Ceramic Pin Grid Array 68-Pin Windowed Leaded Chip Carrier 68-Lead Plastic Leaded Chip Carrier Commercial! Industrial 68-Pin Windowed Ceramic Pin Grid Array 68-Pin Windowed Leaded Chip Carrier 68-Lead Plastic Leaded Chip Carrier 68-Pin Windowed Ceramic Pin Grid Array 68-Pin Windowed Leaded Chip Carrier Military 68-Pin Windowed Ceramic Pin Grid Array 68-Pin Windowed Leaded Chip Carrier Commercial! Industrial 68-Lead Plastic Leaded Chip Carrier 68-Pin Windowed Ceramic Pin Grid Array 68-Pin Windowed Leaded Chip Carrier 68-Lead Plastic Leaded Chip Carrier 68-Pin Windowed Ceramic Pin Grid Array 68-Pin Windowed Leaded Chip Carrier Military 68-Pin Windowed Ceramic Pin Grid Array 68-Pin Windowed Leaded Chip Carrier 68-Pin Windowed Ceramic Pin Grid Array 68-Pin Windowed Leaded Chip Carrier Commercial! Industrial 68-Lead Plastic Leaded Chip Carrier 68-Pin Windowed Ceramic Pin Grid Array 68-Pin Windowed Leaded Chip Carrier 68-Lead Plastic Leaded Chip Carrier 68-Pin Windowed Ceramic Pin Grid Array 68-Pin Windowed Leaded Chip Carrier 68-Pin Windowed Ceramic Pin Grid Array 68-Pin Windowed Leaded Chip Carrier 68-Pin Windowed Ceramic Pin Grid Array 3-40 Military CY7C342 CY7C342B MILITARY SPECIFICATIONS Group A Subgroup Testing Switching Characteristics DC Characteristics Parameter Subgroups Parameter Subgroups VOH 1,2,3 1,2,3 1,2,3 1,2,3 1,2,3 1,2,3 1,2,3 tpDl 7, 8, 9, 10, 11 tpD2 7, 8, 9, 10, 11 VOL VIH VIL IIX Ioz ICCl tPD3 7, 8, 9, 10, 11 tCOl tS1 7, 8, 9, 10, 11 7,8,9, 10, 11 tS2 7, 8, 9, 10, 11 tH 7, 8, 9, 10, 11 7, 8, 9, 10, 11 tWH tWL tRO Document #: 38-00119-F 3-41 7, 8, 9, 10, 11 7,8,9, 10, 11 tpo 7, 8, 9, 10, 11 tACO 1 7, 8, 9, 10, 11 tAS1 7, 8, 9, 10, 11 tAH tAWH 7, 8, 9, 10, 11 7, 8, 9, 10, 11 tAWL 7, 8, 9, 10, 11 CY7C343 CY7C343B 64-Macrocell MAX® EPLD Features Functional Description • 64 MAX macrocells in 4 LABs • 8 dedicated inputs, 24 bidirectional I/O pins • Programmable interconnect array • O.8-micron double-metal CMOS EPROM technology (CY7C343) • Advanced O.65-micron CMOS technology to increase performance (CY7C343B) • Available in 44-pin HLCC, PLCC • Lowest power MAX device The CY7C343/CY7C343B is a high-performance, high-density erasable programmable logic device, available in 44-pin PLCC and HLCC packages. The CY7C343/CY7C343B contains 64 highly flexible macrocells and 128 expander product terms. These resources are divided into four Logic Array Blocks (LABs) connected through the Programmable Inter- connect Array (PIA). There are 8 input pins, one that doubles as a clock pin when needed. The CY7C343/CY7C343B also has 28 I/O pins, each connected to a macrocell (6 for LABs A and C, and 8 for LABs B and D). The remaining 36 macrocells are used for embedded logic. The CY7C343/CY7C343B is excellent for a wide range of both synchronous and asynchronous applications. Logic Block Diagram 91NPUT 11 INPUT 121NPUT 131NPUT == =- ~I-- 1/0 PINS [;1-;;;;;1-- =1-CI-- - Jj. MACROCELL 1 MACROCELL2 MACROCELL3 MACROCELL4 MACROCELL5 MACROCELL6 MACROCELLS 7 - 16 LABB 15 ..... t-16 17 ~t-18 19 20 22 23 ~t-- 1/0 PINS ~~ :=~ CI- <::J r---, LAB A "'-1-- r-- ~ {~ MACROCELL 17 MACROCELL 18 MACROCELL 19 MACROCELL 20 MACROCELL 21 MACROCELL 22 MACROCELL 23 MACROCELL 24 MACRO CELLS 25 - 32 -i1 DEDICATED INPUTS SYSTEM CLOCK /L- .1\. '\( ¥ f-..J\ p I A iJl-- IV- ~ I---r\ 1;1.- t----l\ r----v I'r- (3,14,25,36) (10, 21,32,43) INPUT 33 INPUT 31 LABD ~ ~ ~ r--o 44 42 41 40 39 38 37 1/0 PINS MACROCELLS 57 - 64 -y 'f INPUT/CLK 34 .:::: ...... MACROCELL 56 MACROCELL 55 MACROCELL 54 MACROCELL 53 MACROCELL 52 MACROCELL 51 MACROCELL 50 MACROCELL 49 .A r----v INPUT 35 .::::::; 7- LABC Ar.. I--!;;; lAC I--~ :ce38 :LL37 6 lAC LL 35 :LL 34 lAC MACROCELL 33 I--C I--~ I--L... I--C 30 29 28 27 26 24 I/O PINS MACROCELLS 39 - 4B C>- Vee C>- GND C343-1 Selection Guide Maximum Access Time (ns) Maximum Operating Commercial Current (rnA) Military Maximum Standby Current (rnA) 7C343B-12 12 135 135 7C343-20 7C343B-20 20 135 7C343-25 7C343B-25 25 135 7C343-30 7C343B-30 30 135 7C343-35 7C343B-35 35 135 225 7C343B-15 15 225 225 225 225 Industrial 225 225 225 225 225 225 Commercial 125 125 125 125 125 125 200 200 200 200 200 200 200 200 200 200 Military Industrial 200 Shaded area con tams advanced mformatlOn. MAX and MAX +PLUS are registered trademarks of Altera Corporation. Wmp2 and Wmp3 are trademarks of Cypress Semiconductor 3-42 · CY7C343 CY7C343B -'f~ 'CYPRESS HLCC Top View Pin Configuration ~ ~ ~ 0 Jl~ ~ ~ z (!) ~ ~ ~ I/O I/O I/O I/O INPUT I/O GND Vee 0 INPUT INPUT INPUT Vee INPUT INPUT/ClK INPUT GND 7C343 I/O INPUT I/O I/O I/O I/O C343-2 Maximum Ratings DC Input Voltagd 1] . . . . . . . . . . . . . . . . . . . . . -3.0V to +7.0V DC Program Voltage ............................. 13.0V Static Discharge Voltage ........................ > 1l00V (per MIL-STD-883, method 3015) (Above which the useful life may be impaired. For user guidelines, not tested.) Storage Temperature .................. - 65 0 C to + 150 0 C Ambient Temperature with Power Applied .......................... O°C to +70°C Maximum Junction Temperature (Under Bias) ................................... 150°C Supply Voltage to Ground Potential ........ -2.0V to +7.0V Maximum Power Dissipation ................... 2500 m W DC Vec or GND Current . . . . . . . . . . . . . . . . . . . . . .. 500 rnA DC Output Current, per Pin ........ " -25 rnA to +25 rnA Operating Range Range Commercial Industrial Military Ambient Temperature O°C to +70°C Vee 5V±5% -40°C to +85°C 5V ±10% -55°C to + 125°C (Case) 5V ±1O% Electrical Characteristics Over the Operating Rangd 2] Parameter Description VOH Output HIGH Voltage VOL VIH Output LOW Voltage Input HIGH Level VIL Input LOW Level IIX Input Current Ioz Output Leakage Current los Test Conditions = Min., IOH = -4.0 rnA Vee = Min., IOL = 8 rnA Min. V V fAA - 40 +10 +40 - 30 - 90 I-lA rnA -10 = 0.5V[3,4] V Vee+ O.3 0.8 - OJ GND~ VIN~ Vee Unit 0.45 2.2 Vo = Vee or GND Output Short Circuit Current Vee = Max., VOUT Max. 2.4 Vee V Power Supply Current (Standby) VI = Vee or GND (No Load) Commercial 125 rnA Military/Industrial 200 rnA Iec2 Power Supply Currentl5] VI = Vee or GND (No Load) f = 1.0 MHz[4, 5] Commercial Military/Industrial 135 225 rnA tR Recommended Input Rise Time 100 ns tp Recommended Input Fall Time 100 ns IcC! Notes: 1. Minimum DC input is -0.3y. During transitions, the inputs may un- dershoot to -2.0V for periods less than 20 ns. 2. Typical values are for TA = 25 C and Vee = 5Y. 3. Not more than one output should be tested at a time. Duration of the short circuit should not be more than one second. VOUT = 0.5V has 0 rnA been chosen to avoid test problems caused by tester ground degradation. 4. Guaranteed but not 100% tested. 5. Measured with device programmed as a 16-bit counter in each LAB. This parameter is tested periodically by sampling production material. 3-43 CY7C343 CY7C343B Capacitance[6] Parameter Description Test Conditions Input Capacitance Output Capacitance CIN COUT VIN = 2V, f = 1.0 MHz VOUT = 2.0V, f = 1.0 MHz Notes: 6. Part (a) in AC Test Load and Waveforms is used for all parameters except tER and txz, which is used for part (b) in AC Test Load and Wave- Max. Unit 10 10 pF pF forms. All external timing parameters are measured referenced to external pins of the device. AC Test Loads and Waveforms[6] R1464Q R1464Q 5V OUTP~~31 31 I _ OUTPUT 50 pF R2 5pF 250Q INCLUDING JIG AND SCOPE - - GND R2 250Q INCLUDING _ JIG AND SCOPE (a) Equivalent to: I ALL INPUT PULSES 3.0V - - - -~,....----~ _ C343-3 C343-4 (b) THEVENIN EQUIVALENT (commercial/military) 163Q OUTPUT OO--_"N"'-"'---oOO 1.75V Programmable Interconnect Array The Programmable Interconnect Array (PIA) solves interconnect limitations by routing only the signals needed by each logic array block. The inputs to the PIA are the outputs of every macrocell within the device and the I/O pin feedback of every pin on the device. Unlike masked or programmable gate arrays, which induce variable delay dependent on routing, the PIA has a fixed delay. This eliminates undesired skews among logic signals, which may cause glitches in internal or external logic. The fixed delay, regardless of programmable interconnect array configuration, simplifies design by ensuring that internal signal skews or races are avoided. The result is simpler design implementation, often in a single pass, without the multiple internal logic placement and routing iterations required for a programmable gate array to achieve design timing objectives. Timing Delays Timing delays within the CY7C343/CY7C343B may be easily determined using Wafp2 1M, Wafp3 or MAX + PLUS® software or by the model shown in Figure 1. The CY7C343/CY7C343B has fixed internal delays, allowing the user to determine the worst case timing delays for any design. For complete timing information, the Wa1p3 or MAX + PLUS software provides a timing simulator. 1M CY7C343/CY7C343B contains circuitry to protect device pins from high static voltages or electricfields; however, normal precautions should be taken to avoid applying any voltage higher than maximum rated voltages. For proper operation, input and output pins must be constrained to the range GND ~ (VIN or VOUT)'::;' Vee. Unused inputs must always be tied to an appropriate logic level (either Vee or GND). Each set of V ee and GND pins must be connected together directly at the device. Power supply decoupling capacitors of at least 0.2 !!F must be connected between Vee and GND. For the most effective decoupling, each Vee pin should be separately decoupled to GND, directly at the device. Decoupling capacitors should have good frequency response, such as monolithic ceramic types. Timing Considerations Unless otherwise stated, propagation delays do not include expanders. When using expanders, add the maximum expander delay tEXP to the overall delay. Similarly, there is an additional tPIA delay for an input from an I/O pin when compared to a signal from a straight input pin. , Design Recommendations Operation of the devices described herein with conditions above those listed under'~bsolute Maximum Ratings" may cause permanent damage to the device. This is a stress rating only and functional operation of the device at these or any other conditions above those indicated in the operational sections of this data sheet is not implied. Exposure to absolute maximum ratings conditions for extended periods of time may affect device reliability.The When calculating synchronous frequencies, use tSI if all inputs are on the input pins. tS2 should be used if data is applied at an I/O pin. If tS2 is greater than teQ1, 1/tS2 becomes the limiting frequency in the, data path mode unless l/(tWH + tWL) is less than 1/tS2. When expander logic is used in the data path, add the appropriate maximum expander delay, tEXP to tSI. Determine which of 1/(tWH + twd, 1!teol. or 1/(tEXP + tSl) is the lowest frequency. The lowest of these frequencies is the maximum data path frequency for the synchronous configuration. When calculating external asynchronous frequencies, use tASI if all inputs are on dedicated input pins. If any data is applied to an I/O pin, tAS2 must be used as the required set-up time. If (tAS2 + tAR) 3-44 • CY7C343 CY7C343B -'i~ 'CYPRESS is greater than tACOl, l/(tAS2 + tAH) becomes the limiting frequency in the data path mode unless l/(tAWH + tAH) is less than 1/(tAS2 + tAH). The parameter tAOH indicates the system compatibility of this device when driving subsequent registered logic with a positive hold time and using the same clock as the CY7C343/CY7C343B. When expander logic is used in the data path, add the appropriate maximum expander delay, tEXP to tASl. Determine which of l/(tAWH + tAwd, l/tACOl, or l/(tEXP + tASl) is the lowest frequency. The lowest of these frequencies is the maximum data path frequency for the asynchronous configuration. In general, if tAOH is greater than the minimum required input hold time of the subsequent logic (synchronous or asynchronous), then the devices are guaranteed to function properly under worstcase environmental and supply voltage conditions, provided the clock signal source is the same. This also applies if.expander logic is used in the clock signal path of the driving device, but not for the driven device. This is due to the expander logic in the second device's clock signal path adding an additional delay (tEXP), causing the output data from the preceding device to change prior to the arrival of the clock signal at the following device's register. The parameter tOH indicates the system compatibility of this device when driving other synchronous logic with positive input hold times, which is controlled by the same synchronous clock. If tOH is greater than the minimum required input hold time of the subsequent synchronous logic, then the devices are guaranteed to function properly with a common synchronous clock under worst-case environmental and supply voltage conditions. EJ ~ OJ EXPANDER "] DELAY ~ tEXP r--!I~ CONTROL LOGIC ARRAY . ftc DELAY tCLR ~ IN ~ ~ r---tI"] INPUT DELAY tiN ~ ~ , REGISTER tLAC I LOGIC ARRAY DELAY I I tLAD tpRE tRSU .. OUTPUT DELAY .. tRD tCOMB tLATCH ~ tRH r-r-t tOD txz tzx INP UTI OUT PUT ~~ SYSTEM CLOCK DELAY tiCS ~ PIA DELAY tplA I ---tI ~I ---+t I I/O DELAY tlO ~ J tiC I I I .. I CLOCK DELAY FEEDBACK DELAY tFD L r L r Figure 1. CY7C343/CY7C343B Internal Timing Model 3-45 C343-5 CY7C343 CY7C343B External Synchronous Switching Characteristics[6] Over Operating Range 7C343B-12 Parameter tpDl tpD2 tPD3 tpD4 tEA Description Dedicated InRut to Combinatorial Output Delay[7] I/O In~ut to Combinatorial Output Delay] Min. Max. Com'l/Ind 7C343B-15 Min. 12 Mil Com'l/Ind 20 tC01 tC02 tSI tS2 tH tWH 25 32 32 30 25 ns 30 I/O Input to Combinatorial Outfut Delay with Expander Delay[4, 10 Com'VInd 26 33 42 Input to Output Enable Delay[4, 7] Com'l/Ind 15 20 20 ns ns Mil 12 Input to Output Disable Delay[4, 7] Synchronous Clock Input to Output Delay Synchronous Clock to Local Feedback to Combinatorial Outputl 4, 11] Dedicated Input or Feedback Set-Up Time to Synchronous Clock Input[7] Com'l/Ind Mil Com'l/Ind Com'l/Ind Mil Com'l/Ind Com'l/Ind Asynchronous Clear to Registered Output Delay[7] Asynchronous Preset Recovery Timel 4, 7] 20 20 6 15 7 12 ns 7 17 17 12 25 25 ns 14 10 8 16 0 4.5 24 24 0 0 0 ns 0 6 ns 4.5 5 5 6 6 ns 12 15 20 ns 15 20 15 20 15 20 12 12 Mil tpo Asynchronous Preset to Registered Output Delay[7] tCF Synchronous Clock to Local Feedback Com'l/Ind Inputl4, 13] Mil External Maximum Frequency (l/(tCOl + tS1»[4, 14] ns 20 20 6 12 Mil External S~nchronous Clock Period (l/fMAX3) 'I] 12 12 ns 10 5 5 Mil Com'l/Ind Com'l/Ind Com'l/Ind Mil Com'l/Ind Mil Com'l/Ind Mil Shaded areas contain advanced information. 3-46 ns 15 Mil Com'l/Ind ns 12 Mil Mil I/O Input Set-UzY Time to Synchronous Com'l/Ind Clock Inputl7, 1 Mil Input Hold Time from Synchronous Com'VInd Clock Input[7] Mil Synchronous Clock Input HIGH Time Com'l/Ind Asynchronous Clear Recovery Timel4, 7] 42 15 Asynchronous Clear Width[4, 7] fMAX1 20 23 33 tRW tp ns 15 23 Synchronous Clock Input LOW Time tpR Unit 20 18 tWL tRO Max. Dedicated Input to Combinatorial Output Delay with Expander Delay[9] Mil Com'l/Ind Mil tRR Min. 15 Max. Mil Com'l/Ind Mil Mil tER 7C343-20 7C343B-20 71.4 20 20 20 3 i 20 15 I 9 15 15 15 12 ns ns ns 15 15 20 20 ns 3 3 ns 3 3 10 12 10 12 58.8 41.6 58.8 41.6 ns MHz CY7C343 CY7C343B ---.,~ ; CYPRESS External Synchronous Switching Characteristics[6] Over Operating Range (continued) 7C343B-12 Parameter Description fMAX2 Internal Local Feedback Maximum Frequenw., lesser of (1/(tSl + tCF» or (1/tCQ1)[ ,15] fMAX3 Data Path Maximum Frequency, least of 1/(tWL + t)"W), 1/(tSl + tH), or (1/tcm)[4, fMAX4 tOH tpw Min. 90.9 Com'l/Ind Mil 111.1 Com'l/Ind Mil Maximum Register Toggle Frequency (1/(twL + tWH»[4, 17] Com'l/Ind Output Data Stable Time from Synchronous Clock Inpud4, 18] Com'l/Ind Asynchronous Preset Widthl4, 7] Com'l/Ind 111.1 Mil 3 Max. 7C343B-1S Min. 76.9 12 Mil 66.6 Max. Unit MHz 66.6 100 83.3 100 83.3 100 100 3 83.3 83.3 3 3 MHz 20 20 ns 15 15 Shaded areas contain advanced mformatlOn. Notes: 7. This specification is a measure of the delay from input signal applied to a dedicated input (44-pin PLee input pin 9, 11, 12, 13, 31, 33, 34, or 35) to combinatorial output on any output pin. This delay assumes no expander terms are used to form the logic function. When this note is applied to any parameter specification it indicates that the signal (data, asynchronous clock, asynchronous clear, and/or asynchronous preset) is applied to a dedicated input only and no signal path (either clock or data) employs expander logic. If an input signal is applied to an I/O pin, an additional delay equal to tPIA should be added to the comparable delay for a dedicated input. If expanders are used, add the maximum eApander delay tEXP to the overall delay for the comparable delay without expanders. 8. This specification is a measure of the delay from input signal applied to an 110 macrocell pin to any output. This delay assumes no expander terms are used to form the logic function. 9. This specification is a measure ofthe delay from an input signal applied to a dedicated input (44-pin PLee input pin 9,11,12,13,31,33,34, or 35) to combinatorial output on any output pin. This delay assumes expander terms are used to form the logic function and includes the worst -case expander logic delay for one pass through the expander logic. This parameter is tested periodically by sampling production material. 10. This specification is a measure ofthe delay from an input signal applied to an 1(0 macrocell pin to any output. This delay assumes expander terms are used to form the logic function and includes the worst-case expander logic delay for one pass through the expander logic. This parameter is tested periodically by sampling production material. 11. This specification is a measure of the delay from synchronous register clock to internal feedback of the register output signal to the input of the LAB logic array and then to a combinatorial output. This delay assumes no expanders are used, register is synchronously clocked and all feedback is within the same LAB. This parameter is tested periodically by sampling production material. Min. 76.9 3 Mil Max. 7C343-20 7C343B-20 MHz ns 12. If data is applied to an I/O input for capture by a macrocell register, the I/O pin set-up time minimums should be observed. These parameters are tS2 for synchronous operation and tAS2 for asynchronous operation. 13. This specification is a measure of the delay associated with the internal register feedback path. This is the delay from synchronous clock to LAB logic array input. This delay plus the register set-up time, tSl, is the minimum internal period for an internal synchronous state machine configuration. This delay is for feedback within the same LAB. This parameter is tested periodically by samplingproduction material. 14. This specification indicates the guaranteed maximum frequency, in synchronous mode, at which a state machine configuration with external feedback can operate. It is assumed that all data inputs and feedback signals are applied to dedicated inputs. 15. This specification indicates the guaranteed maximum frequency at which a state machine, with internal-only feedback, can operate. If register output states must also control external points, this frequency can still be observed as long as this frequency is less than litem. All feedback is assumed to be local, originating within the same LAB. 16. This frequency indicates the maximum frequency at which the device may operate in data path mode. This delay assumes data input signals are applied to dedicated inputs and no expander logic is used. 17. This specification indicates the guaranteed maximum frequency, in synchronous mode, at which an individual output or buried register can be cycled. 18. This parameter indicates the minimum time after a synchronous register clock input that the previous register output data is maintained on the output pin. 3-47 I CY7C343 CY7C343B External Synchronous Switching Characteristics[6] Over Operating Range (continued) 7C343-25 7C343B-25 Parameter Description Min. Max. 7C343-30 7C343B-30 Min. Max. 7C343-35 7C343B-35 Max. Unit Dedicated Ingut to Combinatorial Output Delay[7] Com'l/Ind 25 30 35 ns Mil 25 30 35 tpD2 I/O In~ut to Combinatorial Output Delay] Com'l/Ind Mil 39 39 53 53 ns tPD3 Dedicated Input to Combinatorial Output Delay with Expander Delay[9] Com'l/Ind Mil 37 37 44 44 44 55 55 ns 44 I/O Input to Combinatorial Output Delay with Expander Delay[4, 10 Com'l/Ind 51 58 73 ns Mil 51 58 73 tEA Input to Output Enable Delay[4,7] Com'l/Ind Mil 25 25 30 30 35 35 ns tER Input to Output Disable Delay[4, 7] Com'l/Ind Mil 25 30 30 35 ns 25 Synchronous Clock Input to Output Delay Com'l/Ind Mil 14 16 20 ns 14 Synchronous Clock to Local Feedback to Combinatorial Outpud4, 11] Com'l/Ind 30 16 35 20 42 ns Mil 30 35 42 Dedicated Input or Feedback Set-Up Time to Synchronous Clock Inpud 7] Com'l/Ind 15 15 30 20 20 25 25 ns Mil 35 42 ns 30 35 42 0 0 0 ns 0 0 10 0 12.5 ns 10 12.5 10 10 12.5 12.5 ns 8 ns tpD1 tpD4 teo1 teo2 tSl tS2 tH tWH I/O Input Set-uzY Time to Synchronous Com'l/Ind Clock Inpud7, 1 Mil Input Hold Time from Synchronous Com'l/Ind Clock Input[7] Mil Synchronous Clock Input HIGH Time Com'l/Ind Mil tWL tRW tRR tRO tpR Synchronous Clock Input LOW Time Asynchronous Clear Widthl4, 7] Asynchronous Clear Recovery Timd4, 7] Asynchronous Clear to Registered Output Delay[7] Com'l/Ind Mil Com'l/Ind 25 30 35 25 30 35 Com'l/Ind 25 25 30 35 30 35 Mil Com'l/Ind Mil Mil Asynchronous Preset to Registered Output Delay[7] tCF Synchronous Clock to Local Feedback Inpud4,13] tp fMAXl 8 8 35 Mil Asynchronous Preset Recovery Time[4, 7] Com'l/Ind tpo 8 Min. ns 25 30 35 25 30 35 25 30 35 25 30 35 ns ns Com'l/Ind Mil 25 25 30 30 35 35 ns Com'l/Ind 3 3 5 ns Mil 3 3 5 External S~nchronous Clock Period (l/fMAX3) ~] Com'l/Ind 16 20 20 External Maximum Frequency (l/(tCOl Com'l/Ind 16 34 25 25 ns Mil 27 22.2 MHz Mil 34 27 22.2 + tS1»[4, 14] 3-48 · CY7C343 CY7C343B -'f~ 'CYPRESS External Synchronous Switching Characteristics[6] Over Operating Range (continued) 7C343-25 7C343B-25 Parameter fMAX2 fMAX3 fMAX4 tOH tpw Description Min. Max. 7C343-30 7C343B-30 Min. Max. 7C343-35 7C343B-35 Min. Max. Unit MHz Internal Local Feedback Maximum Frequen?, lesser of (1I(tS1 + tcp)) or (1It COl)[ ,15] Com'l/Ind 55 43 33 Mil 55 43 33 Data Path Maximum Frequency, least of 1/(tWL + trw)' 1/(tSl + tH), or (l/tCOl)[ 4, Com'l/Ind 62.5 50 40 Mil 62.5 50 40 Maximum Register Toggle Frequency (1I(tWL + tWH))[4, 17] Com'l/Ind 62.5 50 40 Mil 62.5 50 40 Output Data Stable Time from Synchronous Clock Input[4, 18] Com'l/Ind 3 3 3 Mil 3 3 3 Asynchronous Preset Width[4, 7] Com'l/Ind 25 30 35 Mil 25 30 35 7C343B-15 7C343-20 7C343B-20 MHz MHz ns ns External Asynchronous Switching Characteristics Over Operating Rangd 6] 7C343B-12 Parameter tACO 1 tAC02 tASl tAS2 tAH tAWH tAWL tACP tAP fMAXAl Description Asynchronous Clock Input to Output Delay[7] Asynchronous Clock Input to Local Feedback to Combinatorial Outputl l9 ] fMAXA3 Data Path Maximum Fre~uency in Asynchronous Modd 4, 24 fMAXA4 Maximum Asynchronous Register Toggle Frequency' 1I(tAWH + tAwd[4, 25] Output Data Stable Time from Asynchronous Clock Input[4, 26] Min. 20 Min. Com'l/Ind Mil Com'l/Ind Mil Com'l/Ind 3 4 8 6 66.6 71.4 71.4 71.4 Mil Com'l/Ind Mil Shaded areas contain advanced mformation. 3-49 12 II Unit ns ns ns ns ns ns ns 13 13 11 11 9 14 4 4 15 15 5 5 9 9 7 7 3.5 3.5 13.5 13.5 4.5 4.5 8.5 8.5 6.5 6.5 12 Max. 20 20 32 32 25 Com'l/Ind Mil I/O Input Set-Up Time to Com'l/Ind Asynchronous Clock Input[7] Mil Input Hold Time from Asynchronous Com'l/Ind Clock Input[7] Mil Asynchronous Clock Input HIGH Com'l/Ind Time [7] Mil Asynchronous Clock Input LOW Com'l/Ind Timd 7,20] Mil Asynchronous Clock to Local Feedback Com'l/Ind Inputl 4,21] Mil External Asynchronous Clock Period Com'l/Ind (1IfMAXA4)['1] Mil External Maximum Frequency in Com'l/Ind Asynchronous Mode Mil 1I(tACOl + tAS1)[4,22] Maximum Internal Asynchronous Frequency[4,23] Max. 12 Mil Dedicated Input or Feedback Set-U-8 Time to Asynchronous Clock Inputl fMAXA2 tAOH Min. Com'l/Ind Mil Com'l/Ind Max. 15 15 25 15 15 54.0 16 16 41.6 54.0 41.6 66.6 66.6 66.6 66.6 66.6 58.8 58.8 50 50 62.5 66.6 62.5 12 12 15 15 ns ns MHz MHz MHz MHz ns CY7C343 CY7C343B '\-?crPRESS External Asynchronous Switching Characteristics Over Operating Rangd6] (continued) 7C343-25 7C343B-25 Parameter tACO 1 tAC02 tAS! tAS2 tAH tAWH tAWL tACF tAP fMAXA1 fMAXA2 fMAXA3 fMAXA4 tAOH Description Asynchronous Clock Input to Output Delay[7] Asynchronous Clock Input to Local Feedback to Combinatorial Outpud 19] Min. Com'l!Ind Mil Com'l!Ind Mil Max. 25 25 40 7C343-30 7C343B-30 Min. 40 Com'l!Ind Mil I/O Input Set-Up Time to Com'l!Ind Asynchronous Clock Input[7] Mil Input Hold Time from Asynchronous Com'l!Ind Clock Input[7] Mil Asynchronous Clock Input HIGH Com'l!Ind Time [7] Mil Asynchronous Clock Input LOW Com'l!Ind Time[7,20] Mil Asynchronous Clock to Local Feedback Com'l!Ind Input[4,21] Mil Com'l!Ind External A[Wchronous Clock Period (l!fMAXA4) II] Mil External Maximum Frequency in Com'l!Ind Asynchronous Mode Mil 1!(tAC01 + tAS1)[4,22] 5 5 20 20 6 6 Maximum Internal Asynchronous Frequency[4,23] Dedicated Input or Feedback Set-U-R Time to Asynchronous Clock Inpud Min. 46 11 11 6 6 25 25 8 8 14 14 9 9 11 11 15 15 Com'l!Ind Mil Com'l!Ind Data Path Maximum Fre~uency in Asynchronous Modd 4, 24 Mil Maximum Asynchronous Re~ter 1bggle Com'l!Ind Frequency l/(tAWH + tAwd 4,25] Mil Output Data Stable Time from Com'l!Ind Asynchronous Clock Input[4, 26] Mil Max. 30 30 46 7C343-35 7C343B-35 Max. 35 35 55 Unit ns ns 55 ns 8 8 30 30 10 10 16 16 14 14 ns ns ns ns 22 22 18 18 20 20 33 25 25 27 30 30 23 33 27 23 50 50 40 40 50 50 15 15 40 40 33 33 40 40 15 15 33 33 28 28 33 33 15 15 ns ns MHz MHz MHz MHz ns Notes: 19. This specification is a measure of the delay from an asynchronous register clock input to internal feedback of the register output signal to the input of the LAB logic array and then to a combinatorial output. This delay assumes no expanders are used in the logic of combinatorial output or the asynchronous clock input. The clock signal is applied to a dedicated input pin and all feedback is within a single LAB. This parameter is tested periodically by sampling production material. 20. This parameter is measured with a positive-edge triggered clock at the register. For negative edge triggering, the tAWH and tAWLparameters must be swapped. If a given input is used to clock multiple registers with both positive and negative polarity, tAWH should be used for both tAWH and tAWL· 21. This specification is a measure of the delay associated with the internal register feedback path for an asynchronous clock to LAB logic array input. This delay plus the asynchronous register set-up time, tASb is the minimum internal period for an internal asynchronously clocked state machine configuration. This delay is for feedback within the same LAB, assumes no expander logic in the clock path, and assumes that the clock input signal is applied to a dedicated input pin. This parameter is tested periodically by sampling production material. 22. This specification indicates the guaranteed maximum frequency at which an asynchronously clocked state machine configuration with external feedback can operate. It is assumed that all data inputs, clock inputs, and feedback signals are applied to dedicated inputs, and that no expander logic is employed in the clock signal path or data path. 3-50 • CY7C343 CY7C343B ~'f~ 'CYPRESS Internal Switching Characteristics Over Operating Rangd 6] 7C343B-12 Parameter Description tIN Dedicated Input Pad and Buffer Delay tlO I/O Input Pad and Buffer Delay tEXP Expander Array Delay tLAD Logic Array Data Delay tLAC Logic Array Control Delay tOD Output Buffer and Pad Delay tzx Output Buffer Enable Delay[27] txz tRSU tRH tLATCH tRD tCOMB tCH tCL trc trcs tpD tpRE tCLR tpcw tpCR tPIA Min. Com'l/Ind Mil Com'l/Ind Mil Com'l/Ind Mil Com'l/Ind Mil Com'l/Ind Mil Com'l/Ind Mil Com'l/Ind Mil Output Buffer Disable Delay Com'l/Ind Mil Register Set-Up Time Relative to Clock Com'l/Ind Signal at Register Mil Register Hold Time Relative to Clock Com'l/Ind Signal at Register Mil Flow-Through Latch Delay Com'l/Ind Mil Register Delay Com'l/Ind Mil Transparent Mode Delay[28] Com'l/Ind Mil Clock HIGH Time Com'l/Ind Mil Clock LOW Time Com'l/Ind Mil Asynchronous Clock Logic Delay Com'l/Ind Mil Synchronous Clock Delay Com'l/Ind Mil Feedback Delay Com'l/Ind Mil Asynchronous Register Preset Time Com'l/Ind Mil Asynchronous Register Clear Time Com'l/Ind Mil Asynchronous Preset and Clear Pulse Com'l/lnd Width Mil Asynchronous Preset and Clear Com'l/Ind Recovery Time Mil Programmable Interconnect Array Com'l/Ind Delay Time Mil Shaded areas contain advanced information. 3-51 7C343B-15 Max. 2.5 Min. 2.5 6 6 Max. 3 3 3 3 8 8 8 8 7C343-20 7C343B-20 Min. Max. Unit 4 4 4 4 ns 10 10 ns 10 10 ns ns 5 6 6 8 8 ns 3 3 3 4 4 ns 5 6 6 6 6 8 8 8 8 ns 5 2 3 3 3.5 3.5 3 1 4 4 4 4 1 1 1 1 3 4 4 3 4 4 7 7 0.5 0.5 0.5 1 1 3 3 3 3 3 -3 2 3 3 3 3 2 8 -- ns 6 6 6 6 5 1 ns 2 2 1 1 2 2 1 1 1 1 10 ns ns ns ns ns 12 12 2 2 1 1 ns 4 4 4 4 ns 4 4 4 4 10 ns ns ns ns ns ns 12 12 ns CY7C343 CY7C343B Internal Switching Characteristics Over Operating Rangel 6) (continued) 7C343-25 7C343B-25 Parameter Description Dedicated Input Pad and Buffer Delay tIN tlO I/O Input Pad and Buffer Delay tEXP Expander Array Delay tLAD Logic Array Data Delay tLAC Logic Array Control Delay taD Output Buffer and Pad Delay tzx Output Buffer Enable Delay[27] txz tRSU tRH tLATCH tRD tCOMB tCH tCL tIC tICS tpD tpRE tCLR tpcw tpCR tPIA Min. Com'l/Ind Mil Com'l/Ind Mil Com'l/Ind Mil Com'l/Ind Mil Com'l/Ind Mil Com'l/Ind Mil Com'l/Ind Mil Output Buffer Disable Delay Com'l/Ind Mil Register Set-Up Time Relative to Clock Com'l/Ind Signal at Register Mil Register Hold Time Relative to Clock Com'l/Ind Signal at Register Mil Flow-Through Latch Delay Com'l/Ind Mil Register Delay Com'l/Ind Mil Transparent Mode Delay[28] Com'l/Ind Mil Com'l/Ind Clock HIGH Time Mil Clock LOW Time Com'l/Ind Mil Asynchronous Clock Logic Delay Com'l/Ind Mil Synchronous Clock Delay Com'l/Ind Mil Feedback Delay Com'l/Ind Mil Asynchronous Register Preset Time Com'l/Ind Mil Asynchronous Register Clear Time Com'l/Ind Mil Asynchronous Preset and Clear Pulse Com'l/Ind Width Mil Asynchronous Preset and Clear Com'l/Ind Recovery Time Mil Programmable Interconnect Array Com'l/Ind Delay Time Mil 3-52 Max. 5 5 5 5 12 12 12 12 10 10 5 5 7C343-30 7C343B-30 Min. 8 8 8 8 3 3 1 1 3 3 14 14 2 2 1 1 5 5 5 5 14 14 Unit ns 13 13 13 13 ns ns ns ns ns ns ns ns ns 4 4 2 2 4 4 2 2 ns 4 4 4 4 ns 12.5 12.5 12.5 12.5 ns 7 7 7 7 16 16 ns ns 18 18 3 3 2 2 7 7 7 7 16 16 2 2 1 1 6 6 6 6 6 6 6 6 5 5 5 5 Max. 9 9 7 7 20 20 16 16 13 13 6 6 10 10 12 12 10 10 10 10 8 8 8 8 Min. 11 11 11 11 10 10 10 10 6 6 6 6 Max. 7 7 5 5 14 14 14 14 12 12 5 5 7C343-35 7C343B-35 ns ns ns ns ns ns ns 20 20 ns CY7C343 CY7C343B Switching Waveforms External Combinatorial DEDICATED I/OINPUT/ INPUT _ _ _ _ __ ~ leD' COMBINATORIAL OUTPUT COMBINATORIAL OR REGISTERED OUTPUT [7[~PD2[8[ 7Q<_---------- ~ ___________________ tE_R_[7j_3-----------------_ HIGH-IMPEDANCE THREE-STATE ~tEA[7]4 HIGIf~~~~~S\1¥~ - - - - - - - - - - - - External Synchronous DEDICATED INPUTS OR REGISTERED FEEDBACK[7j 4 VALID OUTPUT ---------------------- C343-6 _ _-:-_ _ tS1 II SYNCHRONOUS CLOCK __________J ASYNCHRONOUS t CLEAR/PRESET[7j _______O_H____+-+-__+-_ REGISTERED OUTPUTS ---------f-~ 1.... ... . . - - - - - - - tC02 -------i~~ COMBINATORIAL OUTPUT FROM REGISTERED FEEDBACK[11] - - - - - - - - - - Notes: 23. This specification indicates the guaranteed maximum frequency at which an asynchronously clocked state machine with internal-only feedback can operate. This parameter is determined by the lesser of (l/tACF + tASl)) or (l/(tAWH +tAWL))· If register output states must also control external points, this frequency can still be observed as long as this frequency is less than l/tACOl. 24. This frequency is the maximum frequency at which the device may operate in the asynchronously clocked data path mode. This specification is determined by the least of l!(tAWH + tAWL), l!(tASl + tAH) or l/tACOl. It assumes data and clock input signals are applied to dedicated input pins and no expander logic is used. ----C343-7 25. This specification indicates the guaranteed maximum frequency at which an individual output or buried register can be cycled in asynchronously clocked mode by a clock signal applied to an external dedicated input pin. 26. This parameter indicates the minimum time that the previous register output data is maintained on the output after an asynchronous register clock input. 27. Sample tested only for an output change of 500 mV. 28. This specification guarantees the maximum combinatorial delay associated with the macrocell register bypass when the macrocell is configured for combinatorial operation. 3-53 CY7C343 CY7C343B =1 Switching Waveforms (continued) External Asynchronous DEDICATED INPUTS OR REGISTERED FEEDBACK[7j _ _-:-_ _ tAS1 ASYNCHRONOUS CLOCK INPUT _ _ _ _ _- / ASYNCHRONOUS tAOH CLEAR/PRESET[7j _ _ _ _ _ _~+--~./ ASYNCHRONOUS REGISTERED OUTPUTS _ _ _ _ _ _--I~~ -----"""'* 11 1------ 1 ... tAC02 COMBINATORIAL OUTPUT FROM ASYNCH. REGISTERED FEEDBACK _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ ___ _ _ _ _ __ C343-10 Internal Combinato ria . I '--- * INPUT PIN tlN- I+--tplA - tlO I/O PIN I+- ~tEXP- )( EXPANDER ARRAY DELAY - tLAC, tLAD - ~? LOGIC ARRAY INPUT /~ )~ LOGIC ARRAY OUTPUT Internal AsynChr~:0-=tJUS1:= tAWH CLOCK PIN CLOCK INTO LOGIC ARRAY CLOCK FROM LOGIC ARRAY ~~ tiN tAWL } r-- \.. tlc;k -----------/1 ~ tRSU tF --I{ ,------ 11/ LOGIC ARRAY _ _ _ _ _ _ REGISTER OUTPUT TO LOCAL LAB LOGIC ARRAY It C343-8 ~----------------- / *% t ,,'------' """.____ ' \.. tRH / ,,------ ....J. _ _ _ _ _ ___ tRD,tLATCH -+- tFD - - tCLR,tPRE -+- tFD *= - _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _~_t_P_IA=* REGISTER OUTPUT TO ANOTHER LAB ......- - - - - - - - - - - - - - - - - '------------- C343-9 3-54 CY7C343 CY7C343B ~~ ~"'..Elb ~'CYPRESS Switching Waveforms (continued) Internal Synchronous 1: }=~H~ICLj; ~ I,: SYSTEM CLOCK PIN _ _. . J SYSTEM CLOCK AT REGISTER _ ~ tRSU L8~1A:~~~ tRH =1. "-"'_ _ _ _ ...J/ "-\-..----"----- ~---------------------- ------------------------------- C343-12 Output Mode CLOCK FROM LOGIC ARRAY ------'i II DATA FROM LOGIC ARRAY OUTPUT PIN C343-11 3-55 ~ CY7C343 CY7C343B =-rcYPRESS Ordering Information .Package Speed (ns) Ordering Code Name Package lYpe Operating Range 12 CY7C343B-12HC/HI H67 44-Pin Windowed Leaded Chip Carrier Commercial/Industrial CY7C343B-12JC/JI J67 44-Lead Plastic Leaded Chip Carrier CY7C343B-15HC/HI H67 44-Pin Windowed Leaded Chip Carrier CY7C343B-15JC/JI J67 44-Lead Plastic Leaded Chip Carrier CY7C343B-15HMB H67 44-Pin Windowed Leaded Chip Carrier Military CY7C343-20HC/HI H67 44-Pin Windowed Leaded Chip Carrier Commercial/Industrial CY7C343-20JCIJI J67 44-Lead Plastic Leaded Chip Carrier CY7C343B-'20HC/HI H67 44-Pin Windowed Leaded Chip Carrier CY7C343B-2OJC/JI J67 44-Lead Plastic Leaded Chip Carrier CY7C343B- 20HMB H67 44-Pin Windowed Leaded Chip Carrier Military CY7C343-25HC/HI H67 44-Pin Windowed Leaded Chip Carrier Commercial/Industrial 15 20 25 30 35 CY7C343-25JCIJI J67 44-Lead Plastic Leaded Chip Carrier CY7C343B-25HC/HI H67 44-Pin Windowed Leaded Chip Carrier CY7C343B-25JC/JI J67 44-Lead Plastic Leaded Chip Carrier CY7C343 - 25HMB H67 44-Pin Windowed Leaded Chip Carrier CY7C343B-25HMB H67 44-Pin Windowed Leaded Chip Carrier CY7C343 - 30HC/HI H67 44-Pin Windowed Leaded Chip Carrier CY7C343-3OJCIJI J67 44-Lead Plastic Leaded Chip Carrier CY7C343B- 30HC/HI H67 44-Pin Windowed Leaded Chip Carrier CY7C343B-3OJCIJI J67 44-Lead Plastic Leaded Chip Carrier CY7C343 - 30HMB H67 44-Pin Windowed Leaded Chip Carrier CY7C343B-30HMB H67 44-Pin Windowed Leaded Chip Carrier CY7C343-35HC/HI H67 44-Pin Windowed Leaded Chip Carrier CY7C343 - 35JCIJI J67 44-Lead Plastic Leaded Chip Carrier CY7C343B-35HC/HI H67 44-Pin Windowed Leaded Chip Carrier CY7C343B-35JCIJI Jo; 44-Lead Plastic Leaded Chip Carrier CY7C343 - 35HMB H67 44-Pin Windowed Leaded Chip Carrier CY7C343B- 35HMB H67 44-Pin Windowed Leaded Chip Carrier Shaded area contains advanced information, 3-56 Commercial!Industrial Military Commercial/Industrial Military Commercial/Industrial Military CY7C343 CY7C343B P" -.;~ ::::w' CYPRESS MILITARY SPECIFICATIONS Group A Subgroup Testing DC Characteristics Parameter Subgroups VOH VOL VIH VrL Irx Ioz ICCl 1,2,3 1,2,3 1,2,3 1,2,3 1,2,3 1,2,3 1,2,3 Switching Characteristics Parameter Subgroups tpDl 7,8,9, 10, 11 tPD2 7, 8, 9, 10, 11 7, 8, 9, 10, 11 tPD3 ts 7, 8, 9, 10, 11 7, 8, 9, 10, 11 tH 7, 8, 9, 10, 11 tACOl 7, 8, 9, 10, 11 tAC02 tAS 7, 8, 9, 10, 11 7, 8, 9, 10, 11 tAH 7, 8, 9, 10, 11 tCOl II Document #: 38-00128-F 3-57 CY7C344 CY7C344B 32-Macrocell MAX® EPLD Features Functional Description • High-performance, high-density replacement for TTL, 74HC, and custom logic • 32 macrocells, 64 expander product terms in one LAB • 8 dedicated inputs, 161/0 pins • O.8-micron double-metal CMOS EPROM technology (CY7C344) • Advanced O.65-micron CMOS technology to increase performance (CY7C344B) • 2S-pin 300-mil DIP, cerDIP or 28-pin HLCC, PLCC package Available in a 28-pin 300-mil DIP or windowed I-leaded ceramic chip carrier (HLCq, the CY7C344/CY7C344B represents the densest EPLD of this size. Eight dedicated inputs and 16 bidirectional I/O pins communicate to one logic array block. In the CY7C344 LAB there are 32 macrocells and 64 expanderproductterms. When an I/O macrocell is used as an input, two expanders are used to create an input path. Even if all of the I/O pins are driven by macrocell registers, there are still 16 "buried" registers available. All inputs, macrocells, Logic Block Diagram[l] 15(22) INPUT 0 - - - - - - - 1 INPUT INPUT 28(7) INPUT 0 - - - - - - - 1 The speed and density of the CY7C344/CY7C344B makes it a natural for all types of applications. With just this one device, the designer can implement complex state machines, registered logic, and combinatorial "glue" logic, without using multiple chips. This architectural flexibility allows the CY7C344/CY7C344B to replace multichip TTL solutions, whether they are synchronous, asynchronous, combinatorial, or all three. Pin Configurations INPUT 0------1 0------1 15(23) 27(6) and I/O pins are interconnected within the LAB. INPUT/CLK HLCC Top View 1(8) ggg9~gg 2(9) INPUT 13(20) INPUT 14(21) MACROCELL2 I/O 3(10) MACROCELL4 I/O 4(11) MACROCELL6 I/O 5(12) MACROCELL8 I/O 6(13) MACROCELL 10 I/O 9(16) MACROCELL 12 I/O 10(17) MACROCELL 14 I/O 11(18) MACROCELL 16 I/O 12(19) MACROCELL 18 I/O 17(24) MACROCELL 20 I/O 18(25) MACROCELL 22 I/O 19(26) MACROCELL 24 I/O 20(27) MACROCELL 26 I/O 23(2) MACROCELL 28 I/O 24(3) MACROCELL 30 I/O 25(4) MACROCELL 32 I/O 26(5) I/O I/O INPUT INPUT INPUT INPUT I/O I/O INPUT INPUT INPUT INPUT/CLK I/O I/O gg~§ggg C344-2 CerDlP Top View INPUT 28 27 26 25 24 23 22 I/O I/O Vee GND I/O I/O INPUT INPUT I/O I/O I/O I/O Vee GND I/O 9 I/O I/O I/O INPUT INPUT I/O I/O INPUT INPUT C344-3 Selection Guide 7C344B...;tO 7C344B-12 Maximum Access Time (ns) 10 Maximum operatintr Current rnA) 200 12 200 Commercial i> Maximum Standby Current (rnA) .... 7C344-15 7C344B-15 15 200 7C344-20 7C344B-20 20 200 7C344-25 7C344B-25 25 200 220 220 220 150 150 170 170 170 Military 220 Industrial 220 220 150 150 220 150 170 170 170 Commercial 150 Military Industrial , 170 170 7C344-35 35 200 220 Shaded area contams advanced mformatlOn. Note: 1. Numbers in parenthesis refer to J-leaded packages. MAX and MAX +PLUS are registered trademarks of Altera Corponition. Wa1p2 and Wafp3 are trademarks of Cypress Semiconductor. 3-58 --. CY7C344 CY7C344B -.;~ 'CYPRESS Maximum Ratings (Above which the useful life may be impaired. For user guidelines, not tested.) Storage Temperature .................. -65°C to +150°C Ambient Temperature with Power Applied .......................... O°C to +70°C Maximum Junction Temperature (Under Bias) ...... 150°C Supply Voltage to Ground Potential ........ -2.0V to +7.0V Maximum Power Dissipation ................... 1500 mW DC Vee or GND Current ...................... , 500 rnA Static Discharge Voltage (per MIL-STD-883, Method 3015) ............. , >2001V DC Output Current, per Pin .......... -25 rnA to +25 rnA DC Input Voltagd 2] ..................... -3.0V to +7.0V DC Program Voltage ........................... + 13.0V Operating Range Ambient Temperature O°C to +70°C -40°C to +85°C Vee 5V±5% 5V ±1O% -55°C to + 125°C (Case) 5V ±10% Range Commercial Industrial Military Electrical Characteristics Over the Operating Rangd 3] Parameter VOH VOL VIR VIL IIX loz los Ieel Description Output HIGH Voltage Output LOW Voltage Input HIGH Level Input LOW Level Input Current Output Leakage Current Output Short Circuit Current Power Supply Current (Standby) Test Conditions Vee Vee Min. 2.4 = Min., IOH = - 4.0 rnA = Min., IOL = 8 rnA 2.2 -0.3 -10 -40 -30 GND::;; VIN::;; Vee Va = Vee or GND Vee = Max., VOUT = 0.5Vl4,5j VI = Vee or GND (No Load) VI = Vee or GND (No Load) f = 1.0 MHz[4, 6] lee2 Power Supply Current tR tp Recommended Input Rise Time Recommended Input Fall Time Max. Unit V V V V 0.45 Vee+ 0.3 0.8 +10 +40 -90 flA. f.tA rnA 150 170 200 220 100 100 Commercial Military/Industrial Commercial Military/Industrial rnA rnA rnA rnA ns ns Capacitance Parameter Description Input Capacitance Output Capacitance CIN COUT 1 Test Conditions VIN = 2\1, f = 1.0 MHz VOUT = 2.0\1, f = 1.0 MHz Max. 10 Unit pF 10 pF AC Test Loads and Waveforms[7] OUTP~~: Ii' 50 pF R2 250Q INCLUDING _ JIG AND SCOPE Equivalent to: V R1464Q OUTP~T:n 5pF ALL INPUT PULSES 3.0V ----::J..r-::-::~----s... I GND R2 250Q _ (a) (b) C344-4 C344-5 THEVENIN EQUIVALENT (commercial/military) 163Q OUTPUT~1.75V C344-6 Notes: 2. Minimum DC input is -0.3Y. During transitions, the inputs may undershoot to - 2.0V for periods less than 20 ns. 3. Typical values are for TA == 25°C and Vee == 5Y. 4. Guaranteed by design but not 100% tested. 5. Not more than one output should be tested at a time. Duration of the short circuit should not be more than one second. VOUT == 0.5V has been chosen to avoid test problems caused by tester ground degradation. 6. 7. 3-59 Measured with device programmed as a 16-bit counter. Part (a) in AC Test Load and Waveforms is used for all parameters except tER and txz, which is used for part (b) in AC Test Load and Waveforms. All external timing parameters are measured referenced to external pins of the device. II ~ CY7C344 CY7C344B =-rcYPRESS Timing Delays Timing delays within the CY7C344/CY7C344B may be easily determined using Wafp2"', Warp3'" or MAX + PLUS® software or by the model shown in Figure 1. The CY7C344/CY7C344B has fixed internal delays, allowing the user to determine the worst case timing delays for any design. For complete timing information, the Waf]!3 or MAX +PLUS software provides a timing simulator. Design Recommendations Operation of the devices described herein with conditions above those listed under ''Absolute Maximum Ratings" may cause permanent damage to the device. This is a stress rating only and functional operation of the device at these or any other conditions above those indicated in the operational sections of this datasheet is not implied. Exposure to absolute maximum ratings conditions for extended periods of time may affect device reliability. The CY7C344/CY7C344B contains circuitry to protect device pins from high-static voltages or electric fields; however, normal precautions should be taken to avoid applying any voltage higher than maximum rated voltages. For proper operation, input and output pins must be constrained to the range GND.s (VINOrVOUT).s Vcc. Unused inputs must always be tied to an appropriate logic level (either V cc or GND). Each set of V cc and GND pins must be connected together directly at the device. Power supply decoupling capacitors of at least 0.2 IlF must be connected between V cc and GND. For the most effective decoupling, each V cc pin should be separately decoupled. Timing Considerations Unless otherwise stated, propagation delays do not include expanders. When using expanders, add the maximum expander delay tEXP to the overall delay. When calculating synchronous frequencies, use tSI if all inputs are on the input pins. tS2 should be used if data is applied at an I/O pin. If tS2 is greater than tCOl, 1/tS2 becomes the limiting frequency in the data-path mode unless 1/(twH + tWL) is less than 1/tS2. When expander logic is used in the data path, add the appropriate maximum expander delay, tEXP to tSI. Determine which of 1/(tWH + twd, 1/tcOl, or 1/(tExP + tSl) is the lowest frequency. The lowest of these frequencies is the maximum data-path frequency for the synchronous configuration. When calculating external asynchronous frequencies, use tASI if all inputs are on dedicated input pins. If any data is applied to an I/O pin, tAS2 must be used as the required set-up time. If (tAS2 + tAR) is greater than tACOl, 1/(tAS2 + tAR) becomes the limiting frequency in the data-path mode unless 1/(tAwH + tAwd is less than 1/(tAS2 + tAR)· When expander logic is used in the data path, add the appropriate maximum expander delay, tEXP to tASl. Determine which of 1/(tAwH + tAwd, l/tACOl, or l/(tEXP + tASl) is the lowest frequency. The lowest ofthese frequencies is the maximum data-path frequency for the asynchronous configuration. The parameter tOH indicates the system compatibility of this device when driving other synchronous logic with positive input hold times, which is controlled by the same synchronous clock. If tOH is greater than the minimum required input hold time of the subsequent synchronous logic, then the devices are guaranteed to function properly with a common synchronous clock under worst -case environmental and supply voltage conditions. The parameter tAOH indicates the system compatibility of this device when driving subsequent registered logic with a positive hold time and using the same clock as the CY7C344/CY7C344B. In general, if tAOH is greater than the minimum required input hold time of the subsequent logic (synchronous or asynchronous), then·the devices are guaranteed to function properly under worstcase environmental and supply voltage conditions, provided the clock signal source is the same. This also applies if expander logic is used in the clock signal path of the driving device, but not for the driven device. This is due to the expander logic in the second device's clock signal path adding an additional delay (tEXP), causing the output data from the preceding device to change prior to the arrival of the clock signal at the following device's register. REGISTER LOGIC ARRAY 1---+--+-+-1--.. CONTROL DELAY ~;.;:;..;..~ INPUT tLAC INPUT DELAY tiN OUTPUT DELAY OUTPUT taD LOGIC ARRAY DELAY txz tzx tLAD SYSTEM CLOCK DELAY tiCS I/O CLOCK DELAY tiC C344-7 Figure 1. CY7C344/CY7C344B Timing Model 3-60 CY7C344 CY7C344B External Synchronous Switching Characteristics[7] Over Operating Range Parameter tpDl Description 7C344B-IO 7C344B-12 Min. Min. Dedicated Inputto Combinatorial Output Delay[8] Com'l/Ind Max. 10 Mil tpD2 I/O Input to Combinatorial Output Delay[9] Com'l/Ind 10 Mil tPD3 Dedicated Input to Combinatorial Output Delay Com'l/lnd with Expander Delay[lO] Mil 16 tpD4 I/O Input to Combinatorial Output Delay with Expander Delay[4, 11] 16 tEA Input to Output Enable Delay[4] Com'l/Ind Mil Com'l/lnd 10 Mil tER Input to Output Disable Delay[4] Com'l/Ind 10 Mil tcO! Synchronous Clock Input to Output Delay Com'l/Ind 5 Mil tC02 ts tH Synchronous Clock to Local Feedback to Combinatorial Output[4, 12] Dedicated Input or Feedback Set-Up Time to Synchronous Clock Input 10 Com'l/lnd Mil Com'l/Ind 6 Mil Input Hold Time from Synchronous Clock Input[7] Com'l/Ind 0 Mil tWH Synchronous Clock Input HIGH Timd 4] Com'l/lnd 4 Mil tWL Synchronous Clock Input LOW Timd 4] Com'l/lnd 4 Mil tRW Asynchronous Clear Width[4] Com'l/lnd 10 Mil tRR Asynchronous Clear Recovery Timd4] Com'l/lnd 10 Mil tRO Asynchronous Clear to Registered Output Delay[4] Com'l/Ind Asynchronous Preset Width[4] Com'l/lnd 10 tpR Asynchronous Preset Recovery Time[4] Com'l/Ind 10 Mil tpo AsynchronousPreset to Registered Ou tputDelay[ 4] Com'l/Ind 10 Mil tCF Synchronous Clock to Local Feedback Input[4, 13] Com'l/lnd Mil Shaded area con tams advanced mformatlOn. 3-61 3 15 12 15 12 15 12 15 18 30 18 30 18 30 18 30 12 20 12 20 12 20 12 20 6 10 6 10 12 20 12 20 10 8 10 0 0 0 0 4.5 6 4.5 6 4.5 6 4.5 6 12 20 12 20 12 20 12 20 10 Mil 12 8 Mil tpw Max. 7C344-1S 7C344B-lS Min. Max. 12 20 12 20 ns ns ns ns ns ns ns ns 15 20 ns ns 15 20 ns ns 12 12 ns ns 12 12 Unit ns ns ns 12 15 12 15 3 3 4 4 ns ns II CY7C344 CY7C344B External Synchronous Switching Characteristics[7] Over Operating Range (continued) Parameter tp Description External Synchronous Clock Period (l/fMAX3)[4] Com'l/Ind 7C344B-I0 7C344B-12 7C344-15 7C344B-15 Min. Min. Min. 8 Mil fMAXI External Maximum Frequency(l/(tcOl + ts) )[4,14] Com'l/Ind 90.9 Mil fMAX2 fMAX3 fMAX4 tOH Maximum Freguency with Internal Only Feedback Com'l/Ind (lI(tCF + tS))[4,15] Mil 111.1 Data Path Maximum Frequen0'i least of 1/(tWL tWH), lI(ts + tH), or (lItCOl)[4, 6] + Com'l/Ind 125.0 Maximum Register Toggle Frequency l/(tWL tWH)[4,17] + Com'l/Ind 125.0 Mil 3 Max. Max. Unit ns 9 13 9 13 71.4 71.4 50.0 90.9 71.4 71.4 MHz MHz 90.9 Mil Output Data Stable Time from Synchronous Clock Com'l/Ind Inputl 4,18] Mil Max. MHz 50.0 111.1 83.3 111.1 83.3 111.1 83.3 111.1 83.3 3 3 3 3 MHz ns Shaded area contams advanced mformatlon. Notes: 8. This parameter is the delay from an input signal applied to a dedicated input pin to a combinatorial output on any output pin. This delay assumes no expander terms are used to form the logic function. 9. This parameter is the delay associated with an input signal applied to an I/O macrocell pin to any output. This delay assumes no expander terms are used to form the logic function. 10. This parameter is the delay associated with an input signal applied to a dedicated input pin to combinatorial output on any output pin. This delay assumes expander terms are used to form the logic function and includes the worst-case expander logic delay for one pass through the expander logic. This parameter is tested periodically by sampling production material. 11. This parameter is the delay associated with an input signal applied to an I/O macrocell pin to any output pin. This delay assumes expander terms are used to form the logic function and includes the worst-case expander logic delay for one pass through the expander logic. This parameter is tested periodically by sampling production material. 12. This specification is a measure of the delay from synchronous register clock input to internal feedback ofthe register output signal to a combinatorial output for which the registered output signal is used as an input. This parameter assumes no expanders are used in the logic ofthe combinatorial output and the register is synchronously clocked. This parameter is tested periodically by sampling production material. 13. This specification is a measure of the delay associated with the internal register feedback path. This delay plus the register set-up time, ts, is the minimum internal period for an internal state machine configuration. This parameter is tested periodically by sampling production material. 14. This specification indicates the guaranteed maximum frequency at which a state machine configuration with external only feedback can operate. 15. This specification indicates the guaranteed maximum frequency at which a state machine with internal-only feedback can operate. If register output states must also control external points, this frequency can still be observed as long as it is less than lIteOl. This specification assumes no expander logic is used. This parameter is tested periodically by sampling production material. 16. This frequency indicates the maximum frequency at which the device may operate in data-path mode (dedicated input pin to output pin). This assumes that no expander logic is used. 17. This specification indicates the guaranteed maximum frequency in synchronous mode, at which an individual output or buried register can be cycled by a clock signal applied to either a dedicated input pin or an I/O pin. 18. This parameter indicates the minimum time after a synchronous register clock input that the previous register output data is maintained on the output pin. 3-62 CY7C344 CY7C344B External Synchronous Switching Characteristics[7] Over Operating Range (continued) Parameter tpDl 7C344-20 7C344B-20 Min. Max. Description Dedicated Input to Combinatorial OutputDelay[8] Com'l/Ind Mil tpD2 tPD3 tpD4 tEA tER tcO! tC02 ts tH I/O Input to Combinatorial Output Delay[9] tWL tRW tRR tRO tpR tpo tCF 20 25 20 25 20 25 Dedicated Input to Combinatorial Output Delay Com'l/Ind with Expander Delay!lO] Mil 30 40 30 40 I/O Input to Combinatorial Output Delay with Ex- Com'l/Ind pander Delay!4, 11] Mil 30 40 30 40 Input to Output Enable Delay[4] Com'l/Ind 20 25 Mil 20 25 Input to Output Disable Delay[4] Synchronous Clock Input to Output Delay Com'l/Ind 20 25 Mil 20 25 Com'l/Ind 12 15 Mil 12 15 Synchronous Clock to LocalFeedbackto Combina- Com'l/Ind torial Output!4, 12] Mil 22 29 22 29 Dedicated Input or Feedback Set-UpTime to Syn- Com'l/Ind chronous Clock Input Mil 12 15 12 15 Input Hold Time from Synchronous Clock Input[7] Com'l/Ind 0 0 0 0 Synchronous Clock Input HIGH Timd4] Synchronous Clock Input LOW Timd 4] Asynchronous Clear Width[4] Asynchronous Clear Recovery Timd 4] Com'l/Ind 7 8 Mil 7 8 Com'l/Ind 7 8 Mil 7 8 Com'l/Ind 20 25 Mil 20 25 Com'l/Ind 20 25 Mil 20 25 Asynchronous Clearto Registered Output Delay[4] Com'l/Ind Asynchronous Preset Width[4] Asynchronous Preset Recovery Timel4] Asynchronous Preset to Registered Output Delay!4] 25 25 Com'l/Ind 20 25 Mil 20 25 External Synchronous Clock Period (lIfMAX3)[4] ns ns 35 ns 35 ns 35 7 4 7 3-63 - ns 4 16 ns 37 35 25 16 ns 20 ns 25 14 ns 35 35 20 14 ns 35 10 20 Mil ns 55 ns Mil Com'l/Ind ns 55 10 Com'l/Ind Synchronous Clock to Local Feedback Inputl4, 13] Com'l/Ind ns 35 ns 25 20 ns 35 0 25 20 Unit ns 20 Com'l/Ind 7C344-35 Min. Max. 21 20 Mil Mil tp 25 Com'l/Ind Mil tpw 20 Mil Mil tWH 7C344-25 7C344B-25 Min. Max. ns 35 ns 13 ns 20 II CY7C344 CY7C344B External Synchronous Switching Characteristics[7) Over Operating Range (continued) Parameter Description + tS»[4, 14] Com'l/Ind fMAXl External Maximum Frequency(1/( tCOl fMAX2 Maximum Fre2uency with Internal Only Feedback (l/(tcF + ts»[ , 15) fMAX3 fMAX4 tOH 7C344-25 7C344B-25 Min. Max. 41.6 33.3 Mil 41.6 33.3 Com'l/Ind 62.5 45.4 Mil 62.5 45.4 Data Path Maximum Frequency, least of l/(tWL tWH), 1/(ts + tH), or (l/tcOl)[4, 16) + Com'l/Ind Maximum Register Toggle Frequency 1/(tWL tWH)[4,17) + Com'l/lnd Output Data Stable Time from Synchronous Clock Inputl 4, 18) 7C344-20 7C344B-20 Min. Max. Mil 71.4 62.5 71.4 62.5 71.4 62.5 71.4 62.5 Com'l/Ind 3 3 Mil 3 3 Mil 7C344-35 Max. Min. Unit MHz 24.3 MHz 29.4 MHz 47.6 MHz 50.0 ns3 External Asynchronous Switching Characteristics Over Operating Rangel7) 7C344B-IO Parameter tACOl Description Asynchronous Clock Input to Output Delay Min. Com'VInd Max. 7C344B-12 7C344-15 7C344B-15 Min. Min. 10 Mil tAC02 tAS tAH Asynchronous Clock In&ut to Local Feedback to Com'l/Ind Combinatorial Output[ ) Mil 15 Dedicated Input or Feedback Set-Up Time to Com'l/lnd Asynchronous Clock Input Mil 4 Input Hold Time from Asynchronous Clock Input Com'l/Ind 3 Mil tAWH Asynchronous Clock Input HIGH Timd 4, 20] Com'l/Ind 4 Mil tAWL Asynchronous Clock Input LOW Timd 4] Com'l/Ind 5 Mil tACF Asynchronous Clock to Local Feedback Inpud 4,21] Com'l/Ind External Asynchronous Clock Period (l/fMAX4)[4] Com'l/Ind 12 fMAXAI fMAXA2 fMAXA3 fMAXA4 tAOH External Maximum Fregrency in Asynchronous Com'l/lnd Mode l/(tACOI + tAS)[4, ) Mil 71.4 Maximum Internal Asynchronous Frequency Com'l/lnd 1/(tACF + tAS) or l/(tAWH + tAwd[4,23) Mil 90.9 Data Path Maximum Frequency in Asynchronous Com'l/Ind Model 4,24) Mil 100.0 Maximum ACtnchronous Re~ister Toggle Frequency 1/ tAWH + tAwd ,25) 111.1 Output Data Stable Time from Asynchronous Clock Inputl 4, 26) Com'l/Ind Mil Com'l/lnd Mil Shaded area con tams advanced mformatlon. 3-64 12 ns 12 15 18 30 18 30 7 4 7 4 7 4 7 5 6 5 6 6 7 6 7 7 Mil Unit 15 4 Mil tAP Max. 12 Max. ns ns ns 18 9 18 13 12.5 13 62.5 45.4 62.5 45.4 76.9 40 76.9 40 83.3 66.6 83.3 66.6 90.9 76.9 90.9 76.9 12 ns 9 12.5 15 15 ns ns ns MHz MHz MHz MHz ns • CY7C344 CY7C344B -'f~ 'CYPRESS External Asynchronous Switching Characteristics Over Operating Rangel 7] (continued) Parameter tACO 1 tAC02 tAS tAH tAWH tAWL tACF tAP fMAXAl fMAXA2 fMAXA3 fMAXA4 tAOH Description Asynchronous Clock Input to Output Delay Asynchronous Clock Inrsut to Local Feedback to Combinatorial Output[ 9] Dedicated Input or Feedback Set-Up Time to Asynchronous Clock Input Asynchronous Clock Input LOW Time[4] Asynchronous Clock to Local Feedback Input[4,21] External Asynchronous Clock Period (l!fMAX4)[4] Min. Max. Max. 20 25 20 25 Com'l/Ind 30 37 Mil 30 37 Com'l/Ind 9 12 Mil 9 12 9 12 Mil 9 12 Com'l/Ind 7 9 Mil 7 9 Com'l/Ind 9 11 Mil 9 11 ns ns 15 21 20 20 34.4 27 34.4 27 37 30.3 37 30.3 50 40 50 40 Com'l/Ind 62.5 50 Mil 62.5 50 Data Path Maximum Frequency in Asynchronous Com'l/Ind Model 4,24] Mil Output Data Stable Time from Asynchronous Com'l/Ind Clock Inputl4, 26] Mil 15 15 15 15 ns 49 15 21 16 ns 35 ns 18 16 Unit 17.5 18 Mil Max. ns Mil Com'l/Ind 7C344-35 Min. 15 Com'l/Ind Maximum Internal Asynchronous Frequency Com'l/Ind l!(tACF + tAS) or l!(tAWH + tAwd[4, 23] Mil Notes: 19. This specification is a measure ofthe delay from an asynchronous register clock input to internal feedback of the registered output signal to a combinatorial output for which the registered output signal is used as an input. Assumes no expanders are used in logic of combinatorial output or the asynchronous clock input. This parameter is tested periodically by sampling production material. 20. This parameteris measured with a positive-edge-triggered clock at the register. For negative edge triggering, the tAWH and tAWL parameters must be swapped. If a given input is used to clock multiple registers with both positive and negative polarity, tAWH should be used for both tAWH and tAWL. 21. This specification is a measure of the delay associated with the internal register feedback path for an asynchronously clocked register. This delay plus the asynchronous register set-up time, tAS, is the minimum internal period for an asynchronously clocked state machine configuration. This delay assumes no expander logic in the asynchronous clock path. This parameter is tested periodically by sampling production material. 22. This parameter indicates the guaranteed maximum frequency at which an asynchronously clocked state machine configuration with external feedback can operate. It is assumed that no expander logic is employed in the clock signal path or data path. Min. Com'l/Ind External Maximum Fre~ency in Asynchronous Com'l/Ind Mode l!(tACOl + tAS)[4, ] Mil Maximum Asynchronous Refister Toggle Frequency l!(tAWH + tAwd[4, 25 7C344-25 7C344B-25 Mil Input Hold Time from Asynchronous Clock Input Com'l/Ind Asynchronous Oock Input HIGH Timd 4, 20] 7C344-20 7C344B-20 ns 27 ns 30 MHz 20 MHz 23.8 MHz 28.5 MHz 33.3 ns 15 23. This specification indicates the guaranteed maximum frequency at which an asynchronously clocked state machine with internal-only feedback can operate. If register output states must also control external points, this frequency can still be observed as long as this frequency is less than 1/tACOl' This specification assumes no expander logic is utilized. This parameter is tested periodically by sampling production material. 24. This specification indicates the guaranteed maximum frequency at which an individual output or buried register can be cycled in asynchronously clocked mode. This frequency is least of l!(tAWH + tAWL) , l!(tAS + tAH), or l!tACOl. It also indicates the maximum frequency at which the device may operate in the asynchronously clocked data-path mode. Assumes no expander logic is used. 25. This specification indicates the guaranteed maximum frequency at which an individual output or buried register can be cycled in asynchronously clocked mode by a clock signal applied to an external dedicated input or an I/O pin. 26. This parameter indicates the minimum time that the previous register output data is maintained on the output pin after an asynchronous register clock input to an external dedicated input or I/O pin. 3-65 II CY7C344 CY7C344B 'iYpical Internal Switching Characteristics Over Operating Rangel 7] tIN Description Dedicated Input Pad and Buffer Delay tlO I/O Input Pad and Buffer Delay tEXP Expander Array Delay tLAD Logic Array Data Delay tLAC Logic Array Control Delay tOD Output Buffer and Pad Delay tzx Output Buffer Enable Delay[27] Parameter txz tRSU tRH tLATCH tRD 7C344B-IO Min. Max. 7C344B-12 Min. Max. Com'l/Ind Mil Com'l/Ind Mil Com'l/Ind Mil Com'l/Ind Mil Com'l/Ind Mil Com'l/Ind Mil 2 Com'l/Ind Mil Output Buffer Disable Delay Com'l/Ind Mil Register Set-Up Time Relative to Clock Signal at Com'l/Ind Register Mil Register Hold Time Relative to Clock Signal at Com'l/Ind Register Mil Flow-Through Latch Delay Com'l/Ind Mil Register Delay Com'l/Ind Mil 5 5 5 5 5 tCOMB Transparent Mode Delay[28] tCH Clock HIGH Time tCL Clock LOW Time tIC Asynchronous Clock Logic Delay tICS Synchronous Clock Delay tFD Feedback Delay tpRE Asynchronous Register Preset Time tCLR Asynchronous Register Clear Time tpcw Asynchronous Preset and Clear Pulse Width tpCR Asynchronous Preset and Clear Recovery Time Com'l/Ind Mil Com'l/Ind Mil Com'l/Ind Mil Com'l/Ind Mil Com'l/Ind Mil Com'l/Ind Mil Com'l/Ind Mil Com'l/Ind Mil Com'l/Ind Mil Com'l/Ind Mil Shaded area contains advanced information. Note: 27. Sample tested only for an output change of 500 mV. 28. This specification guarantees the maximum combinatorial delay asso- ciated with the macrocell register bypass when the macrocell is configured for combinatorial operation. 3-66 2.5 2.5 2.5 2.5 6 6 6 6 5 5 3 3 2 6 5 5 3 7C344-15 7C344B-15 Min. Max. 4 4 4 4 8 8 7 7 5 2 2 2 5 5 4 0.5 0.5 0.5 3 5 1 2 2 2 2 3 3 3 3 ns ns ns 4 4 ns 7 7 7 7 ns ns ns ns 0.5 0.5 0.5 0.5 1 1 1 1 ns 0.5 0.5 1 1 ns 6 6 6 6 6 6 0.5 0.5 1 1 3 3 3 3 0.5 ns 5 5 5 5 7 7 4 4 4 4 3 Unit ns ns ns 7 7 1 1 1 1 5 5 5 5 5 5 5 5 ns ns ns ns ns ns ns ns CY7C344 CY7C344B ...-rcYPRESS lYPical Internal Switching Characteristics Over Operating Range[7] (continued) Parameter tIN Description Dedicated Input Pad and Buffer Delay tlO I/O Input Pad and Buffer Delay tExP tLAD tLAC tOD tzx txz tRSU tRH tLATCH tRD tCOMB tCH tCL tICS 7C344-25 7C344B-25 Min. Min. tpRE tCLR tpcw tpCR Max. 7C344-35 Min. Max. 7 Com'VInd Mil 7 11 Com'l/Ind Mil 10 10 15 15 20 Com'l/Ind Mil 9 9 10 Logic Array Control Delay Com'VInd 7 7 7 7 7 Output Buffer and Pad Delay Mil Com'l/Ind Mil 5 5 5 5 8 8 8 8 8 11 11 11 12 11 12 Expander Array Delay Logic Array Data Delay Output Buffer Enable Delay[27] Output Buffer Disable Delay Com'l/Ind Mil Com'l/Ind Mil Register Set-Up Time Relative to Clock Signal at Com'l/Ind Register Mil Register Hold Time Relative to Clock Signal at Com'l/Ind Register Mil Flow-Through Latch Delay Register Delay Com'l/Ind Clock HIGH Time Mil Com'l/Ind Mil Asynchronous Clock Logic Delay Synchronous Clock Delay 12 12 Com'l/Ind Feedback Delay Asynchronous Register Preset Time Asynchronous Register Clear Time Asynchronous Preset and Clear Pulse Width Asynchronous Preset and Clear Recovery Time 3 3 8 8 8 8 9 9 Com'l/Ind 6 9 Mil 6 9 3-67 ns 5 ns 6 6 Com'l/Ind Mil ns 1 9 Com'l/Ind Mil 7 7 7 7 ns 5 ns Com'l/Ind Mil 5 5 5 5 ns 9 10 10 3 3 1 1 Com'l/Ind Mil ns ns 8 8 2 2 1 1 Com'l/Ind Mil Com'l/Ind ns 15 1 1 7 7 ns ns 3 3 1 1 7 ns 11 11 1 1 1 1 7 ns 10 9 Com'l/Ind Mil ns 7 8 8 Unit ns 11 7 9 Com'l/Ind Mil Transparent Mode Delay[28] Clock LOW Time 5 5 Mil tpD Max. 5 5 5 5 Com'l/Ind Mil Mil tIC 7C344-20 7C34413-20 ns 12 ns 5 ns 1 ns 12 ns 12 ns 9 ns 9 CY7C344 CY7C344B Switching Waveforms External Combinatorial DEDICATED INPUT/ I/O INPUT _ _ _ _ __ COMBINATORIAL OUTPUT ____________~~--------------~ COMBINATORIAL OR HIGH IMPEDANCE REGISTERED OUTPUT ____________1-_______..-.1>------------------ THRE-E-STATE VALID OUTPUT C344-8 External Synchronous DEDICATED INPUTS OR REGISTERED FEEDBACK ~ _ _-:--_ ts SYNCHRONOUS CLOCK-----------J ASYNCHRONOUS tOH CLEAR/PRESET _________+_+--+_~ REGISTERED OUTPUTS ___________+~ 1 .....1------- tC02 -----~~ COMBINATORIAL OUTPUT FROM REGISTERED FEEDBACK(12) - - - - - - - External Asynchronous DEDICATED INPUTS OR REGISTERED FEEDBACK ""'-----C344-9 =1 _ _-:--_ _ tAS ASYNCHRONOUS CLOCK INPUT _________ tAOH ASYNCHRONOUS CLEAR/PRESET _ _ _ _ _ _ _ _ +-+-_+-" ASYNCHRONOUS REGISTERED OUTPUTS _ _ _ _ _--+--+~v OOM~MOO&OO~~ffi~ ________________________________~------------ASYNCH. REGISTERED FEEDBACK(19) C344-10 3-68 CY7C344 CY7C344B =-";;i~ ~'CYPRESS Switching Waveforms (continued) Internal Combinatorial '-- tlN- * INPUT PIN tlO I/O PIN ~tPIA- I+- tEXP- jK EXPANDER ARRAY DELAY I--LOGIC ARRAY INPUT tLAC, tLAD - jK )K LOGIC ARRAY OUTPUT tl:= C344 11 Internal Asynchronous tR CLOCK PIN tiN 'AWH 1V 14-- CLOCK INTO LOGIC ARRAY C "i--- ~. tiC ~ ~ ' CLOCK FROM LOGIC ARRAY _ _ _ _ _ _ _ _ _......j.I ~ DATA FROM LOGIC ARRAY REGISTER OUTPUT - - - - - - TO LOCAL LAB LOGIC ARRAY tRSU ,,----- / *%'- - -t----------- - ' *= "-."' _ _ _ _oJ , tRH tRD,tLATCH 'F-J{r--_ _ 'AWL ] ; -+- "-. / r--------- ~CLR,tPRE -+ tFD tFD - tplA ~,.._ _ _ _ _ _ _ _ _ _ __ REGISTER OUTPUT TO ANOTHER LAB ---------..;;...-----.-..:~-.:.::..:...- • C344-12 Internal Synchronous (Input Path) SYSTEM CLOCK PIN :E: 4-----J}='CH~h=¥ ',N SYSTEM CLOCK AT REGISTER _ _ tRSU DATA FROM LOGIC ARRAY tRH 1 ,,-----..J/ , ,_ _ _ _ _ ,,---- --------------------- ~-------------------------C344-13 3-69 El CY7C344 CY7C344B Switching Waveforms (continued) Internal Synchronous (Output Path) CLOCK FROM LOGIC ARRAY DATA FROM LOGIC ARRAY OUTPUT PIN C344-14 3-70 • CY7C344 CY7C344B -'f~ 'CYPRESS Ordering Information Speed (ns) 10 12 15 20 25 35 Ordering Code CY7C344B -10HC CY7C344B-10JC CY7C344B-10PC CY7C344B-10WC CY7C344B-12HC/HI CY7C344B-12JC/JI CY7C344B-12PC/PI CY7C344B-12WC/WI CY7C344B-12HMB CY7C344B-12WMB CY7C344-15HC/HI CY7C344-15JC/JI CY7C344-15PC/PI CY7C344-15WC/WI CY7C344B-15HC/HI CY7C344B-15JC/JI CY7C344B-15PC/pI CY7C344B-15WC/WI CY7C344B-15HMB CY7C344B-15WMB CY7C344-20HC/HI CY7C344-20JC/JI CY7C344 - 20PC/pI CY7C344-20WC/WI CY7C344B-20HC/HI CY7C344B-20JC/JI CY7C344B-20PC/PI CY7C344B -20WC/WI CY7C344-20HMB CY7C344- 20WMB CY7C344B-20HMB CY7C344B-20WMB CY7C344-25HC/HI CY7C344-25JC/JI CY7C344-25PC/PI CY7C344-25WC/WI CY7C344B-25HC/HI CY7C344B-25JC/JI CY7C344B-25PC/pI CY7C344B-25WC/WI CY7C344- 25HMB CY7C344- 25WMB CY7C344B-25HMB CY7C344B-25WMB CY7C344- 35HMB CY7C344- 35WMB Package Name H64 J64 P21 W22 H64 J64 P21 W22 H64 W22 H64 J64 P21 W22 H64 J64 P21 W22 H64 W22 H64 J64 P21 W22 H64 J64 P21 W22 H64 W22 H64 W22 H64 J64 P21 W22 H64 J64 P21 W22 H64 W22 H64 W22 H64 W22 Operating Range 28-Lead Windowed Leaded Chip Carrier Commercial 28-Lead Plastic Leaded Chip Carrier 28-Lead (300-Mil) Molded DIP 28-Lead Windowed CerDIP 28-Lead Windowed Leaded Chip Carrier Commercialllndustrial 28-Lead Plastic Leaded Chip Carrier 28-Lead (300-Mil) Molded DIP 28-Lead Windowed CerDIP 28-Lead Windowed Leaded Chip Carrier Military 28-Lead Windowed CerDIP 28-Lead Windowed Leaded Chip Carrier CommerciallIndustrial 28-Lead Plastic Leaded Chip Carrier 28-Lead (300-Mil) Molded DIP 28-Lead Windowed CerDIP 28-Lead Windowed Leaded Chip Carrier 28-Lead Plastic Leaded Chip Carrier 28-Lead (300-Mil) Molded DIP 28-Lead Windowed CerDIP 28-Lead Windowed Leaded Chip Carrier Military 28-Lead Windowed CerDIP 28-Lead Windowed Leaded Chip Carrier Commercial/Industrial 28-Lead Plastic Leaded Chip Carrier 28-Lead (300-Mil) Molded DIP 28-Lead Windowed CerDIP 28-Lead Windowed Leaded Chip Carrier 28-Lead Plastic Leaded Chip Carrier 28-Lead (300-Mil) Molded DIP 28-Lead Windowed CerDIP 28-Lead Windowed Leaded Chip Carrier Military 28-Lead Windowed CerDIP 28-Lead Windowed Leaded Chip Carrier 28-Lead Windowed CerDIP 28-Lead Windowed Leaded Chip Carrier CommerciallIndustrial 28-Lead Plastic Leaded Chip Carrier 28-Lead (300-Mil) Molded DIP 28-Lead Windowed CerDIP 28-Lead Windowed Leaded Chip Carrier 28-Lead Plastic Leaded Chip Carrier 28-Lead (300-Mil) Molded DIP 28-Lead Windowed CerDIP 28-Lead Windowed Leaded Chip Carrier Military 28-Lead Windowed CerDIP 28-Lead Windowed Leaded Chip Carrier 28-Lead Windowed CerDIP 28-Lead Windowed Leaded Chip Carrier Military 28-Lead Windowed CerDIP Package 1Ype Shaded area contains advanced mformation. 3-71 E CY7C344 CY7C344B ~ ~;~ ~'CYPRESS MILITARY SPECIFICATIONS Group A Subgroup Testing DC Characteristics Parameter Subgroups VOH 1,2,3 1,2,3 1,2,3 1,2,3 1,2,3 1,2,3 1,2,3 VOL VIH VIL I[x Ioz ICCl Switching Characteristics Parameter Subgroups tpDl 7, 8, 9, 10, 11 tPD2 7, 8, 9, 10, 11 tPD3 7, 8, 9, 10, 11 teOl tH 7, 8, 9, 10, 11 7, 8, 9, 10, 11 7, 8, 9, 10, 11 tACOl 7, 8, 9, 10, 11 tACOl 7, 8, 9, 10, 11 tAS 7, 8, 9, 10, 11 7, 8, 9, 10, 11 ts tAH Document #: 38-00127-F 3-72 CY7C346 CY7C346B 128-Macrocell MAX® EPLD Features Functional Description • 128 macrocells in 8 LABs • 20 dedicated inputs, 64 bidirectional I/O pins • Programmable interconnect array • 0.8-micron double-metal CMOS EPROM technology (CY7C346) • Advanced O.65-micron CMOS technology to increase performance (CY7C346B) • Available in 84-pin HLCC, PLCC, and 100-pin PGA, PQFP The CY7C346/CY7C346B is an Erasable Programmable Logic Device (EPLD) in which CMOS EPROM cells are used to configure logic functions within the device. The MAX architecture is 100% user configurable, allowing the devices to accommodate a variety of independent logic functions. The 128 macro cells in the CY7C346/ CY7C346B are divided into 8 Logic Array Blocks (LABs), 16 per LAB. There are 256 expander product terms, 32 per LAB, to be used and shared by the macrocells within each LAB. Logic Block Diagram .. 1 .78 .79 80 .83 .84 .. .. .. .. 2 5 6 7 - (C7) . INPUT/CLK (Al 0) .... INPUT (B9 ) ..... INPUT (A9) ..... INPUT (A8) ... INPUT (B7) .. INPUT (A7) ..... INPUT (C6) ..... INPUT (A5 ) ..... INPUT (B5) ..... INPUT 8 (B13) 9 (C12) 10 (A13) 11 (B12) 12 (A12) 13 (Bll) NC (All NC (Bl0 I ~ )7 - -- ,t::= LABA , MAI;RC ;El MACROCELL2 MACROCE L 3 ,,,rRnrl=' MACROCELL5 MACROCELL6 MACROCELL 7 MACROCELL8 MACROCELL 9·16 14 (A4) 15 (B4) 16 (A3) 17 (A2) 18 (B3) 21 (Al) NC (B2) NC (Bl) -- -- II......- flo V-- 1-,1 .II I\. ---,I I 'If ~"CRnr.EI ::I" ~ACRnCEl . 36 MACROC ELL 37 MACROCEL . 38 MACROCELL 39 MACROCELL 40 MACROCELL 41·48 ,,,rRnrl=' ,,,r.RnrF .II ~ACRnr.EI ,,,rRnrl=' ,,,rRnrl=' ,,,rRnrl=' ,,,r.Rnr.I=1 .II I\. ---,I I'r- P I A "'--V-- .11. 1-,1 I\. A ---,I Iv- <;<; "R MACROCELL 57-64 ----- (C13) NC (012) NC (013) 77 (E12) 76 (E13) 75 (Fll) 74 (G13) 73 (Gll) 72 +LABG MACRnCEI 04 MACROCELL 103 MACROCEI 102 MACRnCEl 101 MACROCELL 100 MA RJC ELL 99 MACROCELL 98 MACROCELL 97 MACROCELL 105-112 ...!.~ .. LABF MACROCELL 88 MA ROCELL87 MACROCELL 86 MACROCELL 85 MACROCELL 84 MACROCELL 83 MACROCELL 82 MACROCELL 81 MACROCELL 86·96 ---- ---- (G12) NC (H13) NC (J13) 71 (J12) 70 (K13) 69 (K12) 68 (L13) 67 (L12) 64 (M13) NC (M12) NC (N13) 63 (Mll) 60 (N12) 59 (Nll) 58 (Ml0) 57 (Nl0) 56 ...!..J... <;n "., ", 120 119 118 117 116 115 114 113 36 37 38 41 42 43 44 47 48 49 MACROCELL 121·128 r----1' 1-,1 V-- ...!...> "1 ,,? MACROCELL MACROCELL MACROCELL MACRO CELL MACROCELL MACROCELL MACROCELL MACROCELL U LABC . . MACROCEl . 33 MACROCELL 34 LABO • -- ~h,,"" SYSTEM CLOCK ...!.~ 22 (C2) 25 (Cl) 26 (02) 27 (01) 28 (E2) 29 (El) NC (Fl) NC (G2) - 30 (G3) 31 (Gl) 32 (H3) 33 (Jl) 34 (J2) 35 (Kl) NC (K2) NC (Ll) INPUT . . (N4). INPUT ... (M5). INPUT ... (N5). INPUT ... (N6). INPUT ... (M 7). INPUT. (L7). INPUT ... (N7). INPUT. (L8). INPUT ... (N9). INPUT ... (M 9). -.l~ LABB + MA';ROCE .17 MACROCELL 18 MACROCELL 19 MA RO ELL2 MACROCELL 21 MACROCELL 22 MACROCELL 23 MACROCELL 24 MACROCELL 25·32 - Each LAB is interconnected through the programmable interconnect array, allowing all signals to be routed throughout the chip. The speed and density of the CY7C346/CY7C346B allow it to be used in a wide range of applications, from replacement of large amounts of 7400-series TTL logic, to complex controllers and multifunction chips. With greater than 25 times the functionality of20-pin PLDs, the CY7C346/CY7C346B allows the replacement of over 50 TTL devices. By replacing large amounts of logic, the CY7C346/ CY7C346B reduces board space, part count, and increases system reliability. .II .J\. r--v ~ .II I\. ---,I I~ D16, 33, 50, 67 (B8,C8,F2,F3,Hll ,H12,L6,M6) D3,20,37,54 (A6,B6,F12,F13,Hl,H2,M8,N8) Vcc + LABE MACROCELL 72 MACROCELL 71 MACROCELL 70 MACROCELL 69 MACROCELL 68 MACROCELL 67 MACROCEI . 66 M"r.Rnr.F R" I--~ I--I--~ I--I--- (M4) NC (N3) NC (M3) 55 (N2) 54 (M2) 53 (Nl) 52 (L2) 51 (Ml) 50 MACROCELL 73-80 () • PERTAIN TO 100·PIN PGA PACKAGE GNO MAX is a registered trademark of Altera Corporation. Wa1p2 and Wa1p3 are trademarks of Cypress Semiconductor Corporation. 3-73 C346-1 CY7C346 CY7C346B (lrcYPRESS Selection Guide Maximum Access Time (ns) Maximum Operating Current (rnA) Commercial Military Commercial 320 225 Military Industrial 275 Industrial Maximum Standby Current (rnA) 7C346B-20 20 250 320 320 225 275 275 7C346B-15 15 250 7C346-25 7C346B-25 25 250 325 320 225 275 275 7C346-30 7C346B-30 30 250 320 320 225 275 275 7C346-35 7C346B-35 35 250 320 320 225 275 275 Shaded area contams advanced mformatlOn. Pin Configurations PLCC/CLCC TopView :5 555<..l<..l5§55 PGA Bottom View oo 555 ~~~~~~~~~~~~~~~~~~~~~ I/O 1(0 1(0 1(0 1(0 1(0 1(0 1(0 1(0 1(0 1(0 1(0 1(0 GND GND 1(0 I/O Vee Vee 1(0 1(0 1(0 0 7C346 7C346B N 1(0 1(0 1(0 INP IINP INP Vee INP 11(0 1(0 I/O 1(0 M 1(0 1(0 1(0 I(OIINP GND INP Vee INP 11(0 1(0 1(0 1(0 I/O 1(0 I/O K GND INP I/O - I/O I/O I/O I/O INP INP f-- I-- - - I/O 1(0 I/O 1(0 r-- r- 1(0 Vee Vee 1(0 1(0 H G Vee Vee I/O I/O 1(0 GND GND 7C346 7C346B 1(0 I/O GND GND 1(0 I/O I/O I/O Vee Vee I/O GND GND 1(0 I/O 1(0 1(0 1(0 1(0 1(0 1(0 1(0 1(0 1(0 1(0 1(0 0 C A I/O I/O I/O I/O - - - 1(0 1(0 - I-- r-- r- 1(0 1(0 I/O I/O • I/O I/O 1(0 I(OIINP Vee INP GND INPII/O I/O I/O 1(0 I/O I/O 1(0 I(OIINP Vee INP INP INPIINP 1(0 1(0 1(0 12 13 INP GND INP (ClK 1(0 10 11 1(0 C346-3 3-74 -- CY7C346 CY7C346B -'f~ 'CYPRESS Pin Configurations (continued) PQFP Top View 100 99 98 97 96 95 94 93 92 91 90 89 88 87 86 85 84 83 82 81 I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O INPUT INPUT INPUT INPUT 10 INPUT 11 INPUT GND 12 Vee Vee 7C346 7C346B GND 13 INPUT 14 INPUT INPUT 15 INPUT INPUT/elK 16 INPUT INPUT 17 INPUT Vee Vee 18 GND 19 GND INPUT 20 INPUT INPUT 21 INPUT INPUT 22 INPUT I/O 23 I/O I/O 24 I/O I/O 25 I/O I/O 26 I/O I/O 27 I/O I/O 28 I/O I/O 29 I/O I/O 30 I/O C346-4 3-75 CY7C346 CY7C346B Maximum Ratings (Above which the useful life may be impaired. For user guidelines, not tested.) Storage Temperature .................. -65°C to +150°C Ambient Temperature with Power Applied ....................... -55°C to +125°C Maximum Junction Temperature (under bias) .................................... 150°C Supply Voltage to Ground Potential ........ -2.0V to +7.0V Maximum Power Dissipation ................... 2500 mW DC Vee or GND Current ....................... 500 rnA DC Output Current per Pin .......... - 25 rnA to + 25 rnA DC Input Voltage[1] .................... -3.0V to + 7.0V DC Program Voltage ............................. 13.0V Static Discharge Voltage ....................... > 1100V (per MIL-STD-883, Method 3015) Operating Range Ambient Temperature O°C to +70°C Range Commercial Industrial Military Vee 5V ± 5% -40°C to +85°C 5V ± 10% -55°C to +125°C (Case) 5V ± 10% Electrical Characteristics Over the Operating Rangd 2] Parameter Description Test Conditions VOH VOL VIH VIL IIX Ioz los leel Output HIGH Voltage Output LOW Voltage Input HIGH Voltage Input LOW Voltage Input Current Output Leakage Current Output Short Circuit Current Power Supply Current (Standby) Iee2 Power Supply Currentl5] tR tF Recommended Input Rise Time Recommended Input Fall Time Vee Vee Min. = Min., IOH = -4.0 rnA = Min., IOL = 8.0 rnA GND.::;. VIN'::;' Vee Vo = Vee or GND Vee = Max., VOUT = 0.5VI3,4J VI = GND (No Load) VI = Vee or GND (No Load) f = 1.0 MHz[4] Max. Unit 2.4 2.2 -0.3 -10 -40 -30 Com'l Milllnd Com'l Mil/Ind V V V V 0.45 Vee +0.3 0.8 +10 +40 -90 225 275 250 320 100 100 fAA !lA rnA rnA rnA ns ns Capacitance[6] Parameter CIN Description Input Capacitance COUT Output Capacitance Test Conditions = 2V, f = 1.0 MHz VOUT = 2V, f = 1.0 MHz VIN Notes: 1. Minimum DC input is - 0.3y. During transitions, the inputs may undershoot to - 3.0V for periods less than 20 ns. 2. 'lYpical values are for TA = 25 C and Vee = 5Y. 3. Not more than one output should be tested at a time. Duration of the short circuit should not be more than one second. VOUT = O.5V has been chosen to avoid test problems caused by tester ground degradation. 4. 5. 0 6. Max. 10 20 Unit pF pF Guaranteed by design but not 100% tested. This parameter is measured with device programmed as a 16-bit counter in each LAB. Part (a) in AC Test Load and Waveforms is used for all parameters except tER and txz, which is used for part (b) in AC Test Load and Waveforms. All external timing parameters are measured referenced to external pins of the device. AC Test Loads and Waveforms[6] R1464Q R1464Q OUTP~~31 OUTPUT 5V31 SO pF INCLUDING JIG AND SCOPE Equivalent to: R2 2S0Q I _ - - SpF I R2 2S0Q INCLUDING _ JIG AND SCOPE (a) ALL INPUT PULSES 3.0V ----...J.or------~ GND _ C346-5 (b) THEVENIN EQUIVALENT (COMMERCIAL/MILITARy) 163Q OUTPUT OO--__'.N¥II----OO 1.7SV 3-76 C346-6 . CY7C346 CY7C346B -'i~ 'CYPRESS Logic Array Blocks Design Recommendations There are 8 logic array blocks in the CY7C346/CY7C346B. Each LAB consists of a macrocell array containing 16 macro cells, an expander product term array containing 32 expanders, and an I/O block. The LAB is fed by the programmable interconnect array and the dedicated input bus. All macrocell feedbacks go to the macrocell array, the expander array, and the programmable interconnect array. Expanders feed themselves and the macro cell array. All I/O feedbacks go to the programmable interconnect array so that they may be accessed by macrocells in other LABs as well as the macrocells in the LAB in which they are situated. Externally, the CY7C346/CY7C346B provides 20 dedicated inputs, one of which may be used as a system clock. There are 641/0 pins that may be individually configured for input, output, or bidirectional data flow. Operation of the devices described herein with conditions above those listed under "Maximum Ratings" may cause permanent damage to the device. This is a stress rating only and functional operation of the device at these or any other conditions above those indicated in the operational sections of this datasheet is not implied. Exposure to absolute maximum ratings conditions for extended periods of time may affect device reliability. The CY7C346/CY7C346B contains circuitry to protect device pins from high static voltages or electric fields, but normal precautions should be taken to avoid application of any voltage higher than the maximum rated voltages. Programmable Interconnect Array The Programmable Interconnect Array (PIA) solves interconnect limitations by routing only the signals needed by each logic array block. The inputs to the PIA are the outputs of every macrocell within the device and the I/O pin feedback of every pin on the device. Timing Delays Timing delays within the CY7C346/CY7C346B may be easily determined using Wmp2 ™ , Wmp3 ,or MAX + PLUS® software or by the model shown in Figure 1. The CY7C346 /CY7C346B has fixed internal delays, allowing the user to determine the worst case timing delays for any design. For complete timing information, Warp3 or MAX + PLUS software provides a timing simulator. TM For proper operation, input and output pins must be constrained to the range GND ~ (VIN or VOUT) ~ Vee. Unused inputs must always be tied to an appropriate logic level (either Vee or GND). Each set of V ee and GND pins must be connected together directly at the device. Power supply decoupling capacitors of at least 0.2 !IF must be connected between Vee and GND. For the most effective decoupling, each Vee pin should be separately decoupled to GND directly at the device. Decoupling capacitors should have good frequency response, such as monolithic ceramic types have. Design Security The CY7C346/CY7C346B contains a programmable design security feature that controls the access to the data programmed into the device. If this programmable feature is used, a proprietary design implemented in the device cannot be copied or retrieved. This enables a high level of design control to be obtained since programmed data within EPROM cells is invisible. The bit that controls this function, along with all other program data, may be reset simply by erasing the entire device. REGISTER LOGIC ARRAY I---+--+--+-+-..... CONTROL DELAY ~~--.! INPUT tLAC INPUT DELAY tiN OUTPUT DELAY OUTPUT too LOGIC ARRAY DELAY txz tzx tLAO SYSTEM CLOCK DELAY tiCS CLOCK DELAY tiC C346-7 Figure 1. CY7C346/CY7C346B Internal Timing Model 3-77 El CY7C346 CY7C346B The CY7C346/CY7C346B is fully functionally tested and guaranteed through complete testing of each programmable EPROM bit and all internal logic elements thus ensuring 100% programming yield. The erasable nature of these devices allows test programs to be used and erased during early stages ofthe production flow. The devices also contain on-board logic test circuitry to allow verification of function and AC specification once encapsulated in non-windowed packages. 1Ypical Icc vs. fMAX 400 ci. 300 - ~ « Vee =5.0V Room Temp. S w > 200 - ui= « u .2 100 - o~--~--~----~--~~--~--~ 100 Hz 1 kHz 10 kHz 100 kHz 1 MHz 10 MHz MAXIMUM FREQUENCY 50 MHz C346-B Output Drive Current ...J « c:: 100 : 16.9 '62.S$' ',Avd;!,) {:t';' Shaded area contams advanced mformatIOn. 3-79 CY7C346 CY7C346B Commercial and Industrial External Synchronous Switching Characteristics[6] Over Operating Range (continued) Parameter Description 7C346-25 7C346B-25 7~4(iB.,:",15 7.~6B-20 Min. Max. ~n. Max. Min. Max. 7C346-30 7C346B-30 7C346-35 7C346B-35 Min. Min. Max. Max. Unit fMAX3 Data Path Maximum Frequency, lesser of (lI(tWL + tWH» (lI(tSI + tH» or (lItCQ1)[4, 16] 100 11.4 62.5 50 40 MHz fMAX4 Maximum Register Toggle Frequency (lI(tWL + tWH»[4, 17] 100 71.4 62.5 50 40 MHz tOH Output Data Stable Time from Synchronous Clock Input[4, 18] 3 3 3 3 3 ns Shaded area contams advanced mformatlOn. Notes: 7. This specification is a measure of the delay from input signal applied to a dedicated input (68-pin PLCC input pin 1, 2, 32, 34, 35, 66, or 68) to combinatorial output on any output pin. This delay assumes no expander terms are used to form the logic function. When this note is applied to any parameter specification it indicates that the signal (data, asynchronous clock, asynchronous clear, and/or asynchronous preset) is applied to a dedicated input only and no signal path (either clock or data) employs expander logic. If an input signal is applied to an I/O pin an additional delay equal to tPIA should be added to the comparable delay for a dedicated input. If expanders are used, add the maximum expander delay tEXP to the overall delay for the comparable delay without expanders. 8. This specification is a measure of the delay from input signal applied to an I/O macrocell pin to any output. This delay assumes no expander terms are used to form the logic function. 9. This specification is a measure of the delay from an input signal applied to a dedicated input (68-pin PLCC input pin 1, 2, 32, 34, 35, 36, 66, or 68) to combinatorial output on any output pin. This delay assumes expander terms are used to form the logic function and includes the worst-case expander logic delay for one pass through the expander logic. 10. This specification is a measure of the delay from an input signal applied to an I/O macrocell pin to any output. This delay assumes expander terms are used to form the logic function and includes the worst-case expander logic delay for one pass through the expander logic. This parameter is tested periodically by sampling production material. 11. This specification is a measure of the delay from synchronous register clock to internal feedback of the register output signal to the input of the LAB logic array and then to a combinatorial output. This delay assumes no expanders are used, register is synchronously clocked and all feedback is within the same LAB. This parameter is tested periodically by sampling production material. 12. If data is applied to an I/O input for capture by a macrocell register, the I/O pin input set-up time minimums should be observed. These parameters are tS2 for synchronous operation and tAS2 for asynchronous operation. 13. This specification is a measure ofthe delay associated with the internal register feedback path. This is the delay from synchronous clock to LAB logic array input. This delay plus the register set-up time, tst. is the minimum internal period for an internal synchronous state machine configuration. This delay is for feedback within the same LAB. This parameter is tested periodically by sampling production material. 14. This specification indicates the guaranteed maximum frequency, in synchronous mode, at which a state machine configuration with external feedback can operate. It is assumed that all data inputs and external feedback signals are applied to dedicated inputs. 15. This specification indicates the guaranteed maximum frequency at which a state machine with internal-only feedback can operate. Ifregister output states must also control external points, this frequency can still be observed as long as this frequency is less than litem. All feedback is assumed to be local originating within the same LAB. 16. This frequency indicates the maximum frequency at which the device may operate in data path mode (dedicated input pin to output pin). This assumes data input signals are applied to dedicated input pins and no expander logic is used. If any of the data inputs are I/O pins, tS2 is the appropriate ts for calculation. 17. This specification indicates the guaranteed maximum frequency, in synchronous mode, at which an individual output or buried register can be cycled by a clock signal applied to the dedicated clock input pin. 18. This parameter indicates the minimum time after a synchronous register clock input that the previous register output data is maintained on the output pin. 3-80 CY7C346 CY7C346B Commercial and Industrial External Asynchronous Switching Characteristics[6] Over Operating Range Parameter Description 7C346B-15 Min. Max. 7C346-25 7C346-30 7C346B-20 7C346B-25 7C346B-30 Min. Max. Min. Max. Min. Max. 7C346-35 7C346B-35 Min. Max. Unit tAC01 Asynchronous Clock Input to Output Delay[7] 15 20 25 30 35 ns tAC02 Asynchronous Clock Input to Local Feedback to Combinatorial Outputl 19] Dedicated Input or Feedback Set-Up Time to Asynchronous Clock Input[7] I/O Input Set-Up Time to Asynchronous Clock Input[7] 25 32 39 46 55 ns tAS1 tAS2 5 5 5 6 8 ns 14.5 17 19 22 28 ns tAH Input Hold Time from Asynchronous Clock Input[7] 5 6 6 8 10 ns tAWH Asynchronous Clock Input HIGH Time[7] 9 10 11 14 16 ns tAWL Asynchronous Clock Input LOW Timd?, 20] 7 8 9 11 14 ns tACF Asynchronous Clock to Local Feedback Input[4, 21] tAP External Asynchronous Clock Period (1!(fMAXA4»[4] External Feedback Maximum Frequency in Asynchronous Mode (l!(tACOl + tAS1»[4, 22] Maximum Internal Asynchronous Frequency[4,23] fMAXA1 fMAXA2 11 18 15 13 22 ns 16 18 20 25 30 ns 50 40 33.3 27.7 23.2 MHz 62.5 55.5 50 40 33.3 MHz fMAXA3 Data Path Maximum Frequency in Asynchronous Mode [4,24] 66.6 50 40 33.3 28.5 MHz fMAXA4 Maximum Asynchronous Register Toggle Fre~uency l!(tAWH + tAwd[4, 5] Output Data Stable Time from Asynchronous Clock Input[4, 26] 62.5 55.5 50 40 33.3 MHz 12 .12 15 15 15 ns tAOH Shaded area contains advanced information. Notes: 19. This specification is a measure of the delay from an asynchronous register clock input to internal feedbackofthe register output signal to the input of the LAB logic array and then to a combinatorial output. This delay assumes no expanders are used in the logic of combinatorial output or the asynchronous clock input. The clock signal is applied to the dedicated clock input pin and all feedback is within a single LAB. This parameter is tested periodically by sampling production material. 20. This parameter is measured with a positive-edge triggered clock at the register. For negative edge triggering, the tAwH and tAwLparameters must be swapped. If a given input is used to clock multiple registers with both positive and negative polarity, tAWH should be used for both tAWH and tAWL. 21. This specification is a measure ofthe delay associated with the internal register feedback path for an asynchronous clock to LAB logic array input. This delay plus the asynchronous register set-up time, tASl, is the minimum internal period for an internal asynchronously clocked state machine configuration. This delay is for feedback within the same LAB, assumes no expander logic in the clock path, and assumes that the clock input signal is applied to a dedicated input pin. This parameter is tested periodically by sampling production material. 22. This specification indicates the guaranteed maximum frequency at which an asynchronously clocked state machine configuration with externalfeedback can operate. It is assumed that all data inputs, clock inputs, and feedback signals are applied to dedicated inputs and that no expander logic is employed in the clock signal path or data path. 23. This specification indicates the guaranteed maximum frequency at which an asynchronously clocked state machine with internal-only feedback can operate. This parameter is determined by the lesser of (1/( tACF + tASl)) or (1/( tAWH + tAWL)). If register output states must also control external points, this frequency can still be observed as long as this frequency is less than 1/tACOl' This specification assumes no expander logic is utilized, all data inputs and clock inputs are applied to dedicated inputs, and all state feedback is within a single LAB. This parameter is tested periodically by sampling production material. 24. This frequency is the maximum frequency at which the device may operate in the asynchronously clocked data path mode. This specification is determined by the lesser of 1/(tAWH + tAWL), 1/(tASl + tAH) or 1/tACOl' It assumes data and clock input signals are applied to dedicated input pins and no expander logic is used. 25. This specification indicates the guaranteed maximum frequency at which an individual output or buried register can be cycled in asynchronously clocked mode by a clock signal applied to an external dedicated input pin. 26. This parameter indicates the minimum time that the previous register output data is maintained on the output after an asynchronous register clock input applied to an external dedicated input pin. 3-81 CY7C346 CY7C346B Commercial and Industrial Internal Switching Characteristics Over Operating Range Parameter Description tIN Dedicated Input Pad and Buffer Delay tIO I/O Input Pad and Buffer Delay Expander Array Delay Logic Array Data Delay Logic Array Control Delay Output Buffer and Pad Delay Enable Output Buffer Delay[27] tm(p tLAD tLAC tOD tzx txz tRSU tRH tLATCH tRD tCOMB tCH tCL tIC tICS tFD tPRE tCLR tpcw tpCR tPIA Output Buffer Disable Delay Register Set-Up Time Relative to Clock Signal at Register Register Hold Time Relative to Clock Signal at Register Flow Through Latch Delay Register Delay 1fansparent Mode Delayl2~J Clock HIGH Time Clock LOW Time Asynchronous Clock Logic Delay Synchronous Clock Delay Feedback Delay Asynchronous Register Preset Time Asynchronous Register Clear Time Asynchronous Preset and Clear Pulse Width Asynchronous Preset and Clear Recovery Time Programmable Interconnect Array Delay Time 7C346B-15 Min. MaL 3 0 7C346B...,20 MaL 4 7C346-25 7C346B-25 Min. Max. 5 7C346-30 7C346B-30 Min. Max. 7 7C346-35 7C346B-35 Min. Max. 9 Unit ns 3 4' 6 6 9 ns 8 8 5 3 5 10 12 12 10 5 10 14 14 12 5 11 20 16 13 6 13 ns ns ns ns ns 13 10 7 3 5 5 11 4 5 6 8 10 ns ns 4 5 6 8 10 ns 10 5 3 6 8 14 16 18 ns ns ns ns ns ns 0.5 1 0.5 1 3 3 1 1 5 1 1 6 1 2 7 ns ns ns 3 3 5 6 7 ns 2 1 2 1 1 1 6 6 4 4 4 2 4 1 3 8 8 4 2 4 12.5 12.5 10 10 3 4 5 6 7 ns 3 4 5 6 7 ns 12 10 Shaded area con tams advanced mformatlOn. Notes: 27. Sample tested only for an output change of 500 mV. 28. This specification guarantees the maximum combinatorial delay associated with the macrocell register bypass when the macrocell is configured for combinatorial operation. 3-82 14 16 20 ns CY7C346 CY7C346B Military External Synchronous Switching Characteristics[6] Over Operating Range Parameter Description 7C346B-20 7C346B-25 7C346-30 7C346B-30 Min. Min. Min. Max. Max. Max. 7C346-35 7C346B-35 Min. Max. Unit tpDl Dedicated Input to Combinatorial Output Delay[7] 20 25 30 35 ns tpD2 I/O Input to Combinatorial Output Delay[8] 32 39 45 55 ns tPD3 Dedicated Input to Combinatorial Output Delay with Expander Delay[9] 30 37 44 55 ns tpD4 I/O Input to Combinatorial Output Delay with Expander Delay[4, 10] 42 51 59 75 ns tEA Input to Output Enable Delay[4,7] 20 25 30 35 ns tER Input to Output Disable Delay[4,7] 20 25 30 35 ns tCOl Synchronous Clock Input to Output Delay 8 14 16 20 ns tC02 Synchronous Clock to Local Feedback to Combinatorial Output[4,11] 20 30 35 42 ns tSl Dedicated Input or Feedback Set-Up Time to Synchronous Clock Inpud 7, 12] 13 15 20 25 ns tS2 I/O Input Set-Up Time to Synchronous Clock Input[7] 24 29 36 45 ns tH Input Hold Time from Synchronous Clock Input[7] 0 0 0 0 ns tWH Synchronous Clock Input HIGH Time 7 8 10 12.5 ns tWL Synchronous Clock Input LOW Time 7 8 10 12.5 ns tRW Asynchronous Clear Width[4,7] 20 25 30 35 ns tRR Asynchronous Clear Recovery Timel4,7] 20 25 30 35 ns tRO Asynchronous Clearto Registered Output Delay[7] 20 25 35 30 ns tpw Asynchronous Preset Width[4, 7] 20 25 30 35 ns tpR Asynchronous Preset Recovery Time[4,7] 20 25 30 35 ns tpo Asynchronous Preset to Registered Output Delay[7] 20 25 30 35 ns tCF Synchronous Clock to Local Feedback Inpud 4, 13] 3 3 3 6 ns tp External Synchronous Clock Period (1!(fMAXJ))[4] fMAXl External Feedback Maximum Frequency (l!(tCOl + tSl»[4, 14] , 14 16 20 25 ns 34.5 27.7 22.2 MHz I>' 47.6 , Shaded area contams advanced mformatlOn. 3-83 ~:, CY7C346 CY7C346B Military External Synchronous Switching Characteristics[6) Over Operating Range (continued) Parameter Description 7C346B-20 7C346B-25 7C346-30 7C346B-30 7C346-35 7C346B-35 Min. Min. Min. Min. Max. Max. Max. Max. Unit fMAX2 Internal Local Feedback Maximum Frequency, lesser of (l!(tSl + tCF)) or (l!tCOl)[4, 15) 62.5 55.5 43.4 32.2 MHz fMAX3 Data Path Maximum Frequency, lesser of (l!(tWL + tWH» 4, 16) (l!(tSl + tH)) or (l!t 71.4 62.5 50 40 MHz fMAX4 Maximum Register Toggle Frequency (l!(tWL + tWH))[4, 17) 71.4 62.5 50 40 MHz tOH Output Data Stable Time from Synchronous Clock Input[4, 18) 3 3 3 3 ns cod Shaded area contains advanced information. Military External Asynchronous Switching Characteristics[6) Over Operating Range 7C346B-20 7C346B-25 7C346-30 7C346B-30 7C346-35 7C346B-35 Min. Min. Min. Min. Max. Unit tACOl Asynchronous Clock Input to Output Delay[7) 20 25 30 35 ns tAC02 Asynchronous Clock Input to Local Feedback to Combinatorial Outputl 19) Dedicated Input or Feedback Set-Up Time to Asynchronous Clock Inputl 7) I/O Input Set-Up Time to Asynchronous Clock Inputl 7) 32 39 46 55 ns Parameter tASl tAS2 Description Max. Max. Max. 6 5 6 8 ns 17 19 22 28 ns tAH Input Hold Time from Asynchronous Clock Input[7) 6 6 8 10 ns tAWH Asynchronous Clock Input HIGH Timer7) 10 11 14 16 ns tAWL Asynchronous Clock Input LOW Timer7, 20) 8 9 11 14 ns tACF Asynchronous Clock to Local Feedback Inputl4, 21) tAP External Asynchronous Clock Period (1!(fMAXA4))[4) External Feedback Maximum Frequency in Asynchronous Mode (l!(tACOl + tASl))[4,22) Maximum Internal Asynchronous Frequency[4,23) fMAXAl fMAXA2 13 15 22 18 ns 18 20 25 30 ns 40 33.3 27.7 23.2 MHz 55.5 50 40 33.3 MHz fMAXA3 Data Path Maximum Fre~uency in Asynchronous Modd 4, 4) 50 40 33.3 28.5 MHz fMAXA4 Maximum Asynchronous Register Toggle Fre~uency l!(tAWH + tAWL) [4, 5) Output Data Stable Time from Asynchronous Clock Inputl 4, 26) 55.5 50 40 33.3 MHz 12 15 15 15 ns tAOH Shaded area contams advanced informatIon. 3-84 • CY7C346 CY7C346B -.i~ 'CYPRESS Military 'IYpical Internal Switching Characteristics Over Operating Range Parameter tIN Description 7C346B-20 7C346B-25 Min. Min. Dedicated Input Pad and Buffer Delay Max. 4 Max. 7C346-30 7C346B-30 Min. Max. 5 7C346-35 7C346B-35 Min. Max. 7 9 Unit ns tlO I/O Input Pad and Buffer Delay 4 6 6 9 ns tEXP Expander Array Delay 10 12 14 20 ns tLAD Logic Array Data Delay 10 12 14 16 ns tLAC Logic Array Control Delay 7 10 12 13 ns tOD Output Buffer and Pad Delay 3 5 5 6 ns tzx Output Buffer Enable Delay[27] 5 10 11 13 ns txz Output Buffer Disable Delay 5 10 11 13 ns tRSU Register Set-Up Time Relative to Clock Signal at Register 5 6 8 10 ns tRH Register Hold Time Relative to Clock Signal at Register 5 6 8 10 ns tLATCH Flow Through Latch Delay 2 3 4 4 ns tRD Register Delay 1 1 2 2 ns tCOMB Transparent Mode Delay[28] 2 3 4 4 ns tCH Clock HIGH Time 6 8 10 12.5 tCL Clock LOW Time 6 8 10 12.5 tIC Asynchronous Clock Logic Delay tICS Synchronous Clock Delay tFD Feedback Delay tpRE Asynchronous Register Preset Time ns ns 8 14 16 18 ns 0.5 2 2 3 ns 1 1 1 2 ns 3 5 6 7 ns 7 ns tCLR Asynchronous Register Clear Time tpcw Asynchronous Preset and Clear Pulse Width 4 5 6 7 ns tpCR Asynchronous Preset and Clear Recovery Time 4 5 6 7 ns tpIA Programmable Interconnect Array Delay Time 3 12 Shaded area con tams advanced mformatIOn. 3-85 5 14 6 16 20 ns II --- CY7C346 CY7C346B -'i~ 'CYPRESS Switching Waveforms External Combinatorial )K DEDICATED INPUT/ I/O INPUT I--- tpD1 [7)/tpD2[8) - )K COMBINATORIAL OUTPUT I+--- tER[7) - ... COMBINATORIAL OR REGISTERED OUTPUT ~ HIGH-IMPEDANCE THREE-STATE ...,~ I+--- ~_ _ _VAUD _ _OUTPUT _ _ _ _ _ __ tEA[7) HIGH-IMPEDANCE THREE-STATE - - - - - - - - - - - - C346-10 External Synchronous DEDICATED INPUTS OR REGISTERED FEEDBACK[7) 4 '"__"""':'""_ _ tS1 SYNCHRONOUS CLOCK---------J ASYNCHRONOUS CLEAR/PRESET[7) _____t_OH_ _ _+-_+-J REGISTERED OUTPUTS -----------f-...;v 1_1-----.. tC02 ------i~ COMBINATORIAL OUTPUT FROM REGISTERED FEEDBACK[11) --------------------------- External Asynchronous DEDICATED INPUTS OR REGISTERED FEEDBACK '"----------C3-46-11 -4 _ _-:--_ _ tAS1 ASYNCHRONOUS CLOCK INPUT _ _ _ _ _ __ ASYNCHRONOUS tAOH CLEAR/PRESET _ _ _ _ _ _+-~-+-., ASYNCHRONOUS REGISTERED OUTPUTS -------t--t~v ~ COMBINATORIAL OUTPUT FROM ASYNCHRONOUS REGISTERED FEEDBACK - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 3-86 .....----------C-3-46-12 CY7C346 CY7C346B Switching Waveforms (continued) Internal Combinatorial '-- tlN- * INPUT PIN !---tPIA- l+- tlO I/O PIN i---- tEXP - )~ EXPANDER ARRAY DELAY I---- tLAC, tLAD - j~ LOGIC ARRAY INPUT *. LOGIC ARRAY OUTPUT C346 13 r- Internal Asynchronous tR ~t= tAWH I, CLOCK PIN tiN CLOCK INTO LOGIC ARRAY 1L tAM } "i- _ tiC ~ \. CLOCK FROM LOGIC ARRAY ________________----'" ~ ~~ LOGIC ARRAY REGISTER OUTPUT - - - - - TO LOCAL LAB LOGIC ARRAY tRSU ~ ..J/r--------- \. ,'-_ _ _ _ ~ tRH tRD,tLATCH / .J ' ..... _ _ _ _ -+- tFD t =t tCLR,tpRE --+ tFD *= - __________________________ tP__ I A = i• . . - - - - - - - - - - - - - - - - - REGISTER OUTPUT ---------------------------.:~....:..::...._ TO ANOTHER LAB C346-14 Internal Synchronous }= ~H SYSTEM CLOCK PIN SYSTEM CLOCK AT REGISTER _ tiN - : _ tRSU DATA FROM LOGIC ARRAY =1t= =* ~-----J ~: tel " j; ,,-----.J/ ,,'----- ....._ _ _ _ tRH ------------------------------------ C346-15 ~--------------------------- 3-87 II CY7C346 CY7C346B Switching Waveforms (continued) Internal Synchronous CLOCK FROM LOGIC ARRAY - _ _ _.-1'1 DATA FROM LOGIC ARRAY OUTPUT PIN C346-16 3-88 CY7C346 CY7C346B Ur~[(erin~ Information II 30 35 3-89 CY7C346 CY7C346B MILITARY SPECIFICATIONS Group A Subgroup Testing DC Characteristics Parameter Subgroups VOH 1,2,3 1,2,3 1,2,3 1,2,3 1,2,3 1,2,3 1,2,3 VOL VIH VIL IIX Ioz IcC! Switching Characteristics Parameter Subgroups tPDl 7, 8, 9, 10, 11 7, 8, 9, 10, 11 7,8,9, 10, 11 7, 8, 9, 10, 11 7,8,9, 10, 11 7, 8, 9, 10, 11 7, 8, 9, 10, 11 7, 8, 9, 10, 11 7, 8, 9, 10, 11 7,8, 9, 10, 11 tpD2 tPD3 tCOl tS1 tS2 tH tWH tWL tRO tpo tACO 1 tAC02 tAS1 tAH tAWH tAWL 7, 8, 9, 10, 11 7, 8, 9, 10, 11 7, 8, 9, 10, 11 7, 8, 9, 10, 11 7, 8, 9, 10, 11 7, 8, 9, 10, 11 7,8,9,10,11 Document #: 38-00244-B 3-90 This is an abbreviated data sheet. Contact a Cypress representative for complete specifications. For new designs, please refer to the FLASH370 family CY7C361 Ultra High Speed State Machine EPLD Features • High speed: 125-MHz state machine output generation - Token passing - Multiple, concurrent processes - Multiway branch or join • One clock with programmable clock doubler • Programmable miser bits for power savings • 8 to 12 inputs with input macrocells - Metastability hardened: 10-year MBTF - 0, 1, or 2 input registers - 3 programmable clock enables • 32 synchronous state macrocells • 10 to 14 outputs • • • • - Skew-controlled OR output array - Outputs are sum of states like PLA Security fuse Available in 28-pin slimline DIP and 28-pin HLCC UV-erasable and reprogrammable Programming and operation 100% testable Product Characteristics The CY7C361 is a CMOS erasable, programmable logic device (EPLD) with very high speed sequencing capabilities. Applications include high-speed cache and I/O subsystems control, control of highspeed numeric processors, and high-speed arbitration between synchronous or asynchronous systems. A programmable on-board clock doubler allows the device to operate at 125 MHz internally based on a 62.5-MHz input clock reference. The clock doubler is not a phase-locked loop. It produces an internal pulse on each edge of the external clock. The length of each internal pulse is determined by the intrinsic delays within the CY7C361. When the doubler is enabled, all macro cells in the CY7C361 are referenced to the doubled clock. If the clock doubler is disabled, a 125-MHz input clock can be connected to pin 4, and it will be used as a clock to all macrocells. The CY7C361 has two arrays, similar to those in a PLA exceptthat the registers are placed between the two arrays so that the long feedback path of the PLA is eliminated. Logic Block Diagram Pin Configurations ~ c3 HLCC Top View _ornrn°a.It)a.va..('f) M3 M2 11 12 GND Vee GND Vee GND 13 14 15 M1 Mo CO ....... c361·2 C\lC")O'l"""C\I --allDa.a.a. DIP Top View Bo B1 10 eLK 11 12 1 Ps P4 P3 M3 M2 6 GND Vee GND 9 M1 Mo P2 P1 Po B3 Vee GND 13 14 15 16 17 B2 c361-1 c361-3 Selection Guide Generic Part Number CY7C361-125 CY7C361-100 CY7C361-83 Icc rnA at fMAX Com Mil 200 200 200 fMAXMHz Com Mil 125 100 83.3 Document #: 38-00106-D 3-91 100 83.3 tco ns tIS ns Com 2 3 5 Mil 3 5 Com 15 19 23 Mil 19 23 II FLASH370@) PRELIMINARY CPLD Family High-Density Flash CPLDs • Warp2™ Features • Flash erasable CMOS CPLDs • High density -32-256 macrocells - 32-192 I/O pins - Multiple clock pins • High speed -tpD = 8.5 - 15 ns -ts = 5 - 10 ns - teo = 6 - 10 ns • Fast Programmable Interconnect Matrix (PIM) - Uniform predictable delay, independent of routing • Intelligent product term allocator - 0-16 product terms to any macrocell - Provides product term steering on an individual basis - Provides product term sharing among local macrocells - Prevents stealing of neighboring product terms • Simple timing model - No fanout delays - No expander delays -No dedicated vs. I/O pin delays - No additional delay through PIM - No penalty for using full 16 product terms - No delay for steering or sharing product terms • Flexible clocking - 2 - 4 clock pins per device - Clock polarity control • Security bit and user ID supported • Packages -44-288 pins - PLCC, CLCC, PGA, and TQFP packages - Low-cost, text-based design tool, PLD compiler - IEEE 1076-compIiant VHDL - Available on PC and Sun platforms • Wa1p3 ™ CAE development system -VHDLinput - ViewLogic graphical user interface - Schematic capture (ViewDraw - VHDL simulation (ViewSim - Available on PC and Sun platforms TM ) TM ) General Description The FLASH370 family of CMOS CPLDs provides a range of high-density programmable logic solutions with unparalleled performance. Each member of the family is designed with Cypress's state-of-the-art' 0.65-micron Flash technology. All of the devices are electrically erasable and reprogrammable, simplifying product inventory and reducing costs. The FLASH370 family is designed to bring the flexibility, ease of use and performance of the 22V10 to high-density CPLDs. The architecture is based on a number of logic blocks that are connected by a Programmable Interconnect Matrix (PIM). Each logic block features its own product term array, product term allocator array, and 16 macrocells. The PIM distributes signals from one logic block to another as well as all inputs from pins. The family features a wide variety of densities and pin counts to choose from. At each densitY there are two packaging options to choose from-one that is I/O intensive and another that is register intensive. For example, the CY7C374 and CY7C375 both feature 128 macrocells. On the CY7C374 half of the macrocells are buried and the device is available in 84-pin packages. On the CY7C375 all of the macrocells are fed to I/O pins and the device is available in 160-pin packages. Figure 1 shows a block diagram of the CY7C374/5. Functional Description Programmable Interconnect Matrix The Programmable Interconnect Matrix (PIM) consists of a completely global routing matrix for signals from I/O pins and feedbacks from the logic blocks. The PIM is an extremely robust interconnect that avoids fitting and density limitations. Routing is automatically accomplished by software and the propagation delay through the PIM is transparent to the user. Signals from any pin or any logic block can be routed to any or all logic blocks. The inputs to the PIM consist of all I/O and dedicated input pins and all macrocell feedbacks from within the logic blocks. The number of PIM inputs increases with pincount and the number of logic blocks. The outputs from the PIM are signals routed to the appropriate logic block(s). Each logic block receives 36 inputs from the PIM and their complements, allowing for 32-bit operations to be implemented in a single pass through the device. The wide number of inputs to the logic block also improves the routing capacity of the FLASH370 family. An important feature of the PIM involves timing. The propagation delay through the PIM is accounted for in the timing specifications for each device. There is no additional delay for traveling through the PIM. In fact, all inputs travel through the PIM. Likewise, there are no route-dependent timing parameters on the FLASH370 devices. The worst-case PIM delays are incorporated in all appropriate FLASH370 specifications. FLAsH370 Selection Guide Device 371 372 373 374 Pins 44 44 84 84 160 160 Macrocells 32 64 64 128 128 192 192 375 376 240 377 160 256 378 379 240 256 Shaded area contains advanced mformatlOn. Dedicated Inputs 6 6 6 6 6 6 6 I/O Pins 32 32 64 64 128 128 192 128 192 6 6 3-92 Flip-Flops 44 76 76 140 140 Speed (ns) Speed (MHz) 8.5 10 10 12 12 143 125 125 204 204 268 268 15 15 15 15 100 100 83 83 83 83 PRELIMINARY FLASH370 CLOCK INPUTS 4 INPUT/CLOCK MACROCELLS INPUT MACROCELLS 36 36 16 16 36 36 PIM 16 16 36 36 16 16 36 36 16 16 II flash370-1 Figure 1. CY7C374/S Block Diagram Product Term Array Functional Description (continued) Routing signals through the PIM is completely invisible to the user. All routing is accomplished 100% by software-no hand routing is .necessary. Wa1p2 and third-party development packages automatically route designs for the FLASH370 family in a matter of minutes. Finally, the rich routing resources of the FLASH370 family accommodate last minute logic changes while maintaining fixed pin assignments. Logic Block The logic block is the basic building block of the FLASH370 architecture. It consists of a product term array, an intelligent productterm allocator, 16 macrocells, and a number ofI/O cells. The number of I/O cells varies depending on the device used. There are two types of logic blocks in the FLASH370 family. The first type features an equal number (16) ofI/O cells and macrocells and is shown in Figure 2. This architecture is best for I/O-intensive applications. The second type of logic block features a buried macrocell along with each I/O macrocell. In other words, in each logic block, there are eight macrocells that are connected to I/O cells and eight macrocells that are internally fed back to the PIM only. This organization is designed for register-intensive applications and is displayed in Figure 3. Note that at each FLAsH370 density (except the smallest), an I/O intensive and a register-intensive device is available. Each logic block features a 72 x 86 programmable product term array. This array is fed with 36 inputs from the PIM, which originate from macrocell feedbacks and device pins. Active LOW and active HIGH versions of each of these inputs are generated to create the full 72-input field. The 86 product terms in the array can be created from any of the 72 inputs. Of the 86 product terms, 80 are for general-purpose use for the 16 macro cells in the logic block. Four of the remaining six product terms in the logic block are output enable (OE) product terms. Each of the OE product terms control up to 8 of the 16 macrocells and are selectable on an individual macrocell basis. In other words, each I/O cell can select between one of two OE product terms to control the output buffer. The first two of these four OE product terms are available to the upper half of the I/O macrocells in a logic block. The other two OE product terms are available to the lower half of the I/O macrocells in a logic block. The final two product terms in each logic block are dedicated asynchronous set and asynchronous reset product terms. Product Term Allocator Through the product term allocator, software automatically distributes product terms among the 16 macrocells in the logic block as needed. A total of 80 product terms are available from the local product term array. The product term allocator provides two important capabilities without affecting performance: product term steering and product term sharing. 3-93 ~ ~-'i~ PRELIMINARY 'CYPRESS .. I FLASH370 _-----------------------------------------------------------.., 0-16 PRODUCT TERMS 6 0-16 PRODUCT TERMS FROM'-----'---.....36"--~ PIM 72x86 PRODUCT TERM ARRAY 80 PRODUCT TERM ALLOCATOR 0-16 PRODUCT TERMS 0-16 PRODUCT TERMS 16 TO PIM 16 I ... _ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - flash370-2 Figure 2. Logic Block for CY7C371, CY7C373, CY7C375, CY7C377, and CY7C379 (I/O Intensive) .. I ------- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - --, 0-16 PRODUCT~==I==--__+~=+~____! TERMS 6 FROM PIM 0-16 PRODUCT TERMS I 36 72x86 PRODUCT TERM ARRAY 80 PRODUCT TERM ALLOCATOR 0-16 PRODUCT TERMS 0-16 PRODUCT TERMS TO PIM 16 1- 8 _____________________________________________________________ ..I flash370-3 Figure 3. Logic Block for CY7C372, CY7C374, CY7C376, and CY7C378 (Register Intensive) 3-94 - -.;~ PRELIMINARY ~'CYPRESS Product Term Steering Each of these product terms features programmable polarity. This allows the registers to be set or reset based on an AND expression or an OR expression. Clocking of the register is very flexible. Depending on the device, either two or four global synchronous clocks are available to clock the register. Furthermore, each clock features programmable polarity so that registers can be triggered on falling as well as rising edges (see the Dedicated/Clock Inputs section). Clock polarity is chosen at the logic block level. Product term steering is the process of assigning product terms to macrocells as needed. For example, if one macrocell requires ten product terms while another needs just three, the product term allocator will "steer" ten product terms to one macrocell and three to the other. On FLASH370 devices, product terms are steered on an individual basis. Any number between 0 and 16 product terms can be steered to any macrocell. Note that 0 product terms is useful in cases where a particular macrocell is unused or used as an input register. Product Term Sharing At the output of the macrocell, a polarity control mux is available to select active LOW or active HIGH signals. This has the added advantage of allowing significant logic reduction to occur in many applications. Product term sharing is the process of using the same product term among multiple macrocells. For example, if more than one output has one or more product terms in its equation that are common to other outputs, those product terms are only programmed once. The FLASH370 product term allocator allows sharing across groups of four output macro cells in a variable fashion. The software automatically takes advantage of this capability-the user does not have to intervene. Note that greater usable density can often be achieved if the user "floats" the pin assignment. This allows the compiler to group macrocells that have common product terms adjacently. The FLASH370 macrocell features a feedback path to the PIM separate from the I/O pin input path. This means that if the macrocell is buried (fed back internally only), the associated I/O pin can still be used as an input. Buried Macrocell Some of the devices in the FLASH370 family feature additional macrocells that do not feed individual I/O pins. Figure 5 displays the architecture of the I/O and buried macrocells for these devices. The I/O macrocell is identical to the one on devices without buried macrocells. The buried macrocell is very similar to the I/O macrocell. Again, it includes a register that can be configured as combinatorial, a D flip-flop, a T flip-flop, or a latch. The clock for this register has the same options as described for the I/O macrocell. The primary difference between the I/O macrocell and the buried macrocell is that the buried macrocell does not have the ability to output data directly to an I/O pin. One additional difference on the buried macrocell is the addition of input register capability. The buried macrocell can be configured to act as an input register (D-type or latch) whose input comes from the I/O pin associated with the neighboring macrocell. The output of all buried macrocells is sent directly to the PIM regardless of its configuration. Note that neither product term sharing nor product term steering have any effect on the speed of the product. All worst -case steering and sharing configurations have been incorporated in the timing specifications for the FLASH370 devices. FLAsH370MacroceII I/O Macrocell Within each logic block there are 8 or 16 I/O macrocells depending on the device used. Figure 4 illustrates the architecture of the I/O macrocell. The macrocell features a register that can be configured as combinatorial, a D flip-flop, a T flip-flop, or a level-triggered latch. The register can be asynchronously set or asynchronously reset at the logic block level with the separate set and reset product terms. i - - FLASH370 I/O MACROCELL - - - ----- - - - - - - - - - - -- - - - - - -- - - -- - - - - - --I r - - - I I/O CELL - - - - - - - - - - - - - -, "0" 1"1" C5 C6 _____________ J _____________________________________ J FEEDBACK TO PIM ASYNCHRONOUS BLOCK RESET ASYNCHRONOUS BLOCK PRESET FEEDBACK TO PIM flash370-4 2 BANK OE TERMS Figure 4. I/O Macrocell Note: 1. Cl is not used on the CY7C371 and CY7C372 since the mux size is 2:1 3-95 I PRELIMINARY FLASH370 permanently off (input only), or dynamically controlled by one of two OE product terms. FLASH370 I/O Cell The I/O cell on the FLASH370 devices is illustrated along with the I/O macrocell in Figures 4 and 5. The user can program the I/O cell to change the way the three-state output buffer is enabled and/or disabled. Each output can be set permanently on (output only), Dedicated/Clock Inputs Six pins on each member of the FLASH370 family are designated as input-only. There are two types of dedicated inputs on FLASH370 devices: input pins and input/clock pins. Figure 6 illustrates the ar- I/O MACROCELL FROM PTM I/O CELL r - - - "0" 1"1" C5_ _ C6_ _ _ _ _ _ _ _ _ J __ --------------------------- ----------I BURIED MACROCELL i - - FROMPTM C2 C3 _________ 1 FEEDBACK TO PIM FEEDBACK TO PIM FEEDBACK TO PIM ASYNCHRONOUS BLOCK RESET ASYNCHRONOUS BLOCK PRESET flash370-5 2 BANK OE TERMS Figure 5. I/O and Buried Macrocells INPUT PIN TOPIM FROM CLOCK POLARITY MUXES Figure 6. Input Pins Note: 2. C9 is not used on the CY7C371 and CY7C372 since the mux size is 2:1. 3-96 PRELIMINARY FLASH370 TO CLOCK MUX ON ALL INPUT MACROCELLS INPUT/CLOCK PIN ,... - - ~r-----------~--------------,Ir4~ - - - - - - - - - - - - -..., 0 TO CLOCK MUX I I L~a~8~LOCK I L__ ~~~~~~~~ _____ J TOPIM FROM CLOCK POLARITY INPUT CLOCK PINS CLOCK POLARITY MUX ONE PER LOGIC BLOCK FOR EACH CLOCK INPUT flash370-7 Figure 7. Input/Clock Pins Notes: 3. 4. C8 and C9 are not included on the CY7C371 and CY7C372 since each input/clock pin has the other input/clock pin as its clock. CIS and CI6 are not used on the CY7C37I and CY7C372 since there are two clocks. chitecture for input pins. Four input options are available for the user: combinatorial, registered, double-registered, or latched. If a registered or latched option is selected, anyone of the input clocks can be selected for control. Figure 7 illustrates the architecture of input/clock pins. There are either two or four input/clock pins available, depending on the device selected. (The CY7C371 and CY7C372 have two input/clock pins while the other devices have four input/clock pins.) Like the input pins, input/clock pins can be combinatorial, registered, double registered, or latched. In addition, these pins feed the clocking structures throughout the device. The clock path at the input is user-configurable in polarity. The polarity ofthe clock signal can also be controlled by the user. Note that this polarity is separately controlled for input 'registers and output registers. Timing Model One of the most important features of the FLASH370 family is the simplicity of its timing. All delays are worst case and system performance is unaffected by the features used or not used on the parts. Figure 8 illustrates the true timing model for the 8.5-ns devices. For combinatorial paths, any input to any output incurs an 8.5-ns worst-case delay regardless of the amount of logic used. For synchronous systems, the input set-up time to the output macrocells for any input is 5.0 ns and the clock to output time is also 6.0 ns. COMBINATORIAL SIGNAL D ~-------------D 8.5 ns tpD = REGISTERED SIGNAL D_r1~D o CLOCK rt------J ts = 5.0 ns """,, ., teo Figure 8. Timing Model for CY7C371 = 6.0 ns Again, these measurements are for any output and clock, regardless of the logic used. Stated another way, the FLAsH370 features: • no fanout delays • no expander delays • no dedicated vs. I/O pin delays • no additional delay through PIM • no penalty for using 0-16 product terms • no added delay for steering product terms • no added delay for sharing product terms • no routing delays • no output bypass delays The simple timing model of the FLAsH370 family eliminates unexpected performance penalties. Development Software Support Warp2 Wmp2 is a state-of-the-art VHDL compiler for designing with Cypress PLDs and PROMs. Wmp2 utilizes a proper subset of IEEE 1076 VHDL as its Hardware Description Language (HDL) for design entry. VHDL provides a number of significant benefits for the design entry. VHDL provides a number of significant benefits for the design engineer. Wmp2 accepts VHDL input, synthesizes and optimizes the entered design, and outputs a JEDEC map for the desired device. For simulation, Wmp2 provides the graphical waveform simulator called Nova. VHDL (VHSIC Hardware Description Language) is an open, powerful, non-proprietary language that is a standard for behavioral design entry and simulation. It is already mandated for use by the Department of Defense and supported by every major vendor of CAE tools. VHDL allows designers to learn a single language that is useful for all facets of the design process. Walp3 Warp3 is a sophisticated design tool that is based on the latest version of ViewLogic's CAE design environment. Warp3 features schematic capture (ViewDraw), VHDL waveform simulation (ViewSim), a VHDL debugger, and VHDL synthesis, all inte- 3-97 I PRELIMINARY grated in a graphical design environment. Warp3 is available on PCs using Windows® 3.1 or subsequent versions and on Sun workstations. Third·Party Software Cypress maintains a very strong commitment to third-party design software vendors. All major third-party software vendors (including ABEL LOG/iC CUPL and Minc) will provide support for the FLAsH370 family of devices. To expedite this support, Cypress supplies vendors with all pertinent architectural information as well as design fitters for our products. 1M , 1M , 1M , FLASH370 Programming The QuickPro II and Impulse3 device programmers from Cypress will program all Cypress PLDs, CPLDs, and PROMs. Both units are standalone programmers that connect to any IBM-compatible PC via the printer port. 1M 1M Third·Party Programmers As with development software, Cypress strongly supports thirdparty programmers. Allmajorthird-partyprogrammers(including Data I/O, Logical Devices, Minato, SMS, and Stag) will support the FLASH370 family. Document #: 38-00215-B Wmp2, Warp3, FLASH370, Impulse3 and QuickPro II are trademarks of Cypress Semiconductor Corporation. ViewSim and ViewDraw are trademarks of ViewLogic. ABEL is a trademark of Data I/O Corporation. LOG/iC is a trademark of Isdata Corporation. CUPL is a trademark of Logical Devices, Inc. Windows is a registered trademark of Microsoft Corporation. 3-98 -:~ ; CYPRESS 32-Macrocell Flash CPLD Features Functional Description • 32 macrocells in two logic blocks The CY7C371 is a Flash erasable Complex Programmable Logic Device (CPLD) and is part of the FLASH370'" family of highdensity, high-speed CPLDs. Like all members of the FLASH370 family, the CY7C371 is designed to bring the ease of use and high performance of the 22VlO to highdensity CPLDs. • 321/0 pins • 6 dedicated inputs including 2 clock pins • No hidden delays • High speed - fMAX 143 MHz -tPD= 8.5 ns -ts = 5 ns -teo = 6ns • Electrically alterable FLASH technology • Available in 44-pin PLCC and CLCC packages • Pin compatible with the CY7C372 = CY7C371 PRELIMINARY (PIM). The PIM brings flexibility, routability, speed, and a uniform delay to the interconnect. Like all members of the FLASH370 family, the CY7C371 is rich in I/O resources. Each macrocell in the device features an associated I/O pin, resulting in 321/0 pins on the CY7C371. In addition, there are four dedicated inputs and two input/clock pins. The 32 macrocells in the CY7C371 are divided between two logic blocks. Each logic block includes 16 macrocells, a 72 x 86 product term array, and an intelligent product term allocator. Finally, the CY7C371 features a very simple timing model. Unlike other high-density CPLD architectures, there are no hidden speed delays such as fanout effects, interconnect delays, or expander delays. Regardless of the number of resources used or the type of application, the timing parameters on the CY7C371 remain the same. The logic blocks in the FLASH370 architecture are connected with an extremely fast and predictable routing resource-the Programmable Interconnect Matrix Logic Block Diagram CLOCK INPUTS 2 INPUT/CLOCK MACROCELLS INPUT MACROCELLS 2 2 PIM 72 72 16 16 16 16 7c371-1 Selection Guide 7C371-143 7C371-110 7C371-83 Maximum Propagation Delay, tpD (ns) 8.5 10 12 15 Maximum Operating Current, ICC2 (rnA) Conditions 240 180 180 180 230 230 220 175 175 175 220 220 Maximum Standby Current, ICCl (rnA) Conditions Commercial Military Commercial Military Shaded area contams advanced mformatlon. 3-99 7C371-66 PRELIMINARY Product Term Allocator The product term allocator is a dynamic, configurable resource that shifts product terms to macrocells that require them. Any number of product terms between 0 and 16 inclusive can be assigned to any of the logic block macrocells (this is called product term steering). Furthermore, product terms can be shared among multiple macrocells. This means that product terms that are common to more than one output can be implemented in a single Pro9uct term. Product term steering and product term sharing help to increase the effective density of the FLASH370 CPLDs. Note that product term allocation is handled by software and is invisible to the user. I/O Macrocell Each of the macrocells on the CY7C371 has a separate associated I/O pin. The input to the macrocell is the sum of between 0 and 16 product terms from the product term allocator. The macrocell includes a register that can be optionally bypassed. It also has polarity control, and two global clocks to trigger the register. The macrocell also features a separate feedback path to the PIM so that the register can be buried if the I/O pin is used as an input. Programmable Interconnect Matrix The Programmable Interconnect Matrix (PIM) connects the two logic blocks on the CY7C371 to the inputs and to each other. All inputs (including feedbacks) travel through the PIM. There is no speed penalty incurred by signals traversing the PIM. Design Tools Development software for the CY7C371 is available from Cypress's Wa1p2 and Wmp3 software packages. Both of these products are based on the IEEE-standard VHDL language. Cypress also actively supports third-party design tools such as ABEL CUPL ™ , MINC, and LOG/iC'M. Please see the Third Party Tools datasheet for further information. Pin Configuration 1/05 1/0 6 1/0 7 10 11 GND CLKO/12 II0a I/0g 1/0 10 1/0 11 9 10 11 12 13 14 15 16 17 6 5 4 3 2' l' 44 43 42 41 40 39 38 37 36 35 34 33 32 31 30 29 18192021 22232425262728 CY7C371 1/°27 1/0 26 1/°25 1/0 24 CLK1/1 5 GND 14 13 1/°23 1/°22 1/0 21 7c371-2 Logic Block The number of logic blocks distinguishes the members of the FLASH370 family_ The CY7C371 includes two logic blocks_ Each logic block is constructed of a product term array, a product term allocator, and 16 macrocells. Product Term Array The product term array in the FLASH370 logic block includes 36 inputs from the PIM and outputs 86 product terms to the product term allocator. The 36 inputs from the PIM are available in both positive and negative polarity, making the overall array size 72 x 86. This large array in each logic block allows for very complex functions to be implemented in a single pass through the device. TM TM TM , Maximum Ratings Static Discharge Voltage ........................ > 2001 V (per MIL-STD-883, Method 301S) Latch-Up Current ............................ >200 rnA (Above which the useful life may be impaired. For user guidelines, not tested.) Storage Temperature ................... -6SoC to +1S0°C Ambient Temperature with Power Applied ........................ -SsoC to +12SoC Supply Voltage to Ground Potential ......... -O.SV to +7.0V DC Voltage Applied to Outputs in High Z State .......................... -O.5V to +7.0V DC Input Voltage ........................ -O.5V to +7.0V DC Program Voltage .............................. 12.5V Output Current into Outputs (LOW) ............... 16 rnA Operating Range Ambient Temperature O°C to +70°C SV±S% Military[l] -SSOC to + 12SOC SV ± 10% Industrial -40°C to 85°C SV ± 10% Range Commercial Vee AC Test Loads and Waveforms 238Q (GOM'L) 238Q (GOM'L) 319Q (MIL) 5V 5 f l 3 1 9 Q (MIL) 5V D----'\If\/\r---, OUTPUT 0 - - - - - 4 _ - -... OUTPUT 170Q (GOM'L) 236Q (MIL) 5 pF INGLUDING ~lgoAp~D Equivalent to: THEVENIN EQUIVALENT 99Q (GOM'L) 2.08V (GOM'L) 136Q (MIL) OUTPUT o--------vw---- 2.13V (MIL) 170Q (GOM'L) 236Q (MIL) I 7c371-3 -= (b) -= ALL INPUT PULSES 3.0V---90% GND 7c371-4 3-100 PRELIMINARY CY7C371 Electrical Characteristics Over the Operating Rangel 2] Test Conditions Description Parameter Output HIGH Voltage VOH Output LOW Voltage VOL Vee = Min. IOH = -3.2 rnA (Com'l/lnd) Vee = Min. IOL = 16 rnA (Com'l/Ind) Min. Unit Max. 2.4 V V IOH = - 2.0 rnA (Mil) 0.5 V V IOL = 12 rnA (Mil) VIH Input HIGH Voltage Guaranteed Input Logical HIGH Voltage for all inputs[3] 2.0 7.0 V VIL Input LOW Voltage Guaranteed Input Logical LOW Voltage for all inputs[3] -0.5 0.8 V IIX Input Load Current GNDSVIS Vee -10 +10 flA loz Output Leakage Current GND ~ Vo ~ Vee, Output Disabled -50 +50 flA los Output Short Circuit Current[4,5] Vee = Max., VOUT = O.SV -30 -90 rnA leC! Power Supply Current (Standby) Vee = Max., lOUT = 0 rnA, f = 0 mHz, VIN = GND, vecl 6] Com'l 175 rnA Mil 220 Power Supply Current[5] VI = Vee or GND, f = 1 MHzloJ Com'l 180 Mil 230 lee2 rnA Capacitance[5] Max. Unit CIN Input Capacitance VIN = 2.0V at f=1 MHz 10 pF COUT Output Capacitance VOUT = 2.0V at f = 1 MHz 12 pF Parameter Description Test Conditions Endurance Characteristics[5] Description Test Conditions Minimum Reprogramming Cycles Parameter Vx tER(-) 1.5V tER(+) 2.6V tEA(+) OV tEA(-) Output Waveform-Measurement Level VOH 0.5V VOL Vx Vthc Normal Programming Conditions Vx t t: O.5V ~ 1.5V ~ O.5V Vx ~ ~ 7c371-5 Vx 7c371-6 VOH 7c371-7 t t: VOL 7c371-8 (a) Test Waveforms Notes: 1. TA is the "instant on" case temperature. 2. See the last page of this specification for Group A subgroup testing information. 3. These are absolute values with respect to device ground. All overshoots due to system or tester noise are included. 4. Not more than one output should be tested at a time. Duration of the short circuit should not exceed 1 second. VOUT = O.5V has been chosen to avoid test problems caused by tester ground degradation. 5. 6. 3-101 Tested initially and after any design or process changes that may affect these parameters. Measured with loadable, 16-bit up/down counter programmed into each logic block. E PRELIMINARY CY7C371 Switching Characteristics Over the Operating Range[7] Description Parameter 7C371-143 7C371-110 Min. Min. Max. 7C371-83 Max. Min. Max. 7C371-66 Min. Max. Unit Combinatorial Mode Parameters tpD Input to Combinatorial Output tPDL Input to Output Through Transparent Input or Output Latch tpDLL Input to Output Through Transparent Input and Output Latches Input to Output Enable Input to Output Disable tER Input Registered/Latched Mode Parameters Clock or Latch Enable Input LOW Timel5] tWL Clock or Latch Enable Input HIGH Timd5] tWH tEA tIS tIH Input Register or Latch Set-Up Time tlCO Input Register or Latch Hold Time Input Register Clock or Latch Enable to Combinatorial Output tlCOL Input Register Clock or Latch Enable to Output Through Transparent Output Latch 10 12 15 ns 13 18 22 ns 13.5 15 20 24 ns 13 13 14 14 19 24 19 24 ns ns 2.5 3 5 6 2.5 2 3 2 5 3 2 2 3 6 4 4 Output Registered/Latched Mode Parameters Clock or Latch Enable to Output tco ts 8.5 11.5 ns ns ns ns 12.5 14 19 24 ns 14.5 16 21 26 ns 12 ns 6.5 6 10 Set-Up Time from Input to Clock or Latch Enable Register or Latch Data Hold Time Output Clock or Latch Enable to Output Delay (Through Memory Array) 5 6 10 12 0 0 0 0 tscs Output Clock or Latch Enable to Output Clock or Latch Enable (Through Memory Array) 7 9 12 15 ns tscs2 Output Clock Through Array to Output Clock (2-Pass Delay)[5] 13 16.5 21 27 ns tSL Set-Up Time from Input Through Transparent Latch to Output Register Clock or Latch Enable 9 10 12 15 ns tHL Hold Time for Input Through Transparent Latch from Output Register Clock or Latch Enable 0 0 0 0 ns fMAXl Maximum Frequency with Internal Feedback (Least of 1/tscs, 1/(ts + tH), or 1/tCO)[5] 143 111 83.3 66.6 MHz fMAX2 Maximum Frequency Data Path in Output RegisteredlLatched Mode ~Lesser of 1/(tWL + tWH), 1/(ts + tH), or 1/tco)[ ] Maximum Frequency with external feedback (Lesser of 1/(tco + ts) and 1/(tWL + tWH))[5] 166.7 153.8 100 83.3 MHz 91 80 50 41.6 MHz 0 0 0 0 ns tH tC02 fMAX3 tOH-tIH 37x 14 12 Output Data Stable from Output clock Minus Input Register Hold Time for 7C37x[5, 8] ns 24 19 ns ns Pipelined Mode Parameters tICS fMAX4 7 9 12 15 ns 125 111 76.9 62.5 MHz Input Register Clock to Output Register Clock Maximum Frequency in Pipelined Mode (Least of l/(tco + tIS), 1/t ICS, 1/(tWL + tWH), 1/(tIS + tIH), or 1/tscs) Shaded area con tams advanced mformatlOn. Note: 7. All AC parameters are measured with 16 outputs switching. 8. 3-102 This specification is intended to guarantee interface compatibility of the other members of the FLAsH370 family with the CY7C371. This specification is met for the devices operating at the same ambient temperature and at the same power supply voltage. PRELIMINARY CY7C371 Switching Characteristics Over the Operating Range[7] (continued) 7C371-143 Description Parameter 7C371-110 Min. Max. Min. Max. 7C371-83 7C371-66 Min. Max. Min. Max. Unit Reset/Preset Parameters tRW Asynchronous Reset Widthl 5 j 8 10 15 20 ns tRR Asynchronous Reset Recovery Timel5] 10 12 17 22 ns tRO tpw tpR Asynchronous Reset to Output Asynchronous Preset Widthl 5J Asynchronous Preset Recovery Timel)j 8 tpo Asynchronous Preset to Output Power-On Resetl5 j tpOR 15 17 10 12 10 21 16 14 14 1 16 1 26 20 22 21 1 ns ns ns 26 1 ns IlS Shaded area contams advanced mformatlOn. Switching Waveforms Combinatorial Output E INPUT COMBINATORIAL OUTPUT 7c371-9 Registered Output INPUT CLOCK REGISTERED OUTPUT E,s t_HJ----""-t-~~: ---------------------><~~-Jk========= _____JI4---.. .---tW__ H tW_L------+I}- -tf4--____ CLOCK 70371-10 Latched Output INPUT t8---"-LATCH ENABLE LATCHED OUTPUT ~j_ ":1: ===~~~><~~Z~)K------------~><~~ 3-103 7c371-11 PRELIMINARY ?cYPRESS CY7C371 Switching Waveforms (continued) Registered Input REGISTERED INPUT tiS tlH INPUT REGISTER CLOCK tlOO~ XX COMBINATORIAL OUTPUT CLOCK J tWH t tWL } 7c371·12 Input Clock to Output Clock REGISTERED INPUT X t=;cs~ INPUT REGISTER CLOCK OUTPUT REGISTER CLOCK 7c371·13 Latched Input LATCHED INPUT tlH tiS LATCH ENABLE ;:i= tPDl~ COMBINATORIAL OUTPUT LATCH ENABLE XX J XX tWH t 3-104 tWL } 7c371·14 PRELIMINARY CY7C371 Switching Waveforms (continued) Latched Input and Output LATCHED INPUT LATCHED OUTPUT tHL INPUT LATCH ENABLE tiCS OUTPUT LATCH ENABLE LATCH ENABLE J tWH t tWL } E 7c371-15 Asynchronous Reset tRW INPUT REGISTERED OUTPUT ~RJ CLOCK 7c371-16 Asynchronous Preset tpw INPUT REGISTERED OUTPUT ~RJ CLOCK 7c371-17 3-105 ~~YPRESS PRELIMINARY CY7C371 Switching Waveforms (continued) Power-Up Reset Waveform ~~9~0-'%----------------------------VCC POWER 10% SUPPLY VOLTAGE ------~ ~------------------------------tpOR--------------~ --------f--+--------.r'___ REGISTERED "'ft""....,.,~7Ir""_'O!~__+..,..--------ACTIVE LOW OUTPUTS _ _ _ _ _ _~~-------4~'-~~~~~~~ CLOCK tpOR MAX = 1 ~s 7c371-18 Output Enable/Disable INPUT OUTPUTS 7c371-19 Ordering Information Speed (MHz) Ordering Code Package Name Package1Ype Operating Range 143 CY7C371-143JC J67 44-Lead Plastic Leaded Chip Carrier Commercial 110 CY7C371-110JC J67 44-Lead Plastic Leaded Chip Carrier Commercial 83 CY7C371-83JC J67 44-Lead Plastic Leaded Chip Carrier Commercial CY7C371-83JI J67 44-Lead Plastic Leaded Chip Carrier Industrial CY7C371-83YMB Y67 44-Lead Ceramic Leaded Chip Carrier Military CY7C371-66JC J67 44-Lead Plastic Leaded Chip Carrier Commercial CY7C371-66JI J67 44-Lead Plastic Leaded Chip Carrier Industrial CY7C371-66YMB Y67 44-Lead Ceramic Leaded Chip Carrier Military 66 Shaded areas contam advanced mformatlOn. MILITARY SPECIFICATIONS Group A Subgroup Testing DC Characteristics Switching Characteristics Parameter Subgroups Parameter Subgroups VOH 1,2,3 tpD 9,10,11 VOL 1,2,3 tco 9,10,11 Vm 1,2,3 tICO 9,10,11 VIL 1,2,3 ts 9,10,11 IIX 1,2,3 tH 9,10,11 loz 1,2,3 tIS 9,10,11 IcC! 1,2,3 tm 9,10,11 tICS 9,10,11 Document #: 38-00212- D ABEL is a trademark of Data I/O Corporation. LOG/iC is a trademark of Isdata Corporation. CUPL is a trademark of Logical Devices Incorporated. FLASH370, Wafp2, and Wafp3 are trademarks of Cypress Semiconductor Corporation. 3-106 CY7C372 PRELIMINARY 64-Macrocell Flash CPLD Features Functional Description • 64 macrocells in four logic blocks • 32 I/O pins • 6 dedicated inputs including 2 clock pins • No hidden delays • High speed - fMAX = 125 MHz -tpD = 10 ns -ts = 5.5 ns -teo = 6.5 ns • Electrically alterable Flash technology • Available in 44-pin PLCC and CLCC packages • Pin compatible with the CY7C371 The CY7C372 is a Flash erasable Complex Programmable Logic Device (CPLD) and is part of the FLASH370 family of highdensity, high-speed CPLDs. Like all members ofthe FLASH370 family, the CY7C372 is designed to bring the ease of use and high performance of the 22VlO to highdensity PLDs. (PIM). The PIM brings flexibility, routability, speed, and a uniform delay to the interconnect. Like all members of the FLASH370 family, the CY7C372 is rich in I/O resources. Every two macrocells in the device feature an associated I/O pin, resulting in 321/0 pins on the CY7C372. In addition, there are four dedicated inputs and two input/clock pins. 1M The 64 macrocells in the CY7C372 are divided between four logic blocks. Each logic block includes 16 macro cells, a 72 x 86 product term array, and an intelligent product term allocator. The logic blocks in the FLASH370 architecture are connected with an extremely fast and predictable routing resource-the Programmable Interconnect Matrix Fin.ally, the CY7C372 features a very simple timing model. Unlike other high-density CPLD architectures, there are no hidden speed delays such as fanout effects, interconnect delays, or expander delays. Regardless of the number of resources used. or the type of application, the timing parameters on the CY7C372 remain the same. Logic Block Diagram INPUT MACROCELLS 72 16 72 16 16 16 7c372-1 Selection Guide 7C372-125 7C372-100 7C372-83 10 12 15 20 250 250 250 250 300 300 280 280 Maximum Propagation Delay tpD (ns) Maximum Standby Current, ICCl (rnA) Maximum Operating Current, Icc2 (rnA) Commercial Military Commercial Military Shaded are a con tams advanced mformatlOn. 3-107 7C372-66 280 280 330 330 E PRELIMINARY term steering). Furthermore, product terms can be shared among multiple macrocells. This means that product terms that are common to more than one output can be implemented in a single product term. Product term steering and product term sharing help to increase the effective density of the FLAsH370 PLDs. Note that product term allocation is handled by software and is invisible to the user. Pin Configuration 1/05 1/°6 1/0 7 10 11 GND CLKO/12 I/Oa 1/09 1/010 I/O" 6 5 4 3 2, l' 44 43 42 41 40 39 9 10 11 12 13 14 15 16 17 35 34 33 32 31 30 29 18192021 22232425262728 CY7C372 1/027 1/026 1/025 I/O Macrocell Half of the macrocells on the CY7C372 have separate I/O pins associated with them. In other words, each I/O pin is shared by two macrocells. The input to the macrocell is the sum of between 0 and 16 product terms from the product term allocator. The macrocell includes a register that can be optionally bypassed. It also has polarity control, and two global clocks to trigger the register. The I/O macrocell also features a separate feedback path to the PIM so that the register can be buried if the I/O pin is used as an input. 1/°24 CLK1/1 5 GND 14 13 1/023 1/022 1/°21 Buried Macrocell 7c372-2 Functional Description (continued) Logic Block The number of logic blocks distinguishes the members of the FLASH370 family. The CY7C372 includes four logic blocks. Each logic block is constructed of a product term array, a product term allocator, and 16 macro cells. Product Term Array The product term array in the FLASH370 logic block includes 36 inputs from the PIM and outputs 86 product terms to the product term allocator. The 36 inputs from the PIM are available in both positive and negative polarity, making the overall array size 72x 86. This large array in each logic block allows for very complex functions to be implemented in a single pass through the device. Product Term Allocator The product term allocator is a dynamic, configurable resource that shifts product terms to macrocells that require them. Any number of product terms between 0 and 16 inclusive can be assigned to any of the logic block macrocells (this is called product The buried macrocell is very similar to the I/O macrocell. Again, it includes a register that can be configured as combinatorial, as a D flip-flop, a T flip-flop, or a latch. The clock for this register has the same options as described for the I/O macrocell. One difference on the buried macrocell is the addition of input register capability. The user can program the buried macrocell to act as an input register (D-type or latch) whose input comes from the I/O pin associated with the neighboring macrocell. The output of all buried macrocells is sent directly to the PIM regardless of its configuration. Programmable Interconnect Matrix The Programmable Interconnect Matrix (PIM) connects the four logic blocks on the CY7C372 to the inputs and to each other. All inputs (including feedbacks) travel through the PIM. There is no speed penalty incurred by signals traversing the PIM. Development Tools Development software for the CY7C372 is available from Cypress's Wmp21M and Wmp3 1M software packages. Both of these products are based on the IEEE standard VHDL language. Cypress also supports third-party vendors such as ABEL ,CUPL and LOG/iC Please contact your local Cypress representative for further information. TM 1M , TM. Maximum Ratings (Above which the useful life may be impaired. For user guidelines, not tested.) Storage Temperature ................... -65°C to +150°C Ambient Temperature with Power Applied ........................ -55°C to + 125°C Supply Voltage to Ground Potential ... , ..... -0.5V to +7.0V DC Voltage Applied to Outputs in High Z State .......................... -O.5V to + 7.0V DC Input Voltage ........................ -O.5V to +7.0V DC Program Voltage .............................. 12.5V Output Current into Outputs ...................... 16 rnA Static Discharge Voltage ........................ > 2001 V (per MIL-STD-883, Method 3015) Latch-Up Current ............................ >200 rnA Operating Range Range Commercial Military[l] Ambient Temperature O°C to +70°C Vee 5V±5% -55°C to + 125°C 5V ± 10% Note: 1. TA is the "instant on" case temperature. 3-108 ~ . ~YPRESS PRELIMINARY CY7C372 Electrical Characteristics Over the Operating Range[2] 7C372 Description Parameter Test Conditions Min. Max. Unit 2.4 VOH Output HIGH Voltage Vee = Min. IOH = -3.2 rnA (Com'l/Ind) VOL Output LOW Voltage Vee = Min. IOL = 16 rnA (Com'l/Ind) VIR Input HIGH Voltage Guaranteed Input Logical HIGH Voltage for all Inputs[3] VIL Input LOW Voltage IIX Input Load Current Ioz Output Leakage Current los Ieel V V IOH = -2.0 rnA (Mil) 0.5 V 2.0 7.0 V Guaranteed Input Logical LOW Voltage for all Inputs[3] -0.5 0.8 V GND~VI~Vee -10 +10 !J.A GND ~ Va ~ Vee, Output Disabled -50 +50 !J.A Output Short Circuit Current[4, 5] Vee = Max., VOUT = O.5V -30 -90 rnA Power Supply Current (Standby) Vee = Max., lOUT = 0 rnA, f = 0 mHz, VIN = GND, Vee Com'l 250 rnA Mil 300 Power Supply Current[5] VI = Vee or GND, f = 40 MHz Com'l 280 Mil 330 V IOL = 12 rnA (Mil) Iee2 rnA Capacitance[5] Max. Unit CIN Parameter Input Capacitance VIN = 2.0V at f= 1 MHz 10 pF COUT Output Capacitance VOUT = 2.0Vat f = 1 MHz 12 pF Description Test Conditions Endurance Characteristics[5] Description Minimum Reprogramming Cycles Test Conditions Normal Programming Conditions Notes: 2. See the last page of this specification for Group A subgroup testing information. 3. These are absolute values with respect to device ground. All overshoots due to system or tester noise are included. TI AC Test Loads and Waveforms OUTP~~ 238Q (com'l) 319Q 5V (mil) 35 F p INCLUDING JIG AND SCOPE .I OUTPUT 170Q (com' I) 236Q (mil) - 4. S. Not more than one output should be tested at a time. Duration of the short circuit should not exceed 1 second. VOUT = O.SV has been chosen to avoid test problems caused by tester ground degradation. Tested initially and after any design or process changes that may affect these parameters. 5n 238Q (com'I) 319Q (mil) 5 PF.I INCLUDING JIG AND SCOPE - (a) Equivalent to: OUTPUT THEVENIN EQUIVALENT 99Q (com' I) 136Q (mil) 2.08V (com'l) 2.13V (mil) o-----vw---o 170Q (com'I) . 236Q (mil) - 7c372-3 (b) ALL INPUT PULSES 3.0V---GND .:5.2 ns 7c372-4 3-109 PRELIMINARY CY7C372 Switching Characteristics Over the Operating Rangef6] Parameter Description 7C372-125 7C372-100 Min. Max. Min. Max. 7C372-83 7C372-66 Min. Max. Min. Max. Unit Combinatorial Mode Parameters tpD Input to Combinatorial Output 10 12 15 20 ns tpDL Input to Output Through Transparent Input or Output Latch 13 15 18 22 ns tPDLL Input to Output Through Transparent Input and Output Latches 15 16 19 24 ns tEA Input to Output Enable 14 16 19 24 ns 14 16 19 24 ns Input to Output Disable tER Input Registered/Latched Mode Parameters Clock or Latch Enable Input LOW Timef5] tWL Clock or Latch Enable Input HIGH Timd5] tWH 3 3 4 5 ns 3 3 4 5 ns tIS Input Register or Latch Set-Up Time 2 2 3 4 ns tm Input Register or Latch Hold Time 2 2 3 4 ns tIeo Input Register Clock or Latch Enable to Combinatorial Output 14 16 19 24 ns tIeoL Input Register Clock or Latch Enable to Output Through Transparent Output Latch 16 18 21 26 ns 10 ns Output Registered/Latched Mode Parameters teo Clock or Latch Enable to Output ts Set-Up Time from Input to Clock or Latch Enable 6.5 tH Register or Latch Data Hold Time teo2 Output Clock or Latch Enable to Output Delay (Through Memory Array) tses Output Clock or Latch Enable to Output Clock or Latch Enable (Through Memory Array) 8 10 12 15 ns tSL Set-Up Time from Input Through Transparent Latch to Output Register Clock or Latch Enable 10 12 15 20 ns tHL Hold Time for Input Through Transparent Latch from Output Register Clock or Latch Enable 0 0 0 0 ns fMAXl Maximum Frequency with Internal Feedback in Output Registered Mode (Least of 1/tses, 1/(ts + tH), or 1/teo)[5] 125 100 83 66 MHz fMAX2 Maximum Frequency Data Path in Output Registered/Latched Mode ~Lesser of 1/(tWL + tWH), 1/(ts + tH), or 1/tco)[ ] 153.8 153.8 125 100 MHz fMAX3 Maximum Frequency with External Feedback (Lesser of 1/(teo + ts) and 1/(tWL + tWH))[5] 83.3 77 62.5 50 MHz tOH-tm 37x Output Data Stable from Output clock Minus Input Register Hold Time for 7C37x[5, 7] 0 0 0 0 ns 6.5 8 5.5 6.5 8 10 0 0 0 0 16 14 19 ns ns 24 ns Pipelined Mode Parameters tICS 8 10 12 15 ns 125 100 83.3 66.6 MHz Input Register Clock to Output Register Clock Maximum Frequency in Pipelined Mode (Least of 1/(teo + t~), 1/tIes, 1/(tWL + tWH), 1/(tIS + tm), or 1/tses)[ Shaded area contams advanced mformatlOn. fMAX4 Note: 6. All AC parameters are measured with 16 outputs switching. 7. 3-110 This specification is intended to guarantee interface compatibility of the other members of the FLASH370 family with the CY7C372. This specification is met for the devices operating at the same ambient temperature and at the same power supply voltage. PRELIMINARY =::... rcYPRESS CY7C372 Switching Characteristics Over the Operating Rangel 6] (continued) 7C372-125 Parameter 7C372-100 7C372-83 7C372-66 Min. Max. Min. Max. Min. Max. Min. Max. Description Unit ResetJPreset Parameters tRW Asynchronous Reset Widthl5 J 10 12 15 20 tRR Asynchronous Reset Recovery Timel'J 12 14 17 22 tRO tpw Asynchronous Reset to Output Asynchronous Preset WidthF' J 10 tpR Asynchronous Preset Recovery Time l5J 12 tpo Asynchronous Preset to Output Power-On Resetl 5J tpOR 18 16 21 15 17 12 14 1 ns 26 22 1 ns ns ns 20 21 18 1 16 ns 26 1 ns !ls Shaded area contams advanced mformatlOn. Switching Waveforms Combinatorial Output INPUT COMBINATORIAL OUTPUT 7c372-5 Registered Output INPUT CLOCK REGISTERED OUTPUT CLOCK E~ t_Hf~' t-:~: ---------------------><~~-)k========= J t tWH tWL } 7c372-6 Latched Output INPUT ts tH LATCH ENABLE LATCHED OUTPUT ':1 > <~~-)k========= _;-tw-H-tol+---_tw--------'L~}70372-8 Input Clock to Output Clock REGISTERED INPUT ----~><--------------------------- INPUT REGISTER CLOCK OUTPUT REGISTER CLOCK 70372-9 Latched Input LATCHED INPUT LATCH ENABLE COMBINATORIAL OUTPUT ____ _ ____ tP~~ tl:~ ~><~~~)k----------~><--~ _;-tw-H-t~~tw_L----..I}LATCH ENABLE 70372-10 3-112 --. -'i~ PRELIMINARY 'CYPRESS CY7C372 Switching Waveforms (continued) Latched Input and Output LATCHED INPUT tpDLL LATCHED OUTPUT 14---tSL INPUT LATCH ENABLE OUTPUT LATCH ENABLE I LATCH ENABLE 7c372-11 Asynchronous Reset 1 4 - - - - - tRW - - - - - . 1 INPUT REGISTERED OUTPUT CLOCK 7c372-12 Asynchronous Preset 1 4 - - - - - tpw - - - - - I INPUT REGISTERED OUTPUT CLOCK 7c372-13 3-113 PRELIMINARY CY7C372 Switching Waveforms (continued) Power-Up Reset Waveform POWER SUPPLY VOLTAGE ~~9~0~%~---------------------------------------------VCC 10% ------...::Ir" 1+------- tpOR ------~~ REGISTERED ACTIVE LOW OUTPUTS ----------~~-------'~~~~~~~~~ CLOCK tpOR MAX = 1 !-Is 70372-14 Output Enable/Disable INPUT OUTPUTS 7c372-15 Ordering Information Speed (MHz) Ordering Code Package Name Package 1Ype Operating Range 125 CY7C372-125JC J67 44-Lead Plastic Leaded Chip Carrier Commercial 100 CY7C372-100JC J67 44-Lead Plastic Leaded Chip Carrier Commercial 83 CY7C372-83JC J67 44-Lead Plastic Leaded Chip Carrier Commercial CY7C372-83YMB Y67 44-Lead Ceramic Leaded Chip Carrier Military CY7C372-66JC J67 44-Lead Plastic Leaded Chip Carrier Commercial CY7C372-66YMB Y67 44-Lead Ceramic Leaded Chip Carrier Military 66 Shaded areas contam advanced mformatlOn. MILITARY SPECIFICATIONS Group A Subgroup Testing DC Characteristics Switching Characteristics Parameter Subgroups Parameter Subgroups VOH 1,2,3 tpD 9,10,11 VOL 1,2,3 tco 9,10,11 VIR 1,2,3 tlCO 9,10,11 VIL 1,2,3 ts 9,10,11 IIX 1,2,3 tH 9,10,11 Ioz 1,2,3 tIS 9,10,11 Icc 1,2,3 tIR 9,10,11 tICS 9,10,11 Document #: 38-00213-B FLASH370, Warp2, and Warp3 are trademarks of Cypress Semiconductor Corporation. ABEL is a trademark of Data I/O Corporation. LOG/iC is a trademark of Isdata Corporation. CUPL is a trademark of Logical Devices Incorporated. 3-114 CY7C373 PRELIMINARY 64-Macrocell Flash CPLD den speed delays such as fanout effects, interconnect delays, or expander delays. Regardless of the number of resources used or the type of application, the timing parameters on the CY7C373 remain the same. is designed to bring the ease of use and high performance of the 22VI0 to highdensity CPLDs. Features • 64 macrocells in four logic blocks • 64 I/O pins • 6 dedicated inputs including 4 clock pins • No hidden delays • High speed - fMAX = 125 MHz -tPD = 10 ns -ts = 5.5 ns -teo = 6.5 ns • Electrically alterable Flash technology • Available in 84-pin PLCC, CLCC, and PGA and 100-pin TQFP packages • Pin compatible with the CY7C374 The 64 macrocells in the CY7C373 are divided between four logic blocks. Each logic block includes 16 macrocells, a 72 x 86 product term array, and an intelligent product term allocator. Logic Block The number of logic blocks distinguishes the members of the FLASH370 family. The CY7C373 includes four logic blocks. Each logic block is constructed of a product term array, a product term allocator, and 16 macrocells. The logic blocks in the FLASH370 architecture are connected with an extremely fast and predictable routing resource-the Programmable Interconnect Matrix (PIM). The PIM brings flexibility, routability, speed, and a uniform delay to the interconnect. Product Tenn Array The product term array in the FLASH370 logic block includes 36 inputs from the PIM and outputs 86 product terms to the product term allocator. The 36 inputs from the PIM are available in both positive and negative polarity, making the overall array size 72 x 86. This large array in each logic block allows for very complex functions to be implemented in single passes through the device. Like all members of the FLASH370 family, the CY7C373 is rich in I/O resources. Every macrocell in the device features an associated I/O pin, resulting in 64 I/O pins on the CY7C373. In addition, there are two dedicated inputs and four input/clock pins. Functional Description The CY7C373 is a Flash erasable Complex Programmable Logic Device (CPLD) and is part of the FLASH370™ family of highdensity, high-speed CPLDs. Like all members ofthe FLASH370 family, the CY7C373 Finally, the CY7C373 features a very simple timing model. Unlike other high-density CPLD architectures, there are no hid- Logic Block Diagram CLOCK INPUTS INPUT MACROCELLS 72 72 PIM 16 16 72 72 16 16 32 32 7C373-1 Selection Guide 7C373-125 Maximum Operating Current, ICC2 (rnA) Commercial 7C373-66 10 12 15 20 250 250 250 300 300 280 280 Military Commercial 7C373-83 250 Maximum Propagation Delay tpD (ns) Maximum Standby Current, ICCI (rnA) 7C373-100 Military Shaded area contams advanced mformatlon. 3-115 280 280 330 330 PRELIMINARY Pin Configurations PGA Bottom View PLCC/CLCC Top View 1/°23 1/°25 1/°26 1/028 1/°31 1/°33 Vee 1/°34 1/°36 1/°37 1/°39 1/°21 GND 1/024 1/°27 1/°30 12 1/°32 1/°35 1/°38 GND 1/°41 1/°20 1/°22 1/°29 Vee GND 1/°40 1/°42 H 1/0,8 1/°19 1/°43 1/044 G CLK1 /1, 1/0 16 GND CLK2 /13 1/046 1/047 1/°17 CLKO /10 Vee Vee 1/045 GND 1/°15 1/014 1/°13 1/°49 1/°48 CLK3 /14 D 1/°12 1/°11 1/°51 1/°50 C 1/°10 1/°8 • 1/054 1/°52 1/°9 GND 1/°6 1/07 1/05 1/04 K I/Oa 1/°9 1/°10 I/O" 1/°'2 1/0,3 1/°'4 1/°'5 CLKO/l o Vee GND CLK1/1, 12 13 14 15 16 17 18 19 20 21 22 23 CY7C373 GND 72 71 70 69 68 67 66 65 64 63 62 61 60 59 58 57 56 55 7C373 1/°'6 1/0,7 I/O,a 1/°'9 1/°20 1/°2' 1/°22 1/023 GND ?~~~~~~~~~~~~~~~~~~~~ 1/0 55 1/054 1/°53 1/°52 1/051 1/°50 1/°49 1/048 CLK3/1 4 GND Vee CLK2/1 3 1/047 1/°46 1/045 1/044 1/°43 1/°42 1/°41 1/°40 7C373-4 A 1/°1 Vee 15 1/°3 1/°0 1/°61 1/°62 1/°59 1/°56 GND 1/°53 1/°2 Vee GND 1/°63 1/°60 1/°58 1/057 1/0 55 10 11 7C373-2 TQFP Top View 10099989796 9594939291 908988 87868584 838281 8079 787776 75 74 73 72 71 70 69 68 67 66 65 64 63 62 61 60 59 58 57 56 55 54 53 52 51 NC GND 1/08 1/09 1/0 10 1/0 11 1/°12 1/°13 1/°14 1/°15 CLKoIlo Vee N/C GND CLK1/11 1/0 15 1/°17 1/°18 1/°19 1/°20 1/°21 1/°22 1/°23 Vee NC 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 ~V~~~~.~M~~~~~~~G~~~~Q~GW 3-116 NC Vee 1/055 1/054 1/°53 1/°52 1/°5' 1/°50 1/°49 1/°48 CLKalI4 GND NC Vee CLK2/13 1/047 1/°46 1/045 1/0 44 1/°43 1/°42 1/°41 1/°40 GND NC 7C373-3 PRELIMINARY Functional Description (continued) Product Tenn Allocator CY7C373 products are based on the IEEE standard VHDL language. Cypress also supports third-party vendors such as ABEL"', CUPL"', and LOG/iC Please contact your local Cypress representative for further information. TM. The product term allocator is a dynamic, configurable resource that shifts product term resources to macrocells that require them. Any number of product terms between 0 and 16 inclusive can be assigned to any of the logic block macrocells (this is called product term steering). Furthermore, product terms can be shared among mUltiple macrocells. This means that product terms that are common to more than one output can be implemented in a single product term. Product term steering and product term sharing help to increase the effective density of the FLASH370 CPLDs. Note that the product term allocator is handled by software and is invisible to the user. I/O Macrocell Each of the macro cells on the CY7C373 has a separate I/O pin associated with it. In other words, each I/O pin is shared by two macrocells. The input to the macrocell is the sum of between 0 and 16 product terms from the product term allocator. The macrocell includes a register that can be optionally bypassed, polarity control over the input sum-term, and two global clocks to trigger the register. The macrocell also features a separate feedback path to the PIM so that the register can be buried if the I/O pin is used as an input. Programmable Interconnect Matrix The Programmable Interconnect Matrix (PIM) connects the four logic blocks on the CY7C373 to the inputs and to each other. All inputs (including feedbacks) travel through the PIM. There is no speed penalty incurred by signals traversing the PIM. Development Tools Development software for the CY7C373 is available from Cypress's Warp2'" and Warp3'" software packages. Both of these Maximum Ratings (Above which the useful life may be impaired. For user guidelines, not tested.) Storage Temperature ................... -65°C to + 150°C Ambient Temperature with Power Applied ........................ -55°C to +125°C Supply Voltage to Ground Potential ......... - 0.5V to + 7.0V DC Voltage Applied to Outputs in High Z State .......................... -O.5V to + 7.0V DC Input Voltage ........................ -O.5V to +7.0V DC Program Voltage .............................. 12.5V Output Current into Outputs ...................... 16 rnA Static Discharge Voltage ........................ > 2001 V (per MIL-STD-883, Method 3015) Latch-Up Current ............................ >200 rnA II Operating Range Range Commercial Military[lj Ambient Temperature O°C to +70°C 5V±5% -55°C to +125°C 5V ± 10% Note: 1. TA is the "instant on" case temperature. 3-117 Vee PRELIMINARY CY7C373 Electrical Characteristics Over the Operating Rangef2] 7C373 Parameter Description Test Conditions Output HIGH Voltage VOH Min. Max. Unit 2.4 IOH = -3.2 rnA (Com'l/Ind) Vee = Min. V t--- IOH = -2.0 rnA (Mil) V VOL Output LOW Voltage Vee = Min. VIH Input HIGH Voltage Guaranteed Input Logical HIGH Voltage for all Inputs[3] 2.0 7.0 VIL Input LOW Voltage Guaranteed Input Logical LOW Voltage for all Inputs[3] -0.5 0.8 V IIX Input Load Current GND~ VI~ -10 +10 !J.A loz Output Leakage Current GND ~ Vo ~ Vee, Output Disabled -50 +50 !J.A los Output Short Circuit Current[4, 5] Vee = Max., VOUT = O.5V -30 -90 rnA leCl Power Supply Current (Standby) Vee = Max., lOUT = 0 rnA, f = 0 mHz, VIN = GND, Vee Com'l 250 rnA Mil 300 lee2 Power Supply Current[5] VI = Vee or GND, f = 40 MHz Com'l 280 Mil 330 IOL = 16 rnA (Com'l/Ind) 0.5 V IOL = 12 rnA (Mil) V Vee V rnA Capacitance[5] Parameter Description Test Conditions Max. Unit CIN Input Capacitance VIN = 2.0V at f=1 MHz 10 pF COUT Output Capacitance VOUT = 2.0V at f = 1 MHz 12 pF Endurance Characteristics Description Minimum Reprogramming Cycles Test Conditions Normal Programming Conditions Notes: 2. 3. See the last page of this specification for Group A subgroup testing information. These are absolute values with respect to device ground. All overshoots due to system or tester noise are included. 39 4. 5. Not more than one output should be tested at a time. Duration of the short circuit should not exceed 1 second. VOUT = O.5V has been chosen to avoid test problems caused by tester ground degradation. Tested initially and after any design or process changes that may affect these parameters. AC Test Loads and Waveforms OUTP~~ , 238Q (GOM'L) 238Q (GOM'L) 319Q (MIL) 35 F P INCLUDING JIG AND SCOPE Equivalent to: 1. - 170Q (GOM'L) 236Q (MIL) (a) THEVENIN EQUIVALENT 99Q (COM'L) 2.08V (COM'L) 136Q (MIL) OUTPUT~ 2.13V(MIL) OUTP: ~::=;:===t ,~,.~~Fi INCLUDING JIG AND SCOPE 1700 (COM'L) 1236Q (MIL) - - (b) 7C373-5 ALL INPUT PULSES 3.0V---90% GND 7C373-6 3-118 3 PRELIMINARY rcYPRESS CY7C373 Switching Characteristics Over the Operating Rangef6] Parameter Description 7C373-125 7C373-100 7C373-83 Min. Min. Min. Max. Min. Max. Combinatorial Mode Parameters Input to Combinatorial Output tpD Max. Max. 7C373-66 Unit 10 12 15 20 ns tpDL Input to Output Through Transparent Input or Output Latch 13 15 18 22 ns tpDLL Input to Output Through TI"ansparent Input and Output Latches 15 16 19 24 ns tEA Input to Output Enable 14 16 16 19 24 ns 19 24 ns Input to Output Disable tER Input Registered/Latched Mode Parameters Clock or Latch Enable Input LOW Time l5J tWL Clock or Latch Enable Input HIGH Time l5 J tWH Input Register or Latch Set-Up Time tIS 14 3 3 4 5 ns 3 2 3 2 4 3 5 4 ns ns tIH Input Register or Latch Hold Time tlCO Input Register Clock or Latch Enable to Combinatorial Output 14 16 19 24 ns tlCOL Input Register Clock or Latch Enable to Output Through Transparent Output Latch 16 18 21 26 ns Output Registered/Latched Mode Parameters Clock or Latch Enable to Output tco ts tH 2 2 6.5 6.5 Set-Up Time from Input to Clock or Latch Enable Register or Latch Data Hold Time 5.5 6.5 10 10 0 0 19 ns ns 24 ns ns Output Clock or Latch Enable to Output Delay (Through Memory Array) tscs Output Clock or Latch Enable to Output Clock or Latch Enable (Through Memory Array) 8 10 12 15 ns tSL Set-Up Time from Input Through Transparent Latch to Output Register Clock or Latch Enable 10 12 15 20 ns tHL Hold Time for Input Through Transparent Latch from Output Register Clock or Latch Enable 0 0 0 0 ns fMAXI Maximum Frequency with Internal Feedback (Least of 1/tscs, 1/(ts + tH), or 1/tcO)[5] 125 100 83 66 MHz fMAX2 Maximum Frequency Data Path in Output Registered/Latched Mode ~Lesser of 1/(tWL + tWH), 1/(ts + tH), or 1/tcO) 5] Maximum Frequency of (2) CY7C373s with External Feedback (Lesser of 1/(tco + ts) and 1/(tWL + tWH»[5] Output Data Stable from Output clock Minus Input Register Hold Time for 7C37x[5, 7] 153.8 153.8 125 100 MHz 83.3 77 62.5 50 MHz 0 0 0 0 ns tOH-tIH 37x 16 ns tC02 fMAX3 14 8 8 0 0 4 3 Pipelined Mode Parameters tICS fMAX4 Input Register Clock to Output Register Clock Maximum Frequency in Pipelined Mode (Least of 1/(tco + t~), 1/t ICS, 1/(tWL + tWH), 1/(tls + tIH), or 1/tscs)[ 8 10 12 15 ns 125 83.3 66.6 50.0 MHz Shaded area contams advanced mformatlOn. Note: 6. All AC parameters are measured with 16 outputs switching. 7. This specification is intended to guarantee interface compatibility of the other members of the FLAsH370 family with the CY7C373. This specification is met for the devices operating at the same ambient temperature and at the same power supply voltage. 3-119 II PRELIMINARY :"rcYPRESS CY7C373 Switching Characteristics Over the Operating Rangd 6) (continued) 7C373-125 Parameter Description Reset/Preset Parameters Asynchronous Reset Widthl:Jj tRW Asynchronous Reset Recovery TimelSj tRR Asynchronous Reset to Output tRO Asynchronous Preset Widthl5 j tpw Asynchronous Preset Recovery Timel5j tpR Asynchronous Preset to Output tpo Power-On Resetl 5j tpOR 7C373-100 7C373-83 7C373-66 Min. Max. Min. Max. Min. Max. Min. Max. 10 12 15 20 12 14 17 22 18 16 21 15 17 12 14 10 12 Unit ns ns 26 ns ns ns 20 22 16 18 21 26 ns 1 1 1 1 I-ls Shaded area contams advanced mformatlOn. Switching Waveforms Combinatorial Output INPUT COMBINATORIAL OUTPUT ______t~~x~x~xx~xi----- 7C373-7 Registered Output INPUT =~-,,~t=_8_ -t:H~ -t CLOCK REGISTERED OUTPUT t-: j : ----------------------><~~~~========== CLOCK 7C373-8 Latched Output INPUT LATCH ENABLE LATCHED OUTPUT tP:~: :~ ==~~~~><~X~_~)k~----------~><~~ 3-120 7C373-9 ~?cYPRESS PRELIMINARY CY7C373 Switching Waveforms (continued) Registered Input REGISTERED INPUT tiS tlH INPUT REGISTER CLOCK 'xXco1 COMBINATORIAL OUTPUT CLOCK J tWH t tWL } 7C373-10 II Input Clock to Output Clock REGISTERED INPUT X INPUT REGISTER CLOCK e,cs} OUTPUT REGISTER CLOCK 7C373-11 Latched Input LATCHED INPUT tiS tlH LATCH ENABLE PDL COMBINATORIAL OUTPUT LATCH ENABLE 1 ":1= XX ' J XX tWH t 3-121 tWL } 7C373-12 PRELIMINARY CY7C373 Switching Waveforms (continued) Latched Input and Output LATCHED INPUT LATCHED OUTPUT INPUT LATCH ENABLE OUTPUT LATCH ENABLE ____tW_L-}____ _J~.....---tW_H-t"--LATCH ENABLE 7C373·13 Asynchronous Reset INPUT REGISTERED OUTPUT CLOCK 7C373·14 Asynchronous Preset .....- - - t p w ---~I INPUT REGISTERED OUTPUT CLOCK 7C373-1S 3-122 · -.;~ PRELIMINARY 'CYPRESS CY7C373 Switching Waveforms (continued) Power-Up Reset Waveform 10% POWER SUPPLY VOLTAGE - - - - - - - - - - - -......." ~9-0-%--------------------------------------------------VCC 14---------- tpOR ----------~ REGISTERED ACTIVE LOW OUTPUTS --------------+-+-------------~~~'-~~'-~~ CLOCK tpOR MAX = 1 f!s 7C373·16 Output Enable/Disable INPUT OUTPUTS 7C373·17 Ordering Information Speed (MHz) 110 100 83 66 Ordering Code Package 1Ype Package 1Ype CY7C373-125AC AlOO 100-Pin Thin Quad Flatpack CY7C373 -125GC G84 84-Pin Grid Array (Cavity Up) CY7C373 -125JC J83 84-Lead Plastic Leaded Chip Carrier CY7C373-l00AC AlOO 100-Pin Thin Quad Flatpack CY7C373-100GC G84 84-Pin Grid Array (Cavity Up) CY7C373-l00JC J83 84-Lead Plastic Leaded Chip Carrier CY7C373-83AC AIOO 100-Pin Thin Quad Flatpack CY7C373-83GC G84 84-Pin Grid Array (Cavity Up) CY7C373-83JC J83 84-Lead Plastic Leaded Chip Carrier CY7C373-83GMB G84 84-Pin Grid Array (Cavity Up) CY7C373-83YMB Y84 84-Pin Ceramic Leaded Chip Carrier CY7C373-66AC AlOO 100-Pin Thin Quad Flatpack CY7C373-66GC G84 84-Pin Grid Array (Cavity Up) CY7C373-66JC J83 84-Lead Plastic Leaded Chip Carrier CY7C373-66GMB G84 84-Pin Grid Array (Cavity Up) CY7C373-66YMB Y84 84-Pin Ceramic Leaded Chip Carrier 3-123 Operating Range Commercial Commercial Commercial Military Commercial Military II PRELIMINARY MILITARY SPECIFICATIONS Group A Subgroup Testing DC Characteristics Parameter Subgroups VOH VOL Switching Characteristics Parameter Subgroups 1,2,3 tpD 9,10,11 1,2,3 tco 9,10,11 VIH 1,2,3 tICO 9,10,11 VIL 1,2,3 ts 9,10,11 IIX 1,2,3 tH 9,10,11 loz 1,2,3 tIS 9,10,11 IcC! 1,2,3 tIH 9,10,11 tICS 9,10,11 Document #: 38-00216- B FLASH370, Wmp2, and Warp3 are trademarks of Cypress Semiconductor Corporation. ABEL is a trademark of Data I/O Corporation. LOG/iC is a trademark of Isdata Corporation. CUPL is a trademark of Logical Devices Incorporated. 3-124 CY7C373 CY7C374 PRELIMINARY 128-Macrocell Flash CPLD Features • 128 macrocells in eight logic blocks • 64 I/O pins • 6 dedicated inputs including 4 clock pins • No hidden delays • High speed - fMAX = 100 MHz -tPD = 12 ns -ts = 7 ns -teo = 7 ns • Electrically Alterable Flash technology • Available in 84-pin PLCC, 84-pin CLCC, 100-pin TQFP, and 84-pin PGA packages • Pin compatible with the CY7C373 Functional Description The CY7C374 is a Flash erasable Complex Programmable Logic Device (CPLD) and is part of the FLASH370"" family of highdensity, high-speed CPLDs. Like all members ofthe FLASH370 family, the CY7C374 is designed to bring the ease of use and high performance of the 22VlO to highdensity CPLDs. The 128macrocellsin the CY7C374 are divided between eight logic blocks. Each logic block includes 16 macrocells, a 72 x 86 product term array, and an intelligent product term allocator. The logic blocks in the FLASH370 architecture are connected with an extremely fast and predictable routing resource-the Programmable Interconnect Matrix (PIM). The PIM brings flexibility, routability, speed, and a uniform delay to the interconnect. Like all members of the FLASH370 family, the CY7C374 is rich in I/O resources. Every two macro cells in the device feature an associated I/O pin, resulting in 641/0 pins on the CY7C374. In addition, there are two dedicated inputs and four input/clock pins. Finally, the CY7C374 features a very simple timing model. Unlike other high-density CPLD architectures, there are no hid- den speed delays such as fanout effects, interconnect delays, or expander delays. Regardless of the number of resources used or the type of application, the timing parameters on the CY7C374 remain the same. Logic Block The number of logic blocks distinguishes the members of the FLASH370 family. The CY7C374 includes eight logic blocks. Each logic block is constructed of a product term array, a producttermallocator, and 16macrocells. Product Term Array The product term array in the FLASH370 logic block includes 36 inputs from the PIM and outputs 86 product terms to the product term allocator. The 36 inputs from the PIM are available in both positive and negative polarity, making the overall array size 72 x 86. This large array in each logic block allows for very complex functions to be implemented in single passes through the device. Logic Block Diagram 81/0s 81/0s 81/0s 81/0s 81/0s 81/0s 81/0s 81/0s 7C374-1 32 32 Selection Guide Maximum Propagation Delay tpD (ns) Maximum Standby Current, ICCl (rnA) Commercial Maximum Operating Current, ICC2 (rnA) Commercial 7C374-100 7C374-83 12 15 20 300 300 300 370 370 330 330 400 400 Military 330 Military 3-125 7C374-66 II PRELIMINARY Pin Configurations PGA Bottom View PLCC/CLCC Top View 1/°8 1/°9 1/°10 1/°11 1/°12 1/°13 1/°14 1/0 15 CLKO/lo Vee GND CLK1/11 1/016 1/°17 1/°18 1/°19 1/°20 1/°21 1/°22 1/°23 GND CY7C374 12 13 14 15 16 17 16 19 20 21 22 23 24 25 26 27 26 29 72 71 70 69 66 67 66 65 64 63 62 61 60 59 56 1/°23 1/°25 1/°26 1/°28 1/°31 1/°33 Vee 1/°34 1/°36 1/°37 1/°39 1/°21 GND 1/°24 1/°27 1/°30 12 1/°32 1/°35 1/°38 GND 1/°41 GND 1/°20 1/0 55 1/054 1/053 H 1/°18 1/°52 1/°51 1/050 G CLK1 /11 1/°49 1/048 CLK3/14 F 1/°17 GND 1/°22 1/°29 Vee GND 1/ 0 40 1/°42 1/°43 1/044 1/°19 1/0,6 GND CLK2 /13 1/046 1/047 CLKO /10 Vee Vee 1/045 GND CLK2/1 3 E 1/0,5 1/047 1/°46 1/045 D 1/0,2 1/044 1/°43 1/042 C 1/°10 1/°41 1/°40 1/°9 1/0,4 1/°13 1/°49 1/048 CLK3 /14 1/°5' 1/°50 1/054 1/°52 1/07 Vee A 1/° 1, 1/°8 • GND 1/°6 1/05 1/04 I/O, Vee 15 1/°3 1/°0 1/°61 1/°62 1/°59 1/°56 GND 1/°53 1/°2 Vee GND 1/°53 1/°60 1/°58 1/ 0 57 1/0 55 7C374-2 10 11 7C374-3 TQFP Top View 10099 9697 96 95 94 93 92 91 9069 66 87 66 65 84 83 62 61 80 79 78 77 76 9 10 11 12 13 14 15 16 17 18 19 75 74 73 72 71 70 69 66 67 W 65 64 63 62 61 60 59 56 57 56 ~ M NC GND 1/°8 1/°9 1/°10 I/O" 1/°12 1/°'3 1/°14 1/0,5 CLKoIlo Vee N/C GND CLK,/I, 1/°'6 1/°17 1/°'8 1/°'9 1/0 20 1/°2, 1/022 1/0 23 m ~ ~ ~ ~ ~ Vee M ~ NC 25 26272829303132333435363738394041424344454647484950 3-126 NC Vee 1/055 1/054 1/°53 1/°52 1/°5' 1/°50 1/°49 1/°48 CLKalI4 GND NC Vee CLK2/13 IP47 1/°46 1/045 1/044 1/°43 1/°42 1/04, 1/040 GND NC 7C374-4 PRELIMINARY . ?cYPRESS Functional Description (continued) Product Tenn Allocator The product term allocator is a dynamic, configurable resource that shifts product terms to macrocells that require them. Any number of product terms between 0 and 16 inclusive can be assigned to any of the logic block macro cells (this is called product term steering). Furthermore, product terms can be shared among multiple macrocells. This means that product terms that are common to more than one output can be implemented in a single product term. Product term steering and product term sharing help to increase the effective density of the FLASH370 CPLDs. Note that product term allocation is handled by software and is invisible to the user. I/O Macrocell Half of the macro cells on the CY7C374 have I/O pins associated with them. The input to the macrocell is the sum of between 0 and 16 product terms from the product term allocator. The I/O macrocell includes a register that can be optionally bypassed, polarity control over the input sum-term, and two global clocks to trigger the register. The macrocell also features a separate feedback path to the PIM so that the register can be buried if the I/O pin is used as an input. Buried Macrocell The buried macro cell is very similar to the I/O macrocell. Again, it includes a register that can be configured as combinatorial, as a D flip-flop, a Tflip-flop, or a latch. The clock for this register has the same options as described for the I/O macrocell. One difference on the buried macrocell is the addition of input register capability. The user can program the buried macrocell to act as an input register (D-type of latch) whose input comes from the I/O pin associated with the neighboring macrocell. The output of all buried macro cells is sent directly to the PIM regardless of its configuration. Programmable Interconnect Matrix The Programmable Interconnect Matrix (PIM) connects the eight logic blocks on the CY7C374 to the inputs and to each other. All CY7C374 inputs (including feedbacks) travel through the PIM. There is no speed penalty incurred by signals traversing the PIM. Development Tools Development software for the CY7C374 is available from Cypress's Wa1p2 and Wa1p3 ™ software packages. Both of these products are based on the IEEE standard VHDL language. Cypress also supports third-party vendors such as ABEL CUPL and LOG/iC Please contact your local Cypress representative for further information. 1M 1M, 1M , 1M. Maximum Ratings (Above which the useful life may be impaired. For user guidelines, not tested.) Storage Temperature ................... -65°C to + 150°C Ambient Temperature with Power Applied ........................ -55°C to + 125°C Supply Voltage to Ground Potential ......... -O.5V to +7.0V DC Voltage Applied to Outputs in High Z State .......................... -O.5V to +7.0V DC Input Voltage ........................ -0.5V to +7.0V DC Program Voltage .............................. 12.5V Output Current into Outputs ...................... 16 rnA Static Discharge Voltage ........................ > 2001 V (per MIL-STD-883, Method 3015) Latch-Up Current ............................ >200 rnA Operating Range Range Commercial Military[l] Ambient Temperature O°C to +70°C 5V±5% -55°C to +125°C 5V ± 10% Note: 1. TA is the "instant on" case temperature. 3-127 Vee I PRELIMINARY CY7C374 Electrical Characteristics Over the Operating Rangd 2] 7C374 Parameter Description Test Conditions VOH Output HIGH Voltage Vee = Min. VOL Output LOW Voltage Vee = Min. Min. = -3.2 rnA (Com'l/Ind) IOH = -2.0 rnA (Mil) IOL = 16 rnA (Com'l/lnd) IOL = 12 rnA (Mil) Max. Unit 2.4 IOH V V 0.5 V V VIH Input HIGH Voltage Guaranteed Input Logical HIGH voltage for all inputs[3] 2.0 7.0 V VIL Input LOW Voltage Guaranteed Input Logical LOW voltage for all inputs[3] -0.5 0.8 V Ilx Input Load Current GND~ VI~ Vee -10 +10 loz Output Leakage Current GND ~ Vo ~ Vee, Output Disabled -50 +50 !lA !lA los Output Short Circuit Current[4,5] Vee -30 -90 rnA leCl Power Supply Current (Standby) Vee = Max., lOUT = 0 rnA, f = 0 mHz, VIN = GND, Vee Com'l 300 rnA Mil 370 Power Supply Currend5] VI Com'l 330 Mil 400 lec2 = Max., VOUT = 0.5V = Vee or GND, f = 40 MHz rnA Capacitance[5] Parameter Description CIN Input Capacitance COUT Output Capacitance Test Conditions Max. Unit 10 pF 12 pF = 2.0V at f = 1 MHz VOUT = 2.0V at f = 1 MHz VIN Endurance Characteristics[5] Description Minimum Reprogramming Cycles Test Conditions Normal Programming Conditions Notes: 2. See the last page of this specification for Group A subgroup testing information. 3. These are absolute values with respect to device ground. All overshoots due to system or tester noise are included. 4. Not more than one output should be tested at a time. Duration ofthe short circuit should not exceed 1 second. VO UT = O.SV has been chosen to avoid test problems caused by tester ground degradation. Tested initially and after any design or process changes that may affect these parameters. 5. AC Test Loads and Waveforms 238Q (COM'L) 238Q (COM'L) OUTP: ~ .~~~~F1 1 1700 (COM'L) 236Q (MIL) INCLUDING JIG AND SCOPE - - (a) THEVENIN EQUIVALENT 99Q (COM'L) 136Q (MIL) 2.08V (COM'L) OUTPUT o-----wv---o 2.13V (MIL) 5V S f 1 3 1 9(MIL) Q OUTPUT 5 pF 170Q (COM'L) 236Q (MIL) I INCLUDING JIG AND SCOPE -= -= (b) Equivalent to: 7C374-5 ALL INPUT PULSES 3.0V---GND 7C374-6 3-128 PRELIMINARY CY7C374 Switching Characteristics Over the Operating Rangel 6] Parameter Description 7C374-66 7C374-100 7C374-83 Min. Max. Min. Max. Min. Max. Unit Combinatorial Mode Parameters tpD Input to Combinatorial Output 12 15 20 tpDL Input to Output Through Transparent Input or Output Latch 15 18 22 ns tpDLL Input to Output Through Transparent Input and Output Latches 16 19 24 ns tEA Input to Output Enable 16 19 24 ns 16 19 24 ns Input to Output Disable tER Input Registered/Latched Mode Parameters Clock or Latch Enable Input LOW TimelS] tWL Clock or Latch Enable Input HIGH TimelS] tWH ns 3 4 5 3 4 5 ns ns tIS Input Register or Latch Set-Up Time 2 3 4 ns tIH Input Register or Latch Hold Time 2 tleo Input Register Clock or Latch Enable to Combinatorial Output 16 19 24 ns tleoL Input Register Clock or Latch Enable to Output Through Transparent Output Latch 18 21 26 ns 10 ns 4 3 ns Output Registered/Latched Mode Parameters teo Clock or Latch Enable to Output ts Set-Up Time from Input to Clock or Latch Enable 7 7 8 10 tH Register or Latch Data Hold Time 0 0 0 teo2 Output Clock or Latch Enable to Output Delay (Through Memory Array) tses Output Clock or Latch Enable to Output Clock or Latch Enable (Through Memory Array) 10 12 15 ns tSL Set-Up Time from Input Through 'D:ansparent Latch to Output Register Clock or Latch Enable 12 15 20 ns tHL Hold Time for Input Through Transparent Latch from Output Register Clock or Latch Enable 0 0 0 ns fMAXl Maximum Frequency with Internal Feedback (Least of 1/tses, 1/(ts + tH), or 1/teo)[S] 100 83 66 MHz fMAX2 Maximum Frequency Data Path in Output Registered/Latched Mode (Lesser of 1/(tWL + tWH), 1/(ts + tH), or 1/tco) 143 125 100 MHz fMAX3 Maximum Frequency with External Feedback (Lesser of 1/(teo + ts) and 1/(tWL + tWH» 71.4 67.5 50 MHz tOH-tIH 37x Output Data Stable from Output clock Minus Input Register Hold Time for 7C37x[S, 7] 0 0 0 ns 10 100 12 83.3 15 66.6 ns MHz 8 16 ns ns 24 19 ns Pipelined Mode Parameters tICS fMAX4 Input Register Clock to Output Register Clock Maximum Frequency in Pipelined Mode (Least of 1/(teo + tIS), 1/tles, 1/(tWL + tWH), 1/(tIS + tIH), or l/tses) Note: 6. 7. All Ae parameters are measured with 16 outputs switching. This specification is intended to guarantee interface compatibility of the other members of the FLASH370 family with the CY7C374. This specification is met for the devices operating at the same ambient temperature and at the same power supply voltage. 3-129 I ~ PRELIMINARY ........rcYPRESS CY7C374 Switching Characteristics Over the Operating Rangef6] (continued) Parameter Description 7C374-100 7C374-83 7C374-66 Min. Max. Min. Max. Min. Max. Unit Reset/Preset Parameters tRW Asynchronous Reset Widthl5J 12 15 20 tRR Asynchronous Reset Recovery Timel.)] 14 17 22 tRO tpw tpR Asynchronous Reset to Output Asynchronous Preset Widthl5] Asynchronous Preset Recovery Time l5 ] tpo Asynchronous Preset to Output Power-On Resetl.)] tpOR 18 12 14 18 20 22 21 1 1 ns 26 21 15 17 ns ns ns ns 26 ns 1 [.ls Switching Waveforms Combinatorial Output INPUT COMBINATORIAL OUTPUT 7C374-7 Registered Output INPUT CLOCK REGISTERED OUTPUT E~ t_Hr____"-t-~~ --------------------~><~2)k========= _JI+----tW~H-tf+---~tW_L-------.t}....--- CLOCK 7C374-8 Latched Output INPUT LATCH ENABLE LATCHED OUTPUT ':-1: ~~ ====><~~Z~)k-----~><~zt= 3-130 7C374-9 PRELIMINARY b7cYPRESS CY7C374 Switching Waveforms (continued) Registered Input REGISTERED INPUT tiS tlH INPUT REGISTER CLOCK ":1 XX COMBINATORIAL OUTPUT CLOCK J tWH t tWL } 7C374-10 EJ Input Clock to Output Clock REGISTERED INPUT X C"CS~ INPUT REGISTER CLOCK OUTPUT REGISTER CLOCK 7C374-11 Latched Input LATCHED INPUT tlH tiS LATCH ENABLE COMBINATORIAL OUTPUT LATCH ENABLE ~:1 XX J q~ XX tWH t 3-131 tWL } 7C374-12 ~?cYPRESS PRELIMINARY CY7C374 Switching Waveforms (continued) Latched Input and Output LATCHED INPUT LATCHED OUTPUT tHL INPUT LATCH ENABLE tiCS OUTPUT LATCH ENABLE LATCH ENABLE J tWH t tWL } 7C374-13 Asynchronous Reset tRW INPUT REGISTERED OUTPUT ~RJ CLOCK 7C374-14 Asynchronous Preset tpw INPUT REGISTERED OUTPUT ~RJ CLOCK 7C374-15 3-132 PRELIMINARY CY7C374 Switching Waveforms (continued) Power-Up Reset Waveform ~~9~0-%--------------------------------------------------VCC POWER 10% SUPPLY VOLTAGE ------~r 1+------- tpOR - - - - - - - - - 1 - t REGISTERED --------------~~------------~~~~~~~~~~~----------------- ACTIVE LOW OUTPUTS ____________ __ ~_+-- --------~~~~-w~~~~ CLOCK tpOR MAX = 1 ~s 7C374-16 Output Enable/Disable INPUT OUTPUTS 7C374-17 Ordering Information Speed (MHz) 100 83 66 Ordering Code Package Name Package 1Ype CY7C374-100AC AlOO 100-Pin Thin Quad Flat Pack CY7C374-100GC G84 84-Pin Grid Array (Cavity Up) CY7C374-100JC J83 84-Lead Plastic Leaded Chip Carrier CY7C374-83AC AlOO 100-Pin Thin Quad Flat Pack CY7C374-83GC G84 84-Pin Grid Array (Cavity Up) CY7C374-83JC J83 84-Lead Plastic Leaded Chip Carrier CY7C374-83GMB G84 84-Pin Grid Array (Cavity Up) CY7C374-83YMB Y84 84-Pin Ceramic Leaded Chip Carrier CY7C374-66AC AIOO 100-Pin Thin Quad Flat Pack CY7C374-66GC G84 84-Pin Grid Array (Cavity Up) 84-Lead Plastic Leaded Chip Carrier CY7C374-66JC J83 CY7C374-66GMB G84 84-Pin Grid Array (Cavity Up) CY7C374-66YMB Y84 84-Pin Ceramic Leaded Chip Carrier 3-133 Operating Range Commercial Commercial Military Commercial Military PRELIMINARY MILITARY SPECIFICATIONS Group A Subgroup Testing DC Characteristics Switching Characteristics Parameter Subgroups Parameter Subgroups VOH 1,2,3 tpD 9,10,11 VOL 1,2,3 tpDL 9,10,11 VIH 1,2,3 tpDLL 9,10,11 VIL 1,2,3 tco 9,10,11 IIX 1,2,3 tICO 9,10,11 Ioz 1,2,3 tICOL 9,10,11 ICC! 1,2,3 ts 9,10,11 ICC2 1,2,3 tSL 9,10,11 tH 9,10,11 tHL 9,10,11 tIS 9,10,11 tIH 9,10,11 tICS 9,10,11 tEA 9,10,11 tER 9,10,11 Document #: 38-00214-C FLASH370, Wa1p2, and Wmp3 are trademarks of Cypress Semiconductor Corporation. ABEL is a trademark of Data I/O Corporation. CUPL is a trademark of Logical Devices Incorporated. LOG/iC is a trademark of Isdata Corporation. 3-134 CY7C374 CY7C375 PRELIMINARY 128-Macrocell Flash CPLD Features Functional Description • 128 macrocells in eight logic blocks • 128 I/O pins • 6 dedicated inputs including 4 clock pins • No hidden delays • High speed - fMAX = 100 MHz -tPD = 12 ns -ts = 7ns -teo = 7ns • Electrically alterable Flash technology • Available in 160-pin TQFP, CQFP, and PGA packages The CY7C375 is a Flash erasable Complex Programmable Logic Device (CPLD) and is part of the FLASH370'" family of highdensity, high-speed CPLDs. Like all members of the FLASH370 family, the CY7C375 is designed to bring the ease of use and high performance of the 22VlO to highdensity PLDs. The 128 macrocells in the CY7C375 are divided between eight logic blocks. Each logic block includes 16 macrocells, a 72 x 86 product term array, and an intelligent product term allocator. The logic blocks in the FLASH370 architecture are connected with an extremely fast and predictable routing resource-the Programmable Interconnect Matrix (PIM). The PIM brings flexibility, routability, speed, and a uniform delay to the interconnect. Like all members ofthe FLASH370 family, the CY7C375 is rich in I/O resources. Every macrocell in the device features an associated I/O pin, resulting in 128 I/O pins on the CY7C375. In addition, there are two dedicated inputs and four input/ clock pins. Finally, the CY7C375 features a very simple timing model. Unlike other high-density CPLD architectures, there are no hidden speed delays such as fanout effects, interconnect delays, or expander delays. Regardless of the number of resources used or the type of application, the timing parameters on the CY7C375 remain the same. Logic Block Diagram CLOCK INPUTS INPUT MACROCELLS 72 72 16 PIM 16 72 72 16 16 72 72 16 16 72 72 16 16 7C375-1 64 64 Selection Guide Maximum Propagation Delay (ns) Maximum Standby Current, ICCl (rnA) Commercial Maximum Operating Current, ICC2 (rnA) Commercial 7C375-100 7C375-83 12 15 20 300 300 300 370 370 330 330 330 400 400 Military Military 3-135 7C375-66 I PRELIMINARY CY7C375 Pin Configurations TQFP/CQFP Top View GND 120 119 118 117 116 115 114 113 112 111 110 109 108 107 106 105 104 103 102 101 100 99 98 97 96 95 94 93 92 91 90 89 88 87 86 85 84 1/°16 1/017 1/018 1/°19 1/0 20 1/021 1/°22 1/°23 GND 1/°24 1/°25 1/026 1/°27 1/°28 1/°29 1/°30 1/°31 CLKoIlo Vee GND CLK1/11 1/°32 1/°33 1/°34 1/°35 1/0 36 1/°37 1/038 1/°39 GND 1/°40 1/°41 1/04 2 1/°43 1/044 1/045 1/°46 1/047 Vee 83 82 81 Vee 1/0111 1/°110 1/0109 1/°108 1/°107 1/0 106 1/°105 1/0 104 GND 1/0103 1/°102 1/°101 1/°100 1/°99 1/°98 1/°97 1/°96 CLK3i14 GND Vee CLK2/13 1/°95 1/°94 1/°93 1/°92 1/°91 I/Ogo 1/°89 1/°88 GND 1/°87 1/°86 1/°85 1/°84 1/°83 1/°82 1/°81 1/°80 GND 7C375-1 3-136 PRELIMINARY CY7C375 Pin Configurations (continued) PGA Bottom View 1/°109 1/0,,2 1/°115 1/0,,8 1/°121 1/0123 1/°126 1/°127 1/°0 1/°3 1/0 5 1/07 1/°10 I/O" 1/°14 1/0106 1/°"0 1/°113 1/°"6 1/0,,9 1/°122 1/°125 GND 1/°1 1/0 4 1/°6 1/°9 1/°13 NC15 1/°16 N 1/°105 1/0108 1/0,,1 15 1/02 GND 1/°8 1/0 12 GND 1/0 17 1/0 19 M 1/°102 1/°104 1/°107 GND Vee GND 1/°18 1/°20 1/°22 K H G D C A 1/°114 1/°117 1/0120 1/°124 Vee Vee 1/°100 1/°101 1/°103 1/021 1/023 1/°25 1/°99 GND 1/°24 1/026 1/°27 1/°96 1/°97 CLK3 /14 Vee Vee 1/°28 1/°29 1/°30 1/°95 GND CLK2 /13 GND GND CLKO /10 GND 1/°31 III 1/°33 1/°32 GND 1/°35 1/°34 1/°39 1/°37 1/°36 Vee 1/°43 1/°40 1/°38 1/°98 Vee Vee 1/°94 1/°93 1/°94 1/°91 1/°90 1/°88 1/°89 1/°87 1/°85 1/°86 1/°84 1/°82 GND 1/°83 1/°81 GND 1/°76 1/°72 1/°80 1/°79 1/077 1/°73 1/°78 1/075 1/074 1/°71 • CLK1 Vee GND Vee GND 1/°66 12 1/°60 1/°56 1/0 53 1/°50 1/0 47 1/044 1/°41 1/°70 1/°68 1/°65 GND 1/°61 1/°58 1/0 55 1/°52 1/049 1/°46 1/°42 1/°69 1/°67 1/0 64 1/0 63 1/°62 1/0 59 1/057 1/054 1/°51 1/°48 1/0 45 10 11 12 13 14 15 I 7C375-2 3-137 PRELIMINARY Functional Description (continued) Logic Block The number of logic blocks distinguishes the members of the FLASH370 family. The CY7C375 includes eight logic blocks. Each logic block is constructed of a product term array, a product term allocator, and 16 macrocells. Product Term Array The product term array in the FLAsH370 logic block includes 36 inputs from the PIM and outputs 86 product terms to the product term allocator. The 36 inputs from the PIM are available in both positive and negative polarity, making the overall array size 72x 86. This large array in each logic block allows for very complex functions to be implemented in single passes through the device. Product Term Allocator The product term allocator is a dynamic, configurable resource that shifts product terms to macrocells that require them. Any number of product terms between 0 and 16 inclusive can be assigned to any of the logic block macrocells (this is called product term steering). Furthermore, product terms can be shared among multiple macrocells. This means that product terms that are common to more than one output can be implemented in a single product term. Product term steering and product term sharing help to increase the effective density of the FLASH370 PLDs. Note that product term allocation is handled by software and is invisible to the user. I/O Macrocell Each of the macro cells on the CY7C375 has a separate I/O pin associated with it. The input to the macro cell is the sum of between oand 16 product terms from the product term allocator. The macrocell includes a register that can be optionally bypassed, polarity control over the input sum-term, and four global clocks to trigger the register. The macrocell also features a separate feedback path to the PIM so that the register can be buried if the I/O pin is used as an input. Programmable Interconnect Matrix CY7C375 inputs (including feedbacks) travel through the PIM. There is no speed penalty incurred by signals traversing the PIM. Development Tools Development software for the CY7C375 is available from Cypress's Warp21M and Warp3 1M software packages. Both of these products are based on the IEEE standard VHDL language. Cypress also supports third-party vendors such as ABEL CUPL and LOG/iC Please contact your local Cypress representative for further information. 1M , 1M , 1M. Maximum Ratings (Above which the useful life may be impaired. For user guidelines, not tested.) Storage Temperature ................... -65°C to + 150°C Ambient Temperature with Power Applied ........................ -55°C to +125°C Supply Voltage to Ground Potential ......... - O.5V to + 7.0V DC Voltage Applied to Outputs in High Z State .......................... -O.5V to + 7.0V DC Input Voltage ........................ -O.5V to +7.0V DC Program Voltage .............................. 12.5V Output Current into Outputs ...................... 16 rnA Static Discharge Voltage ........................ > 2001 V (per MIL-STD-883, Method 3015) Latch-Up Current ............................ >200 rnA Operating Range Range Commercial Military[lj Ambient Temperature O°C to +70°C 5V± 5% -55°C to +125°C 5V ± 10% Note: 1. The Programmable Interconnect Matrix (PIM) connects the eight logic blocks on the CY7C375 to the inputs and to each other. All 3-138 TA is the "instant on" case temperature. Vee -- -.,~ PRELIMINARY ,CYPRESS CY7C375 Electrical Characteristics Over the Operating Rangd 2] 7C375 Description Parameter Output HIGH Voltage VOR Output LOW Voltage VOL Min. Test Conditions Vee Vee = -3.2 rnA (Com'l/Ind) lOR = -2.0 rnA (Mil) IOL = 16 rnA (Com'l/lnd) IOL = 12 rnA (Mil) = Min. lOR = Min. Unit Max. 2.4 V I-- V 0.5 V f------- V VIR Input HIGH Voltage Guaranteed Input Logical HIGH voltage for all inputs[3] 2.0 7_0 V VIL Input LOW Voltage Guaranteed Input Logical LOW voltage for all inputs[3] -0.5 0.8 V -10 +10 IlA -50 +50 IlA -30 -90 rnA Com'l 300 rnA Mil 370 Com'l 330 Mil 400 IIX Input Load Current GND~ VI~ loz Output Leakage Current GND ~ Vo ~ Vee, Output Disabled los Output Short Circuit Currentl4, 5] Vee leC! Power Supply Current (Standby) Vee = Max., lOUT = 0 rnA, f = 0 mHz, VIN = GND, Vee Power Supply Current[5] VI lee2 Vee = Max., VOUT = O.5V = Vee or GND, f = 40 MHz rnA Capacitance[5] Parameter Test Conditions Description CIN Input Capacitance COUT Output Capacitance Max. Unit 10 pF 12 pF = 2.0V at f = 1 MHz VOUT = 2.0Vat f = 1 MHz VIN Endurance Characteristics[5] Description Minimum Reprogramming Cycles Test Conditions Normal Programming Conditions Notes: 2. 3. 4. See the last page of this specification for Group A subgroup testing information. These are absolute values with respect to device ground. All overshoots due to system or tester noise are included. TI S. Not more than one output should be tested at a time. Duration of the short circuit should not exceed 1 second. VOUT = O.SV has been chosen to avoid test problems caused by tester ground degradation. Tested initially and after any design or process changes that may affect these parameters. AC Test Loads and Waveforms 5V OUTPUT 238Q (COM'L) 238Q (COM'L) 319Q (MIL) 35 PF INCLUDING _ JIG AND SCOPE 170Q (COM'L) _ 236Q (MIL) - 1 (a) THEVENIN EQUIVALENT 99Q (COM'L) 136Q (MIL) 2.08V (COM'L) OUTPUT o-------vw----- 2.13V (MIL) OUTP: ~L:70Q 1 5 pF INCLUDING JIG AND SCOPE (COM'L) f36Q (MIL) - (b) Equivalent to: 7C375-3 ALL INPUT PULSES 3.0V---- GND 7C375-4 3-139 El PRELIMINARY CY7C375 Switching Characteristics Over the Operating Rangd 6] 7C375-100 Description Parameter Min. Max. 7C375-83 Min. Max. 7C375-66 Min. Max. Unit Combinatorial Mode Parameters tpD Input to Combinatorial Output 12 15 20 ns tPDL Input to Output Through 1tansparent Input or Output Latch 15 18 22 ns tpDLL Input to Output Through Transparent Input and Output Latches 16 19 24 ns tEA Input to Output Enable 16 19 24 ns tER Input to Output Disable 16 19 24 ns Input Registered/Latched Mode Parameters 3 4 5 ns tWH Clock or Latch Enable Input LOW TimdS] Clock or Latch Enable Input HIGH TimdS] 3 4 5 ns tIS Input Register or Latch Set-Up Time 2 3 4 ns tIH Input Register or Latch Hold Time 2 3 4 ns tIeo Input Register Clock or Latch Enable to Combinatorial Output 16 19 24 ns tIeoL Input Register Clock or Latch Enable to Output Through Transparent Output Latch 18 21 26 ns 10 ns tWL Output Registered/Latched Mode Parameters teo Clock or Latch Enable to Output ts Set-Up Time from Input to Clock or Latch Enable 7 7 8 8 10 tH Register or Latch Data Hold Time 0 0 0 teo2 Output Clock or Latch Enable to Output Delay (Through Memory Array) tses Output Clock or Latch Enable to Output Clock or Latch Enable (Through Memory Array) 10 12 15 ns tSL Set-Up Time from Input Through Transparent Latch to Output Register Clock or Latch Enable 12 15 20 ns tHL Hold Time for Input Through Transparent Latch from Output Register Clock or Latch Enable 0 0 0 ns fMAXI Maximum Frequency with Internal Feedback (Least of 1/tses, 1/(ts + tH), or 1/tco)[S] 100 83 66 MHz fMAX2 Maximum Frequency Data Path in Output Registered/Latched Mode (Lesser of 1/(tWL + tWH), 1/(ts + tH), or 1/teo) 143 125 100 MHz fMAX3 Maximum Frequency with External Feedback (Lesser of 1/(teo + ts) and 1/(tWL + tWH» 71.4 62.5 50 MHz tOH-tIH 37x Output Data Stable from Output clock Minus Input Register Hold Time for 7C37x[S, 7] 0 0 0 ns 16 ns ns 24 19 ns Pipelined Mode Parameters tICS Input Register Clock to Output Register Clock fMAX4 Maximum Frequency in Pipelined Mode (Least of 1/( teo l/tIes, 1/(tWL + tWH), 1/(tIS + tIH), or 1/tses) Note: 6. All AC parameters are measured with 16 outputs switching. 7. This specification is intended to guarantee interface compatibility of the other members of the FLASH370 family with the CY7C375. This specification is met for the devices operating at the same ambient temperature and at the same power supply voltage. 3-140 + tIS), 10 12 15 ns 100 83.3 66.6 MHz -~ PRELIMINARY ~i';~ ; CYPRESS CY7C375 Switching Characteristics Over the Operating Rangel 6] (continued) 7C375-100 Min. Max. Parameter Description Reset/Preset Parameters Asynchronous Reset Widthl5 j tRw Asynchronous Reset Recovery TimelS] tRR Asynchronous Reset to Output tRO Asynchronous Preset WidthLSj tpw Asynchronous Preset Recovery Timel5 j tpR tpo tpOR 7C375-83 7C375-66 Min. Max. Min. Max. 15 17 12 14 21 18 12 14 15 17 18 1 Asynchronous Preset to Output Power-On Reset 20 22 26 20 22 21 1 Unit ns ns ns ns ns 26 1 ns ~s Switching Waveforms Combinatorial Output INPUT COMBINATORIAL OUTPUT ______t~~x~x~xx~xi----- 7C375-5 Registered Output E~ t_Hf~"--- INPUT CLOCK REGISTERED OUTPUT t-:~ --------------------~><~~========= _JI+----tW-H-t++---~tW______JL--+I}CLOCK 7C375-6 Latched Output INPUT LATCH ENABLE LATCHED OUTPUT 1,:-1 I:~ . ====><~--Z~JK""'--------><~~ 3-141 7C375-7 ==--~ ; CYPRESS =====:=;i PRELIMINARY ~;. CY7C375 Switching Waveforms (continued) Registered Input REGISTERED INPUT tlH tiS INPUT REGISTER CLOCK "co~ xX COMBINATORIAL OUTPUT CLOCK J tWH t tWL } 7C375-8 Input Clock to Output Clock REGISTERED INPUT X t="cs~ INPUT REGISTER CLOCK OUTPUT REGISTER CLOCK 7C375-9 Latched Input LATCHED INPUT tiS tlH LATCH ENABLE "±= 'PDL~ COMBINATORIAL OUTPUT LATCH ENABLE xX J XX tWH t 3-142 tWL } 7C375-10 &-~ 22j;CYPRESS~==============PRE==L=I~M~IN~~~R~Y======~C~Y7~C~3~75~ Switching Waveforms (continued) Latched Input and Output LATCHED INPUT LATCHED OUTPUT tHL INPUT LATCH ENABLE tiCS OUTPUT LATCH ENABLE LATCH ENABLE J tWH t } tWL II 7C375-11 Asynchronous Reset tRW • INPUT REGISTERED OUTPUT 'RR CLOCK J 7C375-12 Asynchronous Preset tpw INPUT REGISTERED OUTPUT '~J CLOCK 7C375-13 3-143 Switching Waveforms (continued) Power-Up Reset Waveform ~~9~OO~Yo-------------------------VCC 10% POWER SUPPLY VOLTAGE ------~ ....- - - - - - tpOR - - - - - -.... REGISTERED ACTIVE LOW OUTPUTS _ _ _ _ _ _~~-------'~'-~~~~~~v CLOCK tpOR MAX = 1 I-ts 7C375-14 Output Enable/Disable INPUT OUTPUTS 7C375-15 Ordering Information Speed (MHz) Ordering Code Package Name Package 'lYPe Operating Range 100 CY7C375 -lOOAC A160 160-Lead Thin Quad Flatpack 83 CY7C375-83AC A160 160-Lead Thin Quad Flatpack Commercial CY7C375-83GMB G160 160-Pin Grid Array Military CY7C375 -83UMB U162 160-Pin Ceramic Quad Flatpack CY7C375-66AC A160 160-Lead Thin Quad Flatpack Commercial CY7C375-66GMB G160 160-Pin Grid Array Military CY7C375 -66UMB U162 160-Pin Ceramic Quad Flatpack 66 3-144 Commercial MILITARY SPECIFICATIONS Group A Subgroup Testing DC Characteristics Switching Characteristics Parameter Subgroups Parameter Subgroups VOH 1,2,3 tPD 9,10,11 VOL 1,2,3 tpDL 9,10,11 Vm 1,2,3 tpDLL 9,10,11 VIL 1,2,3 tco 9,10,11 Ilx 1,2,3 tlCO 9,10,11 loz 1,2,3 tlCOL 9,10,11 IcC! 1,2,3 ts 9,10,11 ICC2 1,2,3 tSL 9,10,11 tH 9,10,11 tHL 9,10,11 tIS 9,10,11 tm 9,10,11 tICS 9,10,11 tEA 9,10,11 tER 9,10,11 Document #: 38-00217-C FLASH370, Wmp2, and Wmp3 are trademarks of Cypress Semiconductor Corporation. ABEL is a trademark of Data I/O Corporation. LOG/iC is a trademark of Isdata Corporation. CUPL is a trademark of Logical Devices Incorporated. 3-145 II ADVANCED INFORMATION CY7C376 192-Macrocell Flash CPLD Features Functional Description • 192 macrocells in 12 logic blocks • 128 I/O pins • 6.dedicated inputs including 4 clock pms • No hidden delays • High speed - fMAX = 83 MHz -tPD = 15 ns -ts = IOns -teo = IOns • Electrically alterable Flash technology • Available in 160-pin PGA and TQFP packages • Pin compatible with the CY7C375 and theCY7C378 The CY7C376 is a Flash erasable Complex ~rogrammable Logic Device (CPLD) and IS part of the FLASH370 TM family of highdensity, high-speed CPLDs. Like all members of the FLASH370 family, the CY7C376 is designed to bring the ease of use and high performance of the 22VlO to highdensity PLDs. The 192macrocellsin the CY7C376 are divided between twelve logic blocks. Each logic block includes 16 macrocells, a 72 x 86 product term array, and an intelligent product term allocator. The logic blocks in the FLASH370 architecture are connected with an extremely fast and predictable routing resourcethe Programmable Interconnect Matrix (PIM). The PIM brings flexibility, routability, speed, and a uniform delay to the interconnect. Like all members ofthe FLASH370 family, the CY7C376 is rich in I/O resources. lWo thirds of the macrocells in the device feature an associated I/O pin, resulting in 128 I/O pins on the CY7C376. In addition, there are two dedicated inputs and four input/clock pins. Finally, the CY7C376 features a very simple timing model. Unlike other high-density CPLD architectures, there are no hidden speed delays such as fanout effects, interconnect delays, or expander delays. Regardless of the number of resources used or the type of application, the timing parameters on the CY7C376 remain the same. Logic Block Diagram CLOCK INPUTS INPUT MACROCELLS 121/0s 72 16 72 16 72 72 121/0s 81/0s 16 16 121/0s 72 81/0s PIM 16 72 16 121/0s 72 72 16 16 81/0s 72 72 16 16 72 72 16 16 121/0s 64 64 Document #: 38-00225-A FLASH370 is a trademark of Cypress Semiconductor. 3-146 7C376-1 ADVANCED INFORMATION CY7C377 192-Macrocell Flash CPLD Features Functional Description • 192 macrocells in 12 logic blocks The CY7C377 is a Flash erasable Complex ~rogrammable Logic Device (CPLD) and IS pa~ of .the FLASH370 TM family of highdenSIty, hIgh-speed CPLDs. Like all members ofthe FLASH370 family, the CY7C377 is designed to bring the ease of use and high performance of the 22VlO to highdensity PLDs. • 192 I/O pins • 6.dedicated inputs including 4 clock pms • No hidden delays • High speed - fMAX = 83 MHz -tpD = 15 ns -ts = 10 ns -teo = IOns • Electrically alterable Flash technology • Available in 240-pin PGA , 20S-pin PQFP, and 225-pin BGA packages The 192 macrocells in the CY7C377 are divided between 12 logic blocks. Each logic block includes 16 macrocells, a 72 x 86 product term array, and an intelligent product term allocator. The logic blocks in the FLASH370 architecture are connected with an extremely fast and predictable routing resource-the Programmable Interconnect Matrix • Pin compatible with the CY7C379 (PIM). The PIM brings flexibility, rout~bility, speed, and a uniform delay to the mterconnect. Like all members of the FLASH370 family, the CY7C377 is rich in I/O resources. Every macrocell in the device features an associated I/O pin, resulting in 192 I/O pins on the CY7C377. In addition, there are two dedicated inputs and four input/ clock pins. Finally, the CY7C377 features a very simple timing model. Unlike other high-density CPLD architectures, there are no hidden speed delays such as fanout effects, interconnect delays, or expander delays. Regardless of the number of resources used or the type of application, the timing parameters on the CY7C377 remain the same. Logic Block Diagram CLOCK INPUTS 4 INPUT/CLOCK MACROCELLS INPUT MACROCELLS 72 72 16 16 72 72 16 16 72 PIM 72 16 16 72 72 16 16 72 72 16 16 72 72 16 16 96 96 Document #: 38-00226-A FLASH370 is a trademark of Cypress Semiconductor. 3-147 7C377·1 II ADVANCED INFORMATION CY7C378 256-Macrocell Flash CPLD Features Functional Description • 256 macrocells in 16 logic blocks • 128 I/O pins • 6 dedicated inputs including 4 clock pins • No hidden delays • High speed - fMAX = 83 MHz -tPD = 15 ns -ts = 10 ns -teo = 10 ns • Electrically alterable Flash technology • Available in 160-pin PGA and TQFP packages • Pin compatible with the CY7C375 and the CY7C376 The CY7C378 is a Flash erasable Complex ~rogrammable Logic Device (CPLD) and IS part of the FLASH370 ™ family of highdensity, high-speed CPLDs. Like all members ofthe FLASH370 family, the CY7C378 is designed to bring the ease of use and high performance of the 22VlO to highdensity PLDs. The 256 macrocells in the CY7C378 are divided between 16 logic blocks. Each logic block includes 16 macrocells, a 72 x 86 product term array, and an intelligent product term allocator. The logic blocks in the FLASH370 architecture are connected with an extremely fast and predictable routing resourcethe Programmable Interconnect Matrix (PIM). The PIM brings flexibility, routspeed, and a uniform delay to the mterconnect. Like all members of the FLASH370 family, the CY7C378 is rich in I/O resources. Every two macrocells in the device feature an associated I/O pin, resulting in 128 I/O pins on the CY7C378. In addition, there are two dedicated inputs and four input/ clock pins. Finally, the CY7C378 features a very simple timing model. Unlike other high-density CPLD architectures, there are no hidden speed delays such as fanout effects, interconnect delays, or expander delays. Regardless of the number of resources used or the type of application, the timing parameters on the CY7C378 remain the same. ~bility, Logic Block Diagram 72 16 72 72 16 16 72 16 72 16 72 PIM 16 72 16 72 72 16 72 16 64 64 Document #: 38-00368 FLASH370 is a trademark of Cypress Semiconductor. 3-148 7C378·1 ;~YPRESS ~A~DT0~~~NC~'E~D~IN@.F~ORMA~~TI~ON~~~C~Y~7C~3~7~9 256-Macrocell Flash CPLD Features Functional Description • 256 macrocells in 16 logic blocks The CY7C379 is a Flash erasable Complex ~rogrammable Logic Device (CPLD) and IS part of the FLASH370 family of highdensity, high-speed CPLDs. Like all members of the FLASH370 family, the CY7C379 is designed to bring the ease of use and high performance of the 22VlO to highdensity PLDs. The 256 macrocells in the CY7C379 are divided between sixteen logic blocks. Each logic block includes 16 macrocells, a 72 x 86 product term array, and an intelligent product term allocator. The logic blocks in the FLASH370 architecture are connected with an extremely fast and predictable routing resource-the Programmable Interconnect Matrix • 192 I/O pins • 6 dedicated inputs including 4 clock pins OM • No hidden delays • High speed - fMAX = 83 MHz -tPD = 15 ns -ts = IOns -teo = 10 ns • Electrically alterable Flash technology • Available in 240-pin PGA, 208-pin PQFP, and 225-pin BGA packages • Pin compatible with the CY7C377 (PIM). The PIM brings flexibility, routability, speed, and a uniform delay to the interconnect. Like all members of the FLASH370 family, the CY7C379 is rich in I/O resources. Three quarters of the macrocells in the device feature an associated I/O pin, resulting in 192 I/O pins on the CY7C379. In addition, there are two dedicated inputs and four input/clock pins. Finally, the CY7C379 features a very simple timing model. Unlike other high-density CPLD architectures, there are no hidden speed delays such as fanout effects, interconnect delays, or expander delays. Regardless of the number of resources used or the type of application, the timing parameters on the CY7C379 remain the same. Logic Block Diagram 72 16 72 16 72 16 72 16 72 PIM 16 72 16 72 16 72 16 7C379·1 96 96 Document #: 38-00336 FLASH370 is a trademark of Cypress Semiconductor. 3-149 II FPGAs 4 II Section Contents FPGAs (Field Programmable Gate Arrays) Page Number Device Description pASIC380 Family CY7C381A CY7C382A CY7C3381A CY7C3382A CY7C383A CY7C384A CY7C385A CY7C386A CY7C387A CY7C388A CY7C389A Very High Speed CMOS FPGAs ................................................ 4-1 Very High Speed lK (3K) Gate CMOS FPGA ................. . . . . . . . . . . . . . . . . . . .. 4-8 Very High Speed lK (3K) Gate CMOS FPGA .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4-8 3.3V High Speed lK (3K) Gate CMOS FPGA ... . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4-17 3.3V High Speed lK (3K) Gate CMOS FPGA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4-17 Very High Speed 2K (6K) Gate CMOS FPGA .................................... 4-25 Very High Speed 2K (6K) Gate CMOS FPGA ... . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4-25 Very High Speed 4K (12K) Gate CMOS FPGA ................................... 4-34 Very High Speed 4K (12K) Gate CMOS FPGA ................................... 4-34 Very High Speed 8K (24K) Gate CMOS FPGA .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4-45 Very High Speed 8K (24K) Gate CMOS FPGA ........................ . . . . . . . . . .. 4-45 Very High Speed 12K (36K) Gate CMOS FPGA .................................. 4-56 pASIC380 Family Very High Speed CMOS FPGAs Features • Very high speed - Loadable counter frequencies greater than 100 MHz - Chip-to-chip operating frequencies up to 85 MHz - Input + logic cell + output delays under 9 ns • High usable density - Up to 12,000 "gate array" gates, equivalent to 36,000 EPLD or LCA gates - Technology migration path to 20,000 gates and above • Low power, high output drive - Standby current typically 2 rnA -16-bit counter operating at 100 MHz consumes 50 rnA - Minimum IOL and IOH of 8 rnA • Flexible FPGA architecture - Wide fan-in (up to 14 input gates) - Multiple outputs in each cell - Very low cell propagation delay (3.4 ns) • Low-cost, easy-to-use design tools - Designs entered in VHDL, schematics, or both - Fast, fully automatic place and route - Waveform simulation with back annotated net delays - PC and workstation platforms • Robust routing resources - Fully automatic place and route of designs using up to 100 percent of logic resources • Input hysteresis provides high noise immunity pASIC380 Family Members • Thorough testability - Built-in scan path permits 100 percent factory testing of logic and I/O cells - Automatic Test Vector Generation (ATVG) software supports user testing after programming • CMOS process with ViaLink programming technology - High-speed metal-to-metallink - Non-volatile antifuse technology power and board area of PALs GALs®, and discrete logic elements. pASIC380 Family devices range in density from 1000 "gate array" gates (3,000 EPLD/LCA gates) in 44- and 68-pin packages to 12,000 (36,000) gates in 208and 313-pin packages. All devices share a common architecture and CAE design software to allow easy transfer of designs from one product to another. The small size of the ViaLink programming element insures a technology migration path to devices of 20,000 gates or more. Designs are entered into the pASIC380 Family devices on PC or workstation platforms using third-party, general-purpose design-entry and simulation CAE packages, together with Cypress devicespecific place and route and programming tools. Sufficient on-chip routing channels are provided to allow fully automatic place and route of designs using up to 100 percent of the available logic cells. All the necessary hardware, software, documentation and accessories required to complete a design, from entering a schematic to programming a device are included in Wafp3™ and Impulse3™, available from Cypress. Wafp3 includes a schematic capture system together with a waveform-based timing simulator. In addition to schematic entry, users can describe designs using VHDL. All applications run under Microsoft Windows® graphical user interface to insure a highly productive and easy-to-use design environment. Sun workstation UNIX platforms are also available. TM, 1M Functional Description The pASIC380 Family ofvery high speed CMOS, user-programmable,ASICdevices is based on the first FPGA technology to combine high speed, high density, and low power in a single architecture. All pASIC380 Family devices are based on an array of highly flexible logic cells that have been optimized for efficient implementation of high-speed arithmetic, counter, data path, state machine, and glue logic functions. Logic cells are configured and interconnected by rows and columns of routing metal lines and ViaLink metal-to-metal programmablevia interconnect elements. ViaLink technology provides a non-volatile, permanently programmed custom logic function capable of operating at speeds of over 100 MHz. Internal logic cell delays are under 4 ns and total input to output combinatorial logic delays are under 10 ns. This permits high-density programmable devices to be used with today's fastest CISC and RISC microprocessors, while consuming a fraction of the Gate Count j ~ (in thousands) 36 12 -~ 24 8 -I- 12 4 -I- 9 3 -I- 6 2 -I- TM CY7C389A CY7C387A • • CY7C385A CY7C386A • • CY7C383A • CY7C388A CY7C384A •• 3 EPLD Gate LCA Array I I I I I 40 80 120 160 208 Number of Package Pins 4-1 J 290 .. 380·1 • pASIC380 Family 380·2 380·3 Figure 2. Programmed ViaLink Element Figure 1. Unprogrammed ViaLink Element ViaLink Programming Element Programmable devices implement customer-defined logic functions by interconnecting user-configurable logic cells through a variety of semiconductor switching elements. The maximum speed of operation is determined by the effective impedance of the switch in both programmed, ON, and unprogrammed, OFF, states. In pASIC380 devices, the switch is called a ViaLink element. The ViaLink element is an antifuse formed in a via between the two layers of metal of a standard CMOS process. The direct metal-to-metallink created as a result of programming achieves a connection with resistance values as a low as 50 ohms. This is less than 5 percent of the resistance of an EPROM or SRAM switch and 10 percent of that of a dielectric antifuse. The capacitance of an unprogrammed ViaLink site is also lower than these alternative approaches. The resulting low RC time constant provides speeds two to three times faster than older generation technologies. a 0.65-micron, n-well CMOS technology with a single polysilicon layer and two layers of metal interconnect. The only deviation from the standard process flow occurs when the ViaLink module is inserted between the metal deposition steps. As the size of a ViaLink is identical to that of a standard metal interconnect via, programmable elements can be packed very densely. The microphotograph in Figure 4 shows an array of ViaLink elements. The density is limited only by the minimum dimensions of the metal-line pitch. The current Cypress 0.65-micron process allows the development of pASIC380 devices with tens of thousands of usable gates. Figure 1 shows an unprogrammed ViaLink site. In a custom metal masked ASIC, such as a gate array, the top and bottom layers of metal make direct contact through the via. In a ViaLink programmable ASIC device, the two layers of metal are initially separated by an insulating semiconductor layer with resistance in excess of 1 gigaohm. A programming pulse of 10 to 11 volts applied across the via forms a bidirectional conductive link connecting the top and bottom metal layers, as shown in Figure 2. The tight distribution of link resistance is shown in Figure 3. 25 ~ 20 t; z w 15 :::> @ a: IJ.. 10 5 o o 1~ 50 100 PROGRAMMED ViaLink RESISTANCE (Ohms) Standard CMOS Process pASIC380 devices are the first FPGA devices to be fabricated on a conventional high-volume CMOS process. The base technology is Figure 3. Distribution of Programmed Link Resistance 380·4 Figure 4. An Array of ViaLink Elements 4-2 • pASIC380 Family -'i~ 'CYPRESS ~~ R~ 52 51 J 11. II 50 380-5 Figure 5. A Matrix of Logic Cells and Wiring Channels The pASIC380 device architecture consists of an array of user-configurable logic building blocks, called logic cells. Figure 5 shows a section of a pASIC380 device containing internal logic cells, input/output cells, and dual-layer vertical and horizontal metal routing channels. Through ViaLink elements located at the wire intersections, the output of any cell may be programmed to connect to the input of any other cell. The regularity and orthogonality of this interconnect, together with the capability to achieve 100 percent routability of logic cells makes the pASIC380 architecture closer in structure and performance to a metal-masked gate array than any other FPGA family. It also makes system operating speed far less sensitive to partitioning and placement decisions, thus minor revisions to a logic design usually result in only small changes in performance. (See Figure 6.) Organization The pASIC380 Family of very high speed FPGAs contains devices covering a wide spectrum of I/O and density requirements. The key features of all five pASIC380 devices are listed in Table 1. See the individual product datasheets for more specific information on each device. Individual part numbers indicate unique logic cell and I/O cell combinations. For example, the CY7C383A contains 192 logic cells and 56 I/O cells in a 68-pin package. The CY7C384A also contains 192 logic cells, but it has 68 I/O cells and is packaged in 84and 100-pin packages. Note that at each pASIC380 density there is a density upgrade available in the same package. In other words, the CY7C383A features 2,000 gates in the same pinout as the 1,000-gate CY7C382A. The same applies to the CY7C385A and CY7C384A. Gate counts for pASIC380 devices are based on the number of usable or "gate array" gates. Each of the internal logic cells has a total logic capacity of up to 30 gates. As a typical application will use 10 to 12 of these gates, the usable gate count is significantly lower than the total number of available gates. On the pASIC380 product family, Cypress uses the more conservative usable (gate array) gate method of specifying density. Total available gate densities may also be specified as EPLD/LCA gates. 4-3 pASIC380 Family -=-~YPRESS 4 2 10 8 6 12 14 16 18 Net Size (staircase) Figure 6. Net Delay vs. Net Size (4 ns "corner to corner") Table 1. Key Features of pASIC380 Devices Device Logic Cells I/O Cells Dedicated Inputs Usable Gates EPLD/LCA Gates Packages 7C381A 32 8 56 8 1000 1000 3000 3000 44-Pin PLCC 7C382A 96 96 7C383A 192 56 8 2000 6000 68-Pin PLCC, PGA 7C384A 192 68 8 2000 6000 84-Pin PLCC, PGA 100-Pin TQFP 7C385A 384 68 8 4000 12000 7C386A 384 114 8 4000 12000 84-Pin PLCC, PGA 100-Pin TQFP 144-Pin TQFP 145-Pin PGA 160-Pin CQFP 7C387A 768 114 8 8000 24000 144-Pin TQFP 145-Pin CPGA 160-Pin CQFP 7C388A 768 172 B 8000 24000 20B-Pin PQFP, 208-Pin CQFP 245-Pin CPGA 7C389A 1152 200 B 12000 36000 313-PinBGA 245-Pin CPGA Shaded area contains advanced information. 4-4 68-Pin PLCC, PGA 100-Pin TQFP pASIC380 Family os A1 A2 A3 A4 the logic function of a cell and establishing connections between cells. The pASIC380 macro library contains more than 200 of the most frequently used logic functions already optimized to fit the logic cell architecture. A detailed understanding of the logic cell is therefore not necessary to successfully design with pASIC380 devices. CAE tools will automatically translate a conventional logic schematic and/or VHDL source code into a device and provide excellent performance and utilization. Three types of input and output structures are provided on pASIC380 devices to configure buffering functions at the external pads. They are called the Bidirectional Input/Output (I/O) cell, the Dedicated Input (I) cell, and the ClocklDedicated Input (CLK/I) cell. The bidirectional 110 cell, shown in Figure 8, consists of a 2-input OR gate connected to a pin buffer driver. The buffer output is controlled by a three-state enable line to allow the pad to also act as an input. The output may be configured as active HIGH, active LOW, or as an open drain inverting buffer. ------------------------~ ~----------~------_+----- AZ AS A6 81 82 OZ C1 C2 OZ 01 02 E1 E2 F1 F2 F3 F4 R 1...-_ _ _ _ _ _ _+--_+----- NZ I-~._----------_+--_+----- FZ IIO~~~ FS F6 OC--------------------~ OR --------------------------~ 380-6 380-7 Figure 7. pASIC380 Internal Logic Cell Figure 8. Bidirectional I/O Cell pASIC380 Internal Logic Cell The pASIC380 internal logic cell, shown in Figure 7, is a general-purpose building block that can implement most TTL and gate array macro library functions. It has been optimized to maintain the inherent speed advantage of the ViaLink technology while insuring maximum logic flexibility. The logic cell consists of two 6-input AND gates, four 2-inputAND gates, three 2-to-1 multiplexers and a D flip-flop. As noted above, each cell represents approximately 30 gate-equivalents of logic capability_ The pASIC380 logic cell is unique among FPGA architectures in that it offers up to 14-input-wide gating functions_ It can implement all possible Boolean transfer functions of up to three variables as well as many functions of up to 14 variables. Glitch-free switching of the multiplexer is insured because the internal capacitance ofthe circuit maintains enough charge to hold the output in a steady state during input transitions. The multiplexer output feeds the D-type flip-flop, which can also be configured to provide JK-, SR-, or T-type functions as well as count with carry-in. Two independent SET and RESET inputs can be used to asynchronously control the output condition. The combination of wide gating capability and a built-in register makes the pASIC380 logic cell particularly well suited to the design of high-speed state machines, shift registers, encoders, decoders, arbitration and arithmetic logic, as well as a wide variety of counters. Each pASIC380 logic cell features five separate outputs. The existence of mUltiple outputs makes it easier to pack independent functions into a single logic cell. For example, if one function requires a single register, both 6-input AND gates (A and F) are available for other uses. Logic packing is accomplished automatically by Wafp3 software. The function of a logic cell is determined by the logic levels applied to the inputs of the AND gates. ViaLink sites located on signal wires tied to the gate inputs perform the dual role of configuring The Dedicated Input cell, shown in Figure 9, conveys true and complement signals from the input pads into the array of logic cells. As these pads have nearly twice the current drive capability of the I/O pads, they are useful for distributing high fanout signals across the device. 380-8 Figure 9. Dedicated Input High-Drive Cell The ClocklDedicated Input cell (Figure 10) drives a low-skew, fanout -independent clock tree that can connect to the clock, set, or reset inputs of the logic cell flip-flops. The CY7C384A, for example, has 68 110 cells, 6 I cells, and 2 I/CLK cells. ~1 CLKlI~2CLK 380-9 Figure 10. Clock/Dedicated Input Cell pASIC380 Interconnect Structure Multiple logic cells are joined together to form a complex logic function by interconnection through the routing channels. To describe the organization of these routing channels, a hypothetical 14-pin device consisting of two logic cells is shown in Figure 11. This device contains the same architectural features as the members of the pASIC380 family. Active logic functions are performed by the internal logic cells, the 110 cells (pins 2,3,7,9,10, and 14) and the I cells (pins 4, 6,11, and 13). These cells are connected with vertical and horizontal wiring channels. 4-5 a pASIC380 Family Four types of signal wires are employed: segmented wires, quad wires, express wires, and clock wires. Segmented wires are predominantly used for local connections and have ViaLink elements known as a Cross Link (denoted by the open box symbol), at every crossover point. They may also be connected to the segmented wires of cells above and below through ViaLink elements, called Pass Links (denoted by the X symbol). Express lines are similar to segmented wires except that they are not divided by Pass Links. Quad Lines are a compromise between express and segmented lines. Dedicated clock wires are lightly loaded with only three links per cell to distribute high-speed clock edges to the flip-flop CLK, SET, and RESET inputs. Express wires may also be used to deliver clock signals into the multiplexer region of the cell for combinatorial gating. The automatic place and route software allocates signals to the appropriate wires to insure the optimum speed/density combination. Vertical V cc and GND wires are located close to the logic cell gate inputs to allow any input that is not driven by the output of another cell to be automatically tied to either V cc or GND. All of the vertical wires (segmented, express, quad, clock, and power) considered as a group are called vertical channels. These channels span the full height of the device and run to the left of each column of logic cells. Horizontal wiring channels, called rows, provide connections, via cross links, to other columns of logic cells and to the periphery of the chip. Appropriate programming of ViaLink elements allows electrical connection to be made from any logic cell output to the input of any other logic or I/O cell. Ample wires are provided in the channels to permit automatic place and route of many designs using up to 100 percent of the device logic cells. Designs can be ~1 completed automatically even with a high percentage of fixed user placement of internal cells and pin locations. This information is presented to provide the user with insight into how a logic function is implemented in pASIC380 devices. However, it is not necessary to develop a detailed understanding of the architecture in order to achieve efficient designs. All routine tasks are fully automatic. No manual wire routing is necessary, nor is it permitted by the software. Fully automatic placement of logic functions is also offered. But if it is necessary to achieve a specific pin configuration or register alignment, for example, manual placement is supported. Power Consumption lYrical standby power supply current consumption, Iccl> of a pASIC380 device is 2 mAo The worst-case limitfor standby current (IcC!) over the full operating range of the pASIC380 devices is 10 mAo Formulas for calculating Icc under AC conditions (IcC2) are provided in the "pASIC380 Power vs. Operating Frequency" section of the Programmable Logic Data Book. As an example of the low-power consumption of pASIC380 devices, the 16-bit counter example detailed in the application note consumes just 50 mA at 100 MHz. Programming and Testing pASIC380 devices may be programmed and functionally tested on the Cypress Impulse3 Programmer. Third-party programmers are also being qualified. See the third party tools section. All pASIC380 devices have a built-in serial scan path linking the logic cell register functions (Figure 12). This is provided to improve factory test coverage and to permit testing by the user with automatically generated test vectors following programming. 12 Vee tl~7 lrox .... 4 A4 ~vee 60 Figure 11. pASIC380 Device Features 4-6 380·10 9 pASIC380 Family antifuse technology inserted between the metal deposition steps. The base CMOS process has been qualified to meet the requirements of MIL-STD-883B, Revision C. 380-11 Figure 12. Internal Serial Scan Path Automatic Test Vector Generation software is included in Wafp3. The Programmer permits a high degree of test coverage to be achieved conveniently and rapidly using test vectors optimized for the pASIC380 architecture. Reliability The pASIC380 Family is based on a 0.65-micron high-volume CMOS fabrication process with the ViaLink programmable-via The ViaLink element exists in one of two states: a highly resistive unprogrammed state, OFF, and the low-impedance, conductive state, ON. It is connected between the output of one logic cell and the inputs of other logic cells directly or through other links. No DC current flows through either a programmed or an unprogrammed link during operation as a logic device. An unprogrammed link sees a worst-case voltage equal to Vee biased across its terminals. A programmed link carries AC current caused by charging and discharging of device and interconnect capacitances during switching. Study oftest structures and complete pASIC380 devices has shown that an unprogrammed link under Vee bias remains in the unprogrammed state over time. Similar tests on programmed links under current bias exhibit the same stability. The long-term reliability of the combined CMOS and ViaLink structure is similar to that of the base gate array process. For further details, see the pASIC380 Family Reliability Report, contained in the reliability section of the Programmable Logic Data Book. Document #: 38-00210- B II PAL is a trademark of Advanced Micro Devices GAL is a registered trademark of Lattice Semiconductor Corp. pASIC and ViaLink are trademarks of QuickLogic Corp. Microsoft Windows is a registered trademark of Microsoft Corp. UNIX is a trademark of AT&T. 4-7 CY7C381A CY7C382A Very High Speed lK (3K) Gate CMOS FPGA Features • Very high speed - Loadable counter frequencies greater than 150 MHz - Chip-to-chip operating frequencies up to 120 MHz - Input + logic cell + output delays at 6.5 ns • Unparalleled FPGA performance for counters, data path, state machines, arithmetic, and random logic • High usable density - 8 x 12 array of 96 logic cells provides 3,000 total available gates - 1,000 typically usable "gate array" gates in 44- and 68-pin PLCC/ CPGA packages, 100-pin TQFP • Low power, high output drive - Standby current typically 2 rnA - 16-bit counter operating at 150 MHz consumes 50 rnA - Minimum IOL and IOH of 8 rnA • Flexible logic cell architecture - Wide fan-in (up to 14 input gates) - Multiple outputs in each cell - Very low cell propagation delay (1.7 ns) • Powerful design tools-Warp3 TM - Designs entered in VHDL, schematics, or both - Fast, fully automatic place and route • • • • • • • • • - Waveform simulation with back annotated net delays - PC and workstation platforms Robust routing resources - Fully automatic place and route of designs using up to 100 percent of logic resources - No hand routing required 32 (CY7C381A) to 56 (CY7C382A) bidirectional input/output pins 6 dedicated input/high-drive pins 2 clock/dedicated input pins with fanout-independent, low-skew nets - Clock skew < 1 ns Input hysteresis provides high noise immunity Thorough testability - Built-in scan path permits 100 percent factory testing of logic and I/O cells - Automatic Test Vector Generation (ATVG) software supports user testing after programming 0.65~ CMOS process with ViaLink'M programming technology - High-speed metal-to-metallink - Non-volatile antifuse technology 68-pin PLCC is compatible with EPLD 1800 and LCA 2064 industrystandard pinouts 100-pin TQFP is pin compatible with CY7C384A and CY7C385A Functional Description The CY7C381A and CY7C382A are very high speed CMOS user-programmable ASIC (pASIC TM ) devices. The 96 logic cell field-programmable gate array (FPGA) offers 1,000 typically usable "gate array" gates. This is equivalent to 3,000 EPLD or LCA gates. The CY7C381A is available in a 44-pin PLCe. The CY7C382A is available in a 68-pin PLCC and CPGA and a 100-pin TQFP. Low-impedance, metal-to-metal ViaLink interconnect technology provides non-volatile custom logic capable of operating at speeds above 150 MHz with input delays under 1.5 ns and output delays under 3 ns. This permits high-density programmable devices to be used with today's fastest CISC and RISC microprocessors. Designs are entered into the CY7C381A and CY7C382A using Cypress Wa7p3 software or one of several third-party tools. Wa7p3 is a sophisticated CAE package that features schematic entry, waveform-based timing simulation, and VHDL design synthesis. The CY7C381A and CY7C382A feature ample on-chip routing channels for fast, fully automatic place and route of high gate utilization designs. For detailed information about the pASIC380 architecture, see the pASIC380 Family datasheet. Logic Block Diagram • • • • • • • • • • • • • • • 44,68, • • • • • • • • • • ~ 0 0 0 0 0 0 D 0 0 0 0 D D D D 0 D g,DD It:;::::::::I-" 0 0 0 0 0 0 r..... ~=0 0 0 0 0 0 DOOO w~~ ;=- 0 0 0 0 D 0 0 0 D 0 0 0 0 0 0 0 D 0 0 0 0 0 0 0 D 0 0 01 0 0 D 0 010101. 0 0 D 0 0 I 0 0 R ______ t- r-- 1 • • • • • • • • • • • • • I/O/HIGH-DRIVE INPUT CLOCK CELLS or 100 PINS, INCLUDING 561/0 CELLS, 6 INPUT HIGH-DRIVE CELLS, 2INPUT/CLK (HIGH-DRIVE) CELLS ViaLink and pASIC are trademarks of QuickLogic Corporation. Warp3 is a trademark of Cypress Semiconductor Corporation. 4-8 7C381A-1 • CY7C381A CY7C382A -.i~ 'CYPRESS Pin Configurations PLCC Top View PLCC Top View ~~~~~Jl~~~~~ I/O I/O I/O I/(SO) I I/O I/O I/O 1/(SClK) I/ClKl(SM) Vee Vee I/ClK I/(SI) I/O I/O I/O I I I/O I/O I/O I/O I/O I/O I/O I/O I/O 1/(SClK) I/ClKl(SM) Vee I I I/O I/O I/O I/O I/O I/O 7C381A·2 15 16 17 18 59 58 57 56 55 54 53 52 51 50 49 48 7C382A 19 20 21 22 ~~~~~~~~~~~~~~~~~ > I/O I/O I/O I/O I/O I/O I/(SO) I Vee I/ClK I/(SI) I/O I/O I/O I/O I/O I/O 7C381A·3 TQFP Top View II 10099989796 9594939291 908988 87868584838281 8079 787776 NC I/O NC I/O NC I/O I/O I/O NC I/O 1/(SCll<) I/ClKl(SM) 7C382A Vee I I NC I/O I/O I/O I/O NC I/O NC I/O NC 75 74 73 72 71 70 69 68 67 66 65 64 63 62 61 60 59 58 57 56 55 54 53 52 51 NC I/O NC I/O NC I/O I/O I/O I/O NC I/(SO) I Vee I/ClK I/(SI) I/O NC I/O I/O I/O NC I/O NC I/O NC 7C381A-4 4-9 CY7C381A CY7C382A Pin Configurations (continued) CPGA Bottom View 11 10 I/O I/O I/O l/elK! (SM) I I/O I/O I/O I/O 1/0 1/0 1/0 I/O I(Sell<) Vee I I/O 1/0 I/O I/O I/O I/O • I/O 1/0 1/0 I/O 1/0 1/0 1/0 1/0 1/0 1/0 I/O vss vss I/O I/O 1/0 1/0 1/0 1/0 1/0 1/0 1/0 I/O I/O 1/0 1/0 I/O I/O I/O 1/0 I/(SO) 1/0 I/O 1/0 I/O 1/0 7C382A Vee I I/(SI) I/O 1/0 I/O I/ClK I/O I/O I/O A C G 7C381A-5 4-10 CY7C381A CY7C382A Maximum Ratings (Above which the useful life may be impaired. For user guidelines, not tested.) Latch-Up Current ............................ ±200 I11A Storage Temperature Ceramic ........................... - 65°C to + 150°C Plastic .............................. -40°C to + 125°C Lead Temperature ............................... 300°C Supply Voltage ......................... - 0.5V to +7.0V Input Voltage ...................... - O.5V to Vee +0.5V ESD Pad Protection ............................ ±2000 V DC Input Voltage ......................... -0.5V to 7.0V Operating Range Ambient Temperature O°C to +70°C Range Commercial Vee 5V±5% Industrial -40°C to +85°C 5V ± 10% Military -55°C to +125°C 5V ± 10% Delay Factor (K) Military Commercial Industrial Speed Grade -0 Min. Max. Min. Max. Min. Max. 0.39 1.82 0.4 1.67 0.46 1.55 -1 0.39 1.45 0.4 1.43 0.46 1.33 0.4 1.35 0.46 1.25 -2 Electrical Characteristics Over the Operating Range Description Parameter Output HIGH Voltage VOH Test Conditions Min. Unit Max. IOH = - 4.0 I11A 3.7 IOH = - 8.0 rnA 2.4 V V IOH = - 1O.0!!A Vee - 0.1 V 0.4 IOL = 8.0 rnA Military/Industrial IOL = 12 rnA Commercial V VOL Output LOW Voltage VIH Input HIGH Voltage VIL Input LOW Voltage II Input Leakage Current VIN = Vee or Vss -10 Ioz Output Leakage Current-Three-State VIN = Vee or Vss -10 +10 !!A los Output Short Circuit Current VOUT = Vss -10 -80 rnA VOUT =Vee 30 140 rnA lee Standby Supply Current 10 rnA 0.1 IOL = 10.0!!A V 2.0 V VIN, VI/O = VeeorVss 0.8 V +10 !!A Capacitance Parameter Description CIN Input Capacitance[l] COUT Output Capacitance Test Conditions TA = 25°C, f = 1 MHz, Vee = 5.0V Notes: 1. CI = 20 pF max. on I/(SI). 4-11 Max. Unit 10 pF 20 pF II CY7C381A CY7C382A Switching Characteristics Over the Operating Range Propagation Delays[2j with Fanout of Parameter LOGIC CELLS Description 1 2 3 4 8 Unit tpD Combinatorial Delay[3] 1.7 2.1 2.6 3.0 4.8 ns tsu Set-Up Timd3] 2.1 2.1 2.1 2.1 2.1 ns tH Hold Time 0.0 0.0 0.0 0.0 0.0 ns tCLK Clock to Q Delay 1.0 1.5 1.9 2.3 4.2 ns tCWHI Clock HIGH Time 2.0 2.0 2.0 2.0 2.0 ns tCWLO Clock LOW Time 2.0 2.0 2.0 2.0 2.0 ns tSET Set Delay 1.7 2.1 2.6 3.0 4.8 ns tRESET Reset Delay 1.5 1.8 2.2 2.5 3.9 ns tsw Set Width 1.9 1.9 1.9 1.9 1.9 ns tRW Reset Width 1.8 1.8 1.8 1.8 1.8 ns Propagation Delays[2] Parameter INPUT CELLS Description 1 2 3 4 6 8 Unit tIN Input Delay (HIGH Drive) 2.1 2.2 2.3 2.4 2.6 2.9 ns tINI Input, Inverting Delay (HIGH Drive) 2.1 2.2 2.3 2.5 2.8 3.1 ns 4.2 ns tIO Input Delay (Bidirectional Pad) 1.4 1.8 2.2 2.6 3.4 tOCK Clock Buffer Delay[4] 2.7 2.7 2.8 2.9 3.0 ns tOCKHI Clock Buffer Min. HIGH[4] 2.0 2.0 2.0 2.0 2.0 ns tOCKLO Clock Buffer Min. LOW[4] 2.0 2.0 2.0 2.0 2.0 ns Propagation Delays[2] with Output Load Capacitance (pF) of Parameter Description 30 50 75 100 150 Unit OUTPUT CELLS tOUTLH Output Delay LOW to HIGH 2.7 3.4 4.2 5.0 6.7 ns tOUTHL Output Delay HIGH to LOW 2.8 3.7 4.7 5.6 7.6 ns tPZH Output Delay Three-State to HIGH 4.0 4.9 6.1 7.3 9.7 ns tPZL Output Delay Three-State to LOW 3.6 4.2 5.0 5.8 7.3 ns tpHZ Output Delay HIGH to Three-StatdS] Output Delay LOW to Three-StatdS] 2.9 ns 3.3 ns tpLZ Notes: 2. Worst-case propagation delay times over process variation at Vee = S.OV and TA = 25°C. Multiply by the appropriate delay factor, K, for speed grade to get worst-case parameters over full Vee and temperature range as specified in the operating range. All inputs are TfL with 3-ns linear transition time between 0 and 3 volts. 3. These limits are derived from worst-case values for a representative selection of the slowest paths through the pASIC logic cell including net delays. Guaranteed delay values for specific paths should be determined from simulation results. 4. 5. 4-12 Clock buffer fanout refers to the maximum number of flip-flops per half column. The number of half columns used does not affect clock buffer delay. The following loads are used for tpxz: ~5PF . n.· -i 1- tpHZ CY7C381A CY7C382A High Drive Buffer # High Drives Parameter Description 12 24 1 4.0 4.9 High Drive Input Delay tIN Propagation Delays[2] with Fanout of Wired Together 2 48 4.0 4.2 1 ns 4.8 5.6 4.1 4.8 5.1 2 Unit ns 4 High Drive Input, Inverting Delay 96 5.0 3.5 3 tINI 72 ns ns ns 3.7 5.2 4.2 3 4 ns 5.0 5.8 ns 4.3 5.0 ns Switching Waveforms Combinatorial Delay ~ INPUT OUTPUT set-UP:d H~'tsu ~ tpD ~tH~ II i tCWHI CLOCK ~ Q Set and Reset Delays SET Q RESET t ~ 7C381A-6 * }- tcwLO 7C381A-7 ~ tsw tSET i tRW tRESET Q t h • Output Delay tOUTLH OUTPUT 7C381A-8 tOUTHL ~ ~ 4-13 7C381A-9 CY7C381A CY7C382A Switching Waveforms (continued) Three-State Delay OUTPUT BUFFER ENABLE ---('PZH THREE-STATE OUTPUT THREE-STATE THREE-STATE 7C381A·10 1Ypical AC Characteristics Propagation delays depend on routing, fan-out, load capacitance, supply voltage, junction temperature, and process variation. The AC Characteristics are a design guide to provide initial timing estimates at nominal conditions. Worst-case estimates are obtained when nominal propagation delays are multiplied by the appropriate Delay Factor, K, as specified by the speed grade in the Delay Factor table. The effects of voltage and temperature variation are illustrated in the graphs below. Warp3 incorporates datasheet AC Characteristics into the design database for pre-place-and-route simulations. The Warp3 Delay Modeler extracts specific timing parameters for precise simulation results following place and route. VOLTAGE FACTOR (Kv) VERSUS SUPPLY VOLTAGE (Vee> 1.10 1.08 "- 1.06 ~ 1.04 ~ 1.02 ~ > :.:: 1.00 ~ 0.98 ~ ~ 0.96 0.94 0.92 4.50 4.75 5.00 ~ ----- 5.25 5.50 SUPPLY VOLTAGE, Vee (Volts) 7C381A·11 TEMPERATURE FACTOR (KT) VERSUS TEMPERATURE 1.30 1.25 1.20 1.15 /~ 1.10 ~ :.:: 1.05 1.00 0.95 0.90 0.85 ~ V V ./' ./ ~ ",. . ./ "r ./ ¥ 0.80 -60 -40 -20 o 20 40 60 80 100 120 140 JUNCTION TEMPERATURE (OC) 7C381A-12 *THETA JA = 45 °CIWATI FOR PLCC 4-14 CY7C381A CY7C382A Combinatorial Delay Example (Load = 30 pF) tiD tpD tOUT I--- 1.4ns - - - -......- - - - - - 1.7ns --------1.~I...- - - 2.8nS----.j IN1 1 - - - - - - - - - 1 >------1 OUT IN21---------1 7C381A-13 INPUT DELAY + COMBINATORIAL DELAY + OUTPUT DELAY = 5.9 ns Sequential Delay Example (Load = 30 pF) tiD tsu I--- 1.4 ns - - - -......- - 2.1 ns tCLK tOUT --~14--- 1.0 ns --~.IJ-II.--- 2.8 ns ----.j IN1 1 - - - - - - - - - 1 ~----_IOUT 7C381A-14 CLKI---------1 INPUT DELAY + REG SET-UP + CLOCK TO OUTPUT + OUTPUT DELAY = 7.3 ns 4-15 = CY7C381A CY7C382A rcYPRESS Ordering Information Speed Grade Ordering Code 2 CY7C38lA-21C CY7C38lA - 211 1 CY7C381A -lJC CY7C381A-lJI CY7C381A-OJC CY7C381A-01l 0 Speed Grade 2 1 0 Ordering Code Package Name 167 167 167 167 167 167 Package Name CY7C382A - 2AC CY7C382A - 2GC CY7C382A-21C CY7C382A - 2AI CY7C382A -201 CY7C382A-211 CY7C382A -lAC CY7C382A-lGC CY7C382A -lJC CY7C382A -IAI CY7C382A-IGI CY7C382A -111 CY7C382A-lGMB CY7C382A-OAC CY7C382A -OGC CY7C382A-OJC CY7C382A -OAI CY7C382A-OGI CY7C382A - 011 CY7C382A -OGMB AIOO G69 181 A100 G69 181 AIOO G69 181 A100 G69 181 G69 A100 G69 181 AIOO G69 181 G69 Package 1Ype Operating Range 44-Lead Plastic Leaded Chip Carrier 44-Lead Plastic Leaded Chip Carrier Commercial Industrial 44-Lead Plastic Leaded Chip Carrier 44-Lead Plastic Leaded Chip Carrier 44-Lead Plastic Leaded Chip Carrier 44-Lead Plastic Leaded Chip Carrier Commercial Industrial Commercial Industrial Package 1Ype Operating Range 100-Pin Thin Quad Flat Pack Commercial 69-Pin Grid Array (Cavity Down) 68-Lead Plastic Leaded Chip Carrier 100-Pin Thin Quad Flat Pack Industrial 69-Pin Grid Array (Cavity Down) 68-Lead Plastic Leaded Chip Carrier 100-Pin Thin Quad Flat Pack 69-Pin Grid Array (Cavity Down) 68-Lead Plastic Leaded Chip Carrier 100-Pin Thin Quad Flat Pack 69-Pin Grid Array (Cavity Down) 68-Lead Plastic Leaded Chip Carrier 69-Pin Grid Array (Cavity Down) 100-Pin Thin Quad Flat Pack 69-Pin Grid Array (Cavity Down) 68-Lead Plastic Leaded Chip Carrier 100-Pin Thin Quad Flat Pack 69-Pin Grid Array (Cavity Down) 68-Lead Plastic Leaded Chip Carrier 69-Pin Grid Array (Cavity Down) Shaded area con tams advanced mformatlon. MILITARY SPECIFICATIONS Group A Subgroup Testing DC Characteristics Parameter Subgroups VOH 1,2,3 VOL 1,2,3 loz 1,2,3 ICCI 1,2,3 Document #: 38-00253 4-16 Commercial Industrial Military Commercial Industrial Military PRELIMINARY CY7C3381A CY7C3382A 3.3V High Speed lK (3K) Gate CMOS FPGA Features • 3.3V power supply • Very high speed - Loadable counter frequencies greater than 100 MHz at 3.3V - Chip-to-chip operating frequencies up to 80 MHz • Unparalleled FPGA performance for counters, data path, state machines, arithmetic, and random logic • • • • • Lowpower - Standby current typically 1 rnA -16-bit counter operating at 100 MHz consumes 2S rnA • High usable density - 8 x 12 array of 96 logic cells provides 3,000 total available gates -1,000 typically usable "gate array" gates in 44- and 68-pin PLCC, 69-pin CPGA, and 100-pin TQFP packages • Flexible logic cell architecture - Wide fan-in (up to 14 input gates) - Multiple outputs in each cell - Very low cell propagation delay • Powerful design tools-Warp3 ™ - Designs entered in VHDL, schematics, or both - Fast, fully automatic place and route • • • • - Waveform simulation with backannotated net delays - PC and workstation platforms Robust routing resources - Fully automatic place and route of designs using up to 100 percent of logic resources - No hand routing required 32 (CY7C3381A) to S6 (CY7C3382A) bidirectional input/output pins 6 dedicated input/high-drive pins 2 clock/dedicated input pins with fanout-independent, low-skew nets - Clock skew < 1 ns Input hysteresis provides high noise immunity Thorough testability - Built-in scan path permits 100 percent factory testing of logic and I/O cells - Automatic Test Vector Generation (ATVG) software supports user testing after programming 0.6SI-t CMOS process with ViaLink ™ programming technology - High-speed metal-to-metallink - Non-volatile antifuse technology 68-pin PLCC is compatible with EPLD 1800 and LCA 2064 industrystandard pinouts Functional Description The CY7C3381A and CY7C3382A are 3.3V very high speed CMOS user-programmable ASIC (pASIC TM) devices. The 96 logic cell field-programmable gate array (FPGA) offers 1,000 typically usable "gate array" gates. This is equivalent to 3,000 EPLD or LCA gates. The CY7C3381A is available in a 44-pin PLCC. The CY7C3382A is available in a 68-pin PLCC and CPGA and a 100-pin TQFP. Low-impedance, metal-to-metal ViaLink interconnect technology provides non-volatile custom logic capable of operating at speeds above 100 MHz. This permits highdensity programmable devices to be used with today's fastest CISC and RISC microprocessors. Designs are entered into the CY7C3381A and CY7C3382A using Cypress Warp3 software or one of several third-party tools. Warp3 is a sophisticated CAE package that features schematic entry, waveform-based timing simulation, and VHDL design synthesis. The CY7C3381A and CY7C3382A feature ample on-chip routing channels for fast, fully automatic place and route of high gate utilization designs. For detailed information about the pASIC380 architecture, see the pASIC380 Family datasheet. Logic Block Diagram • • • • • • • • • • • • • • • • • • • • • • 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8 Dg~r- 8 0 Oq,OO [~ 0 r-....rt::::::::::~ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 I ~ 0 0 ~j~- 0000 0 0 0 0 0 0 0 0 0 0 0 01 0 0 0 0101 0 1. • • • • • • • • • • • • • I/O/HIGH-DRIVE INPUT CLOCK CELLS 44, 68, or 100 PINS, INCLUDING 56 I/O CELLS, 6 INPUT HIGH-DRIVE CELLS, 2 INPUT/CLK (HIGH-DRIVE) CELLS ViaLink and pASIC are trademarks of QuickLogic Corporation. Warp3 is a trademark of Cypress Semiconductor Corporation .. 4-17 7c3381A-1 &~ .;'CYPRESS Pin Configurations PLCC Top View PLCC Top View 2 I/O I/O I/O 1/(SClK) I/ClKl(SM) Vee I I I/O I/O I/O 10 11 12 13 14 15 16 i!, CY7C3381A CY7C3382A PRELIMINARY 44 43 42 41 40 7C3381A 39 38 37 36 35 34 33 32 ggggg$ggggg I/O I/O I/O I/(SO) I Vee I/ClK I/(SI) I/O I/O I/O I/O I/O I/O I/O I/O I/O 1/(SClK) I/ClKl(SM) Vee I I/O I/O I/O I/O I/O I/O 7c3381A-2 10 11 12 13 14 15 16 17 18 19 20 21 60 59 58 57 56 55 54 53 52 51 50 49 48 47 46 7C3382A I/O I/O I/O I/O I/O I/O I/(SO) I Vee I/ClK I/(SI) I/O I/O I/O I/O I/O I/O 7c3381A-3 TQFP Top View ~g~g~ggg~ I/O I/O NC I/O 1/(SClK) I/ClKl(SM) 71 70 69 68 67 66 65 7C3382A Vee I I NC I/O I/O I/O I/O NC I/O NC I/O NC 4-18 64 63 62 61 60 59 58 57 56 55 54 53 52 NC I/O NC I/O NC I/O I/O I/O I/O NC I/(SO) I Vee I/ClK I/(SI) I/O NC I/O I/O I/O NC I/O NC I/O NC 7c3381A-4 CY7C3381A CY7C3382A PRELIMINARY CPGA Bottom View 11 10 I/O I/O I/O I/CLI ~ ~ 1.00 ~ ~ 0.98 0.96 ~ 0.94 0.92 3.0 3.15 3.3 I ~ -........... 3.45 3.6 7c3381A-11 SUPPLY VOLTAGE, Vee (Volts) TEMPERATURE FACTOR (KT) VERSUS TEMPERATURE 1.30 1.25 1.20 1.15 ~ 1.10 f~ 1.05 1.00 0.95 0.90 0.85 ..,.. .,V 0.80 -60 -40 V -20 l/ o ~ 20 ~ ~ , . / """"'" ~ 40 60 80 100 120 140 JUNCTION TEMPERATURE (0C) 7c3381A-12 *THETA JA = 45 °C/WATT FOR PLCC 4-23 PRELIMINARY .?cYPRESS Ordering Information Speed Grade Ordering Code Package Name Package 1Ype Operating Range 1 CY7C3381A-HC J67 44-Lead Plastic Leaded Chip Carrier Commercial 0 CY7C3381A -OJC J67 44-Lead Plastic Leaded Chip Carrier Commercial Package Name Package 1Ype Operating Range Speed Grade 1 0 Ordering Code CY7C3382A -lAC A100 lOO-Pin Thin Quad Flat Pack CY7C3382A -lGC G69 69-Pin Grid Array (Cavity Down) CY7C3382A -HC J81 68-Lead Plastic Leaded Chip Carrier CY7C3382A -OAC AlOO lOO-Pin Thin Quad Flat Pack CY7C3382A -OGC G69 69-Pin Grid Array (Cavity Down) CY7C3382A -OJC J81 68-Lead Plastic Leaded Chip Carrier Document #: 38-00252 4-24 Commercial Commercial CY7C3381A CY7C3382A CY7C383A CY7C384A ~~ -'CYPRESS Features • Very high speed - Loadable counter frequencies greater than 150 MHz - Chip-to-chip operating frequencies up to 85 MHz - Input + logic cell + output delays under 9 ns • Unparalleled FPGA performance for counters, data path, state machines, arithmetic, and random logic • High usable density -12 x 16 array of 192 logic cells provides 6,000 total available gates - 2,000 typically usable "gate array" gates in 68- and 84-pin PLCC, 84-pin CPGA, and 100-pin TQFP packages • Low power, high output drive - Standby current typically 2 rnA -16-bit counter operating at 100 MHz consumes 50 rnA - Minimum IOL of 12 rnA and IOHof8rnA • Flexible logic cell architecture - Wide fan-in (up to 14 input gates) - Multiple outputs in each cell - Very low cell propagation delay (1.7 ns) • Powerful design tools-Warp3 ™ -Designs entered in VHDL, schematics, or both • • • • • • • • • Very High Speed 2K (6K) Gate CMOS FPGA - Fast, fully automatic place and route - Waveform simulation with back annotated net delays - PC and workstation platforms Robust routing resources - Fully automatic place and route of designs using up to 100 percent of logic resources - No hand routing required 56 (CY7C383A) to 68 (CY7C384A) bidirectional input/output pins 6 dedicated input/high-drive pins 2 clock/dedicated input pins with fanout-independent, low-skew nets - Clock skew < 1 ns Input hysteresis provides high noise immunity Thorough testability - Built-in scan path permits 100 percent factory testing of logic and I/O cells - Automatic Test Vector Generation (ATVG) software supports user testing after programming CMOS process with ViaLink'" programming technology - High-speed metal-to-metallink - Non-volatile antifuse technology 68-pin PLCC is compatible with CY7C382A footprint for easy upgrade 84-pin PLCC is compatible with ACTI020 power supply and ground pinouts Functional Description The CY7C383A and CY7C384A are very high speed CMOS user-programmable ASIC (pASIC'M) devices. The 192 logic cell field-programmable gate array (FPGA) offers 2,000 typically usable "gate array" gates. This is equivalent to 6,000 EPLD or LCA gates. The CY7C383A is available in a 68-pin PLCC. The CY7C384A is available in an 84-pin PLCC and CPGA and 100-pin TQFP. Low-impedance, metal-to-metal ViaLink interconnect technology provides non -volatile custom logic capable of operating at speeds above 150 MHz with input delays under 1.5 ns and output delays under 3 ns. This permits high-density programmable devices to be used with today's fastest CISC and RISC microprocessors. Designs are entered into the CY7C383A and CY7C384A using Cypress Wa1p3 software or one of several third-party tools. Warp3 is a sophisticated CAE package that features schematic entry, waveform-based timing simulation, and VHDL design synthesis. The CY7C383A and CY7C384A feature ample on-chip routing channels for fast, fully automatic place and route of high gate utilization designs. For detailed information about the pASIC380 architecture, see the pASIC380 Family datasheet. Logic Block Diagram 0000000000000000. 0000000000000000: 0000000000000000: 0000000000000000: 0000000000000000: 0000000000000000: o 0 0 0 0 0 0 0 0 0 0 0 0 0 0< ...... o 0 0 0 0 0 0 0 0 0 0 0 0 ODOr.--r-~-+--+--r~--+--+--r-~-+--+--r-+--+--r~. 0000000000000000: 0000000000000000: 0000000000000000: 0000000000000000. • I/O/HIGH-DRIVE INPUT/CLOCK CELLS I 1 .. 68 or 84 PINS, INCLUDING 68 I/O CELLS, 6 INPUT HIGH-DRIVE CELLS, 2 INPUT/CLK (HIGH-DRIVE) CELLS ViaLink and pASIC are trademarks of QuickLogic Corporation. Warp3 is a trademark of Cypress Semiconductor Corporation. 4-25 c383A·1 II CY7C383A CY7C384A Pin Configurations PLCC Top View ggggggg~ggggg~ggggggg PLCC Top View gggggggg~gggggggg I/O I/O I/O I/O I/O I/O 1/(8Cll<) I/CLKI(8M) Vee I/(P) I I/O I/O I/O I/O I/O I/O 10 11 12 13 14 15 16 17 18 19 20 21 22 7C383A 58 57 56 55 54 53 52 51 50 49 48 I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O Vss 1/(8C~~ 1/(80) I Vee I/ClKl(8M) I/ClK I/(P) 1/(81) I/O I/O I/O I/O I/O I/O I Vee I/O I/O I/O I/O I/O I/O I/O 12 13 14 15 16 17 18 19 20 21 22 23 24 72 71 70 69 68 67 66 65 64 7C384A TQFP 75 74 73 72 71 70 69 68 67 66 65 7C384A 64 63 62 61 60 59 58 57 56 55 54 53 52 51 ~V~~~~~~M~~~~~~~GaM%~Q~.~ 4-26 Vee 1/(80) I I/ClK 1/(81) I/O Vss I/O I/O I/O I/O I/O I/O I/O Top View I/O I/O I/O I/O I/O I/O I/O I/O vss I/O 1/(8Cll<) I/ClKl(8M) Vee I/(P) I Vee I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O Vee 1/(80) I Vee I/ClK 1/(81) I/O Vss I/O I/O I/O I/O I/O I/O I/O I/O c363A-4 CY7C383A CY7C384A f""" iEYPRESS Pin Configurations (continued) CPGA Bottom View I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O A I/O I/O I/O I/O I/(SCLK) I/(P) I I/O I/O I/O I/O B I/O I/O vss I/CLKI (SM) vcc • I/O I/O C I/O I/O I/O I/O D I/O I/O vcc vss I/O I/O I/O I/O 1/0 1/0 110 I/O 1/0 I/O vss vcc I/O 110 G I/O I/O I/O 1/0 H I/O I/O I/O 1/0 I/O 110 I/O 1/0 I/(SO) I I/(SI) I/O I/O 1/0 110 I/O 110 110 110 I/O 1/0 I/O 1/0 1/0 110 110 11 10 vcc I/CLK vss II c3B3A-5 4-27 CY7C383A CY7C384A =-...,;;;:Z 'CYPRESS Maximum Ratings (Above which the useful life may be impaired. For user guidelines, not tested.) Latch-Up Current ............................ ±200 rnA Storage Temperature Ceramic ............................ -65°C to +150°C Plastic .............................. -40°C to + 125°C Lead Temperature ............................... 300°C Supply Voltage .......................... -0.5V to +7.0V Input Voltage ...................... -O.5V to Vee +O.5V ESD Pad Protection ............................ ±2000 V DC Input Voltage. . . . . . . . . . . . . . . . . . . . . . . . . . . . .. ±20 rnA Operating Range Ambient Temperature O°C to +70°C Range Commercial Vee 5V±5% Industrial -40°C to +85°C 5V ± 10% Military -55°C to +125°C 5V ± 10% Delay Factor (K) Military Speed Grade -0 Min. 0.39 Max. 1.82 -1 0.39 1.56 Industrial Min. Max. 1.67 0.4 -2 Commercial Min. Max. 0.46 1.55 0.4 1.43 0.46 1.33 0.4 1.35 0.46 1.25 Electrical Characteristics Over the Operating Range Parameter Description Output HIGH Voltage VOH Test Conditions Min. Max. Unit IOH = -4.0 rnA 3.7 V IOH = -8.0 rnA 2.4 V IOH = -10.0 IlA Vee - 0.1 VOL Output LOW Voltage VIH Input HIGH Voltage VIL Input LOW Voltage II Input Leakage Current VIN = Vee or Vss Ioz Output Leakage Current-Three-State los Output Short Circuit Current Ice Standby Supply Current IOL = 12 rnA Commercial IOL = 8.0 rnA Military/Industrial IOL = 10.0 IlA V 0.4 V 0.1 V 2.0 V 0.8 V -10 +10 IlA VIN = Vee or Vss -10 VOUT = Vss -10 +10 -80 rnA VOUT =Vee 30 140 rnA 10 rnA VIN, VI/O = Vee or Vss IlA Capacitance Parameter Description CIN Input Capacitancd 1] COUT Output Capacitance Test Conditions TA = 25°C, f = 1 MHz, Vee = 5.0V Notes: 1. erN = 40 pF max. on I/(SI) and I/(P). 4-28 Max. Unit 10 pF 20 pF CY7C383A CY7C384A Switching Characteristics Over the Operating Range Propagation Delays[2] with Fanout of Description Parameter 1 2 3 4 8 Unit LOGIC CELLS tpD Combinatorial Delay[3] 1.7 2.2 2.6 3.2 5.2 ns tsu Set-Up Timd3] 2.1 2.1 2.1 2.1 2.1 ns ns tH Hold Time 0.0 0.0 0.0 0.0 0.0 tCLK Clock to Q Delay 1.0 1.5 1.9 2.5 4.6 ns tCWHI Clock HIGH Time 2.0 2.0 2.0 2.0 2.0 ns tCWLO Clock LOW Time 2.0 2.0 2.0 2.0 2.0 ns tSET Set Delay 1.7 2.1 2.6 3.2 5.2 ns tRESET Reset Delay 1.5 1.9 2.2 2.7 4.3 ns tsw Set Width 1.9 1.9 1.9 1.9 1.9 ns tRW Reset Width 1.8 1.8 1.8 1.8 1.8 ns Propagation DelaySf2T Parameter Description 1 2 3 4 6 8 Unit INPUT CELLS tIN Input Delay (HIGH Drive) 2.4 2.5 2.6 2.7 3.0 3.3 ns tINI Input, Inverting Delay (HIGH Drive) 2.5 2.6 2.7 2.8 3.1 3.6 ns tlO Input Delay (Bidirectional Pad) 1.4 1.9 2.2 2.8 3.7 4.6 ns tGCK Clock Buffer Delay[4] 2.7 2.8 2.8 2.9 2.9 3.0 ns tGCKHI Clock Buffer Min. HIGH[4] 2.0 2.0 2.0 2.0 2.0 2.0 ns tGCKLO Clock Buffer Min. LOW[4] 2.0 2.0 2.0 2.0 2.0 2.0 ns Propagation Delays[2j with Output Load Capacitance (pF) of Parameter Description 30 50 75 100 150 Unit OUTPUT CELLS tOUTLH Output Delay LOW to HIGH 2.7 3.4 4.2 5.0 6.7 ns tOUTHL Output Delay HIGH to LOW 2.8 3.7 4.7 5.6 7.6 ns tPZH Output Delay Three-State to HIGH 4.0 4.9 6.1 7.3 9.7 ns tpZL Output Delay Three-State to LOW 3.6 4.2 5.0 5.8 7.3 ns tpHZ Output Delay HIGH to Three-StatdS] Output Delay LOW to Three-StatdS] 2.9 ns 3.3 ns tpLZ Notes: 2. Worst-case propagation delay times over process variation at Vee = 5.0V and TA = 25°C. Multiply by the appropriate delay factor, K, for speed grade to get worst-case parameters over full Vee and temperature range as specified in the operating range. All inputs are TTL with 3-ns linear transition time between 0 and 3 volts. 3. These limits are derived from worst-case values for a representative selection of the slowest paths through the pASIC logic cell including net delays. Guaranteed delay values for specific paths should be determined from simulation results. 4. 5. 4-29 Clock buffer fanout refers to the maximum number of flip-flops per half column. The number of half columns used does not affect clock buffer delay. The following loads are used for tpxz: ~5PF l- J. tpHZ ,eo. II CY7C383A CY7C384A High Drive Buffer # High Drives Parameter Description 12 24 1 4.5 5.4 High Drive Input Delay tIN Propagation Delays[2j with Fanout of Wired Together 2 48 5.6 4.5 4 1 2 High Drive Input, Inverting Delay 4.7 Unit ns 3.9 3 tINI 96 72 ns 5.3 6.3 ns 4.6 5.3 ns 5.6 ns 4.0 5.8 4.6 3 4 ns 5.5 6.4 ns 4.8 5.5 ns Switching Waveforms. co~:~~~oria=I=D=e=la=y======~~_________________________________________________________ ~~ OUTPUT tpD ~1 - - - - - - 1.. ------------------------------------~~~-------------------------------c383A-6 Scl-Up a:d H~"tsu ~~;j T tCWHI CLOCK ~~ Q Set and Reset Delays SET Q RESET t ~ * c383A-7 ~ tsw tSET i tRW tRESET Q Output Delay OUTPUT r tCWLO t L . tOUTLH ""'" tOUTHL ~ \} c383A-9 4-30 CY7C383A CY7C384A Bif~ ~,CYPRESS Switching Waveforms (continued) Three-State Delay OUTPUT BUFFER ENABLE ---('PZH THREE-STATE OUTPUT THREE-STATE c383A·l0 lYpical AC Characteristics Factor table. The effects of voltage and temperature variation are illustrated in the graphs below. Wafp3 incorporates datasheet AC Characteristics into the design database for pre-place-and-route simulations. The Wmp3 Delay Modeler extracts specific timing parameters for precise simulation results following place and route. Propagation delays depend on routing, fan-out, load capacitance, supply voltage, junction temperature, and process variation. The AC Characteristics are a design guide to provide initial timing estimates at nominal conditions. Worst-case estimates are obtained when nominal propagation delays are multiplied by the appropriate Delay Factor, K, as specified by the speed grade in the Delay 1.10 1.08 VOLTAGE FACTOR (KvJ VERSUS SUPPLY VOLTAGE (Vrd '- 1.06 ~ 1.04 ~ 1.02 > ::.:: ~ 1.00 ~ ~ 0.98 0.96 II ~ 0.94 0.92 4.50 4.75 5.00 ~ -.......... 5.25 5.50 SUPPLY VOLTAGE, Vee (Volts) 1.30 C383A-ll TEMPERATURE FACTOR (KT) VERSUS TEMPERATURE 1.25 1.20 1.15 /~ 1.10 I- ::.:: 1.05 1.00 0.95 0.90 0.85 ~~ /" L./ ~ ~ ~ . /""""" ./ -+""" 0.80 -60 -40 -20 o 20 40 60 JUNCTION TEMPERATURE (DC) *THETA JA = 45 DC/WAn FOR PLCC 4-31 80 100 120 140 c383A-12 CY7C383A CY7C384A Combinatorial Delay Example (Load = 30 pF) tlO tpD tOUT I---- 1.4ns - - - - - - . . _ - - - - - - 1 . 7 n s - - - - - - - - 1......1••- - - 2.8ns IN1 1 - - - - - - - - 1 ~ > - - - - - - i OUT IN2 1 - - - - - - - - 1 INPUT DELAY + COMBINATORIAL DELAY + OUTPUT DELAY = 5.9 ns c383A-13 Sequential Delay Example (Load tlO = 30 pF) tsu tCLK tOUT I---- 1.4 ns - - - -......- - 2.1 ns - - - -..~I""".--- 1.0 ns - -.......+1••- - - 2.8 ns IN1 1 - - - - - - - - 1 ~ >------iOUT CLKI--------I INPUT DELAY + REG SET-UP + CLOCK TO OUTPUT + OUTPUT DELAY = 7.3 ns c383A-14 4-32 CY7C383A CY7C384A Ordering Information Speed Grade 2 1 0 Speed Grade 2 Package Name J81 Package lYPe 68-Lead Plastic Leaded Chip Carrier Operating Range Commercial CY7C383A - 2JI J81 68-Lead Plastic Leaded Chip Carrier Industrial CY7C383A-HC J81 68-Lead Plastic Leaded Chip Carrier Commercial CY7C383A -lJI J81 68-Lead Plastic Leaded Chip Carrier Industrial CY7C383A-OJC J81 68-Lead Plastic Leaded Chip Carrier Commercial CY7C383A-OJI J81 68-Lead Plastic Leaded Chip Carrier Industrial Ordering Code CY7C383A - 2JC A100 Package lYpe 100-Pin Thin Quad Flat Pack CY7C384A - 2JC 084 J83 8¥Pin Grid AlTay (caVity Up) 84-Lead Plastic Leaded Chip Carrier CY7C384A - 2AI A100 100-Pin Thin Quad Flat Pack CY7C384A -'-201 G84, 84-PinGrid AlTay (QVit;y Up) J83 84-Lead Plastic Leaded Chip Carrier Ordering Code CY7C384A-2AC Package Name CY7C3S4A-'-2GC CY7C384A - 2JI 1 Commercial Industrial CY7C384A -lAC A100 100-Pin Thin Quad Flat Pack CY7C384A-IGC CY7C384A -HC 084 ~~-Pih Gnd Arr.(QVity Up) J83 84-Lead Plastic Leaded Chip Carrier CY7C384A -1A1 A100 100-Pin Thin Quad Flat Pack CY7C384A -lfGI G84 84.,l:>in (hid AlTay(CaVity;'t1p) CY7C384A -lJI CY7C384A,';"10MB 0 Operating Range CY7C384A -OAC CY7C384A,-Oq€ 084 CY7C384A -OJC J83 Industrial 84-Lead Plastic Leaded Chip Carrier J83 084 A100 Commercial " 84:-Pi~q~ Array (caYjty Up)"; 100-Pin Thin Quad Flat Pack ) 84-Plp;Gri([~ray (C~yity t,{p)" "~'I 100-Pin Thin Quad Flat Pack CY7C384A -OAI A100 G84' J83 i, 84-Pin Grig,'AlTay{Cavit;yiJ'p) 84-Lead Plastic Leaded Chip Carrier CY7C384A-OGMB G84 84·Pin Grid AlTay (Cavit;yUp) Shaded area contams advanced mformatlOn. MILITARY SPECIFICATIONS Group A Subgroup Testing DC Characteristics Subgroups VOH 1,2,3 VOL 1,2,3 Ioz 1,2,3 ICCl 1,2,3 Mili!y;y " Commercial 84-Lead Plastic Leaded Chip Carrier CY7C384A -()GI CY7C384A-OJI Parameter , Document #: 38-00361 4-33 Industrial Military a CY7C385A CY7C386A Very High Speed 4K (12K) Gate CMOS FPGA Features • Very high speed - Loadable counter frequencies greater than 100 MHz - Chip-to-chip operating frequencies up to 85 MHz - Input + logic cell + output delays under 7 ns • Unparalleled FPGA performance for counters, data path, state machines, arithmetic, and random logic • High usable density -16 x 24 array of 384 logic cells provides 12,000 total available gates - 4,000 typically usable "gate array" gates in 84-pin PLCC/CLCC, 100-pin and 144-pin TQFP, 145-pin CPGA, and 160-pin CQFP packages • Low power, high output drive - Standby current typically 2 rnA -16-bit counter operating at 100 MHz consumes 50 rnA - Minimum IOL and lOB of 8 rnA • Flexible logic cell architecture - Wide fan-in (up to 14 input gates) - Multiple outputs in each cell - Very low cell propagation delay (1.7 ns) • Powerful design tools-Wa1p3 - Designs entered in VHDL, schematics, or both 1M • • • • • • • • - Fast, fully automatic place and route - Waveform simulation with back annotated net delays - PC and workstation platforms Robust routing resources - Fully automatic place and route of designs using up to 100 percent of logic resources - No hand routing required 88 (7C385A) to 122 (7C386A) bidirectional input/output pins 6 dedicated input/high-drive pins 2 clock/dedicated input pins with fanout-independent, low-skew nets - Clock skew < 1 ns Input hysteresis provides high noise immunity Thorough testability - Built-in scan path permits 100 percent factory testing oflogic and I/O cells - Automatic Test Vector Generation (ATVG) software supports user testing after programming 0.65 1l CMOS process with ViaLink programming technology - High-speed metal-to-metal link - Non-volatile antifuse technology 100-pin TQFP is pin compatible with the IK (CY7C381A/2A) and the 2K (CY7C383A/4A) FPGAs 1M Functional Description The CY7C385A and CY7C386A are very high speed CMOS user-programmable ASIC (pASIC devices. The 384 logic cell field-programmable gate array (FPGA) offers 4,000 typically usable "gate array" gates. This is equivalent to 12,000 EPLD or LCA gates. The CY7C385A is available in a 84-pin PLCC and CPGA and the 100-pin TQFP. The CY7C386A is available in 144-pin TQFP and CPGA packages, and a 160-pin CQFP package. Low-impedance, metal-to-metal ViaLink interconnect technology provides non -volatile custom logic capable of operating at speeds above 150 MHz with input and output delays under 3 ns. This permits highdensity programmable devices to be used with today's fastest CISC and RISC microprocessors. Designs are entered into the CY7C385A and CY7C386A using Cypress Warp3 software or one of several third-party tools. Warp3 is a sophisticated CAE package that features schematic entry, waveform-based timing simulation, and VHDL design synthesis. The CY7C385A and CY7C386A feature ample on-chip routing channels for fast, fully automatic place and route of high gate utilization designs. For detailed information about the pASIC380 architecture, see the pASIC380 Family datasheet. 1M ) LOglC . BIock Diagram ... . ....... . . . . . ........o DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO ......... DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO o 0 DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO o 0 o o 0 : 0 : 0 : DO OC!.~ DO orr--DO o 0 DO DO DO DO DO DO DO DO DO DO DO DO o 0 DO DO DO DO DO DO ~ I~ • ~ I Warp3 IS and pASIC are trademarks of QuickLogic Corporation. a trademark of Cypress Semiconductor Corporation. 4-34 r--I-+-- I/O/HIGH-DRIVE INPUT/ CLOCK CEllS 84 and 144 PINS, 114 I/O CEllS, 6 INPUT HIGH DRIVE CEllS, 2 INPUT/ClK (HIGH DRIVE) CEllS ViaLin~ t-+- 7C38SA-1 CY7C385A CY7C386A ~-.,~ ; CYPRESS Pin Configurations PLCC/CLCC Top View 1/0 1/0 1/0 I/O 1/0 I/O I/O 1/0 1/0 1/0 1/0 1/0 I/O 1/0 Vee vss I/(SO) 1/0 I I/(SCLK) I/CLKI(SM) I/(P) I/CLK I/(SI) I/O I vss Vee 1/0 I/O I/O 1/0 1/0 1/0 110 I/O I/O I/O 1/0 1/0 110 I/O 7C385A-2 I TQFP Top View I/O 1/0 I/O I/O 1/0 1/0 1/0 I/O vss I/O I/(SCLK) I/CLKI(SM) 7C385A Vee I/(P) I Vee 1/0 1/0 1/0 1/0 1/0 75 74 73 72 71 70 69 68 67 66 65 64 63 62 61 60 59 58 57 56 55 54 53 52 51 I/O I/O 1/0 1/0 1/0 1/0 I/O 1/0 I/O 1/0 1/0 1/0 Vee I/(SO} I Vee I/CLK I/(SI) 1/0 Vss I/O I/O I/O 1/0 1/0 1/0 I/O 1/0 I/O 7C385A-3 4-35 CY7C385A CY7C386A -'f~ ~'CYPRESS 5 Pin Configurations (continued) TQFP Top View 1/0 1/0 1/0 1/0 I/O I/O 1/0 1/0 1/0 1/0 I/O 1/0 Vee Vss 1/0 1/0 1/0 1/0 1/0 1/0 I/O 1/0 1/0 1/0 1/0 1/0 1/0 1/0 vss Vee I/(SO) 1/0 I/(SCll<) Vee I/CLK/(SM) Vee I/ClK I/(P) I/(SI) 1/0 Vee Vss 1/0 I/O 1/0 1/0 I/O 1/0 1/0 1/0 1/0 1/0 1/0 I/O I/O 1/0 Vss Vee 1/0 I/O 1/0 1/0 I/O 1/0 1/0 1/0 I/O 1/0 1/0 1/0 7C385A-4 4-36 ~ . CY7C385A ~YPRESS==============================C=Y=7=C3=8=6A~ Pin Configurations (continued) CPGA Bottom View N M K H G o C A 1/0 I/O 1/0 I/O I/O I/O 1/0 I/O I/O I/O I/O I/O 1/0 I/O I/O I/O I/O 1/0 1/0 1/0 1/0 I/O I/O 1/0 1/0 1/0 I/O 1/0 NC I/O I/O 1/0 vss 1/0 Vee 1/0 vss I/O Vee I/O vss I/O Vee I/O I/O I/O I/O I/O • 1/0 I/O I/O I/O I/O Vee vss 1/0 1/0 1/0 1/0 I/O 1/0 1/0 I/O I I/(SO) vss Vee 1/0 I/O I/eLKI I/(seLK) I/O 1/0 I/(SI) 7C386A I/CLK (SM) I/O 1/0 Vee vss I I/(P) I/O 1/0 1/0 I/O 1/0 I/O 10 I/O I/O vss Vee I/O I/O 11 I/O 1/0 1/0 1/0 1/0 I/O 12 1/0 1/0 Vee I/O vss I/O Vee I/O vss I/O Vee I/O vss 1/0 I/O 13 1/0 NC I/O 1/0 I/O I/O 1/0 1/0 1/0 1/0 1/0 1/0 I/O 1/0 I/O 14 I/O 1/0 I/O 1/0 I/O I/O 1/0 I/O I/O I/O 1/0 I/O 1/0 1/0 I/O 15 a 7C385A-5 4-37 ~ CY7C385A ~~YPRESS==============================C~Y~7C~3~8~6A~ Pin Configurations (continued) CQFP Top View 160159158157156155154153152 151150 149148147146145144143142 141140 139138137136 135134133 132131130 129128127126125124123122121 I/O 120 I/O I/O 119 I/O I/O 118 I/O I/O 117 I/O I/O 116 I/O NC 115 NC I/O 114 I/O Vee 113 Vss I/O 112 I/O I/O 10 111 I/O I/O 11 110 109 108 I/O I/O I/O I/O 12 I/O 13 I/O 14 NC 15 107 106 I/O 16 105 I/O 104 Vee 103 I Vss 17 I/O 18 I 19 7C386A I/O NC 102 ClK 20 101 Vee Vee 21 ClK I 22 100 99 23 98 I/O Vee 24 97 Vss I/O 25 96 I/O NC 95 NC I/O 26 27 94 I/O I/O 28 93 I/O I/O 29 92 I/O I/O 30 91 I/O 90 I/O I I/O 31 I/O 32 89 I/O Vss 88 87 Vee I/O 33 34 NC 35 86 NC I/O 36 85 I/O I/O 37 84 I/O I/O 38 83 I/O I/O 39 82 I/O I/O 40 81 I/O I/O 77 78 79 80 41 7C385A-6 4-38 CY7C385A - ~~YPRESS~~~~~~~~~~~~~~~C=Y=7C=3=8=6A= Maximum Ratings (Above which the useful life may be impaired. For user guidelines, not tested.) Latch-Up Current ............................ ±200 rnA Storage Temperature Ceramic ............................ -65°C to + 150°C Plastic .............................. -40°C to + 125°C Lead Temperature ............................... 300°C Supply Voltage .......................... -0.5V to + 7.OV Input Voltage ...................... -0.5V to Vee +0.5V ESD Pad Protection ............................ ±2000 V DC Input Voltage . . . . . . . . . . . . . . . . . . . . . . . .. -O.5V to 7.OV Operating Range Ambient Temperature Vee O°C to +70°C 5V±5% Industrial -40°C to +85°C 5V ± 10% Military -55°C to +125°C 5V ± 10% Range Commercial Delay Factor (K) Military Industrial Commercial Speed Grade Min. Max. Min. Max. Min. -0 0.39 1.82 0.4 1.67 0.46 1.55 -1 0.39 1.56 0.4 1.43 0.46 1.33 0.4 1.35 0.46 1.25 -2 Max. Electrical Characteristics Over the Operating Range Parameter Description Output HIGH Voltage VOH Test Conditions Min. Unit Max. V IOH = -4.0 rnA 3.7 IOH = -8.0 rnA 2.4 V Vee - 0.1 V IOH = - 10.0 ~A VOL Output LOW Voltage VIH Input HIGH Voltage VIL Input LOW Voltage II Input Leakage Current VIN = Vee or Vss -10 IOL = 8.0 rnA Military/Industrial IOL = 12 rnA Commercial 0.4 IOL = 10.0 ~A V 0.1 V 2.0 V 0.8 V +10 ~A Ioz Three-State Output Leakage Current VIN = Vee or Vss -10 +10 ~A los Output Short Circuit Current VOUT = Vss -10 -80 rnA VOUT =Vee 30 140 rnA lee Standby Supply Current VIN, VI/O = Vee or Vss 10 rnA Capacitance Parameter Description CIN Input Capacitancd 1] COUT Output Capacitance Test Conditions TA = 25°C, f = 1 MHz, Vee = 5.0V Notes: 1. CI = 45 pF max. on lI(SI) and lI(P). 4-39 Max. Unit 10 pF 20 pF II ~ CY7C385A ==~YPRESS==============================C=Y=7C=3=8=6A= Switching Characteristics Over the Operating Range Propagation DelayslZj with Fanout of Parameter LOGIC CELLS Description 1 2 3 4 8 Unit tpD Combinatorial Delayl3j 1.7 2.2 2.6 3.2 5.3 ns tsu Set-Up Time l3 j 2.1 2.1 2.1 2.1 2.1 ns tH Hold Time 0.0 0.0 0.0 0.0 0.0 ns tCLK Clock to Q Delay 1.0 1.5 1.9 2.6 4.7 ns tCWHI Clock HIGH Time 2.0 2.0 2.0 2.0 2.0 ns tCWLO Clock LOW Time 2.0 2.0 2.0 2.0 2.0 ns tSET Set Delay 1.7 2.2 2.6 3.2 5.3 ns tRESET Reset Delay 1.5 1.9 2.2 2.7 4.4 ns tsw Set Width 1.9 1.9 1.9 1.9 1.9 ns tRW Reset Width 1.8 1.8 1.8 1.8 1.8 ns Propagation Delays[2] Parameter INPUT CELLS Description 1 2 3 4 8 12 Unit tIN Input Delay (HIGH Drive) 2.8 2.9 3.0 3.1 4.0 5.3 ns tINI Input, Inverting Delay (HIGH Drive) 3.0 3.1 3.2 3.3 4.1 5.7 ns t10 Input Delay (Bidirectional Pad) 1.4 1.9 2.2 2.2 4.7 6.5 ns tOCK Clock Buffer Delayl4j 2.7 2.8 2.9 3.0 3.1 3.3 ns tOCKHI Clock Buffer Min. HIGHl4j 2.0 2.0 2.0 2.0 2.0 2.0 ns tOCKLO Clock Buffer Min. LOWl4j 2.0 2.0 2.0 2.0 2.0 2.0 ns Propagation Delays[2] with Output Load Capacitance (pF) of Parameter Description 30 50 75 100 150 Unit OUTPUT CELLS tOUTLH Output Delay LOW to HIGH 2.7 3.4 4.2 5.0 6.7 ns tOUTHL Output Delay HIGH to LOW 2.8 3.7 4.7 5.6 7.6 ns tPZH Output Delay Three-State to HIGH 4.0 4.9 6.1 7.3 9.7 ns tpZL Output Delay Three-State to LOW 3.6 4.2 5.0 5.8 7.3 ns tpHZ Output Delay HIGH to Three-StatdS] 2.9 ns tpLZ Output Delay LOW to Three-StatdS] 3.3 ns Notes: 2. Worst-case propagation delay times over process variation at Vee = 5.0Vand TA = 25°C. Multiply by the appropriate delay factor, K, for speed grade to get worst-case parameters over full Vee and temperature range as specified in the operating range. All inputs are TTL with 3-ns linear transition time between 0 and 3 volts. 3. These limits are derived from worst-case values for a representative selection of the slowest paths through the pASIC logic cell including net delays. Guaranteed delay values for specific paths should be determined from simulation results. 4. 5. 4-40 Clock buffer fanout refers to the maximum number of flip-flops per half column. The number of half columns used does not affect clock buffer delay. The following loads are used for tpxz: ~5PF -l l tpHZ • no. CY7C38SA ~ ~ ~YPRESS==============================C=Y=7C=3=8=6A= High Drive Buffer Parameter Description High Drive Input Delay tIN # High Drives Wired Together 12 24 1 5.3 6.7 Propagation Delays[2j with Fanout of 2 48 5.3 1 5.7 6.2 7.2 ns 5.4 6.2 ns ns 7.2 4.6 2 Unit ns 6.6 4 High Drive Input, Inverting Delay 96 ns 4.5 3 tINI 72 ns 6.8 3 5.5 4 6.4 7.4 ns 5.6 6.4 ns Switching Waveforms Combinatorial Delay INPUT OUTPUT ======~~_1_L.._~-_-_-_~-_-_-_-_t-P_D-_=~~=~~=~-_=~~.-I-:~~==================~~~~ 7C385A-7 II Set-Up and Hold Times D1S= ~u ~·LtH =J:~II CLOCK . ~lli Q --------++--_ T {- *_-----tCWLO 7C385A-8 Set and Reset Delays ~ SET tsw tSET Q RESET tRW tRESET i Q Output Delay tOUTLH OUTPUT t h 7C385A-9 tOUTHL ------'~----~ 4-41 7C385A-10 CY7C385A =:r- =:'~YPRESS==============================C~Y~7C~3~8~6A~ Switching Waveforms (continued) Three-State Delay OUTPUT BUFFER ENABLE ------(~H l-tPHz- { THREE-STATE OUTPUT IpZL THREE-STATE THREE-STATE 7C385A-11 1:ypical AC Characteristics Factor table. The effects of voltage and temperature variation are illustrated in the graphs below. Warp3 incorporates datasheet AC Characteristics into the design database for pre-place-and-route simulations. The Warp3 Delay Modeler extracts specific timing parameters for precise simulation results following place and route. Propagation delays depend on routing, fanout, load capacitance, supply voltage, junction temperature, and process variation_ The AC Characteristics are a design guide to provide initial timing estimates at nominal conditions. Worst-case estimates are obtained when nominal propagation delays are multiplied by the appropriate Delay Factor, K, as specified by the speed grade in the Delay VOLTAGE FACTOR (Kv) VERSUS SUPPLY VOLTAGE (Vee) 1.10 1.08 "- "'- ~ 1.06 1.04 1.02 > ><: ~ 1.00 ~ 0.98 ~ 0.96 ~ 0.94 0.92 4.50 4.75 5.00 ~ -......-. 5.25 5.50 7C385A-12 SUPPLY VOLTAGE, Vee (Volts) TEMPERATURE FACTOR (KT) VERSUS TEMPERATURE 1.30 1.25 1.20 1.15 1.10 f-- ><: ~"" 1.05 1.00 0.95 0.90 0.85 ~ ~ V ~ ~ ",. ./ """ ~ L/ l/ ~ 0.80 -60 -40 -20 o 20 40 60 80 100 120 140 JUNCTION TEMPERATURE (DC) 7C385A-13 *THETA JA = 45 DC/WAn FOR PLCC 4-42 CY7C385A CY7C386A Combinatorial Delay Example (Load = 30 pF) j.--- tlO 1.4 ns ----_014------- tpD 1.7 ns - - - - - - -... tOUT +001._-- 2.8 ns ---I IN1 \ - - - - - - - - - 1 >-----_1 OUT IN2 \ - - - - - - - - - 1 7C385A-14 INPUT DELAY Sequential Delay Example (Load 5.9 ns = 30 pF) tlO j.--- + COMBINATORIAL DELAY + OUTPUT DELAY = tsu tCLK tOUT 1._-- 1.0ns ---!.~lf-oI.""--- 2. 8nS ---l 1.4ns ----_~-- 2.1 ns - - _ ..... IN1 1 - - - - - - - - - 1 >------1 OUT CLK~------I INPUT DELAY 7C385A-15 + REG SET-UP + CLOCK TO OUTPUT + OUTPUT DELAY = 7.3 ns Ordering Information Speed Grade 2 1 0 Ordering Code Package Name Package 'J.Ype Operating Range CY7C385A - 2AC A100 1OO-Pin Thin Quad Flat Pack Commercial CY7C385A-2JC CY7C385A - 2A1 J83 A100 84-Lead Plastic Leaded Chip Carrier 100-Pin Thin Quad Flat Pack Industrial CY7C385A - 2n J83 84-Lead Plastic Leaded Chip Carrier A100 100-Pin Thin Quad Flat Pack 84-Lead Plastic Leaded Chip Carrier Commercial 100-Pin Thin Quad Flat Pack Industrial CY7C385A -lAC CY7C385A -lJC CY7C385A -1A1 J83 A100 CY7C385A -In J83 CY7C385A-OAC A100 CY7C385A-OJC J83 CY7C385A -OAI A100 CY7C385A -on J83 84-Lead Plastic Leaded Chip Carrier 100-Pin Thin Quad Flat Pack Commercial 84-Lead Plastic Leaded Chip Carrier 100-Pin Thin Quad Flat Pack 84-Lead Plastic Leaded Chip Carrier 4-43 Industrial II CY7C385A ~ =:'~YPRESS~~~~~~~~~~~~~~~C=Y=7C=3=8=6A= Ordering Information (continued) Speed Grade 2 Ordering Code Package Name CY7C386A - 2AC A144 144-Pin Thin Quad Flat Pack CY7C386A - 2GC G145 145-Pin Grid Array (Cavity Up) CY7C386A - 2UC U162 A144 160-Lead Ceramic Quad Flatpack (Cavity Up) G145 145-Pin Grid Array (Cavity Up) 160·Lead Ceramic Quad Flatpack (Cavity Up) CY7C386A - 2Al CY7C386A - 2GI 1 0 Package Jype 144-Pin Thin Quad Flat Pack Operating Range Commercial Industrial CY7C386A-2UI CY7C386A -lAC U162 A144 CY7C386A -lGC G145 145-Pin Grid Array (Cavity Up) CY7C386A -1 UC CY7C386A -lAl U162 A144 160-Lead Ceramic Quad Flatpack (Cavity Up) 144-Pin Thin Quad Flat Pack CY7C386A -1 GI CY7C386A -1 UI G145 U162 145-Pin Grid Array (Cavity Up) CY7C386A -lGMB G145 U162 A144 145-Pin Grid Array (Cavity Up) 160-Lead Ceramic Quad Flatpack (Cavity Up) Military 144-Pin Thin Quad Flat Pack Commercial CY7C386A -OGC CY7C386A-OUC CY7C386A -OAI G145 U162 145-Pin Grid Array (Cavity Up) 160-Lead Ceramic Quad Flatpack (Cavity Up) A144 144-Pin Thin Quad Flat Pack CY7C386A -OGI G145 145-Pin Grid Array (Cavity Up) CY7C386A-OUI U162 160·Lead Ceramic Quad Flatpack (Cavity Up) CY7C386A -OGMB CY7C386A -OUMB G145 U162 145-Pin Grid Array (Cavity Up) 160-Lead Ceramic Quad Flatpack (Cavity Up) CY7C386A -1 UMB CY7C386A -OAC 144-Pin Thin Quad Flat Pack Military Specifications Group A Subgroup Testing DC Characteristics Subgroups VOH 1,2,3 VOL 1,2,3 loz 1,2,3 leCl 1,2,3 Industrial 160-Lead Ceramic Quad Flatpack (Cavity Up) Shaded area con tams advanced mformatlon. Parameters Commercial Document #: 38-00209-C 4-44 Industrial Military CY7C387A CY7C388A PRELIMINARY Very High Speed 8K (24K) Gate CMOS FPGA Features • Very high speed - Loadable counter frequencies greater than 100 MHz - Chip-to-chip operating frequencies up to 85 MHz - Input + logic cell + output delays under 7 ns • Unparalleled FPGA performance for counters, data path, state machines, arithmetic, and random logic • High usable density - 24 x 32 array of 768 logic cells provides 24,000 total available gates - 8,000 typically usable "gate array" gates in 14S-pin and 245-pin CPGA, 144-pin TQFP, 208-pin PQFP, 160-pin CQFP, and 225-pin BGA packages • PCI compliant I/O pins • Low power, high output drive - Standby current typically 2 rnA Logic Block Diagram . .. . . . . DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO -16-bit counter operating at 100 MHz consumes 50 rnA - Minimum IOL of 12 rnA and lOR of 8 rnA • Flexible logic cell architecture - Wide fan-in (up to 14 input gates) - Multiple outputs in each cell - Very low cell propagation delay (1.7 ns) • Powerful design tools-Warp3 ™ - Designs entered in VHDL, schematics, or mixed - Fast, fully automatic place and route - Waveform simulation with back annotated net delays - PC and workstation platforms • Robust routing resources - Fully automatic place and route of designs using up to 100 percent of logic resources - No hand routing required • 132 (7C387A) to 172 (7C388A) bidirectional input/output pins • 6 dedicated input/high-drive pins • 2 clock/dedicated input pins with fanout-independent, low-skew nets - Clock skew < 1 ns • Input hysteresis provides high noise immunity • Thorough testability - Built-in scan path permits 100 percent factory testing of logic and I/O cells - Automatic Test Vector Generation (ATVG) software supports user testing after programming • 0.6S fl CMOS process with ViaLink TM programming technology - High-speed metal-to-metallink - Non-volatile antifuse technology • 144-pin TQFP, 145-pin CPGA, and 160-pin CQFP are pin compatible with the CY7C386A I . .. . . . . DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO DO 00 DO DO DO DO DO DO 00 DO 00 DO DO DO DO DO DO DO DO DO 00 DO 00 DO 00 DO 00 DO DO DO DO DO DO DO DO 00 DO ·· · DO DO ODD DOD DO DO ODD DOD DO DO olgJO DOD DO DO E.E. ~: DO DO DO o • DO DO 01/ \0 DO o : nn In • DO ;:1.lD.lD.I DO ,I DO DO DO DO DO DO DO DO DO DO DO DO DOD DO DO DO DO DO· DO DO DO DO DO DO DO DO DO DO ,~3 • I/O/HIGH-DRIVE IN PUT/ CLOCK CELLS I ~ "'--f-f-- J ·: · 144 and 208 PINS, 172 I/O CEllS, 6 INPUT HIGH DRIVE CEllS, 2 INPUT/ClK (HIGH DRIVE) CEllS ViaLink and pASIC are trademarks of QuickLogic Corporation. Wafp3 is a trademark of Cypress Semiconductor Corporation. 4-45 r-+- 7C387A-1 PRELIMINARY Functional Description The CY7C387A and CY7C388A are very high speed, CMOS, user-programmable ASIC (pASIC™) devices. The 768 logic cell field-programmable gate array (FPGA) offers 8,000 typically usable "gate array" gates. This is equivalent to 24,000 EPLD or LCA gates. The CY7C387A is available in a 145-pin CPGA, 160-pin CQFP, and 144-pin TQFP. The CY7C388A is available in 208-pin PQFP, 245-pin CPGA, and 225-pin BGA packages. Low-impedance, metal-to-metal ViaLink interconnect technology provides non-volatile custom logic capable of operating at speeds above 150 MHz with input and output delays under 3 ns. This per- CY7C387A CY7C388A mits high-density programmable devices to be used with today's fastest CISC and RISC microprocessors. Designs are entered into the CY7C387A and CY7C388A using Cypress Walp3 software or one of several third-party tools. Warp3 is a sophisticated CAE package that features schematic entry, waveform-based timing simulation, and VHDL design synthesis. The CY7C387A and CY7C388A feature ample on-chip routing channels for fast, fully automatic place and route of high gate utilization designs. For detailed information about the pASIC380 architecture, see the pASIC380 Family datasheet. Pin Configurations 144·Pin Thin Quad Flat Pack (TQFP) Top View 144143142141140 139138137136135134 133132131130 129128127126 125124123122121120 119 118117 116115114 113112 111110 109 I/O 110 110 110 110 110 110 110 110 Vee Vss 110 110 110 110 110 110 110 110 110 110 110 110 110 110 110 Vee Vss 110 93 7C387A I 92 91 Vee Vee 90 I/CLK I 89 88 110 Vee 87 Vss 110 I/CLK 110 86 110 85 110 110 110 110 84 110 83 110 110 110 Vss I/O 110 82 110 81 80 110 110 79 Vee 78 76 110 110 110 75 I/O 77 110 110 110 110 74 I/O 73 110 7C387A-2 4-46 PRELIMINARY - : -?cYPRESS CY7C387A CY7C388A Pin Configurations (continued) 208-Pin Plastic Quad Flat Pack (PQFP) Top View I/O I/O I/O I/O I/O I/O I/O I/O I/O Vee Vss I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O Vss I/O I I/CLK Vee I Vee I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O Vee Vss I/O I/O I/O I/O I/O I/O I/O I/O I/O 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 7C388A 156 155 154 153 152 151 150 149 148 147 146 145 144 143 142 141 140 139 138 137 136 135 134 133 132 131 130 129 128 127 126 125 124 123 122 121 120 119 118 117 116 115 114 113 112 111 110 109 108 107 106 105 I/O I/O I/O I/O I/O I/O I/O I/O I/O Vss Vee I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O Vee I Vee I/CLK I I/O Vss I/O 1/(' I/e I/O I/O I/O I/O I/O I/O I/O I/O Vss Vee I/O I/O I/O I/O I/O I/O I/O I/O I/O 7C387A-3 4-47 II CY7C387A ~ =:'~YPRESS~~~~~~~=P=nE~L=IM~IN=~=R=Y~~~CY=7=C=3=88=A= Pin Configurations (continued) 160·Pin CQFP Top View 110 120 I/O 110 119 110 110 118 110 I/O 117 I/O I/O 116 110 110 115 110 110 114 110 Vee 113 Vss 110 110 9 112 I/O 10 111 110 110 11 110 110 110 12 110 13 109 108 110 110 110 I/O 110 15 107 106 110 16 14 105 110 110 Vss 17 104 Vee 110 18 103 I 19 7C387A 102 I/ClK 20 Vee 21 101 100 I 22 99 I I 23 98 110 Vee 24 97 Vss 110 25 96 110 110 110 26 27 95 110 94 I/O I/O 28 93 110 92 110 91 110 90 110 29 110 30 Vee I/ClK 110 31 110 32 89 110 110 Vss 33 88 Vee 110 34 87 110 110 35 110 110 86 36 85 110 I/O 37 110 110 84 38 83 110 110 39 82 110 110 40 81 110 73 74 75 76 77 78 79 80 7C387A-4 4-48 CY7C387A ==-~YPRESS==~~~~~~=P=nE~L=IM~IN=~=R=Y~~~CY=7=C=3=88=A= Pin Configurations (continued) 145-Pin CPGA Bottom View M H G D C A I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O Vss I/O Vee I/O Vss I/O Vee I/O Vss I/O Vee I/O I/O I/O I/O I/O • I/O I/O I/O I/O I/O Vee Vss I/O I/O I/O I/O I/O I/O I/O I/O I I Vss Vee I/O I/O I/O I I/CLK I/CLK I I/O I/O I/O Vee Vss I I I/O I/O I/O I/O I/O I/O 10 I/O I/O Vss Vee I/O I/O 11 I/O I/O I/O I/O I/O I/O 12 I/O I/O Vee I/O Vss I/O Vee I/O Vss I/O Vee I/O Vss I/O I/O 13 I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O 14 I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O 15 7C387A 7C387A-5 4-49 -- CY7C387A ~~YPRESS===============P=nE==L=IM=I~N~~=R~Y======CY=7~C~3=88=A~ Maximum Ratings (Above which the useful life may be impaired. For user guidelines, not tested.) Latch-Up Current ............................ ±200 rnA Storage Temperature Ceramic ............................ -65°C to +150°C Plastic .............................. -40°C to +125°C Lead Temperature ............................... 300°C Supply Voltage .......................... -0.5V to +7.0V Input Voltage ...................... -O.5V to Vee +0.5V ESD Pad Protection . . . . . . . . . . . . . . . . . . . . . . . . . . .. ±2000 V DC Input Voltage. . . . . . . . . . . . . . . . . . . . . . . .. -O.5V to 7.0V Operating Range Ambient Temperature O°C to +70°C Range Commercial Vee 5V± 5% 0 Industrial -40°C to +85°C 5V ± 10% Military -55°C to +125°C 5V ± 10% Delay Factor (K) Speed Grade -0 -1 Military Min. Max. 0.39 1.82 0.39 Industrial Min. Max. 0.4 1.67 1.56 -2 Commercial Min. Max. 0.46 1.55 0.4 1.43 0.46 1.33 0.4 1.35 0.46 1.25 Electrical Characteristics Over the Operating Range Parameter Description Output HIGH Voltage VOH VOL Output LOW Voltage VIH Input HIGH Voltage VIL Input LOW Voltage Test Conditions Min. Max. IOH = -4.0 rnA 3.7 V IOH = -8.0 rnA 2.4 V IOH = - 10.0 f.-tA Vee - 0.1 V IOL = 8.0 rnA Military/Industrial IOL = 12 rnA Commercial IOL = 10.0 f.-tA 0.4 V 0.1 V 0.8 V 2.0 V II Input Leakage Current VIN = Vee or Vss -10 loz Three-State Output Leakage Current VIN = Vee or Vss -10 los Output Short Circuit Current VOUT = Vss -10 -80 VOUT =Vee 30 140 11) Standby Supply Current lec Unit 0 VIN, VI/O = Vee or Vss +10 f.-tA +10 f.-tA rnA rnA rnA Capacitance Parameter Description CIN Input Capacitance COUT Output Capacitance Test Conditions TA = 25°C, f = 1 MHz, Vee = 5.0V 4-50 Max. Unit 10 pF 10 pF P CY7C387A CY7C388A PRELIMINARY Switching Characteristics Over the Operating Range Propagation DelaysllJ with Fanout of Parameter LOGIC CELLS Description 1 2 3 4 8 Unit tpD Combinatorial Delayl2J 1.7 2.2 2.6 3.2 5.3 ns tsu Set-Up Time l2J 2.1 2.1 2.1 2.1 2.1 ns tH Hold Time 0.0 0.0 0.0 0.0 0.0 ns tCLK Clock to Q Delay 1.0 1.5 1.9 2.6 4.7 ns tcwHI Clock HIGH Time 2.0 2.0 2.0 2.0 2.0 ns 2.0 2.0 2.0 2.0 2.0 ns tCWLO Clock LOW Time tSET Set Delay 1.7 2.2 2.6 3.2 5.3 ns tRESET Reset Delay 1.5 1.9 2.2 2.7 4.4 ns tsw Set Width 1.9 1.9 1.9 1.9 1.9 ns tRW Reset Width 1.8 1.8 1.8 1.8 1.8 ns 8 12 Unit Propagation Delays[1] with Fanout of Parameter INPUT CELLS Description 1 2 3 4 tIN Input Delay (HIGH Drive) 2.8 2.9 3.0 3.1 4.0 5.3 ns tINI Input, Inverting Delay (HIGH Drive) 3.0 3.1 3.2 3.3 4.1 5.7 ns t10 Input Delay (Bidirectional Pad) 1.4 1.9 2.2 2.2 4.7 6.5 ns tGCK Clock Buffer Delayl3J 2.7 2.8 2.9 3.0 3.1 3.3 ns tGCKHI Clock Buffer Min. HIGH!3] 2.0 2.0 2.0 2.0 2.0 2.0 ns tGCKLO Clock Buffer Min. LOWl3] 2.0 2.0 2.0 2.0 2.0 2.0 ns Propagation Delays[1] with Output Load Capacitance (pF) of Parameter Description 30 50 75 100 150 Unit OUTPUT CELLS tOUTLH Output Delay LOW to HIGH 2.7 3.4 4.2 5.0 6.7 ns tOUTHL Output Delay HIGH to LOW 2.8 3.7 4.7 5.6 7.6 ns tpZH Output Delay Three-State to HIGH 4.0 4.9 6.1 7.3 9.7 ns 3.6 4.2 5.0 5.8 7.3 ns tPZL Output Delay Three-State to LOW tpHZ Output Delay HIGH to Three-Statd 4] 2.9 ns tpLZ Output Delay LOW to Three-Statd 4] 3.3 ns Notes: 1. Worst -case propagation delay times over process variation at Vee = 5.0V and TA = 25°C. Multiply by the appropriate delay factor, K, for speed grade to get worst-case parameters over full Vee and temperature range as specified in the operating range. All inputs are TTL with 3-ns linear transition time between 0 and 3 volts. 2. These limits are derived from worst-case values for a representative selection of the slowest paths through the pASIC logic cell including net delays. Guaranteed delay values for specific paths should be determined from simulation results. 3. 4. 4-51 Clock buffer fanout refers to the maximum number of flip-flops per half column. The number of half columns used does not affect clock buffer delay. The following loads are used for tpxz: :--r--lSPF -l 1• no. tpHZ II High Drive Buffer # High Drives Parameter Description High Drive Input Delay tIN Propagation Delays[1] with Fanout of Wired Together 12 24 1 5.3 6.7 2 4.5 3 48 High Drive Input, Inverting Delay 5.7 2 ns 6.2 7.2 5.4 6.2 7.2 4.6 ns ns ns 6.8 5.5 3 Unit ns 5.3 1 96 6.6 4 tIN! 72 4 ns 6.4 7.4 ns 5.6 6.4 ns Switching Waveforms Combinatorial Delay INPUT ===~~~_-_-_1_1_.=========-t_PD==_-:=--:=--= - i~"-' -,...---------------:----:----:----:----:--------- OUTPUT 7C387A-6 Set.Up and Hold Times eLOe: Q 1= ~u L~tCLK~ ~~:*___ -=--=--=-~!; l' _ _ _ _1_ -----+l-j-,_-_-_ -_tCWL_O 7C387A-7 Set and Reset Delays SET tsw tSET Q RESET tRW tRESET :t i Q Output Delay tOUTLH OUTPUT t h 7C387A-8 tOUTHL ------'~----~ 4-52 7C387A-9 ~~ CY7C387A CY7C388A PRELIMINARY ;fCYPRESS Switching Waveforms (continued) Three-State Delay OUTPUT BUFFER ENABLE - - - - - - - ( 'pZH THREE-STATE THREE-STATE OUTPUT 7C387A-10 1:ypical AC Characteristics Factor table. The effects of voltage and temperature variation are illustrated in the graphs below. Warp3 incorporates datasheet AC Characteristics into the design database forpre-place-and-route simulations. The Warp3 Delay Modeler extracts specific timing parameters for precise simulation results following place and route. Propagation delays depend on routing, fanout, load capacitance, supply voltage, junction temperature, and process variation. The AC Characteristics are a design guide to provide initial timing estimates at nominal conditions. Worst-case estimates are obtained when nominal propagation delays are multiplied by the appropriate Delay Factor, K, as specified by the speed grade in the Delay VOLTAGE FACTOR (Kv) VERSUS SUPPLY VOLTAGE (Vee) 1.10 1.08 i'... "'- "'-........ 1.06 1.04 1.02 > >::: "'-........ 1.00 ~ ~ 0.98 0.96 ~ 0.94 0.92 4.50 4.75 I ~ ~ 5.25 5.00 5.50 7C387A-11 SUPPLY VOLTAGE, Vee (Volts) TEMPERATURE FACTOR (KT) VERSUS TEMPERATURE 1.30 1.25 ~ 1.20 1.15 /~ 1.10 f- >::: 1.05 1.00 0.95 0.90 0.85 ~ ~ ~ ~ ~ ./' ,- ./ ./ -Y 0.80 -60 -40 -20 o 20 40 60 80 100 120 140 JUNCTION TEMPERATURE (0C) 7C387A-12 *THETA JA = 45 °C/WATT FOR PLCC 4-53 CY7C387A ==~YPRESS===============P=nE==L=IM=I=N=~=R=Y======CY=7=C=3=88=A~ Combinatorial Delay Example (Load = 30 pF) tlO tpD tOUT I----- 1.4 ns - - - - - + 1 - - - - - - - 1.7 ns - - - - - -.......~I...- - - 2.8 ns -----I IN1 1 - - - - - - - - 1 ~----__1 IN21--------I OUT 7C3B7A-13 INPUT DELAY + COMBINATORIAL DELAY + OUTPUT DELAY = 5.9 ns Sequential Delay Example (Load = 30 pF) tsu tlO I----- 1.4 ns - - - -.......- - - - 2.1 ns tCLK tOUT ..- - - 1.0 ns - -......I-f---- 2.8 ns -----I ~I~ - - - i.. IN1 1 - - - - - - - - 1 ~----__10UT CLKI--------I 7C3B7A-14 INPUT DELAY + REG SET-UP + CLOCK TO OUTPUT + OUTPUT DELAY = 7.3 ns 4-54 ~ CY7C387A ===~YPRESS~~~~~~~=P=nE~L=IM=I=N=~=R=Y~~~CY=7=C=3=88=A= Ordering Information Speed Grade 2 1 0 Speed Grade 2 1 0 Ordering Code CY7C387A - 2AC CY7C387A - 2GC CY7C387A-2Al CY7C387A - 2GI CY7C387A -lAC CY7C387A-1GC CY7C387A -lAl CY7C387A -lGI CY7C387A-1GMB CY7C387A -1 UMB CY7C387A-OAC CY7C387A-OGC CY7C387A-OAl CY7C387A-OGI CY7C387A-OGMB CY7C387A-OUMB Package Name A144 G145 A144 G145 A144 G145 A144 G145 G145 U162 A144 G145 A144 G145 G145 U162 Ordering Code CY7C388A - 2AC CY7C388A -2BGC CY7C388A - 2GC CY7C388A - 2Al CY7C388A - 2GI CY7C388A -lAC CY7C388A-lBGC CY7C388A-1GC CY7C388A -lAl CY7C388A -1GI CY7C388A,-lGMB CY7C388A -OAC CY7C388A -OBGC CY7C388A -OGC CY7C388A -OAl CY7C388A -OGI CY7C388A -OGMB Package Name A208 B225 G245 A208 G245 A208 B225 G245 A208 G245 G245; A208 B225 G245 A208 G245 G245 Package 'JYpe 144-Pin Thin Quad Flat Pack 145-Pin Grid Array (Cavity Up) 144-Pin Thin Quad Flat Pack 145-Pin Grid Array (Cavity Up) 144-Pin Thin Quad Flat Pack 145-Pin Grid Array (Cavity Up) 144-Pin Thin Quad Flat Pack 145-Pin Grid Array (Cavity Up) 145-Pin Grid Array (Cavity Up) 160-Lead Ceramic Quad Flatpack (cavity Up) 144-Pin Thin Quad Flat Pack 145-Pin Grid Array (Cavity Up) 144-Pin Thin Quad Flat Pack 145-Pin Grid Array (Cavity Up) 145-Pin Grid Array (Cavity Up) 16()..Lead Ceramic Quad Flatpack(Cavity Up) Shaded area contams advanced mformatlOn. Military Specifications Group A Subgroup Testing DC Characteristics Parameters Subgroups VOH 1,2,3 VOL 1,2,3 loz 1,2,3 1,2,3 ICCl Document #: 38-00373 4-55 Industrial Commercial Industrial Military Commercial Industrial Military Operating Range Commercial Package 'JYpe 208-Pin Thin Quad Flat Pack 225-l'in Ball Grid Array 245-Pin Grid Array (Cavity Up) 208-Pin Thin Quad Flat Pack 245-Pin Grid Array (Cavity Up) 208-Pin Thin Quad Flat Pack 225-Pi1:I Ball Grid Array 245-Pin Grid Array (Cavity Up) 208-Pin Thin Quad Flat Pack 245-Pin Grid Array (Cavity Up) .245-Pin Grid Array (C~ty Up):;" .'. 208-Pin Thin Quad Flat Pack .';',:,:":; 225-Pin Ball Grid Array.' 245-Pin Grid Array (Cavity Up) 208-Pin Thin Quad Flat Pack 245-Pin Grid Array (Cavity Up) 245~Pin Grid Array (cavityZUp) Operating Range Commercial Industrial Commercial Industrial Military Commercial .' Industrial ./~> Military II CY7C389A ADVANCED INFORMATION Very High Speed 12K (36K) Gate CMOS FPGA Features • Very high speed - Loadable counter frequencies greater than 100 MHz - Chip-to-chip operating frequencies up to 85 MHz - Input + logic cell + output delays under 7 ns • Unparalleled FPGA performance for counters, data path, state machines, arithmetic, and random logic • High usable density - 32 x 36 array of 1152 logic cells provides 36,000 total available gates -12,000 typically usable "gate array" gates in 208-pin PQFP, 313-pin BGA, and 245-pin CQFP packages • Low power, high output drive - Standby current typically 2 rnA -16-bit counter operating at 100 MHz consumes 50 rnA - Minimum IOL and IOH of 8 rnA • Flexible logic cell architecture - Wide fan-in (up to 14 input gates) - Multiple outputs in each cell - Very low cell propagation delay (1.7 ns) • PCI compliant I/O pins • Powerful design tools-Warp3 TM - Designs entered in VHDL, schematics, or both - Fast, fully automatic place and route - Waveform simulation with back annotated net delays - PC and workstation platforms • Robust routing resources - Fully automatic place and route of designs using up to 100 percent of logic resources - No hand routing required • Input hysteresis provides high noise immunity • Thorough testability - Built-in scan path permits 100 percent factory testing of logic and I/O cells - Automati'c Test Vector Generation (ATVG) software supports user testing after programming • 0.65!! CMOS process with ViaLink TM programming technology - High-speed metal-to-metallink - Non-volatile antifuse technology Logic Block Diagram D D D D DD DD DD D D D D DD D D DD DD DD D D D D D D DD DD DD DD D D D D D D DD DD DD DD DD D D D D DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD D D DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD D D DD DD DD DD DD DD D D DD DD DD DD DD DD DD D D DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD D D D D D D DD DD DD DD DD D D DD DD DD DD DD DD D D DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD DD . DD DD D DID DD D • DD DD DDD DDD DD DD D I!i\. D DD D • DD D • DD DD 00 0· DD DD DD D D DV lD DD D • DD P. .c.lCI hln n n • DD .\ DD DD DD DD DD DD DD DD DD DD DD DD DDD DD DD DD DD D D • DD DD DD DD D D • DD DD DD DD D D • DD DD DD DD D D • DD DD DD DD D D • DD DD DD DD D D • DD DD DD DD D D • DD DD DD DD D D • DD DD DD DD D D • DD DD DD DD D D • DD DD DD DD D D • ,~3 -=:1 ........................................................................ 313 PINS, 2421/0 CELLS, 6 INPUT HIGH DRIVE CELLS, 2INPUT/CLK (HIGH DRIVE) CELLS ViaLink is a trademark of QuickLogic Corporation. Warp3 is a trademark of Cypress Semiconductor Corporation. 4-56 ~~~ ~I ~-- 7C3B9A-1 • I/O/HIGH-DRIVE INPUT/CLOCK CELLS Software 5 Section Contents Software Page Number PLD, CPLD, and FPGA Development Tools Overview .......................................................... 5-1 Device Wa1p2 CY3120/CY3125 Wa1p3 CY3130/CY3135 Description VHDL Compiler for PLDs, CPLDs, and FPGAs ................................... 5-2 VHDL Development System for PLDs and FPGAs ................................. 5-7 Impulse3 Device Programer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5 -12 Third-PartyTools ........................................................................................ 5-14 PLD, CPLD, and FPGA Development Tools Overview A large number of development tools are available for use when designing with Cypress Semiconductor's PLDs, CPLDs, and FPGAs. Many of these tools are available from Cypress, while additional design flow options are available from numerous thirdparty tool vendors. (For a complete listing of third-party tool vendors, see the Third-Party Tools datasheet.) Development software is available that provides design entry, synthesis, optimization, fitting, place and route, and simulation. As shown below, this software produces a programming file for use with a device programmer. Wa1p2 provides VHDL design 1M I I I I I 1M description and functional simulation. Warp3 includes Warp2 functionality plus schematic entry and timing simulation. In addition, many third-party tools are available and provide various levels of support. Device programmers use the programming file created by the development tool and program the PLD, CPLD, or FPGA. The Impulse3 ™ can program any Cypress device and can be upgraded to program other manufacturers' devices. Many third-party programmers are available that can be used to program a wide array of devices including those from Cypress. - - - - - - - - - - - - - - - - - - - - - --, PLD, CPLD, and FPGA Development Tools CAE Schematic Solutions (Netlist) Standalone Software (PLA for PLD/CPLDs) (QDF for FPGA) -t- L Warp2 VHDL Description Functional Simulation Impulse3 Device Programmer Warp3 VHDL Description Schematic Entry Timing Simulation • • 1 /' I I VHDL I I J ----- /' /' • • / Document #: 38-00370 5-1 Third-Party Device Programmer /' V PRELIMINARY Warp2@) CY3120/CY3125 VHDL Compiler for PLDs, CPLDs, and FPGAs Features • VHQL (IEEE 1076) high-level language compiler - VHDL facilitates device independent design - VHDL designs are portable across multiple devices and/or CAD platforms - VHDL facilitates the use of industry-standard simulation and synthesis tools for board and system-level design - VHDL supports functions and libraries facilitating modular design activity • Warp2 provides synthesis for a powerful subset of IEEE standard VHDL including: - enumerated types - opeartor overloading - for ... generate statements -integers • State-of-the-art optimizations and reduction algorithms - Optimization for flip-flop type (D type/T type) - Automatic selection of optimal flip-flop type (D type/T type) - Automatic pin assignment - Automatic state assignment (grey code, one-hot, binary) • Several design entry methods support multiple levels of abstraction: - VHDL Behavioral (IE ..THEN... ELSE; CASE ... ) - State tables -Boolean - VHDL Standard (RTL) • Designs can intermix multipleVHDL entry methods in a single design • Supports all Cypress PLDs and PROMs, including MAXSOOO and the state machine PROMs (CY7C2S8/9) • Functional simulation provided with Cypress NOVA simulator: - Graphical waveform simulator - Entry and modification of on-screen waveforms - Ability to probe internal nodes - Display of inputs, outputs, and High Z signals in different colors - Automatic clock and pulse creation - Waveform to JEDEC test vector conversion utility -JEDEC to symbolic disassembly - Support for buses • Hosted on IBM PC-AT • Windows 3.1 on PCs • OpenLook or Motif on Sun workstations simulation, Warp2 provides the graphical waveform simulator from the NOVA. VHDL Compiler VHDL (VHSIC Hardware Description Language) is an open, powerful, non-proprietary language that is a standard for behavioral design entry and simulation. It is already mandated foruse by the Department of Defense and supported by every major vendor of CAE tools. VHDL allows designers to learn a single language that is useful for all facets of the design process. VHDL offers designers the ability to describe designs at different levels of abstraction. At the highest level, designs can be entered as a description of their behavior. This behavioral description is not tied to any specific target device. As a result, simulation can be done very early in the design to verify correct functionality, which significantly speeds the design process. Warp2's VHDL syntax also includes support for intermediate level entry modes such as state table and boolean entry. At the lowest level, designs can be described using gate-level RTL (Register Transfer Language). Warp2 gives the designer the flexibility to intermix all of these entry modes. In addition, VHD L allows you to design hierarchically, building up entities in terms of other entities. This allows you to work either "top-down" (designing the highest levels of the system and its interfaces first, then progressing to greater and greater detail) or "bottom-up" (designing elementary building blocks ofthe system, then combining these to build larger and larger parts) with equal ease. Functional Description Wmp2 ™ is a state-of-the-art VHDL compiler for designing with Cypress PLDs and PROMs. Watp2 utilizes a proper subset of IEEE 1076 VHDL as its Hardware Description Language (HDL) for design entry. VHDL provides a number of significant benefits for the design engineer. Warp2 accepts VHDL input, synthesizes and optimizes the entered design, and outputs a JEDEC map or POF file for the desired device (see Figure 1). For 5-2 u{'- __- -., . .- - -' '- . . _-- ' '- _- ,. - -. . '- -,. - -__- - ' { Figure 1. Wmp2 Design Flow • -·f~ PRELIMINARY 'CYPRESS Because VHDL is an IEEE standard, multiple vendors offer tools for design entry, simulation at both high and low levels, and synthesis of designs to different silicon targets. The use of device independent behavioral design entry gives users the freedom to retarget designs to different devices. The wide availability of VHDL tools provides complete vendor independence aswell. Designers can begin their project using Warp2 for Cypress PLDs and convert to high volume gate arrays using the same VHDL behavioral description with industry-standard synthesis tools. While design portability and device independence are significant benefits, VHDL has other advantages. The VHDL language allows users to define their own functions. User-defined functions allow users to extend the capabilities of the language and build reusable libraries of tested routines. As a result the user can produce complex designs faster than with ordinary "flat" languages. VHD L also provides control over the timing of events or processes. VHD L has constructs that identify processes as either sequential, concurrent, or a combination of both. This is essential when describing the interaction of complex state machines. Cypress chose to offer tools that use the VHDL language because of the languages' universal acceptance, the ability to do both device and vendor independent design, simulation capabilities at both the chip and system level that improve design efficiency, the wide availability of industry-standard tools with VHD L support for both simulation and synthesis, and the inherent power of the languages' syntax. VHDL is a rich programming language. Its flexibility reflects the nature of modern digital systems and allows designers to create accurate models of digital designs. Because of its depth and completeness, it is easier to describe a complex hardware system accurately in VHDL than in any other hardware description language. In addition, models created in VHDLcan readily be transported to other CAD systems. Warp2 supports a rich subset ofVHD L including loops, for. .. generate statements, full hierarchical designs with packages, as well as synthesis for enumerated types and integers. Designing with Warp2 this, offering separate analysis of files and easy reference to previously analyzed files by means of the USE clause. Design Entity If the entity/architecture pair is kept in a separate file, that file is usually referred to as the design entity file. The entity portion of a design entity file is a declaration of what a design presents to the outside world (the interface). For each external signal, the entity declaration specifies a signal name, a direction and a data type. In addition, the entity declaration specifies a name bywhich the entity can be referenced in a design architecture. In this section are code segments from four sample design entity files. The top portion of each example features the entity declaration. Behavioral Description The architecture portion of a design entity file specifies the function of the design. As shown in Figure 1, multiple design-entry methods are supported in Warp2. A behavioral description in VHDL often includes well known constructs such as If... Then ... Else, and Case statements. Here is acode segment from a simple state machine design (soda vending machine) that uses behavioral VHDL to implement the design: ENTITY drink IS PORT (nickel,dime, quarter, clock: in bit; returnDime,returnNickel,giveDrink:out bit); END drink; ARCHITECTURE fsm OF drink IS TYPE drinkState IS (zero,five,ten,fifteen,twenty,twentyfive,owedime); SIGNAL drinkstatus:drinkState; ATTRIBUTE FSM_synthesis OF drinkStatus:signal is sequential; BEGIN Design Entry PROCESS BEGIN Warp2 descriptions specify 1. CY3120 CY3125 WAIT UNTIL clock The behavior or structure of a design, and 2. The mapping of signals in a design to the pins of a PLD (optional) The part of a Warp2 description that specifies the mapping of signals from the design to the pins of a PLD is called a binding architecture. It takes signal names from the design and matches them up with pin names from the PLD's entry in a library. The part of a Warp2 description that specifies the behavior or structure ofthe design is called an entity/architecture pair. Entity/architecture pairs, as their name implies, can be divided into two parts: an entity declaration, which declares the design's interface signals (i.e., tells the world what external signals the design has, and what their directions and types are), and a design architecture, which describes the design's behavior or structure. Some users prefer to put the binding architecture for a design in one file, and the entity/architecture pair containing the design's behavioral or structural description in a different file. This allows you to isolate the device-dependent pin mapping in one file (the one containing the binding architecture), while leaving the device-independent behavioral or structural description in another (the one containing the entity/architecture pair). Warp2 makes it easy to do 5-3 '1'; giveDrink <= '0'; returnDime <= '0'; returnNickel <= '0'; CASE drinkStatus IS WHEN zero => IF (nickel = '1') THEN drinkStatus <= drinkStatus'SUCC(drinkStatus) ; -- goto Five ELSIF (dime = '1') THEN drinkStatus <= Ten; ELSIF (quarter = '1') THEN drinkStatus <= TwentyFive; ENDIF; WHEN Five => IF (nickel = '1') THEN drinkStatus <= Ten; ELSIF (dime = '1') THEN drinkStatus <= Fifteen; ELSIF (quarter = '1') THEN II CY3120 CY3125 PRELIMINARY Functions A major advantage ofVHDLis the ability to implement functions. The support of functions allows designs to be reused by simply specifying a function and passing the appropriate parameters. Wa1p2 features some built-in functions such asttf(truth-table function). The ttf function is particularly useful for state machine or look-up table designs. The following code describes a seven-segment display decoder implemented with the ttf function: giveDrink <= '1'; drinkStatus <= drinkStatus'PRED(drinkStatus) ; goto Zero ENDIF; WHEN oweDime => returnDime <= '1'; drinkStatus <= zero; ENTITY SEG7 IS PORT ( inputs: IN BIT_VECTOR (0 to 3); outputs: OUT BIT_VECTOR (0 to 6) when others => This ELSE makes sure that the state -- machine resets itself if -- it somehow gets into an undefined state. drinkStatus <= zero; END CASE; END PROCESS; ) ; END SEG7; ARCHITECTURE mixed OF SEG7 IS END FSM; VHDL is a highly typed language. It comes with several predefined operators, such as + and /= (add, not-equal-to). VHDL offers the capability of defining multiple meanings for operators (such as + ), which results in simplification of the code written. For example, the following code segment shows that "count = count + 1" can be written such that count is a bit vector, and 1 is an integer. ENTITY sequence IS port (clk: in bit; s: inout bit); end sequence; ARCHITECTURE fsm OF sequence IS "0000" "0001" "0010" "0011" "0100" "0101" "0110" "0111" "1000" "1001" "101x" "lllx" & & & & & & & & & & & & .- "0111111", "0000110", "1011011", "1001111", "1100110", "1101101", "1111101", "0000111", "1111111", "1101111", "1111100", --creates E pattern "1111100" ); SIGNAL count: INTEGER RANGE 0 TO 7; BEGIN BEGIN outputs <= ttf(truthTable,inputs); PROCESS BEGIN WAIT UNTIL clk CONSTANT truthTable: x01_table (0 to 11, 0 to 10) -- input & output END mixed; , l' ; Boolean Equations A third design-entry method available to Wa1p2 users is Boolean equations. Figure 2 displays a schematic of a simple one-bit half adder. The following code describes how this one-bit half adder can be implemented in Wmp2 with Boolean equations: CASE count IS WHEN 0 I 1 I 2 3 => S <= '1'; count <= count + 1; WHEN 4 => s <= ' 0' ; count <= count + 1; WHEN 5 => s <= '1'; count <= '0'; WHEN others => s <= ' 0' ; count <= '0'; END CASE; --entity declaration ENTITY half_adder IS PORT (x, y : IN BIT; sum, carry: OUT BIT); END half_adder; --architecture body ARCHITECTURE behave OF half_adder IS BEGIN END PROCESS; END FSM; In this example, the + operator is overloaded to accept both integer and bit arguments. Wmp2 supports overloading of operators. 5-4 Figure 2. One-Bit Half Adder PRELIMINARY sum <= x XOR y; carry <= x AND y; END behave; Structural VHDL (RTL) While all of the design methodologies described thus far are highlevel entry methods, structural VHDL provides a method for designing at a very low level. In structural descriptions (also called RTL), the designer simply lists the components that make up the design and specifies how the components are wired together. Figure 3 displays the schematic of a simple 3-bit shift register and the following code shows how this design can be described in Wmp2 using structural VHDL: ENTITY shifter3 IS port ( clk : IN BIT; x : IN BIT; qO OUT BIT; ql : OUT BIT; q2 : OUT BIT) ; END shifter3; CY3120 CY3125 ARCHITECTURE shift3 OF c22vlO IS BEGIN SH1:shifter3 PORT MAP( clk => pinl, x => pin2, fbx(qO) => pin14, fbx(ql) => pin15, fbx(q2) => pin16); END shift3; As indicated in the architecture statement, this design targets the Cypress 22VlO for implementing the specified function. By simply changing the architecture statement and appropriately modifying the pin assignments, a binding architecture file targeting other Cypress PLDs can easily be generated. Compilation Once a design entity and binding architecture have been completed, a design is compiled using Wmp2. Although implementation is with a single command, compilation is actually a multistep process (as shown in Figure 1). The first step is synthesizing the input VHD L into a logical representation of the design. Wmp2 synthesis is unique in that the inputlanguage (VHDL) supports a very high level of abstraction. Competing PLD compilers require very specific and device-dependent information in the design input file. ARCHITECTURE struct OF shifter3 IS SIGNAL qO_temp, ql_temp, q2_temp : BIT; BEGIN DFF PORT MAP(x,clk,qO_temp); dl DFF PORT MAP(qO_temp,clk,ql_temp); d2 DFF PORT MAP(ql_temp,clk,q2_temp); d3 qO <= qO_temp; ql <= ql_temp; q2 <= q2_temp; END struct; All ofthe design -en try methods described can be mixed as desired. The ability to combine both high- and low-level entry methods in a single file is unique to VHDL. The flexibility and power ofVHDL allows users of Wa1p2 to describe designs using whatever method is appropriate for their particular design. Binding Architecture The purpose of a binding architecture is to map external signals of a design to the pins of a physical device. The binding architecture can be in a separate file or appended to the end of the design file. Here is a binding architecture file for the 3-bit shift register described in the last example: USE work.rtlpkg.all; USE work.shift3pkg.all; The second step of compilation is an iterative process of optimizing the design and fitting the logic into the targeted PLD. Logical optimization in Wa1p2 is accomplished with the Espresso algorithms. The optimized design is fed to the Wa1p2 fitter, which applies the design to the specified target PLO. The Wa1p2 fitter supports manual or automatic pin assignments as well as automatic selection of D or T flip-flops. After the optimization and fitting step is complete, Wa1p2 automatically creates a JEDEC file for the specified PLD. Simulation Wa1p2 is delivered with Cypress's NOVA Simulator. NOVA features a graphical waveform simulator that can be used to simulate designs generated in Wa1p2. The NOVA simulator provides functional simulation and features interactive waveform editing and viewing. The simulator also provides the ability to probe internal nodes, automatically generate clocks and pulses, and to generate JEDEC test vectors from simulator waveforms. Programming The result of Wa1p2 compilation is a JEDEC file that implements the input design in the targeted PLO. Using the JEDEC file, Cypress PLDs can be programmed on Cypress'sImpulse3 programmer or on any qualified third-party programmer. 1M qO q1 q2 System Requirements ForPCs IBM PC-AT or equivalent (386 or higher recommended) q x PC-DOS 1M version 3.3 or higher 2 Mbytes of RAM (4 Mbytes recommended) clk EGA, VGA, or Hercules clk 1M monochrome display 20-Mbyte hard disk drive 1.2-Mbyte 5Y4-inch or 1.44-Mbyte floppy disk drive 1Wo or three-button mouse Windows® Version 3.1 or higher Figure 3. Three-Bit Shift Register Circuit Design 5-5 II =:1':' ~ • ,CYPRESS PRELIMINARY For Sun Workstations CY3125 Wmp2 for Sun PLD Compiler includes: 311z-inch, l.4-Mbyte floppy disks Wmp2 User's Guide Wmp2 Workbook Wa1p2 Reference Manual Registration Card SPARCCPU Sun as TM 4.1.1 or later 16 Mbytes of RAM 1.44-Mbyte 3V2-inch disk drive Ordering Information CY3120 Wa1p2 for Windows PLD Compiler includes: 31/2-inch, l.4-Mbyte floppy disks Wmp2 User's Guide Wmp2 Workbook Wmp2 Reference Manual Registration Card Document #: 38-00218-A Wa1p2 and Impulse3 are trademarks of Cypress Semiconductor Corporation. PC-AT and PC-DOS are trademarks of IBM Corporation. Windows is a registered'trademark of Microsoft Corporation. Hercules is a trademark of Hercules Technology Inc. Sun as is a trademark of'Sun Microsystems. 5-6 CY3120 CY3125 Warp3@ PRELIMINARY CY3130/CY3135 Warp3 VHDL Development System for PLDs and FPGAs Features • Sophisticated PLDIFPGA design and veriftcation system based on VHDL • Wa1p3 TM Is based on Viewloglc's Powervlew TM (Sun) and Work.view Plus TM (PC) design environments - Advanced graphical user Interface lor WIndows and Sun Workstations - Schematic capture (Vlewdraw TM) - Interactive timing simulator (VIewslm TM ) - Wavelorm stimulus and viewing (Vlewtrace TM) - Textual design entry using VHDL - Mixed-mode design entry support • The core 01 Wa1p3 Is an IEEE 1076 standard VHDL compiler - VHDL Is an open, powerful design language - VHDL (IEEE standard 1076) facllitates design portability across devices and/or CAD platforms - VHDL lacllitates the use 01 Industry-standard simulation and synthesis tools lor board and system-level design - VHDL lacllitates hierarchical design with support lor functions and libraries • Support lor ALL Cypress PLDs/FPGAs and PROMs, including: - Industry-standard 20- and 24-pln devices like the 22V10 - Cypress 7C33X lamlly of 28-pln PLDs -CY7C34X (MAXSOOOTM Series) -FLAsH370"" -pASIC38X Introduction As the capacity and complexity of programmable logic increased dramatically over the last couple of years, users began to demand software tools that would allow them to manage this growing complexity. They also began to demand design-entry standards that would allow them to spend more time designing with PLDs rather than learning a vendor's proprietary software package. Thus, Hardware Description Languages (HDLs) in general, and VHDL (Very high speed integrated-circuit Hardware Description Language) in particular, have emerged as the standard methodology for integrated-circuit and system design. While the design community debated whether VHDL could become the standard for PLDs, Cypress took an industry leading position by introducing the first native VHDL compiler for PLDs-our Wap tools. II Figure 1. Warp3 Design Flow FLASH370, WQ1p3 and Impulse3 are trademarks of Cypress Semiconductor Corporation. Powerview,Workview, Viewdraw, Viewsim, Viewtrace, VHDLsim, and Viewgen are registered trademarks of Viewlogic Systems Inc. ChipLab is a trademark of Data I/O Corporation ' Microsoft Windows is a registered trademark of Microsoft Corporation. MAXSOOO is a trademark of Altera. OpenWindows is a trademark of Sun Microsystems. 5-7 Warp3 PRELIMINARY Functional Description Design Entry Warp3 is an integration of Cypress's advanced VHDL synthesis and fitting technology with Viewlogic's sophisticated CAE design environment. On the PC platform, Warp3 includes Cypress' VHDL compiler and Viewlogic's Workview Plus V5.1 software for Microsoft Windows®. On the Sun platform, Warp3 includes Cypress' VHDL compiler and Viewlogic's Powerview V5.1 software for OpenWindows Text Editor 1M • Design Flow Figure 1 displays a block diagram of the typical design flow in Warp3. Designs can be entered in VHDL text, schematic capture or via an imported EDIF netlist. In fact, Warp3 supports mixing these approaches on individual designs. Designs are then ~unctio~ ally verified using the Warp3 functional simulator. The thIrd step IS to compile the design and target a PLD, PROM or FPGA. Postsynthesis, the waveform timing simulator is used to verify design timing as programmed in the chosen device. If the simulation results are satisfactory the JEDEC, HEX or netlist file is used to program the targeted device. A detailed description of each step follows. Specifically, the Warp3 Design Flow includes the following: Viewlogic GUI IEEE 1076 VHDL Synthesis Schematic Capture (Viewdraw) Hierarchy Navigator Mix-mode Design Entry Waveform Editor (Viewtrace) VHDL Timing Simulator (VHDLsim Device fitters for all Cypress PLD/CPLDs/PROMs Automatic Place&Route fw all Cypress FPGAs 1M ) The Cockpit . The Viewlogic graphical user interface (GUI) is built around a file/tool manager called "the cockpit". The cockpit is used to select the project and current toolset in use. The cockpit allows users to select from a variety of design environments called toolboxes. For UNIX workstations the GUI is under the PowerView cockpit and for PC/Windo;ws tlle GUI is under the WorkView Plus cockpit (see Figure 2). Current Toolbox I Cypress Current Drawer 1\1/arp 0 esign Proiect Type IViewdraw Current Proiect Ic:\warp3 Current Library Ic:\warp3 (CY3130/CY3135) . Text entry is done with industry standard VHDL. Warp3 can synthesize a rich set of the VHDL language in conformance with IEEE standard 1076. This includes support for Behavioral, Boolean, State Table and Structural VHDL entry. Text entry is ideal for describing complex logic functions such as state machines or truth tables. With VHDL, the behavior of a state machine can be described in concise, easily-readable code. Further, the hierarchical nature of VHDL allows very complex functions to be described in a modular, top-down fashion. For more information on VHDL see the Warp2 (CY3120) datasheet. Schematic Capture Warp3 users can also to enter designs graphically with a sophisticated schematic capture system(Viewdraw). With schematic entry, designers can quickly describe a variety of common logic functions from simple gate9'to complex multipliers (see Figure 3). Within Warp3, users have access to an extensive symbol library of standard components and macro functions. These include: • • • • adders/multipliers counters gates (AND, OR, NAND, NOR, XOR, XNOR, INV, & BUF) io (singles, buses, three-states, clk-pads, hd-pad, gnd, & vcc) • macrocells' • memory (assorted flip-flops and latches) • mux (decoders and multiplexers) • registers, shift registers and universal registers • 7400-ttl (commonly used parts) In addition, the designer may create custom functions that can be used in any Warp3 design. Symbol Editor The Warp3 schematic capture tools also provide methods to create symbols for schematics. Using the VHDL2SYM.utility, symbols are automatically generated from VHDL text flies. Usmg the Viewgen utility, symbols are automatically generated from low1M • exptlO76 P Warp ;E III ViewSim Figure 2. WorkView PLUS Cockpit for PC Workstations 5-8 ViewTra.ce .~j:rJ Place&Rte a..i.. 4:1 Nova Warp3 PRELIMINARY (CY3130/CY3135) file. If the underlying design is a schematic, a Viewdraw window will be opened with the design. There is no limit to the number of levels of hierarchy used or the number of symbols in a particular design. Design Verification Functional Simulation Verifying functionality early in the design process can greatly reduce the number of design iterations necessary to complete a particular design. Using Viewsim the functionality of the design can be verified with textual stimulus from the keyboard or from a file. Viewtrace can be used in conjunction with Viewsim to simulate the design functionality graphically. The simulation process is described in detail below. VHDL Source-level Debugger (Release 2) A unique and powerful feature of Warp3 is the source-level VHDL debugger. The VHDL debugger works in concert with the Warp3 simulator and waveform editor. The debugger allows users to graphically step through VHDL code and monitor the results textually or in waveforms. After each single step the debugger highlights the VHDL text representing the current state of the simulation. Simultaneously waveform and text windows can display the inputs and outputs of the design. Note that a design does not have to be entered in VHDL text to use the VHDL debugger. Since Warp3 converts all facets of a design (schematic, EDIF-in etc.) to VHDL before compilation, this VHDL representation can be single stepped to verify design functionality. Hierarchy Navigator Figure 3. 1Ypical Symbol Library er-Ievel schematic data. Symbols are useful for creating a design hierarchy to easily describe complex designs. EDIFInput Wafp3 includes an ED IF netlist converter that provides a convenient way for designers to import designs from other CAE schematic capture and simulation tools. The ED IF-in tool supports ED IF version 2 0 O. Mix-mode Entry Perhaps the most powerful design entry methodology in Warp3 is the combination of the above methods. In most designs, some portions of the circuit are most easily described in schematics while others are best described in text. Typically, standard logic components such as counters, adders and registers are best implemented by retrieving components from the Warp3 schematic symbol library. Meanwhile, text entry is usually preferred for describing sections of the circuit design that implement control logic. In particular, state machines are often much easier to describe with behavioral VHDL as opposed to schematic gates. Combining these methods in a single design simplifies the input process and shortens the design cycle time. As mentioned above, Warp3 can automatically generate symbols for text and schematic designs. This capabilityfacilitateshierarchical design entry by allowing users to represent complex functions by a symbol. The top level of the design may be represented by the connection of a small number of symbols representing the main functional blocks. To move to lower levels in the design the user can push into selected symbols. If the underlying design is described in VHD L, a text window will be launched with the design Another powerful debugging tool within Warp3 is the hierarchy navigator (Viewnav). The navigator allows users to select a net or node at one level of the design and automatically trace that net through all levels of the hierarchy. This is very useful for tracing signal paths when looking for design errors. Compilation VHDL Synthesis • For synthesis Warp3 supports a rich subset ofVHDL including - Enumerated types -Integers - For ... generate loops - Operator overloading Once design entry is complete and functionality has been verified, the entire design is converted to VHDL using the "Export 1076" utility on schematic modules. At this point in the design there is a VHDL description ofthe entire design. This VHDL description is fed to the Cypress VHDL compiler for translation to a device programming file. Although compilation is a multistep process, it appears as a single step to the user (as shown in Figure 1). The first step in compilation is synthesizing the input VHDL into a logical representation of the design in terms of components found in the target device (AND gates, OR gates, flip-flops etc.). Warp3 synthesis is unique in that the input language (VHDL) supports a very high level of abstraction. Competing PLD compilers require very specific and device-dependent information in the design file. 5-9 Warp3 PRELIMINARY ( CY3130/CY3135) Device Fitting Automatic Place&Route • State-of-the-art optimization and reduction algorithms - Optimization for flip-flop type (D type/T type) - Automatic pin assignment - Automatic state assignment (Gray code, binary, one-hot) For PLDs and FPGAs, the second phase of the compilation is an iterative process of optimizing the design and fitting the logic into the targeted device (see Figure 4). Logical optimization in Warp3 is accomplished with Espresso algorithms. Once optimized, the design is fed to the device-specific fitter which applies the design to the selected device (see Figure 5). Warp3 fitters support manual or automatic pin assignments as well as automatic selection ofD-type or T-type flip-flops. After optimization and fitting are complete, Wa1p3 will create a JEDEC file (PLDs) or a LOF file (FPGAs) implementing the users design. • Completely automatic place and route - Includes timing back annotation into Viewsim For Cypress FPGAs, the second phase of the design process is called place&route. The place&route tools in Walp3 take the logical design description from synthesis and apply it to the cells ofthe targeted FPGA. Once placed, the programmable interconnect channels are programmed to connect logic blocks as required by the design. With Cypress FPGAs and Warp3, the place&route process is 100% automatic. No tedious manual intervention or hand tweaking is necessary. Once place&route is finished, Warp3 generates a netlist that is used to program the FPGA. Automatic Error Locating Warp VHDL hies C:\WARP3 VHDL F i l e s : - - - - - - , counter2.vhd drink.vhd mux21.vhd ~top.vhd traffic.vhd ttf.vhd [.. ] [lc22v10] [lc381] [sch] isy~] -- Warp Input F i l e s : counter2.vhd drink.vhd mux21.vhd traffic.vhd ttf.vhd liIWaI ~top.vhd Simulation I~t').ij Build:--------, Selected Device: C22V10 _ @ Compile _Synthesize - o Compile Only Figure 4. Compile/Synthesize Dialog Box • I ,. Of course, the compilation process may not always go as planned. VHDL syntax errors should be identified and corrected in the presynthesis functional simulation stage. During the compilation phase Walp3will detect errors that occur in the fittinglplace&route process. Warp3 features automatic error location that allows problems to be diagnosed and corrected in seconds. Errors from compilation are displayed immediately in a pop-up window. H the user highlights a particular error, Warp3 will automatically highlight the offending line in the entered design. If the device fitting or place&route process includes errors, a pop-up window will again describe them. Further, a detailed report file is generated indicating the resources required to fit the input design and any problems that occurred in the process. The last step in the design process before programming is verifying the timing of your design. For this, Warp3 includes the Viewsim VHDL timing simulator. During compilation, delays that result from fitting the input design are "written" into an internal file for use by the Warp3 simulator. This information represents worstcase path delays for the design as fit in the selected device. Delays are based on the type of device and speed grade selected. One of the ways to simulate is with the command-line interface to Viewsim. From the command line, the designer can specify the state of inputs (high, low, X, etc.) and watch how outputs behave over a specified time frame. In this way users can easily step through test cases and view the output results. Stimulus can be entered from the command line or from a file . I Waveform Editor Devices: J C342 C343 C344 C361 Optimize:None o Output:-------, [8:J Create JEDEC File @ Quick [8:J Create HEX Hie o Large 0 Create ViewSim Model DXOR C372 C373 C374 C375 C381 C381A C382 C382A C383 C383A C384 Fitter:--------------, o Force Flip-Flop Types o Use ·O···type Form o the 'T"Wpe Form @ Use optimal ~Ol or ITt form ~ IC371 Package: ICY7C371-83JC .=tII o Force Polarity Optimization o Assign PINs in Fitter o Force Logic Factoring IRun Options: L0 Quiet Mode Figure S. Device Fitting/Routing Dialog Box A graphical method of simulation uses the Viewlogic waveform editor, Viewtrace, in conjunction with Viewsim. With Viewtrace users can input stimulus from a file or graphically via digital waveforms. Outputs are viewed as digital waveforms that reflect the timing delays of the device as programmed. Viewtrace is interactive, allowing modifications of the stimulus and re-simulation of the results without re-running synthesis tools. Huser inputs violate device specifications the Warp3 simulator will detect the violation and warn the user. For example, if an input changes immediately before a CLKrise (violating the device set-up time) Warp3 will issue a warning and highlight the offending signal. The same occurs for all other timing violations. Programming After the design is compiled and verified, the targeted device is ready for programming. The program file generated in Warp3 (a JEDEC file or LOF file) is used as input to a device programmer. Cypress offers the Impulse3 programmer, based on Data I/O's ChipLab that programs all Cypress PLDs and FPGAs. Alternatively, customers can use anyone of several qualified 3rd party pro1M , 5-10 -. -.. ~ PRELIMINARY ,CYPRESS grammers from corporations like Data 110, SMS and Logical Devices. System Requirements PC Platform 80486-based IBM PC MicroSoft Windows V3.1 or higher 16 Mbytes of RAM 60-Mbyte Disk Space 1.44 Mbyte 3.5 inch floppy disk drive Sun Platform SPARCCPU Sun as 4.1.1 or later Motif or OpenLook GUI 16 Mbytes of RAM 130 Mbytes of Disk Space Cartridge Tape Ordering Information CY3130 Wmp3 PLD Development System on the PC includes: 3 1/2-inch 1.44-Mbyte floppy disks Wmp3 Viewlogic hardware key Wmp3 User's Guide Wmp3 Reference Manual Registration Card Warp3 (CY3130/CY3135) CY3131[1] Wmp3 PLD Development System on the PC (for current Viewlogic Users of Workview Plus) includes: 3 1/2-inch 1.44-Mbyte floppy disks Wmp3 User's Guide Warp3 Reference Manual Registration Card CY3135 Warp3 PLD Development System on a UNIX/SUN Workstation includes: Three Cartridge Tapes 1) Viewlogic Software 2) Warp3 Software 3) Viewlogic On-line Documentation Warp3 User's Guide Warp3 Reference Manual Registration Card CY3136[2] Warp3 PLD Development System on a UNIX/SUN Workstation (for current Viewlogic Users ofPowerview) includes: One Cartridge Tapes of Warp3 Software Warp3 User's Guide Warp3 Reference Manual Registration Card Notes: 1. This is a "Bolt-in" Solution and requires the customer to be a current User of Viewlogic's Workview Plus S/W 2. This is a "Bolt-in" Solution and requires the customer to be a current User of Viewlogic's Powerview S/W. Document #: 38-00242-C I 5-11 Impulse3@) Device Programer Features System Requirements • OEM version of Data I/O ChipLab • Programs all Cypress PROMs, EPROMs, PLDs, CPLDs, and FPGAs • Modular for easy device-specific support • Easy to use DOS-based, PC interface • New device support available with floppy disk software change • DIP adapter included with base unit • Mouse-driven user interface • On-line documentation and device support list • One-year warranty • Dimensions of Impulse3 are 25 x 25 x 7.6 cm or 9.75 x 9.75 x 3 in and the weight is 1.02 kg or 2.25 lbs. 1M Functional Description Impulse3 is Cypress's OEM version of the Data I/O ChipLab. It provides programming support for all of Cypress' programmable devices. The programmer uses a DOS-based PC interface to provide an easily accessible programming environment. The PC's parallel port is used to communicate with the programmer, and device-specific adapters and drivers to ensure that you get the specific device support you need for your programming application. Impulse3 uses industry standard JEDEC, HEX (for PRO Ms), and LOF (for pASIC380) data format for programming and can be upgraded by Data I/O to support products from other vendors. The Impulse3 works with your IBM compatible PC computer. The minimum system requirements are: • One free parallel port • Minimum 2-MB extended memory • Intel® 286 (not recommended), 386, 486 or Pentium ™ processor • DOS version 3.3 or higher • 5 MB offree hard disk space for the programmer drivers and programs • High Density floppy disk drive (3.5- or 5.25-inch) • Microsoft®-compatible mouse Device Support Impulse3 supports all Cypress Programmable products. The base unit (CY3500) supports DIP devices up to 44 pins. For other device/package combinations, an adapter is required. In addition, devices over 44 pins require a high pin-count adapter (CY3501). The Impulse3 products are sold modularly so that you can adapt them to your specific device support needs. Maximum Ratings (Above which the useful life may be impaired. For user guidelines, not tested.) Input Voltage ................... 90 to 264 Vac, 48 to 63 Hz Programmer Voltage .............. 24V (AC or DC) ±10% Programmer Current ... . . . . . . .. AC = 1.67 A, DC = 1.25 A Operating Temperature ...................... O°C to 40°C Storage Temperature ..................... -40°C to 55°C Relative Humidity (Operating) ................ 20% to 80% Relative Humidity (Storage) . . . . . . . . . . . . . . . . .. 10% to 90% Operating Altitude . . . . . . . . . . . . . . . . . . . . . .. to 5,000 Meters Storage Altitude . . . . . . . . . . . . . . . . . . . . . . .. to 15,000 Meters Impulse3, Warp2, and Warp3 are trademarks of Cypress Semiconductor Corporation. ChipLab, ABEL, and Synario are trademarks of Data I/O. CUPL is a trademark of Logical Devices. PALASM is a trademark of Advanced Micro Devices. MINC is a trademark of MINe. Pentium is a trademark of Intel Corporation. Intel is a registered trademark of Intel Corporation. Microsoft is a registered trademark of Microsoft Corporation. 5-12 Impulse3 Ordering Information Part Number CY3500 Description Impulse3 base unit and DIP adapter for all DIP packaged devices. CY3501 CY3509 Adapter for high pin count devices including pASIC380 and FLASH370 Family CY3511 44-pin PLCC PPI for the CY7C34x 28-pin PLCC for CY7C34x CY3512 44-pin PLCC PPI for the CY7C37x CY3513 CY3514 44-pin PLCC PPI for CY7C38x 68-pin PLCC PPI for CY7C34x 68-pin PLCC PPI for CY7C38x CY3515 CY3516 84-pin PLCC PPI for CY7C34x CY3517 84-pin PLCC PPI for CY7C37x CY3518 CY3521 84-pin PLCC PPI for CY7C38x 144-pin TQFP PPI for pASIC380 family CY3522 100-pin TQFP PPI for CY7C37x CY3523 100-pin TQFP PPI for pASIC380 family CY3524 176-pin PGA PPI for CY7C34x, CY7C37x CY3525 CY3526 145-pin PGA PPI CY7C38x 85-pin PGA PPI for CY7C34x CY3527 CY3528 85-pin PGA PPI for CY7C37x 85-pin PGA PPI for CY7C38x CY3529 69-pin PGA PPI for CY7C34x CY3530 CY3535 69-pin PGA PPI for CY7C38x lOO-pin PQFP PPI for CY7C34x CY3536 CY3538 84-pin PLCC for CY7C34x 160-pin CQFP for CY7C37x, CY7C38x CY3004A 28-pin LCC adapter for PAL22VlO CY3005 CY3006A 20-pin LCC adapter for PAL20, PALC20 families 28-pin PLCC adapter for PAL22VI0 CY3007 20-pin PLCC adapter for PAL20, PALC20 families CY3008 28-pin LCC adapter for 265, 269, 330, 331, 332, 335 CY3009 CY3010 28-pin PLCC adapter for 265,269,330,331,332,335 CY3011 CY3014 CY3017 CY3019 CY3020 28-pin LCC adapter for 20GI0, 20RAlO 28-pin PLCC adapter for 20GlO, 20RAlO 24-pin SOIC adapter for CY7C251 32-pin PLCC adapter for CY7C251 24-pin CerPack adapter for 245, 261, 263, 291 28-pin CerPack adapter for 251, 330, 331, 332, 271, 265 20-pin CerPack adapter for PAL20, PALC20, families CY3021 CY3024 32-pin LCC adapter for 256,266,271,274,277,279,286 CY3027 32-pin LCC adapter for CY7C287 CY3043 32-pin PLCC adapter for CY7C201 CY3044 32-pin PLCC adapter for 256, 271, 266, 274, 277, 279, 286 CY3045 32-pin PLCC adapter for CY7C287 Document #: 38-00374 5-13 Third-Party Tools Third-Party Tools Cypress Semiconductor provides a complete solution for PLD, CPLD, and FPGA development and programming with its Wa1p2 and Wary3 development software and Impulse3 device programmer. Additionally, a wide array of third-party tool vendors also provide support for Cypress devices. These third-party tools are available directly from the third-party tool vendor. TM 1M 1M The following vendors provide support for some of Cypress's devices. Please contact the vendor directly for the most up-to-date information on specific features and device support. Programming Support BP Microsystems 1000 N Post Oak Road Houston, TX 77055-7237 (713) 688-4600 Data I/O Corporation 10525 Willows Rd., N.E. P.O. Box 97046 Redmond, WA 98073-9746 (206) 881-6444 Digelec Corporation 1602 Lawrence Ave. Suite 113 Ocean, NJ 07712 (201) 493 - 2420 P.O. Box 380 Herzliya, Israel (97) 252-559615 Logical Devices Inc. 692 S. Military Trail Deerfield Beach, FL 33442 (305) 428-6868 SMS Mikrocomputersysteme GmbH 1m Morgental13, D-8994 Hergatz Germany 5018 (49) 7522-5018 (phone) (49) 7522-8929 (fax) 17411 NE Union Hill Rd. #100 Redmond, WA 98052 (206) 883-8447 Logical Devices Inc. (CUPL 692 S. Military Trail Deerfield Beach, FL 33442 (305) 428-6868 Stag Microsystems 1600 Wyatt Dr. Santa Clara, CA 95054 (408) 988-1118 STAG ZL32 Rev. 30A03 Minc Incorporated (PLDesigner 6755 Earl Rd. Colorado Springs, CO 80918 (719) 590-1155 Third-Party Development Software Data I/O Corporation (ABEL 10525 Willows Rd. N.E. P.O. Box 97046 Redmond, WA 98073-9764 (206) 881-6444 TM , 1M ) Synario TM ) 1M ) OrCAD (OrCAD 3175 NW Aloclek Dr. Hillsboro, OR 97124 (503) 690-9881 1M ) Synopsys (FPGA Compiler Design Compiler 700 E. Middlefield Rd. Mountain View, CA 94043-4033 (415) 962-5000 1M , Exemplar Logic, Inc (CORE 2550 Ninth Street, Suite 102 Berkeley, CA 94710 (510) 849-0937 1M) TM ) ISDATA GmbH (LOG/iC'M) Haid-und-Neu-Strasse 7 D-7500 Karlsruhe 1 Germany (0721) 69 30 92 ViewLogic Systems (Workview Plus Powerview Pro series 293 Boston Post Rd. West Marlboro, MA 01752 (508) 480-0881 P.O. Box 19278 Oakland, CA 94619 (510) 531-8553 Test and Prototype Sockets Logic Modeling Corporation (SmartModels 19500 NW Gibbs Dr. PO Box 310 Beaverton, OR 97075 (503) 690-6900 TM ) Test Sockets: Package Yamaichi Part Nepenthe Part 44 Pin PLCC IC51-0444-400 PC1-044050-002 84 Pin PLCC IC51-0844-401 PC1-084050-003 100 Pin TQFP IC51-1004-809 QP1-100050-048 160 Pin TQFP IC51-1604-1350 QPl-160065-01O Prototype Sockets: Package Yamaichi Part 44 Pin PLCC TPL-044-T-S-100 84 Pin PLCC TPL-084-T-S-100 100 Pin TQFP IC149-100-025 -S5 All trademarks are of their respective owners. Document #: 38-00371 5-14 1M , 1M ) Yamaichi Electronics, Inc (408) 452-0797 Nepenthe (800) NEPENTHE CTI Technologies, Inc (602) 998-1484 1M , Quality and Reliability 6 Section Contents Quality and Reliability Page Number PLD Programming Information ............................... . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 6-1 pASIC380 Family Reliability Report ......................................................................... 6-3 Power Characteristics of Cypress Programmable Logic Products. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 6-12 Quality, Reliability, and Process Flows ...................................................................... 6-20 Tape and Reel Specifications ............... " .............................................................. 6-35 ~~ ; CYPRESS PLD Programming Information Introduction Flash Process Technology PLDs, or programmable logic devices, provide an attractive alternative to logic implemented with discrete devices. Cypress Semiconductor is in the enviable position of being able to offer PLDs in several different process technologies, thus assuring our customers of a wide range of options for leading-edge speed as well as very low power consumption. Cypress optimizes the mix of technology and device architecture to insure that the programmable logic requirements oftoday's highest-performance electronics systems can be fully supported by a single PLD vendor. Cypress offers a wide variety of PLDs based on our leading-edge CMOS EPROM process technology. This technology facilitates the lowest power consumption and the highest logic density of any nonvolatile PLD technology on the market today, at speeds that are as fast as state-of-the-art bipolar technology would provide. Furthermore, these devices offer the user the option of device erasure and reprogrammability in windowed packages. Cypress also offers a number of PLDs based on our state-of-the-art BiCMOS and bipolar technologies. These PLDs are targeted at applications where power consumption and density are not as critical as leading-edge speed. Cypress offers PLDs based on CMOS Flash technology. The ViaLink Technology provides OTP FPGAs with high-speed and routability. Thus Cypress offers solutions for state-of-the-art systems regardless of what the optimal balance is between speed, power, and density for any particular system. The Flash cell is programmed in the same manner as the EPROM cell, and is electrically erased via Fowler-Nordheim tunneling. This next-generation PLD technology will combine a number of key advantages for future Cypress PLDs. The principal advantages will be leading-edge speed, low CMOS power consumption, and electrical alterability for simplified inventory management. In addition, Flash technology offers two inherent advantages for PLDs over the commonly used full-features EE CMOS technology. One is its superior migratability to higher logic densities, due to the smaller Flash cell size. The second is superior reliability, due to the Flash cell's higher immunity to voltage transients and the accompanying risk of data corruption. pASIC TM Process Technology 1M Programmable Technology EPROM Process Technology EPROM technology employs a floating or isolated gate between the normal control gate and the source/drain region of a transistor. This gate may be charged with electrons during the programming operation, permanently turning off the transistor. The state of the floating gate, charged or uncharged, is permanent because the gate is isolated in an extremely pure oxide. The charge may be removed if the device is irradiated with ultraviolet energy in the form of light. This ultraviolet light allows the electrons on the gate to recombine and discharge the gate. This process is repeatable and therefore can be used during the processing of the device, repeatedly if necessary, to assure programming function and performance. 1Wo Transistor Cells Cypress uses a two-transistor EPROM cell. One transistor is optimized for reliable programming, and one transistor is optimized for high speed. The floating gates are connected such that charge injected on the floating gate of the programming transistor is conducted to the read transistor turning it off. BiCMOS and Bipolar Process Technology In addition to CMOS, Cypress offers BiCMOS TTL and bipolar ECL I/O-compatible PLDs. The BiCMOS devices offer the advantages of CMOS (high density and low power) and bipolar (high speed). Both the BiCMOS and bipolar devices are one-time fuse programmable. The fuses are Ti-W and are connected directly to first metal. First metal is a reliable composite of Ti-TiW-AlSi-Ti to ensure excellent electromigration resistance, eliminate contact spiking, and minimize hillocking. Programmable devices implement customer-defined logic functions by interconnecting user-configurable logic cells through a variety of semiconductor switching elements. The maximum speed of operation is determined by the effective impedance of the switch in both programmed, ON, and unprogrammed, OFF, states. In pASIC380 devices, the switch is called a ViaLink element. The ViaLink element is an antifuse formed in a via between the two layers of metal of a standard CMOS process. The direct metal-tometal link created as a result of programming achieves a connection with resistance values as low as 50 ohms. This is less than 5 percent of the resistance of an EPROM or SRAM switch and 10 percent of that of a dielectric antifuse. The capacitance of an unprogrammed ViaLink site is also lower than these alternative approaches. The resulting low RC time constant provides speeds two to three times faster than older generation technologies. In a ViaLink programmable ASIC device, the two layers of metal are initially separated by an insulating semiconductor layer with resistance in excess of 1 gigaohm. A programming pulse of 10 to 12 volts applied across the via forms a bidirectional conductive link connecting the top and bottom metal layers. Programming Algorithm-EPROM, BieMOS and Flash Technology Byte Addressing and Programming Most Cypress programmable logic devices are addressed and programmed on a byte or extended byte basis where an extended byte is a filed that is as wide as the output path ofthe device. Each device, or family of devices, has a unique address map that is available in the product datasheet. Each byte, or extended byte, is written into the addressed location from the pins that serve as the output pins in normal operation. To program a cell, a 1, or HIGH, is placed on the input pin and a 0, or LOW, is placed on pins corresponding to cells that are not to be programmed. Data is also read from these pins in parallel for verification after programming. AI, or HIGH, during program verify operation indicates an unprogrammed cell, while a 0, or LOW, indicates that the cell accessed has been programmed. Blank Check Before programming, all programmable logic devices may be checked in a conventional manner to determine that they have not been previously programmed. This is accomplished in a program verify mode of operation by reading the contents of the array. During this operation, a 1, or HIGH, output indicates that the ad- 6-1 PLD Programming Information dressed cell is unprogrammed, while a 0, or Law, indicates a programmed cell. Programming the Data Array Programming is accomplished by applying a supervoltage to one pin of the device causing it to enter the programming mode of operation. This also provides the programming voltage for the cells to be programmed. In this mode of operation, the address lines of the device are used to address each location to be programmed, and the data is presented on the pins normally used for reading the contents of the device. Each device has a read/write pin in the programming mode. This signal causes a write operation when switched to a supervoltage and a read operation when switched to a logic 0 or Law. In the logic HIGH or 1 state, the device is in a program inhibit condition and the output pins are in a high-impedance state. During a write operation, the data on the output pins is written into the addressed array location. In a read operation, the contents of the addressed location are present on the output pins and may be verified. Programming therefore is accomplished by placing data on the output pins and writing it into the addressed location. Verification of data is accomplished by examining the information on the output pins during a read operation. The timing for actual programming is supplied in the unique programming specification for each device. Phantom Operating Modes All Cypress programmable logic devices on the EPROM and BiCMaS technology contain a Phantom array for post assembly testing. This array is accessed, programmed, and operated in a special Phantom mode of operation. In this mode, the normal array is disconnected from control of the logic, and in its place the Phantom array is connected. In normal operation the Phantom array is disconnected and control is only via the normal array. This special feature allows every device to be tested for both functionality and performance after packaging and, if desired, by the user before programming and use. The Phantom modes are entered through the use of supervoltages and are unique for each device or family of devices. See specific device datasheets for details. Special Features Cypress programmable logic devices, depending on the device, have several special features. For example, the security mechanism defeats the verify operation and therefore secures the contents of the device against unauthorized tampering or access. In advanced devices such as the PALC22VlO, PLDC20G 10, and CY7C330, the Document #: 38-00164- B macrocells are programmable through the use of the architecture bits. This allows users to more effectively tailor the device architecture to their unique system requirements. Specific programming is detailed in the device datasheet. Programming Algorithm-pASIC380 Family The metal interconnections of pASIC devices can be considered as a vertical and horizontal grid of metal lines. Both ends of the metal line grids are connected to internal shift registers which control the connection of the end of the wire to the programming voltage, ground, or an open. Non-contiguous wires are connected for programming purposes by pass transistors, which are also controlled by the shift registers. Individual ViaLink fuses can be "addressed" by turning on various pass transistors and (from the shift registers) driving the wires that connect to both sides of the fuse to be programmed. Applying a programming voltage (Vpp) to the device, the shift register contents directs the voltage to the ViaLink fuse, which becomes programmed. Once a fuse is programmed, it has shorted two wires of the wire grid. This can cause other fuses to become "unaddressable." For this reason, fuses must be programmed in a specific order. This ordering is determined by the development tool. Programming is achieved by loading the internal shift registers with the required data and then applying the programming pulse for the fuse( s) to be programmed. The programming pulse is required to meet specific timing and current limit specifications. Entering the programming mode is accomplished by applying supervoltages on specific pins. The shift registers are accessed in a variety of modes which permit rapid programming as well as specific internal test features. These test modes allow complete testing of devices during manufacture. The unprogrammed device has all internal logic cell input gates in the unconnected state. Consequently, applying Vee to an unprogrammed device will cause large currents to flow that can cause irreparable damage to the device. Under no circumstances should Vee be applied to an unprogrammed pASIC. After the device is programmed, the test modes can also be used to verify the device programming. The development tools provide automatic test vector generation (ATVG). These vectors can be used with appropriately equipped programmers to provide post program testing. pASIC and ViaLink are trademarks of QuickLogic Corporation. 6-2 pASIC380 Family Reliability Report Introduction The Cypress pASIC380 family of very high speed FPGAs is built by integrating the ViaLink metal-to-metal antifuse programming element into a standard highvolume CMOS gate array process. Reliability testing of pASIC™ devices is part of a continuous process to insure longterm reliability of the product. It consists of industry-established accelerated life tests for basic CMOS devices plus additional stress tests. The addition of two high-voltage life tests stresses the unprogrammed and programmed ViaLink elements beyond conventional CMOS reliability testing. Results to date, from the evaluation of over 1700 pASIC devices from multiple wafer lots, indicate that the addition of the ViaLink element to a well-established CMOS process has no measurable effect on the reliability of the resulting product. There have been no failures in 31 million equivalent device hours of high-temperature operating life. The observed failure rate is 0 FITs, and the failure rate at a 60% confidence level is 29 FITs. TM Process Description The pASIC devices are fabricated using a standard, high-volume l-[.lm CMOS gate array process with twin-well, single-poly, and double-layer metal interconnect. This technology has been qualified to meet MIL-STD-883C. Over 1.1 X 109 equivalent device hours of operating life test have been accumulated since volume production began in 1989. The technology employs a high-integrity TiW - AI +Cu + TiW metal system that of- fers very low contact resistance through the use of pTSi contacts, high resistance to electromigration, and freedom from stress-induced opens.[1] The basic CMOS technology[2] features LDD-type transistors with a gate oxide thickness of 200A. BPSG applied over the polysilicon lines is reflowed after contact formation giving a sloped entry for metal one. The interlevel dielectric is planarized with spin-on-glass. Vias are wet/dry etched, giving sloped walls for good metal two-step coverage. Interconnect metal lines contain layers ofTiW on both sides of standard AI+Cu alloy. The ViaLink element is located in an intermetal oxide via between the first and second layers of metal. I t is created by depositing a very high resistance silicon film in a standard size metal one to metal two via. The silicon deposition is done at low temperature and causes no change to the properties of the CMOS transistors. When deposited at low temperatures, silicon forms an amorphous structure that can be electrically switched from a highresistance state (== 1 GO) to a low-resistance state (== 50 GO) for an off-to-on ratio of 2x 107 . QuickLogic takes advantage of this property to create the ViaLink metal-to-metal antifuse programming element (see Figure 1). The programming voltage of the ViaLink element varies with amorphous silicon thickness. For a desired programming voltage between 10-12 volts, the thickness of the amorphous silicon film is approximately 1000A. This is ideal for good process control and minimizes the capacitive coupling effect of an unpro- Figure 1. Cross Section of a ViaLink Antifuse ViaLink and pASIC are trademarks of QuickLogic Corp. 6-3 grammed element located between the two layers of metal. Amorphous silicon is deposited with standard semiconductor manufacturing equipment and processing techniques. In addition to antifuse elements, it is used in the high-volume fabrication of image sensors, decode, and drive circuits for flat panel displays, and high-efficiency solar cells. Failure Mechanisms in the pASIC Device A variety of failure mechanisms exists in CMOS integrated circuits. Since the overall failure rate is composed of various failure mechanisms, each having different temperature dependence and thus varying time-temperature relationships, it is important to understand the characteristics of each contributing failure mechanism. Table 1 lists nine key failure mechanisms that have been characterized for standard CMOS devices, plus the two mechanisms for the programmed and unprogrammed ViaLink elements. Various accelerated life tests are used to detect the possible contribution of each mechanism to the overall failure rate of the device. Failure rate data taken at elevated temperature can be translated to a lower temperature through the Arrhenius equation. This equation, in the form of an acceleration factor, Af, can be written as Af = exp[ - E a!k(lITs - lITo)] Eq. 1 where Ts is the stress temperature, To is the operating temperature of the device, Ea is the activation energy for that mechanism, and k is the Boltzmann constant. pASIC380 Reliability Table 1. Failure Mechanisms That May Be Operative in pASIC Devices Failure Mechanism Activation Energy (Ea) tso Dependence Detection Tests Insulator breakdown (leakage, opens) exp( - ~/E) value of ~ depends on the dielectric and may be temperature dependent. Approx. 0.3 e V for Si02 and dependent on E High-voltage operating life test (HTOL) Parameter shifts due to contamination (such as Na) exp(EafkT) (Arrhenius) 1.0eV High-temperature bias Silicon defects (leakage, etc.) Arrhenius 0.5 eV High-voltage and guardbanded tests Metal line opens from electromigration Wt exp(Ea/kT) j2 Approx. 0.7 eV for Al+Cu alloys HTOL Masking and assembly defects Arrhenius 0.5 eV High-temperature storage and HTOL Shorts channel charge trapping (VT and gm shifts) gm == exp( -AE) Approx. -0.06 eV Low-temperature, high-voltage operating life test Stress-induced open metal (operative only on non-clad metal systems) WmtP exp (Ea/kT) (m and p range from 1.3 to 4.7) 0.6 to 1.4 eV (Ea difficult to reproduce) Temperature cycling Open metal from electrolytic corrosion (%RH)-4.5 exp(Ea/kT) 0.3 to 0.6 High-temperature/ high-humiditylbias test Wire bond failure from excessive gold-aluminum interdiffusion l/(Dt)1!2 where D = Doexp(Ea/kT) 0.7eV HTOL Unprogrammed ViaLink exp(-BE) OeV High Vee static life test Programmed ViaLink exp( -Pl) Approx. 0 eV High Vee operating life test ~n Table ~, t59 is the mean ~ime to failure, E is the electric field, E~ the activatIOn energy, k IS the Boltzmann constant (8.62 X we V;oK), W is the metal width, t is the metal thickness, 1 is current density, gm is transconductance, V T is the threshold voltage, A is a constant, m and p are constants, Tis the absolute temperature, RH is the relative humidity, and D is the diffusion constant. Details of each of the tests of Table 2 are given in the following sections. The failure mechanisms specific to the ViaLink antifuse element are described in detail. All tested devices were in the 68-lead plastic leaded chip carrier (PLCC) package. Accelerated Life Tests on 7C382 HTOL is the life test that operates the device at a high vee and high temperature. This test is used to determine the long-term reliability and failure rate of the device in the customer environment. The specific condition of this test is defined by the MILSTD - 883C Quality Conformance Test. The devices are operated at 5.5V and 125 0 C for 1000 hours. The acceleration due to temperature can be calculated by using Equation 1, assuming an average activation energy ofO. 7 e V and an operating temperature of 55 0 C. The observed failure rate in FITs is IS The purpose of a life test is to predict the reliability and failure rate of a device. However, a device operating under normal operating conditions would require years of testing to determine its longterm reliability. Methods of accelerating failures developed in the industry allow accurate prediction of a device life time and failure rate in a much shorter time duration. Accelerated stress tests are run at high temperature, high voltages, or a combination of both. Table NO TA G contains the results of the tests performed on a programmed 7C382, where approximately 3500 ViaLink elements were programmed and about 75,000 ViaLink elements were left unprogrammed. These numbers are typical for a fully utilized device. A failure is defined as any change in the DC characteristic beyond the datasheet limits and any measurable change in the AC performance. The overall reliability of the 7C382 devices as indicated by the results of the tests shown in Table NO TAG is 29 FlTh with a 60% confidence. Standard CMOS Tests and Results Failure Rate = (failures) X (109 device-hrs)/(total equivalent device-hrs) Eq.2 The generally reported failure rate is a 60% confidence level of the observed FITs. The failure rate at this confidence level is calculated using Poisson statistics since the distribution is valid for a low failure occurrence in a large sample. The acceleration factor from Equation 1, for 55 0 C and Ea = 0.7 e V is 78. Therefore, from the results shown in Table 3, the 7C382 has been operating for more than 31 million equivalent device hours without a failure. The observed failure rate is 0 FITs and the failure rate at a 60% confidence levels is 29 FITs. 6-4 pASIC380 Reliability Table 2. Results of Accelerated Life Tests on the 7C382 Process Qual. Acceptance Requirements Test Test Results oobserved FITs, 29 FITs at a 60% HTOL, 1,000 hrs, 125°C, Vee = 5.5V, MILSTD-883C, Method 1005 ~100 High-temperature storage, 1,000 hrs., 150°C, unbiased ~1 % cumulative failures per test 0%, 105 units from 3 lots THB, 1,000 hrs., alternately biased, 85% R.H., 85°C, JEDEC STD 22-B, Method A101 ~1 % cumulative failures per test 0%, 300 units from 3 lots Temperature cycle, 1,000 cycles, -65°C to 150°C, MIL-STD-883C, Method 1010 ~1 % cumulative failures per test 0%, 110 units from 4 lots Thermal shock, 100 cycles, -65°C to 150°C, 883C, Method 1011 ~1 % cumulative failures per test 0%, 105 units from 3 lots Pressure Pot, 168 hrs., 121°C, 2.0 atm., no bias ~1 % cumulative failures per test High Vee static life, 1,000 hrs., 25°C, Vee = 7.0V static <20 FITs due to unprogrammed ViaLink element, Af = 130 oobserved FITs, 363 units from 5 lots High Vee dynamic life, 1,000 hrs., 25°C, Vee = 6.0V, 15 MHz <20 FITs due to programmed ViaLink element, Af = 380 oobserved FITs, 300 units from 3 lots, 1 failure not related to ViaLink element FITs @ 55°C, Ea = 0.7 eV, 60% confidence The temperature, humidity, and bias test is performed under severe environmental conditions. The device is exposed to a temperature of 85°C and a relative humidity of 85% for 1,000 hours, which the pins are alternately biased between 0 and 5.5 volts (JEDEC STD 22- B). This test is effective at detecting corrosion problems, while also stressing the package and bonding wires. Table 5 shows that the 7C382 had no failures. Failures @ Hours Quantity 168 500 1,000 18362 100 0 0 0 19194 100 0 0 0 19618 100 0 0 0 20454 100 0 0 0 0%,105 units from 3 lots Temperature, Humidity, and Bias (85/85) Table 3. Results of High-Temperature Operating Life Test (Vee = 5.5V, Temp. = 125°C, f = 1 MHz, 68-Lead PLCC) Fab Lot confidence, 40 units from 4 lots Table 5. Results of Temperature, Humidity, and Bias Test (85% R.H., Temp. = 85°C, pins alternately biased at 5.5V, 68-Lead PLCC) Failures @ Hours High-Temperature Storage High-temperature storage test is a 150°C, 1,000-hour, unbiased bake. This test accelerates failures due to mobile charge, such as sodium. The results in Table 4 demonstrate the stability of the programmed and unprogrammed ViaLink element and the long-term shelf life of the 7C382. Table 4. Results of High-Temperature Operating Life Test (Vee = 5.5V, Temp. = 125°C, f = 1 MHz, 68-Lead PLCC) Failures @ Hours Fab Lot Quantity 168 500 1,000 18362 35 0 0 0 19194 35 0 0 0 19390 35 0 0 0 Fab Lot Quantity 168 500 1,000 19194 100 0 0 0 19618 100 0 0 0 19454 100 0 0 0 Temperature Cycle Tests The temperature cycle test stresses the packaged part from - 65 ° C to 150°C for 1,000 cycles. The air-to-air cycling follows the MIL- STD - 883C Quality Conformance Test. This test checks for any problems due to the thermal expansion stresses. The plastic package, lead frame, silicon die, and die materials expand and contract at different rates. This mismatch can lead to cracking, peeling, or delamination of the high-stress layers. The results in Table 6 show that the 7C382 had no failures. 6-5 pASIC380 Reliability The application of a programming voltage across the antifuse structure, above a critical level causes the device to undergo a switching transition through a negative resistance region into a low-resistance state. The magnitude of the current allowed to flow in the low-resistance state, the programming current, is predetermined by design. A link of tungsten, titanium, and silicon alloy is formed between metal one and metal two during the programming process. Table 6. Results of Temperature Cycle Test (85% R.H., Temp. = 85°C, pins alternately biased at 5.5V, 68-Lead PLCe) Failures @ Hours Quantity 250 500 1,000 16921 5 0 0 0 18362 35 0 0 0 19194 35 0 0 0 19618 35 0 0 0 Fab Lot Thermal Shock Tests The thermal shock test cycles the packaged part through the same temperatures as the temperature cycle test except that the cycling is done from liquid to liquid. The temperature change is nearly instantaneous in this case. The rapid temperature change can result in higher stresses in the package and lead frame. The results in Table 7 show that the 7C382 had no failures. Table 7. Results of Thermal Shock Test (Liquid to Liquid, -65°C to 150°C, 68-Lead PLCe) Quantity 100 18362 35 0 19194 35 0 19618 35 0 Pressure Pot Tests The pressure pot test is performed at 121°C at 2.0 atmospheres of saturated steam with devices in an unbiased state. This test forces moisture into the plastic package and tests for corrosion in the bonding pads and wires that are not protected by passivation. Corrosion can also occur in passivated areas where there are micro cracks or poor step coverage 7C382 had no failures, as shown in Table 8. Results of Pressure Pot Test (Pressure = 2.0 atm., Temp. = 121°C, no bias, 68-Lead PLCe) Quantity 48 96 168 35 0 0 0 19194 35 0 0 0 19618 35 0 0 0 The pASIC device is designed to operate with resistance of the unprogrammed ViaLink element from 50'MQ, the pASIC product would remain within the guaranteed speed and standby Icc specifications. Figure 4 shows the time required for a ViaLink element to reach 50 Figure 5 shows the time required for a ViaLink element to reach 50 MQ under various electricfield stresses. Arange of amorphous silicon thicknesses have been included in this chart. The data can be modeled using the equation Failures @ Hours 18362 When a ViaLink element is stressed at high electric fields, its resistance can decrease from the initial 1 GQ value. The reliability testing program examined the time for the resistance to reach 50 MQ at different stress fields. Figures 4 and 5 illustrate that because of time constraints ( == 500 years), it is impossible to detect this effect at normal operating fields in systems. MQ under various applied electricfields at different temperatures. The time required for the change is not accelerated by temperature over the studied range of electric fields. The activation energy, E a , for this process is zero. Table 8. Fab Lot Unprogrammed ViaLink Element Reliability Reliability studies on an antifuse that can exist in two stable resistance states, must focus on the ability of an unprogrammed and a programmed device under stress to remain in the desired state. In the context of standard IC testing, the antifuse should be stressed under conditions similar to those for a dielectric (in the unprogrammed state) and for a conductor (in the programmed state). For ViaLink elements in the unprogrammed state, the tests must determine their ability to withstand applied voltages over the range of operating conditions without changing resistance or becoming programmed. Amorphous materials might be expected to show gradual changes in resistance as a result of relaxation or annealing. Reliability studies have been designed to explore these effects. Failures @ Cycle Fab Lot The link has a metallic-like resistivity of the order of 500 microohms-cm and is responsible for the low 50-ohm resistance that is a unique characteristic of the ViaLink antifuse. The link forms a permanent, bidirectional connection between two metal lines. The size of the link, and hence the resistance, depends on the magnitude of the programming current. Figure 6 shows the relationship between programming current and programmed link resistance. Figure 3 shows the distribution of link resistance for a fixed programming current. ViaLink Element Reliability Tests and Results The ViaLink antifuse is a one-time programmable device. In the unprogrammed state it has a resistance of greater than one gigaohm and capacitance of less than one femtofarad. tSOMQ = toexp( - BE) Eq. 3 where the time to 50 MQ decreases exponentially with increasing applied electric field. The constant to is 3x10 1S seconds and the field acceleration factor, B, is 20 cm/MY. The model is valid for electricfields, E, below 1.6 MVfcm. Above this field, programming occurs. The electric field for 5.0 volt Vee operation with a typical amorphous silicon thickness is 0.61 MV/cm, which extrapolates tSOMQ to 1.5x10lO seconds, or 500 years. The time to 50 MQ for the worst-case amorphous silicon thickness and operating at worstcase Vee is in excess of 30 years. 6-6 pASIC380 Reliability R = 0.810/lp 140 120 // 100 UJ () 80 z ~ en 60 :/ U5 UJ a:: 40 20 o o ,/ / v...r / 0.02 0.04 V / /V ./"" 0.06 0.08 0.10 0.12 0.14 0.16 1/PROGRAMMING CURRENT (mA) Figure 2. Resistance Versus I/Programming Current AVERAGE = 52.3 OHMS, STANDARD DEVIATION = 3.69 25 20 [) 15 Z UJ ::::l @ a:: 10 L1. '* 5 o o 10 20 30 40 50 I. 60 70 80 1/PROGRAMMING CURRENT (mA) Figure 3. Distribution of ViaLink Resistance at Ip = 15 rnA 6-7 90 100 pASIC380 Reliability 1E+10 ............. Iii 0 z a(,) ~ 1E+OB ~ UJ ~ "~ ~ (J) :2: J: 1E+06 a « (!) UJ :2: ~ • 1E+04 0 to a IUJ :2: • ~~ ELECTRICAL FIELD - FOR 5.0-VOLT OPERATION 1E+02 i= I, • 1E+00 0.6 I 0.7 I O.B • ·h ~ ............ ............. 0.9 1.0 1.1 1.3 1.2 1.4 1.5 1.6 ELECTRIC FIELD (mV/em) Figure 4. Electric Field Acceleration of Unprogrammed ViaLink Element 1E+10 Iii 0 z a(,) 1E+OB UJ ~ (J) :2: J: 1E+06 0 a « (!) UJ :2: <> ~ - 1E+04 0 to a IUJ :2: i= 1E+02 H. 1E+00 0.6 -7C 0.7 A 20C 0.8 0.9 • BOC 1.0 <> 125C 1.1 ! I I 1.2 ~ - It 1.3 1.4 1.5 ELECTRIC FIELD (mV/em) Fignre 5. Temperature Dependence of Time to 50 Megaohms (Lot 617, Wafer 8) 6-8 1.6 pASIC380 Reliability =....,;;i~ 'CYPRESS The high field effect is both predicable and reproducible. This effect is inherent to the amorphous silicon in the ViaLink elemend31. The pASIC device has been designed to operate where the effect is minimized and has no impact on the reliability of the pASIC device. Accelerated Stress Tests for Unprogrammed ViaLink Elements The high field effect is created in the packaged 7C382 device through a high Vee static life test. This test stresses the unprogrammed ViaLink element with aVec = 7.0 volts for 1000 hours. Over 360 7C382 devices from four lots have been tested. This condition stresses over 20,000 unprogrammed ViaLink elements in each 7C382. The failure criteria for the pASIC device for this test is the same as that of the previous tests, with emphasis placed on the standby Icc, which increases as the resistance of the unprogrammed ViaLink element decreases. The acceleration factor for this stress is calculated by using Equation 3 to find the ratio of the tSOM for E = 0.61 MV/cm at 5 volts and E = 0.85 MV/cm at 7 volts. This test has an acceleration factor = 130 for the unprogrammed ViaLink element. The test results in Table 9 show that no device has failed this stress in more than 73 million equivalent device hours. Life tests continue to run; two lots have reached 1,500 hours, and one lot has exceeded 3,500 hours. Table 9. Results of High Vee Static Life Test (Vee = 7.0V Static, Temp. = 25°C, 68-Lead PLCC) Quantity Failures Total Hours 16558 14 0 3,650 18362 39 0 1,907 19194 110 0 1,756 19618 101 0 1,456 20454 100 0 1,000 FabLot VIN x ".~-----''''------1 liN 0 0 * TIME Figure 6. Switching of Programmed ViaLink Antifuse various temperatures were found to be identical. The absence of temperature dependence indicates an Ea == O. Figure 7 shows the acceleration of the read disturb at high AC current densities through the programmed ViaLink element. Thus, the number of cycles to disturb can be modeled as Nso = Noexp(-PJ) Eq.4 where No = 7x1041 cycles is a constant, P = 1.2 cm 2/rnA is the current density acceleration factor, and J is the peakAC current density through the link. The 7C382 is designed to operate at worst-case AC current density of 40xl06 Alcm2. The Nso for this condition is lx10 21 cycles. The failure rate can be calculated using the cumulative density F(t), F(t) = cp In [NlNsO/a] Eq. 5 The failure distribution can be determined by plotting the data on a log normal probability scale versus the log of the number of cycles to failure (see Figure 8). The shape parameter, a, is In(NsoIN16) = Programmed ViaLink Element Reliability 2.5. The reliability tests on the programmed ViaLink element must demonstrate the stability of the link resistance in the programmed state. While an increase in resistance of the programmed device may not be catastrophic, a higher resistance can affect the device operating speed. Because the programmed ViaLink element has become part of the on-chip interconnect, reliability tests should be similar to those that are normally used to validate the integrity of metal interconnects. In operation, the programmed ViaLink elements are subjected to capacitive switching current of the interconnect network. They do not experience any DC current or voltage (see Figure 6). Each switching pulse forces a capacitive charging current to flow through programmed ViaLink elements into the network on the rising edge, and an opposite, or discharging current, to flow on the falling edge. Each cycle is analogous to a read pulse for a memory device. A 10% change in resistance was set as the read disturb criteria for the ViaLink element. The typical impedance of a network is about 500Q with the programmed ViaLink element contributing 50U A 10% increase in the ViaLink resistance will increase the network impedance by approximately 5Q, or 1%. This increase in resistance will increase a network delay in the pASIC device by about the same proportion. Programmed ViaLink elements were stressed under severe capacitive currents. AC stresses rather than DC stresses were used to accelerate the failures for closer correlation with actual operation. The mean number of read cycles to disturb, Nso, for High AC current density occurs at low frequencies where there is sufficient time for the network to be fully charged or discharged. At frequencies above 50 MHz, AC current through a ViaLink element decreases due to incomplete charging and discharging cycle. The worst-case pattern in a programmed pASIC has less than 150 ViaLink elements operating at 40x106 Ncm 2 . Most of the programmed ViaLink elements operate at much lower current densities. Using Equation 5, the cumulative failure rate for the ViaLink element operating at 40x106 Alcm2 for 1.6x10 16 read cycles (equivalent to continuous operation at 50 MHz for 10 years ) is 0.6 parts per million. This failure rate for the pASIC device is 90 parts per million operating under worst-case condition for 10 years. The failure rate of the programmed ViaLink element would contribute 1 FIT to the overall failure rate of the pASIC device. Accelerate Stress Tests for Programmed ViaLink Elements The high Vee dynamic life test stresses the 7C382 with Vee = 6.0 volts at 15 MHz for 1,000 hours. This test stresses the programmed ViaLink elements at 45x10 6 Alcm2 for 5.4xlO 13 cycles. The acceleration factor, calculated fromEquation 4, is380. This test is equivalent to 2.0xl0 16 switching cycles, or continuous operation under worst-case condition at 50 MHz for 12 years. Three hundred 7C382 devices from 3 lots have been stressed. The failure criteria is the same as previously described, with emphasis placed on careful monitoring of AC performance. Test results in Table 10 show that there have been no failures of the programmed ViaLink elements in over 34 million equivalent device hours. 6-9 pASIC380 Reliability ~ '1E+26~~--------'---------'-------~'---------'--------''--------' d 1E+24 r_----~,,~r_--------r_--------r_--------r_------~r_------~ co t; 1E+22 "" r_--------r_----"'.... ~-----+----------+----------+----------+------------i ~ 1E+20r---------r---------~~"'------~--------~--------~-----------i ~ 5 ~ 1E+18 u. 1E+16 o ~ .,';iF '",1, '" ............. 1E+14;OPEfV\TING + - - - - - - - + - - - - - t - - - - - , . . ........ ~---+_---~ ~ ~ R!GI0N FOR ~ 1E+12 : ~ 1E + 10 ".; ~ ~~ 1E+08 ,f'~~f6~s t-----+-----t-----+--~........ ..--i~--------l ~1i1 35 ·i+-----------+---------+---------+---------+---......""~-----I l;'~ ~ 45 40 50 55 60 65 CURRENT DENSITY J (mNcm sq.) Figure 7. Acceleration of Read Disturb for Programmed ViaLink Element J = 64 mNcm sq. 1E+11 • CO a:: :::> I- 1E+10 C/) 5 0 • IC/) LU ...J 1E+09 '" () >- 0 • • u. 0 a:: LU 1E+08 CO ~ • • • :::> z 1E+07 to to en 0) CUMULATIVE PERCENT FAILURES Figure 8. Distribution of Read Disturb on Programmed ViaLink Elements 6-10 --. pASIC380 Reliability -'i~ 'CYPRESS hours of high-temperature operating life. The observed failure rate is 0 FITs and the failure rate with a 60% confidence is 29 FITs. The acceleration factors that can lead to the degradation of the programmed and unprogrammed ViaLinkelements were studied. The pASIC devices are designed to operate at voltages and currents where the failure rate of the ViaLink element does not measurably increase the failure rate of the pASI C device above that of normal CMOS products. Table 10. Results of High Vee Dynamic Life Test (Vee = 6.0V, Temp. = 25°C, 15 MHz, 68-Lead PLCC) Failures @ Hours FabLot Quantity 168 500 1,000 19194 100 0 0 0 19618 100 lPl 0 0 20454 100 0 0 0 References Note: failure. Not a ViaLink element related failure. Failure analysis revealed a particle under M2 causing a short. One failure, which was not associated with the ViaLink element, was observed during this test. Failure analysis on this part revealed a particle under the second metal that caused a short. This failure was due to an oxide defect and is highly accelerated by voltage stress. This device, which failed at the 6.0-volt stress, may not have failed had it been subjected to the standard 5.5-volt HTOL stress. Conclusion on Life Tests 1. ICC 1. Jim Nulty, et aI, A High Reliability Metallization System for a Double Metal 1.5 flm CMOS Process, Proc. Fifth IEEE VMIS, 1988, PP. 453-459. 2. Dipankar Pramanik, et al,A High Reliability Triple Metal Process for High-Performance Application-Specific Circuits, Proc. Eighth IEEE VMIS, 1991, pp. 27-33 3. F. Yonezawa, Fundamental Physics ofAmorphous Semiconductors, Proc. of the Kyoto Summer Inst., 1981. The testing reported here establishes the reliability of the 7C382. No failures have been observed in 31 million equivalent device Document #: 38-00375 6-11 Power Characteristics of Cypress Programmable Logic Products This application note presents and analyzes the power dissipation characteristics of Cypress programmable logic products. The knowledge and tools presented here will help you manage power when using Cypress CMOS products. Frequency-Dependent Power Design Philosophy CMOS circuits inherently dissipate significantly less power than either bipolar or NMOS circuits. The ideal CMOS circuit has no direct current path between Vee and Vss. In circuits using other technologies, such paths exist, and DC power is dissipated while the device is in a static state. The design philosophy for all Cypress products is to achieve superior performance at reasonable power dissipation levels. The CMOS technology, circuit design techniques, architecture, and topology are carefully combined to optimize the speed/power ratio. The principal component of power dissipation in a power-optimized CMOS circuit is the transient power required to charge and discharge the capacitances associated with the inputs, outputs, and internal nodes. This component is commonly called CV2 power and is directly proportional to the operating frequency, f. The charge, Q, stored in a capacitor, C, that is charged to a voltage, V, is given by the equation: Power Dissipation Sources Q = CV Power is dissipated both inside and outside ICs. The internal and external power have a quiescent (or DC) component and a frequency-dependent component. The relative magnitudes of each depend upon the circuit design objectives. Eq.l Dividing both sides of Equation 1 by the time required to charge and discharge the capacitor (one period, or T) yields: Q T In circuits designed to minimize power dissipation at low to moderate performance, the frequencydependent component is significantly greater than the DC component. In the high-performance circuits designed and manufactured by Cypress, the . frequency-dependent power component is much lower than the DC component. This is because a large percentage of the internal power is dissipated in linear circuits such as sense amplifiers, bias generators, and voltage/current references, which are required for high performance. CV T Eq.2 By definition, current (I) is the charge per unit time and Therefore, 1= CVf Eq.3 The power (P = VI) required to charge and discharge the capacitor is obtained by multiplying both sides of Equation 3 by V: 6-12 Power Characteristics of Cypress PLD Products P = VI = Cylj Calculating Power for the pASIC380 Eq.4 Since the pASIC380 family of devices is programmable, determining active power is difficult in that it is dependent on the functions implemented in the pASIC and the frequency of the internal nodes. To obtain a reasonably accurate estimate of the power consumption for a particular pASIC design, a calculation must be made that sums the power for each contributor in the device. This section presents the details of how this is accomplished. It is standard practice to assume that the capacitor is charged to the supply voltage (Vcc), so that Eq.5 The total power consumption for CMOS systems depends upon the operating frequency, the number of inputs and outputs, the total load capacitance, the internal equivalent (device) capacitance, and the static (quiescent) or standby power consumption. In equation form: P d = [CINTFINT + CtoadFtoad]Vee2 Iquieseen/Vee 1M Static Power The pASIC family of devices does not have sense amplifiers, but they do have an internal bias generator, which typically uses about 2 rnA for the 5-volt versions. This current is less for the 3-volt versions. The worst case static current is 10 rnA for all pASIC380 devices. + = IeeVee Eq.6 The first four quantities are frequency dependent, the last is not. This same equation can be used to describe the power dissipation of every IC in the system. The total power dissipation is then the algebraic sum of the individual components. Active Power Active power arises from the energy required to move charge in and out of the load capacitances on the CMOS gates. A simple model of this is shown in Figure 1. The capacitance is composed of the intrinsic capacitance of the gate, the interconnect wire capacitance to ground, and the input capacitance of the gates to which the driving gate is connected. For the purpose of the model, the capacitance is lumped into the one capacitor in Figure 1. The relative magnitudes of the various terms in the equation are device dependent. Note that Equation 6 must be modified if all of the internal nodes or all of the outputs are not switching at the same frequency. Transient Power The calculation can be a consuming task. Each logic cell contains multiple gates each toggling at different average frequencies. Each logic cell can be connected to the inputs of other logic cells through various types and lengths of interconnect wires. With several thousand gates in the device, a power calculation based on the simple model is not a useful approach. A simplification is obtained by attributing an average capacitance to elements easily identifiable by the user. Cypress devices incorporate N-well CMOS inverters that can affect the devices' transient power consumption. In an ideal N-well CMOS inverter, the Pchannel pull-up transistor and the N-channel pull-down transistor (which are in series with each other between Vee and V ss) are never on at the same time. Thus, there is no direct current path between Vee and ground, and the quiescent power is very nearly zero. In the real world, when the input signal makes the transition through the linear region (i.e., between logic levels), both the N-channel and P-channel transistors are partially turned on. This creates a low-impedance path between Vee and V ss whose resistance equals the sum of the N- and P-channel resistances. These elements are: • logic cell • input buffer • output buffer (unloaded externally) • loads on high drive input buffers 6-13 Power Characteristics of Cypress PLD Products surements verify the averaging process and they verify the way the capacitances are attributed to the various elements. The equivalent capacitances for all the elements are given in Table 1 for various average frequencies from 10 to 100 MHz. The equivalent capacitances are also plotted vs frequency in Figure 3. Given this data, the user only needs to know how many of each of these elements are used and their average frequency in order to estimate the power consumption. Not all elements are included in all members of the family. The individual datasheets should be consulted for further details. • express interconnect wire • clock input buffer • clock distribution (internal column) buffer • clock load These elements are identified in Figure 2, which is an architectural representation of the pASIC family devices. Three of the elements in this list are explicitly identified load capacitances: loads on high drive input buffers, express interconnect wires, and clock loads. The average capacitances for each of these elements may not be directly due to a named element but will include interconnect wire capacitance and loads the element is connected to (given some average fanout). The capacitances for these elements will be referred to as an equivalent capacitance to reflect this averaging and the fact that the capacitance includes loads not necessarily in that particular element. With the capacitance in pF, the frequency in MHz, and the resulting power in mW, the power equation can be expressed as Pmw = CEQ Vcc 2jlO-3 This equation is in a form for practical use. The equivalent capacitance values, CEQ, are obtained form the table or curves for the frequency of interest. The equivalent capacitances are derived from empirical data to insure accuracy. Moreover, the mea- LOAD CAPACITANCES I GATE I I INTRINSIC CAPACITANCE I WIRE CAPACITANCE I ACTUAL CIRCUIT CAPACITANCES GATE I LUMPED CAPACITANCE CIRCUIT MODEL Figure 1. Capacitances in CMOS Circuits 6-14 . -";~ Power Characteristics of Cypress PLD Products # CYPRESS HIGH DRIVE INPUT BUFFER I VERTICAL EXPRESS WIRES 12 Vee l>r 9 ..... LOGIC CELL INPUT BUFFER \~~ftIlIlIi1l3l!!!!~;gililillill~!!!! 2;-' OUTPUT BUFFER \. \ IveeC~K 4 , []] 380·4 DISTRIBUTION 6 '" BUFFER CLOCK INPUT BUFFER Figure 2. pASIC Internal Architecture 100.00 I Macro............ Clock buffet ~ Ceq 1000 (pF) . r"-- d. otno It r-+ t-~~ t-F Inpu I- ",lock~but ~ I~ ~ t--- Clock load J' 1.00 " "' VertHD Qne HDbuffer loa 1 10 OPERAnNG FREQUENCY (MHz) Figure 3. pASIC380 CEQ vs. Operating Frequency 6-15 rrt100 Power Characteristics of Cypress PLD Products Table 1. pASIC380 Equivalent Capacitance (CEQ) 10 MHz 20 MHz 33 MHz 50 MHz 66 MHz 80 MHz 100 MHz Input 9.60 9.30 8.89 8.13 7.62 7.23 6.83 Output 15.73 15.83 16.04 15.55 16.60 16.04 14.39 Macro 17.47 17.02 16.57 15.78 14.15 13.42 12.69 HDbuffer Load 2.25 2.19 2.10 1.98 1.87 1.77 1.71 VertHD Line 3.38 3.29 3.16 2.97 2.80 2.66 2.57 Clock Buffer 10.75 10.75 10.75 10.75 10.75 10.75 10.75 Clock Colbuf 4.67 4.67 4.67 4.67 4.67 4.67 4.67 Clock Load 1.11 1.11 1.11 1.11 1.11 1.11 1.11 and for all 16 Power Estimation Example P = 16 * 0.83 = 13.28 mW As an example of a power estimate, consider a 16-bit synchronous counter operating at 33 MHz. This example is for illustrative purposes only and the results should not be used for any other purposes. The counter clock is placed on a high-drive input-only pad (not one of the specialized clock input buffers) and is routed to the counter flip-flops through vertical express wires. When laid out, the counter occupies four columns in the array. All of the counter outputs are sent to output pins. Equivalent capacitance numbers are obtained from Table 1 under the 33 MHz column. Input Buffer This is a high drive input buffer for the clock. The input buffer itself CEQ f = 33 MHz P = 8.89 (33) * 52 * 10- 3 CEQ P = 4 * 3.16 (33) * 52 * 10- 3 = 10.43 mW The high drive buffer loads (clocks on 16 flip - flops) CEQ + 1/4 + 1/8... + 1/65536) = 2.10 pF f= 33 MHz P = 16 * 2.10 (33) * 52 * 10- 3 27.72 mW The output buffers (total for all 16) The power for all the elements can now be easily calculated. CEQ = 16.04 pF f = 33/16 MHz Logic Cells (each cell) P = 16 * 16.04 (33/16) * 52 * 10- 3 = 13.23 mW = 16.57 pF Adding all ofthe contributors to the power, the total dynamic power for the counter is 72.51 mW. To this must be added the maximum quiescent power of 50 f = 33/16 MHz P = 16.57 (33/16) * 52 * 10- 3 = 3.16pF f = 33 MHz or approximately f/16. The counter and the outputs can be considered as 16 logic cells and 16 outputs each toggling at f/16. CEQ 7.33 mW The vertical express wires (4) First examine the 16 bit counter and the logic cells used to implement it. An analysis of this gives a simple result that can be used for the logic and output cell power calculation. The first flip-flop toggles at f/2, the next flip-flop toggles at f/4, the third at f/8, etc. The average per flip-flop toggle rate is (f/16)*(1/2 = 8.89pF 0.83mW 6-16 ~ ----=- ~yCYPRESS Power Characteristics of Cypress PLD Products mW (10 rnA max. specification) giving a total of 123 m W. This power calculation does not include the power resulting from external loads on the device pins. The most direct approach is to examine the physical view and, for each cell in question, examine the origin of the inputs and the destination of the output and determine heuristically the approximate logic function being implemented in the cell. Knowing this, the average toggle rate can be estimated. This approach can be time consuming and difficult if there is a large amount of circuitry in the design. An alternative is to use approximations to an advantage. An approach used earlier was to attribute an average toggle frequency to each flip-flop of the counter. A simple extension of this approximation suggests that each of these combinatorial cells be estimated as having an average toggle frequency of f/16. Obtaining Values for the Calculations The difficult part of the calculations is obtaining values for the number of logic cells used, the number of clock buffers used, and the average toggle frequency. There is no prescription for determining these numbers. However, there are aids to this process. These aids will be discussed in this section. Consider a 16-bit counter different from the one in the previous example. This new counter will use the internal clock distribution tree. The task of obtaining the number of logic cells and clock buffers used is aided by the Physical View in the Walp3 tool. Figure 4 shows the physical view for a 16-bit synchronous counter using the internal clock distribution tree. The number of logic cells used in the counter can be easily counted; there are 27. With the physical view displayed in SpDE, the user can obtain a summary of the cell utilization. This is done by selecting Cell Utilization under Info. There are 16 output buffers and one clock input buffer, as expected. The upper/lower column division is between row 5 and row 6. Therefore, the clock is distributed to both the upper and lower half of columns A and B, whereas only the lower half of columns C, D, E, and H receive clocks. Columns A and B will use two clock internal buffers each (one for the lower half column and one for the upper half column) and there will be one each for columns C, D, E, and H. The results for clock distribution are: Using the above data, the power for this 16-bit counter is determined as follows: TM clock input buffer (clock buffer) clock internal buffers (clock colbuf) clock loads Logic Cells (each cell) CEQ = 16.57 pF f = 33/16 MHz P = 16.57 (33/16) * 52 * 10- 3 0.85mW and for all 27 P = 27 * 0.85 = 22.95 mW Clock Input Buffer and Distribution Tree The input buffer itself CEQ = 10.75 pF f = 33 MHz P = 10.75 (33) * 52 * 10- 3 8.87mW The clock column buffers (8) 1 8 16 CEQ = 4.67pF f= 33 MHz All of the components of the active power have been identified. From the previous example, the average frequency for the flip-flop logic cells, the output buffers, and the clock related buffers and loads is known. Eleven of the logic cells are combinatorial and need to be examined more closely. These logic cells must be part of the excitation logic for the counter flip-flops. There are several approaches. P = 8 * 4.67 (33) * 52 * 10- 3 30.82 mW The loads (16) CEQ f = 1.11 pF = 33 MHz P = 16 * 1.11 (33) * 52 * 10- 3 6-17 14.65 mW Power Characteristics of Cypress PLD Products B G B G " § G B G B G G 8,,_,,_ Figure 4. 16-Bit Counter Physical View 6-18 · rcYPRESS Power Characteristics of Cypress PLD Products The output buffers (total for all 16) CEQ Conclusion = 16.04 pF This application note provides algorithms and reference data for calculating power consumption in Cypress programmable logic devices. All calculations for active power are based on Equation 4. The accuracy of the results is related to the determination of the capacitance and the frequency. In many cases, significant power dissipation is a result of driving external loads. Users should make certain that the device power calculations include the power associated with the external loads. f = 33/16 MHz P = 16 * 16.04 (33/16) * 52 * 10- 3 = 13.23 mW The total dynamic power for the counter is 90.52 mW. Adding, as before, the maximum quiescent power of 50 mw, the total power becomes 140 mW. This power calculation does not include the power resulting from external loads on the device pins. 6-19 Quality, Reliability, and Process Flows 4. SMD (Standardized Military Drawing) approved product: Mili- Corporate Views on Quality and Reliability Cypress believes in product excellence. Excellence can only be defined by how the users perceive both our product quality and reliability. If you, the user, are not satisfied with every device that is shipped, then product excellence has not been achieved. Product excellence does not occur by following the industry norms. It begins by being better than one's competitors, with better designs, processes, controls and materials. Therefore, product quality and reliability are built into every Cypress product from the start. Some of the techniques used to insure product excellence are the following: taryoperatingrange: - 55 ° Cto + 125 ° C, electrically tested per the applicable Military Drawing. 5. JAN qualified product; Military operating range: - 55°C to + 125 ° C, electrically tested per JAN slash sheet requirements. Categories 1,2, and 3 are available on all products offered by Cypress Semiconductor. Categories 4 and 5 are offered on a more limited basis, dependent upon the specific part type in question. Commercial Product Assurance Categories Commercial grade devices are offered with two different classes of product assurance. Every device shipped, as a minimum, meets the processing and screening requirements of level 1. • Product Reliability is built into every product design, starting from the initial design conception. • Product Quality is built into every step of the manufacturing process through stringent inspections of incoming materials and conformance checks after critical process steps. • Stringent inspections and reliability conformance checks are done on finished product to insure the finished product quality requirements are met. • Field data test results are encouraged and tracked so that accelerated testing can be correlated to actual use experiences. Levell: For commercial or industrial systems where the demand for quality and reliability is high, but where field service and device replacement can be reasonably accomplished. Level 2: For enhanced reliability applications and commercial or industrial systems where maintenance is difficult and/or expensive and reliability is paramount. Product Assurance Documents Tables 1 and 2 list the 100% screening and quality conformance testing performed by Cypress Semiconductor in order to meet requirements of these programs. Cypress Semiconductoruses MIL-STD-883D and MIL-I -38535B as baseline documents to determine our Test Methods, Procedures and General Specifications for semiconductors. Customers using our commercial and industrial grade product receive the benefit of a military patterned process flow at no additional charge. Product Testing Categories Five different testing categories are offered by Cypress: 1. Commercial operating range product: O°C to +70°C. 2. Industrial operating range product: - 40°C to +85°C. 3. Military Grade product processed to MIL-STD-883D; Military operating range: - 55 0 C to + 125 0 C. Devices are upgraded from Levell to Level 2 by additional testing and a burn-in of 12 hours at 150°C. Military Product Assurance Categories Cypress's Military Grade components and SMD products are processed per MIL-STD-883D using methods 5004 and 5005 to define our screening and quality conformance procedures. The processing performed by Cypress results in a product that meets the class B screening requirements as called out by these methods. Every device shipped, as a minimum, meets these requirements. JAN, SMD, and Military Grade devices supplied by Cypress are processed for applications where maintenance is difficult or expensive and reliability is paramount. Tables 3 through 7 list the screening and quality conformance testing that is performed in order to meet the processing requirements required by MILSTD-883D and MIL-I-38535B. 6-20 Quality, Reliability, and Process Flows Table 1. Cypress Commercial and Industrial Product Screening Flows-Components Product Temperature Ranges Commercial O°C to +70°C; Industrial -40°C to +85°C Levell Screen MIL-STD-883D Method Level 2 Plastic Hermetic Plastic Hermetic O.4%AQL 100% O.4%AQL 100% LTPD = 5 100% Does Not Apply Does Not Apply LTPD = 5 100% 100% 100%(1] 100% 100%[1] 100% 5% (max)[2] 100% 5% (max)[2] VisuallMechanical • Internal Visual 2010 • Hermeticity - Fine Leak - Gross Leak 1014, CondAorB (sample) Does Not Apply Does Not Apply 1014, Cond C Burn-in • Pre-Bum-in Electrical Per Device Specification Does Not Apply Does Not Apply • Burn-in • Post-Bum-in Electrical Per Cypress Specification Does Not Apply Does Not Apply Per Device Specification Does Not Apply Does Not Apply Does Not Apply Does Not Apply • Percent Defective Allowable (PDA) Final Electrical Per Device Specification • Static (DC), Functional, and Switching (AC) Tests 1. At 25 ° C and Power Supplies Extremes 2. At Hot Temperature and Power Supply Extremes Not Performed Not Performed 100%(1] 100%[1] 100% 100% 100% 100% Cypress Quality Lot Acceptance • External Visual 2009 Note 3 Note 3 Note 3 Note 3 • Final Electrical Conformance Cypress Method 17-00064 Note 3 Note 3 Note 3 Note 3 Table 2. Cypress Commercial and Industrial Product Screening Flows-Modules Product Temperature Ranges Commercial O°C to +70°C; Industrial -40°C to +85°C Screen MIL-STD-883D Method Levell Level 2 Burn-in • Pre-Bum-in Electrical Per Device Specification Does Not Apply 100% • Burn-in • Post-Bum-in Electrical 1015 Does Not Apply 100% Per Device Specification Does Not Apply 100% Does Not Apply 15% Not Performed 100% 100% 100% Per Cypress Module Specification Per Cypress Module Specification Note 3 Note 3 • Percent Defective Allowable (PDA) Final Electrical • Static (DC), Functional, and Switching(AC) Tests Per Device Specification 1. At 25 ° C and Power Supply Extremes 2. At Hot Temperature and Power Supply Extremes Cypress Quality Lot Acceptance • External Visual • Final Electrical Conformance Notes: 1. 2. 2009 Cypress Method 17-00064 Burn-in is performed as a standard for 12 hours at 150 a C. Electrical Test is performed after burn-in. Results of this are used to determine PDA percentage. 3. 6-21 Lot acceptance testing is performed on every lot to guarantee 200 PPM average outgoing quality. ~ ~?cYPRESS Quality, Reliability, and Process Flows Table 3. Cypress JAN/SMD/Military Grade Product Screening Flows for Class B Screen Product Temperature Ranges -55°C to +125°C Screening Per Method 5004 of MIL-STD-883D JAN SMD/Military Grade Product Military Grade Module Visual/Mechanical • Internal Visual Method 2010, Cond B 100% 100% N/A • Temperature Cycling Method 1010, Cond C, (10 cycles) 100% 100% Optional • Constant Acceleration Method 2001, Cond E (Min.), Y1 Orientation Only 100% 100% N/A Method 1014, Cond A or B Metpod 1014, Cond C 100% 100% 100% 100% N/A N/A • Pre-Bum-in Electrical Parameters Per Applicable Device Specification 100% 100% 100% • Burn-in Test Method 1015, Cond D, 160 Hrs at 125°C Min. or 80 Hrs at 150°C 100% 100% 100% (48 Hours at 125°C) • Post-Bum-in Electrical Parameters Per Applicable Device Specification 100% 100% 100% • Percent Defective Allowable (PDA) Maximum PDA, for All Lots 5% 5% 10% • Hermeticity: -Fine Leak - Gross Leak Burn-in Final Electrical Tests • Static Tests Method 5005 Subgroups 1, 2, and 3 100% Test to Slash Sheet 100% Test to Applicable Device Specification 100% Test to Applicable Specification • Functional Tests Method 5005 Subgroups 7, 8A, and 8B 100% Test to Slash Sheet 100% Test to Applicable Device Specification 100% Test to Applicable Specification • Switching Method 5005 Subgroups 9, 10, and 11 100% Test to Slash Sheet 100% Test to Applicable Device Specification 100% Test to Applicable Specification Sample Sample Sample Sample Sample Sample Sample Sample Sample Sample Sample Sample 100% 100% 100% Quality Conformance Tests • GroupA[4] • Group B • Group C[5] Method 5005, see Tables 4 - 7 for details • Group D[5] External Visual Method 2009 Notes: 4. Group A subgroups tested for SMD/Military Grade products are 1, 2, 3,7, 8A, 8B, 9, 10, 11, or per JAN Slash Sheet. • 5. 6-22 Group C and D end-point electrical tests for SMD/Military Grade products are performed to Group A subgroups 1,2,3,7, 8A, 8B, 9, 10, 11, or per JAN Slash Sheet. Quality, Reliability, and Process Flows package type and lead finish built within a sixweek seal period and submitted to Group B testing at the same time. Table 4. Group A Test Descriptions Subgroup 1 2 Description Static Tests at 25 0 C Sample Size/Accept No. Modules[6] 116/0 116/0 Static Tests at Maximum Rated Operating Temperature 116/0 3 Static Tests at Minimum Rated Operating Temperature 116/0 116/0 4 Dynamic Tests at 25 0 C 116/0 116/0 5 Dynamic Tests at Maximum Rated Operating Temperature 116/0 116/0 6 Dynamic Tests at Minimum Rated Operating Temperature 116/0 116/0 Subgroup 116/0 1 7 Functional Tests at 25 0 C 116/0 116/0 8A Functional Tests at Maximum Temperature 116/0 116/0 8B Functional Tests at Minimum Thmperature 116/0 116/0 9 Switching Tests at 25 0 C 116/0 116/0 10 Switching Tests at Maximum Temperature 116/0 116/0 Switching Tests at Minimum Temperature 116/0 11 Table 6. Group C Quality Tests Components Subgroup Quantity/Accept # orLTPD Components Modules[6] Resistance to Solvents, Method 2015 3/0 3/0 3 Solderability, Method 2003 10 10 5 Bond Strength, Method 2011 15 NA 5 15/0 Group B testing is performed for each inspection lot. An inspection lot is defined as a group of material of the same device type, Note: 6. Military Grade Modules are processed to proposed JEDEC standard flows for MIL-STD-883D compliant modules. 6-23 Description Quantity/Accept # orLTPD Components Modules[7] 1 Physical Dimensions, Method 2016 15 15/0 2 Lead Integrity, Seal: Fine and Gross Leak, Method 2004 and 1014 5 15/0 3 Thermal Shock, Temp Cycling, Moisture Resistance, Seal: Fine and Gross Leak, Visual Examination, EndPoint, Electricals, Methods 1011, 1010, 1004 and 1014 15 15/0 4 Mechanical Shock, Vibration - Variable Frequency, Constant Acceleration, Seal: Fine and Gross Leak, Visual Examination, End-Point Electricals, Methods 2002, 2007, 2001 and 1014 15 15/0 116/0 2 Modules[6] Steady State Life Test, End-Point Electricals, Method 1005, Cond D Table 7. Group D Quality Tests (Package Related) Table 5. Group B Quality Tests Description Components Group C tests for JAN product are performed on one device type from one inspection for lot representing each technology. Sample tests are performed per MIL-I-38535B from each three month production of devices, which is based upon the die fabrication date code. Group C tests for SMD and Military Grade products are performed on one device type from one inspection lot representing each technology. Sample tests are performed per MIL-STD -883D from each four calendar quarters production of devices, which is based upon the die fabrication date code. End-point electrical tests and parameters are performed per the applicable device specification. Cypress uses an LTPD sampling plan that was developed by the Military to assure product quality. Testing is performed to the subgroups found to be appropriate for the particular device type. All Military Grade component products have a Group A sample test performed on each inspection lot per MIL-STD-883D and the applicable device specification. Subgroup LTPD Description Quality, Reliability, and Process Flows Thble 7. Group D Quality Tests (Package Related) (continued) Subgroup 5 6 Description Salt Atmosphere, Seal: Fine & Gross Leak, Visual Examination, Methods 1009 & 1014 Internal Water-Vapor Content; 5000 ppm maximum @ 100°C. Method 1018 Military Grade Product • SMD and Military Grade components are manufactured in compliance with paragrar.h 1.2.1 of MIL-STD-883D. Compliant products are identIfied by an 'MB' suffix on the part number (CY7C122-25DMB) and the letter "C" • JAN devices are manufactured in accordance with MIL-M-3851OJ • Military grade devices electrically tested to: - Cypress data sheet specifications Quantity/Accept # orLTPD Components Modules[7] 15 (0) 15/0 3(0) or 5(1) N/A 7 Adhesion of Lead Finish,[8] Method 2025 15(0) 15/0 8 Lid Torque, Method 2024[9] 5(0) N/A OR - SMD devices electrically tested to military drawing specifications OR - JAN devices electrically tested to slash sheet specifications Notes: 7. Does not apply to leadless chip carriers. 8. Based on the number of leads. 9. Applies only to packages with glass seals. Group D tests for JAN product are performed per MIL-1-38535B on each package type from each six months of production, based on the lot inspection identification (or date) codes. Group D tests for SMD and Military Grade products are performed per MIL-STD-883D on each package type from each six months of production, based on the lot inspection identification (or date) codes. End-point electrical tests and parameters are performed per the applicable device specification. Product Screening Summary • All devices supplied in hermetic packages • Quality conformance inspection: Method 5005, Groups A, B, C, and D performed as part of the standard process flow • Burn-in performed on all devices - Cypress detailed circuit specification for non-Jan devices OR - Slash sheet requirements for JAN products • Static functional and switching tests performed at 25 ° C as well as temperature and power supply extremes on 100% of the product in every lot • JAN product manufactured in a DESC certified facility Ordering Information JAN Product: • Order per military document • Marked per military document Ex: JM3851O/2890lBVA Commercial and Industrial Product SMD Product: • Screened to either Levell or Level 2 product assurance flows • Hermetic and molded packages available • Incoming mechanical and electrical performance guaranteed: - 0.02% AQL Electrical Sample test performed on every lot prior to shipment - 0.65% AQL External Visual Sample inspection • Order per military document • Marked per military document Ex: 5962-8867001LA Military Grade Product: - Order per Cypress standard military part number - Marked the same as ordered part number Ex: CY7C122-25DMB • Electrically tested to Cypress data sheet Ordering Information Military Modules Product Assurance Grade: Levell • Military Temperature Grade Modules are designated with an 'M' suffix only. These modules are screened to standard combined flows and tested at both military temperature extremes. • MIL-STD-883D Equivalent Modules are processed to proposed JEDEC standard flows for MIL-STD-883D compliant modules. All MIL-STD-883D equivalent modules are assembled with fully compliant MIL-STD-883D components. • Order Standard Cypress part number • Parts marked the same as ordered part number Ex: CY7C122-15PC, PALC22V10-25PI Product Assurance Grade: Level 2 • Burn-in performed on all devices to Cypress detailed circuit specification • Add 'B' Suffix to Cypress standard part number when ordering to designate burn-in option • Parts marked the same as ordered part number Ex: CY7C122-15PCB, PALC22VlO-25PIB 6-24 --. -.f~ Quality, Reliability, and Process Flows 'CYPRESS Product Quality Assurance Flow-Components Area PROCESS Process Details QC INCOMING MATERIALS INSPECTION All incoming materials are inspected to documented procedures covering the handling, inspection, storage, and release of raw materials used in the manufacture of Cypress products. Materials inspected are: wafers, masks, leadframes, ceramic packages and/or piece parts, molding compounds, gases, chemicals, etc. FAB DIFFUSION/ION IMPLANTATION Sheet resistance, implant dose, species and CV characteristics are measured for all critical implants on every product run. Test wafers may be used to collect this data instead of actual production wafers. If this is done, they are processed with the standard product prior to collecting specific data. This insures accurate correlation between the actual product and the wafers used to monitor implantation. FAB OXIDATION Sample wafers and sample sites are inspected on each run from various positions of the furnace load to inspect for oxide thickness. Automated equipment is used to monitor pinhole counts for various oxidations in the process. In addition, an appearance inspection is performed by the opeartor to further monitor the oxidation process. FAB PHOTOLITHOGRAPHY /ETCHING Appearance of resist is checked by the operator after the spin operation. Also, after the film is developed, both dimensions and appearance are checked by the operator on a sample of wafers and locations upon each wafer. Final CDs and alignment are also sample inspected on several wafers and sites on each wafer on every product run. FAB METALIZATION Film thickness is monitored on every run. Step coverage cross-sections are performed on a periodic basis to insure coverage. FAB PASSIVATION An outgoing visual inspection is performed on 100% of the wafers in a lot to inspect for scratches, particles, bubbles, etc. Film thickness is verified on a sample of wafers and locations within each given wafer on each run. Pinholes are monitored on a sample basis weekly. FAB QC VISUAL OF WAFERS FAB E-TEST Electrical test is performed for final process electrical characteristics on every wafer. FAB QC MONITOR OF E-TEST DATA Weekly review of all data trends; running averages, minimums, maximums, etc. are reviewed with the process control manager. TEST WAFER PROBE/SORT Verify functionality, electrical characteristics, stress test devices. TEST QC CHECK PROBING AND ELECTRICAL TEST RESULTS Pass/fail lot based on yield and correct probe placement. TO ASSEMBLY AND TEST (continued) 6-25 Quality, Reliability, and Process Flows Product Quality Assurance Flow-Components (continued) Commercial and Industrial Product COMMERCIAL AND INDUSTRIAL PRODUCT PLASTIC ASSEMBLY FLOW HERMETIC ASSEMBLY FLOW Wafer Prep/Mount/Saw Inspect for accurate sawing of scribeline and 100% saw-through Die Visual Inspection Inspect die per Cypress equivalent to MIL-STD-883D, Method 2010, condition B QC Visual Lot Acceptance Sample inspect die; 1.0% AQL Die Attach Attach per Cypress detailed specification QC Process Monitor Inspect for die position, quality and uniformity of die attach and attachment strength, MIL-STD-883D, Method 2010, criteria Wire Bond Bdnd per Cypress detailed specification QC Process Monitor - Wire Bonding Monitor bond strength and failure mode Internal Visual Inspection Low-power (30x) inspection of workmanship MIL-STD-883D, Method 2010, condition B QC Visual Lot Acceptance Sample inspect lot to verify workmanship, MIL-STD-883D, Method 2010, condition B, criteria; 0.4% AQL Die Coat Coating applied to selected products (continued) 6-26 Quality, Reliability, and Process Flows · -rcYPRESS Product Quality Assurance Flow-Components (continued) Commercial and Industrial Product PLASTIC HERMETIC QC Visual Lot Acceptance for Die Coated Products Mold/Encapsulate Plastic Devices Seal Hermetic Devices Periodic QC Monitor, Lid-Torque Shear strength of glass-frit seal tested to MIL-STD-883D, Method 2024 Post Mold Cure Per Cypress method for molding compound Lead Trim/Form Lead trim and form for plastic devices, lead trim for hermetic devices (where applicable) Lot 10 Mark assembly lot on devices Lead Prep/Finish (Solder Dip) Prepare leads for solder dip, solder dip devices and inspect for uniform solder coverage QC Process Monitor Verify workmanship and solder coverage Fine and Gross Leak Test Method 1014, Cond A or 8; fine leak (sample) Method 1014, Cond C; gross leak (100%) External Visual Inspection Inspect for workmanship, construction, cracked or broken devices, bent leads, crazing, castellation alignment, and solder coverage. MIL-STD-883D, Method 2009 (continued) 6-27 Quality, Reliability, and Process Flows Product Quality Assurance Flow-Components (continued) Commercial and Industrial Product HERMETIC PLASTIC OPTIONAL BURN-IN PROCESSING FOR LEVEL 2 Pre-Burn-In Electrical Test o Burn-In [] QC Monitor - Burn-In Documents/Results o I I o I I o [j Post-Burn-In Electricals Per applicable device specification I I I QC Inspection PDA verified within limits <>-j Final Electrical Test 100% test lot; static (DC), functional and switching (AC) tests perfomed per applicable device specification Final Device Marking Final Visual Inspection Inspect for bent leads, marking, solder coverage, etc. I QC LOT ACCEPTANCE I External Visual Sample Method 2009; 0.4% AQL Electrical Sample Test 0.02% AQL to guarantee 200 PPM Inspection - Pre-Shipment Confirm part type, count, package, check for completeness of processing requirements, confirm supporting documentation is sent, if required Pack/Ship Order Key o Production Process D Test/Inspection [Q1 Production Process and Test Inspection o QC Sample Gate and Inspection 6-28 Quality, Reliability, and Process Flows Product Quality Assurance Flow-Components Military Components MILITARY ASSEMBLY FLOW Wafer Prep/Mount/Saw Inspect for accurate sawing of scribeline and 100% saw-through Die Visual Inspection Inspect die per MIL-STD-883D, Method 2010, condition B QC Visual Lot Acceptance Sample inspect die; 1.0% AQL Die Attach Attach per Cypress detailed specification Die Adherence Monitor MIL-STD-883D, Method 2019 or Method 2027 Wire Bond Bond per Cypress detailed specification Bond Pull Monitor MIL-STD-883D, Method 2011 Internal Visual Inspection Low-power and high-power inspection per MIL-STD-883D, Method 2010, condition B QC Visual Lot Acceptance Sample inspect lot per MIL-STD-883D, Method 2010, condition B, 0.4% AQL Die Coat Coating applied to selected products QC Visual Lot Acceptance for Die Coated Products Seal Periodic QC Monitor, Lid-Torque Shear strength of glass (continued) 6-29 Quality, Reliability, and Process Flows Product Quality Assurance Flow-Components (continued) Military Components Temperature Cycle Method 1010, Cond C, 10 cycles Constant Acceleration Method 2001, Cond E, Y1 Orientation Lead Trim Lead trim when applicable Lot ID Mark assembly lot on devices Lead Finish Solder dip or matte tin plate applicable devices and inspect QC Process Monitor Verify workmanship and lead finish coverage External Visual Inspection Method 2009 Pre-Burn-In Electrical Test Method 5004, per applicable device specification Burn-In Method 1015, condition D Post-Burn-In Electricals Method 5004, per applicable device specification PDA Calculation Method 5004, 5% Final Electrical Test Method 5004; Static, functional and switching tests per applicable device specification (continued) 6-30 Quality, Reliability, and Process Flows Product Quality Assurance Flow-Components (continued) Military Components Lead Finish - Solder Dip Solder dip applicable devices Fine and Gross Leak Test Method 1014, condition A or B, fine leak; condition C, gross leak Final Device Marking MIL-STD-883D or applicable device specification Group B Method 5005 Group A Method 5005, per applicable device specification Group C and D Method 5005, in accordance with 1.2.1 of MIL-STD-883D; JAN devices in accordance with MIL-M-38510J External Visual Method 2009, 100% inspection External Visual Sample Method 2009, 0.4% AQL Plant Clearance Pack/Ship Order o Key Production Process D Test/Inspection (Q] Production Process and Test Inspection <> QC Sample Gate and Inspection 6-31 Quality, Reliability, and Process Flows Product Quality Assurance Flow-Modules .. Incoming materials inspection All incoming materials are inspected to documented procedures covering the handling, inspection, storage, and release of raw materials used in the manufacture of Cypress products. Materials inspected are: substrates, active device packages, chip capacitors, lead frames, solder paste, inks, chemicals, etc. Kit Picked Compliance verified, documented, and traceability established Clean Pre-assembly cleaning of components Solder Paste Depostion Screen printed and/or dispensed per detailed specifiction Component Placement Robotic and/or manual per detailed specification Solder Reflow Microprocessor controlled infrared reflow oven Data logging (optional) <> __ Clean Flux removal by vapor phase per detailed specification AQL visual 2-sided 1-sided o, [Q) , 100% visual Double-Sided Assembly Repeat process for side 2 Solder paste deposition Component placement o, o ----:---0 2-sided o ~ o Solder reflow o I Clean AQLvisual , 100% visual Lead Trim Electrical Test (Pre-burn-in test) (continued) 6-32 0---~ --- 1-sided · -.;~ Quality, Reliability, and Process Flows ~'CYPRESS Product Quality Assurance Flow-Modules (continued) OPTIONAL BURN-IN PROCESSING FOR LEVEL 2 (STANDARD FOR MIL DEVICES) - ,- - -- --I 1 Burn-In Method 1015 o o QC Monitor - Burn-In Documents/ Results o D,, Post-Burn-In Electricals Per applicable device specification o, D 1 ~-o , QC Inspection PDA verified within limits <>-~ ,- - -- Final Electrical Test 100% test lot; DC, AC, functional, and dynamic tests performed per applicable device specification Final Device Marking Final Visual Inspection Confirm part type, count, package, check for completeness of processing requirements, confirm supporting documentation is sent, if required QA electrical test (room temperature) Inspection - Pre-Shipment Pack/Ship Order o Key Production Process D Test/Inspection IQ] Production Process and Test Inspection <> QC Sample gate and inspection 6-33 Quality, Reliability, and Process Flows Reliability Monitor Program The Reliability Monitor Program is a documented Cypress procedure that is described in Cypress specification #25-00008, which is available to Cypress customers upon request. This specification describes a procedure that provides for periodic reliability monitors to insure that all Cypress products comply with established goals for reliability improvement and to minimize reliability risks for Cypress customers. The Reliability Monitor Program monitors our most advanced technologies and packages. Every technology produced at a given fabrication site (Tech. - Fab.) and all assembly houses are monitored at least quarterly. If failures occur, detailed failure analyses are performed and corrective actions are implemented. A summary of the Reliability Monitor Program test and sampling plan is shown below. Quarterly Reliability Monitor Test Matrix Devices Tested # per Quarter Stress HTOL HAST Tech. - Fab. 6 All High Volume 2 Tech. - Fab. 6 All High Volume 2 PCT Plastic Packages 4 TC Tech. - Fab. 6 Plastic Packages 3 Ceramic Packages 5 All High Volume 2 DRET FAMOS - San Jose and Texas 2 HTSSL All Technologies 4 TEV All Technologies 4 Total 46 Reliability Monitor Test Conditions Abbrev. Temp. (0C) R.H.(%) Bias Sample Size LTPD High-Temperature Operating Life HTOL +150 N/A 5.75V Dynamic 116 2 48, 168, 500, 1000 High-Temperature SteadyState Life HTSSL +150 N/A 5.75V Static 116 2 48, 168, 500, 1000 Data Retention for Plastic Packages DRET +165 N/A N/A 76 3 168,1000 Data Retention for Ceramic Packages DRETI +250 N/A N/A 76 3 168,1000 Test Pressure Cooker Read Points (hrs.) PCT +121 100 N/A 76 3 96, 168 Highly Accelerated Stress Test HAST +140 85 5.5V Static 76 3 128 Temperature Cycling for Plastic Packages TC -40 to + 125°C N/A N/A 76 3 500, 1000 Cycles Temperature Cycling for Ceramic Packages TC2 -65 to +150°C N/A N/A 45 5 500, 1000 Cycles Temperature Extreme Verification TEV Commercial Hot & Cold oto +70°C N/A N/A 116 2 N/A 6-34 Tape and Reel Specifications Description • The force to peel back the cover tape from the carrier tape shall be: 20 gms minimal, 70 gms nominal, 100 gms maximal, at a pullback speed of 300 ± 10 mm/min. Surface-mounted devices are packaged in embossed tape and wound onto reels for shipment in compliance with Electronics Industries Association Standard EIA-481 Rev. A. Loading the Reel Specifications Empty pockets between the first and last filled pockets on the tape are permitted within the following requirements: Cover Tape • The cover tape may not extend past the edge of the carrier tapes • The cover tape shall not cover any part of any sprocket hole. • The seal of the cover tape to the carrier tape is uniform, with the seal extending over 100% of the length of each pocket, on each side. • No two consecutive pockets may be left empty • No more than a total often (10) empty pockets may be on a reel The surface-mount devices are placed in the carrier tape with the leads down, as shown in Figure 1. SOIC Devices TYPICAL PLCC and LCC Devices TYPICAL DIRECTION OF FEED SOJ Devices TYPICAL DIRECTION OF FEED [[][[][[] DIRECTION OF FEED Figure 1. Part Orientation in Carrier Tape 6-35 PIN #1 TO BE ON CIRCULAR SPROCKET-HOLE SIDE OF TAPE Tape and Reel Leaders and Trailers The carrier tape and the cover tape may not be spliced. Both tapes must be one single uninterrupted piece from end to end. Both ends of the tape must have empty pockets meeting the following minimum requirements: • • • • Trailer end (inside hub of reel) is 300 mm minimum Leader end (outside of reel) is 500 mm min., 560 mm max. Unfilled leader and trailer pockets are sealed Leaders and trailers are taped to tape and hub respectively using masking tape Cypress pin Cypress CS number (if applicable) Customer pin • Each box will contain an identical label plus an ESD warning label. Ordering Information CY7Cxxx-yyzzz xxx = part type yy = speed = package, temperature, and options Packaging zzz • Full reels contain a standard number of units (refer to Table 1) • Reels may contain up to 3 inspection lots. • Each reel is packed in an anti-static bag and then in its own individual box. • Labels are placed on each reel as shown inFigure 2. The information on the label consists of a minimum of the following information, which complies with EIA 556, "Shipping and Receiving Transaction Bar Code Label Standard": - Barcoded Information: Customer PO number Quantity Date code - Human Readable Only: Package count (number of reels per order) Description "Cypress-San Jose" SCT = soic, commercial temperature range SIT = soic, inductrial temperature range SCR = soic, commercial temperature plus burn-in SIR = soic, industrial temperature plus burn-in vcr = soj, commercial temperature range VIT = soj, industrial temperature range VCR = soj, commercial temperature plus burn-in VIR = soj, industrial temperature plus burn-in JCT = picc, commercial temperature range JIT = picc, industrial temperature range JCR = picc, commercial temperature range plus burn-in JIR = picc, industrial temperature range plus burn-in Notes: 1. The T or R suffix will not be marked on the device. Units will be marked the same as parts in a tube. 2. Order releases must be in full-reel multiples as listed in Table 1. Table 1. Parts Per Reel and Tape Specifications Package '!ype Terminals Carrier Width (mm) Pocket Pitch Parts Per Meter Parts Per Full Reel PLCC 18 20 28(S) 24 16 24 3 3 4 83.3 83.3 750 750 500 32 44 24 4 62.5 32 6 41.6 500 400 52 68 84 32 44 6 8 41.6 31.2 400 250 44 8 31.2 20 24 3 83.3 250 1,000 24 24 1,000 24 24 3 3 83.3 28 20 1,000 1,000 24 24 3 83.3 83.3 83.3 28 24 3 83.3 1,000 32 24 3 62.5 1,500 SOIC SOJ TSOP-1 3 6-36 62.5 1,000 Tape and Reel EMBOSSED CARRIER Tape and Reel Shipping Medium ESD STICKER TAPE SLOT IN CORE 13/1 REGULAR, SPECIAL, OR BAR CODE LABEL Label Placement Figure 2. Shipping Medium and Label Placement 6-37 Package Diagrams 7 Section Contents Packages Page Number Thermal Management and Component Reliability ............................................................. 7-1 Package Diagrams ........................................................................................ 7 - 8 Sales Representatives and Distributors Direct Sales Offices North American Sales Representatives International Sales Representatives Distributors ~~ ; CYPRESS Thermal Management and Component Reliability One of the key variables determining the long-term reliability of an integrated circuit is the junction temperature of the device during operation. Long-term reliability ofthe semiconductor chip degrades proportionally with increasing temperatures following an exponential function described by the Arrhenius equation of the kinetics of chemical reactions. The slope of the logarithmic plots is given by the activation energy of the failure mechanisms causing thermally activated wear out of the device (see Figure 1). Typical activation energies for commonly observed failure mechanisms in CMOS devices are shown in Table 1. J / / , I I II / 1.4 eV 1.0 eV I I , I I I I 1/ ~ / / 104 ::J iri 1 I « ::J UJ a: UJ > I I L 1 J 103 ./ V ~ / 0.5 eV ;;;;;;;;; C\I C\I a: I J I / /1 ./ >- / / 102 ./ 0I'- UJ °/ C\I C\I gj =0; ~ ~ / ". / ./ 1/- O.4ev./ ,/1 0.3 eV / ==== L /' I /'/ / a: " 10° " /1/"........ /.h If/" 250 ::i-en-en O-Or-- O Cl... ~ ~ iri_Z,--O 200 175 150 125 100 75 50 25 TEMPERATURE (0C) Figure 1. Arrhenius plot, which assumes a failure rate proportional to EXP ( - EAlkT) where EA is the activation energy for the particular failure mechanism 7-1 Thermal Management Table 1. Failure Mechanisms and Activation Energies in CMOS Devices Failure Mode Thermal Resistance (8lA, 8le) Thermal resistance is a measure of the ability of a package to transfer the heat generated by the device inside it to the ambient. Approximate Activation Energy (Eq) Slow Trapping 1.0eV For a packaged semiconductor device, heat generated near the junction of the powered chip causes the junction temperature to rise above the ambient temperature. The total thermal resistance is defined as 8lA = Tl - TA P and 8lA physically represents the temperature differential between the die junction and the surrounding ambient at a power dissipation of 1 watt. Plastic Chemistry 1.0eV The junction temperature is given by the equation Polarization 1.0eV Oxide Defects 0.3 eV Silicon Defects 0.3 eV Electromigration 0.6eV Contact Metallurgy Surface Charge 0.9 eV 0.5-1.0 eV Microcracks 1.3 eV Contamination 1.4eV where To reduce thermally activated reliability failures, Cypress Semiconductor has optimized both their low-power-generating CMOS device fabrication process and their high-he at-dissipation packaging capabilities. Table 2 demonstrates this optimized thermal performance by comparing bipolar, NMOS, and Cypress high-speed lK SRAM CMOS devices in their respective plastic packaging environments under standard operating conditions. Table 2. Thermal Performance of Fast lK SRAMs in Plastic Packages Technology Device Number Speed (ns) Bipolar NMOS Cypress CMOS 93422 9122 7C122 30 25 25 Icc (rnA) 150 110 60 Vcc(V) PMAX (mW) Package RTH (JA) (OCIW) 5.0 5.0 5.0 750 550 300 120 120 Junction Temperature (oq at Datasheet PMAX[l] 160 136 70 91 8lC= Tl - Tc --- P and 8 CA = T C - TA P TA = Ambient temperature at which the device is operated; Most common standard temperature of operation is room temperature to 70°e. TJ = TC = Junction temperature of the IC chip. Temperature of the case (package). P Power at which the device operates. = 8le = Junction-to-case thermal resistance. This is mainly a function of the thermal properties ofthe materials constituting the package. 8lA = Junction-to-ambient thermal resistance. The junction-toambient environment is a still-air environment. 8 eA = Case-to-ambient thermal resistance. This is mainly dependent on the surface area available for convection and radiation and the ambient conditions among other factors. This can be controlled at the user end by using heat sinks providing greater surface area and better conduction path or by air or liquid cooling. Thermal Resistance: During its normal operation, the Cypress 7C122 device experiences a 91°C junction temperature, whereas competitive devices in their respective packaging environments see a 45 ° C and 69 ° C higher junction temperature. In terms of relative reliability life expectancy, assuming a 1.0-eV activation energy failure mechanism, this translates into an improvement in excess of two orders of magnitude (WOX) over the bipolar 93422 device, and more than one order of magnitude (3Ox) over the NMOS 9122 device. Finite Element Model 8le and 8lA values given in the following figures and listed in the following tables have been obtained by simulation using the Finite element software ANSYS[2]. SDRC-IDEAS Pre and Post processor softward3] was used to create the finite element model of the packages and the ANSYS input data required for analysis. Thermal Performance Data of Cypress Component Packages SEMI Standard (Semiconductor Eguipment and Materials International) method SEMI G30-88[4] states "heat sink" mounting technique to be the "reference" method for 8le estimation of ceramic packages. Accordingly, 8 Je of packages has been obtained by applying the boundary conditions that correspond to the heat sink mounted on the packages in the simulation. The thermal performance of a semiconductor device in its package is determined by many factors, including package design and construction, packaging materials, chip size, chip thickness, chip attachment process and materials, package size, etc. For 8lA evaluation, SEMI standard specification SEMI G38-87 suggests using a package-mounting arrangement that approximates the application environment. So, in evaluating the 8JA, package on-board configuration is assumed. Notes: 1. Tambient = 70°C 2. ANSYS Finite Element Software User Guides 3. SDRC-IDEAS Pre and Post Processor User Guide 4. 7-2 SEMI International Standards, Vol. 4, Packaging Handbook, 1989. ~i~ Thermal Management 'CYPRESS Table 4. Factors for Estimating Thermal Resistance Model Description • One quarter of the package is mounted on a FR-4 PC board. • Leads have been modeled as a continuous metallic plane, and equivalent thermal properties have been used to account for the plastic (or the glass in the case of ceramic packages) that fills the space between the leads. • 1W power dissipation over the entire chip is assumed. • 70°C ambient condition is considered. Comparison of Simulation Data with Measured Data Air Flow Rate (LFM) Multiplication Factor Plastic 200 0.77 Plastic 500 0.66 Ceramic 200 0.72 Ceramic 500 0.60 Package 1Ype Example: In the case of ceramic packages, it is not unusual to see significant differences in 8JC values when a heat sink is used in the place of fluid bathJ5] However, SEMI G30-88 test method recommends the heat sink configuration for 8J c evaluation. 8JA values from simulation compare within 12 percent of the measured values. 8JA values obtained from simulation seem to be conservative with an accuracy of about + 12 percent. Measured values given in Table 3 used the Temperature Sensitive Parameter method described in MIL STD 883C, method 1012.I. The junction-to-ambient measurement was made in a still-air environment where the device was inserted into a low-cost standard-device socket and mounted on a standard 0.062" G10 PC board. Table 3. 24-Lead Ceramic and Plastic nIPs 8JA (OCIW) Package Cavity/PAD Size (mils) Measured 24LCDIP[6] 170 x 270 64 67 5 24LPDIP[7] 160 x 210 72 82 12 Simulation % DitT. Thermal Resistance of Packages with Forced Convection Air Flow One of the methods adopted to cool the packages on PC boards at the system level is to used forced air (fans) specified in linear feet per minute or LFM. This helps reduce the device operating temperature by lowering the case to ambient thermal resistance. Available surface area of the package and the orientation of the package with respect to the air flow affect the reduction of thermal resistance that can be achieved. A general rule of thumb is: • For plastic packages: - 200 LFM air flow can reduce 8JA by 20 to 25% - 500 LFM air flow can reduce 8JA by 30 to 40% 8JA for a plastic package in still air is given to be 80°C/W. Using the multiplication factor from Table 4: • 8JA at 200 LFM is (80 x 0.77) = 6I.6°C/W • 8JA at 500 LFM is (80 x 0.66) = 52.8°C/W 8JA for a ceramic package in still air is given to be 70°C/W. Using Table 4: • 8JA at 200 LFM is (70 x 0.72) = 50AoC/W • 8JA at 500 LFM is (7OXO.60) = 42.0°C/W Presentation of Data The following figures and tables present the data taken using the aforementioned procedures. The thermal resistance values of Cypress standard packages are graphically illustrated in Figures 2 through 6. Each envelope represents a spread of typical Cypress integrated circuit chip sizes (upper boundary=5000 mils2, lower boundary = 100,000 mils2) in their thermally optimized packaging environments. These graphs should be used in conjunction with Table 10, which lists the die sizes of Cypress devices. Tables 5 through 9 give the thermal resistance values for other package types not included in the graphs. The letter in the header (D,P,], etc.) ofthese tables refer to the package designators as detailed in the Package Diagrams section of this catalog. The numeric values given in the table (e.g., 20.3) refer to the lead count (20) and package width in inches (.3). If no decimal appears, the reader must refer to the package diagrams. Packaging Materials Cypress plastic packages incorporate • High thermal conductivity copper lead frame • Molding compound with high thermal conductivity • Gold bond wires Cypress cerDIP packages incorporate • For ceramic packages: - 200 LFM air flow can reduce 8JA by 25 to 30% - 500 LFM air flow can reduce 8JA by 35 to 45% If 8JA for a package in still air (no air flow) is known, approximate values of thermal resistance at 200 LFM and 500 LFM can be estimated. For estimation, the factors given in Table 4 can be used as a guideline. Notes: 5. "Thermal resistance measurements and finite calculations for ceramic hermetic packages." James N. Sweet et. aI., SEMI-Therm, 1990. • • • • • 6. 7. 7-3 High conductivity alumina substrates Silver-filled glass as die attach material Alloy 42-lead frame Aluminum bond wires Silver-filled conductive epoxy as die attach material 24LCDIP = 24-1ead cerDIP 24LPDIP = 24-lead plastic DIP Thermal Management 120 DIE SIZE 110 - - - - - - - 100 1', 90 ~ ~ ,, ,, , ~ 80 (,) 60 en w 50 ...J 40 ~ (J) ,, « :c , ,, " E>JA ~ a: :::?! a: w , t..... 100000 SQ. MIL , '~ 1'... w z -- - - '~ 70 5000 SQ. MIL 30000 SQ. MIL ~ ~ ......... ~ ~ ~ 0' ....... -- - - --- -- -- ~ r--.t-. ...... ~ E>JC - t-- 1--- I-- - -- - - - - -- - - - - - - - - - - - - - - ~ r-- 30 I- 20 ~ - ~ t--- -I-- - -- -- f- - - I--- - --I-- - 10 o 16 20 24 28 32 36 40 44 48 52 56 60 64 LEAD COUNT Figure 2. Thermal Resistance of Cypress Plastic DIPs (Package type "P") 110~--~~---4---4---+---+---+---+---+--~--~--~ 100~--~~---4---4---+---+---+---+---+--~--~--~ DIE SIZE - - - - - - - 5000 SQ. MIL 30000 SQ. MIL 100000 SQ. MIL w (,) z ~ (J) en w a: ...J « :::?! a: w :c I20~--~--1--~--~---4---4---+---+---+--~--~--~ 10 o ~ ~ ~~ ~ ----- ------"_-_------L___- -'-1'-__-----'--___-'--1-__ ------'-. ---.,; ___ L -_ _L -_ _T-'------_--.JI-__-----"-__ 16 20 24 28 32 1 -L _ _- ' 36 40 44 48 52 56 60 64 LEAD COUNT Figure 3. Thermal Resistance of Cypress Ceramic DIPs (Package type "D" and "W") 7-4 Thermal Management 120 DIE SIZE 110 - - - - - - - 100 5000 SQ. MIL 30000 SQ. MIL ,, 90 ~ ~ ~ 80 -- - - ,, ::::~' 70 " UJ z ~ Cf) 60 U5 50 ,, ,, ,, ~ () ~~ , ............. a::: « 40 UJ 30 :2 a::: :r: . ~ UJ -I 8JA , , , , , -- - ::::r----- r--- ' , , , , r--- ,, - ~ t'-...... I- 20 100000 SQ. MIL - -- --8JC -- - --, , '-. 10 --- I-- ' --- -- - - - - - - - -r -- - ---- o ~ ~ ~ ~ M W ~ W ~ ~ 00 M ~ LEAD COUNT Figure 4. Thermal Resistance of Cypress PLCCs (Package type "J") 120 DIE SIZE 110 - - - - - - - 100 90 I- ~ ~ ~ 80 30000 SQ. MIL ~, ~, ~ -- - - ~ 60 UJ , ~ Cf) U5 50 a::: -I « 40 UJ 30 :2 a::: :r: , " ~ 8JA ~, II ", <:: r-, t:-::.. - - - - --- - I- 20 10 100000 SQ. MIL ~" 70 UJ () z 5000 SQ. MIL -, ::::--:--:::: 0 18 -- - 26 8JC --- - - - - - 34 - - - - - - - - - - - - -- -- - 42 50 58 -- r- - - 66 74 82 90 98 106 114 LEAD COUNT Figure 5. Thermal Resistance of Cypress LCCs (Package type "C' and "Q") 7-5 Thermal Management DIE SIZE 55r-~---+---+---r--~--+---+---r--1---+---+--~ 50r-~---+---+---r--~--+---+---r-~---+---+--~ ------- 45r-~---+---+---r--~--+---+---r-~---+---+--~ 5000 SQ. MIL 30000 SQ. MIL 100000 SQ. MIL 40r-~---+---+---r--~--+---+---r--1---+---+--~ w 35r-~---+---+---r--~--+---+---r-~---+---+--~ () Z ~ CI) Ci5 w c:: ...J « ::E c:: w ~ 15r-~--~---+--~--~--4---+---~~r--4---+--~ 10p-~---+---+---r--~--+---+---r--1---+---+--~ LEAD COUNT Figure 6. Thermal Resistance of Cypress Ceramic PGAs Table 5. Plastic Surface Mount SOIC, SOJ[8,9) 8 JA Package 'fYpe "S" and "V" Paddle Size (mil) LF Material Die Size (mil) Die Area (sq. mil) (DC/W) (DC/W still air) 8JC 16 140 x 170 Copper 98x84 8,232 19.0 120 18 140 x 170 Copper 98x84 8,232 18.0 116 20 180x 250 Copper 145 x 213 30,885 17.0 105 24 180x 251\) Copper 145 x 213 30,885 15.4 88 24 170 x 500 Copper 141 x 459 64,719 14.9 85 28 170 x 500 Copper 145 x 213 30,885 16.7 84 28 170 x 500 Copper 141 x 459 64,719 14.4 80 Table 6. Plastic Quad Fiatpacks Package 'JYpe (DCIW) (DC/W still air) 310 x 310 235 x 235 17 51 310x 310 235 x 235 18 41 310x 310 230 x 230 18 40 Copper 460 x 460 322 x 311 15 38.5 Copper 400 x 400 290 x 320 16 39 LFMaterial 100 Copper 144 Copper 160 Copper 184 208 Paddle Size (mil) 8JA Die Size (mil) "N" Notes: 8. The data in Table 6 was simulated for sOle packaging. 9. SOICs and SOJs have very similar thermal resistance characteristics. The thermal resistance values given above apply to SOl packages also. 7-6 SJC Thermal Management Table 7. Ceramic Quad Flatpacks Package 1:ype "H" and "Y" Cavity Size (mil) LFMaterial Die Size (mil) 28 250 x 250 Alloy 42 123 x 162 28 250 x 250 Alloy 42 150 x 180 32 316 x 317 Alloy 42 198x 240 Die Area (sq. mil) 8J e 8JA (OCIW) (OC/W still air) 19,926 9.2 96 27,000 8.9 93 47,520 7.5 72 44 400 x 400 Alloy 42 310 x 250 77,500 5.9 55 52 400 x 400 Alloy 42 250 x 310 77,500 5.9 55 68 400 x 400 Alloy 42 310 x 250 77,500 5.4 33 84 450 x 450 Alloy 42 310 x 250 77,500 5.4 29 Table 8. Cerpacks 8Je 8JA Package lYpe "K"and "T" Cavity Size (mil) Leadframe Material Die Size (mil) Die Area (sq. mil) (OCIW) (OC/W still air) 16 140x 200 Alloy 42 100 x 118 11,800 10 107 18 140x 200 Alloy 42 100 x 118 11,800 10 104 20 180x 265 Alloy 42 128 x 170 21,760 9 102 102 24 170x 270 Alloy 42 128x 170 21,760 10 28 210 x 210 Alloy 42 150x 180 27,000 9 98 32 210 x 550 Alloy 42 141 x 459 64,719 7 81 Table 9. Miscellaneous Packaging Package 1:ype Cavity Size (mil) 24 VDIPllOJ 500 x 275 Alloy 42 68 CPGAlllJ 350x 350 Kovar Pins Leadframe Material Notes: 10. VOIP = "PV" package. 8Je 8JA Die Area (sq. mil) (OCIW) CC/W still air) 145 x 213 30,885 6 57 323 x 273 88,179 3 28 Die Size (mil) 11. CPGA = "G" package. Table 10. Die Sizes of Cypress Devices Part Number Size (mil2) Part Number PLDs Size (mil2) PAL22VlOC 18834 CY7C330 20088 PAL22VPI0C 18834 CY7C331 16536 PALC16L8 9700 CY7C332 19116 PALC16R4 9700 CY7C335 23111 PALC16R6 9700 CY7C341 136320 PALC16R8 9700 CY7C342 83475 PALC22VlO 19926 CY7C342B 49104 PALC22VlOB 13284 CY7C343 43953 PALC22VlOD 12954 CY7C344 21977 PLD20GlOC 18834 PAL16L8 13552 PLDC20GlO 19926 PAL16R4 13552 PLDC20G10B 13284 PAL16R6 13552 PLDC20RAlO 13284 PAL16R8 13552 Document #: 38-00190 7-7 II Package Diagrams Thin Quad Flat Packs ,E: : : ::~ If: : : : , " " 11 lOO·Pin Thin Quad Flat Pack AlOO ~ 0,50 TYP, 1.40±O.o5 SEATING PLANE 7-8 · -'i~ Package Diagrams 'CYPRESS Thin Quad Flat Packs (continued) 144·Pin Thin Quad Flat Pack A144 E 22.00t0.100 SQ E 1 2000'00SO so =l :J 1.60 J 0 0.22tO.05 f MAX'1F 0.20 MAX. 11 co ;g co 0.50 TYP ~ co (\j co co +1 co co ru (\j SEATING PLANE I 7-9 Package Diagrams Thin Quad Flat Packs (continued) 160-Lead Thin Quad Flat Pack (TQFP) A160 :3 E~::::::::::: 1.60 J MAX·LF I o 0.20 MAX. 11 lJ o o o +1 o o 0.50 \£i (\J TYP. ~ 12'±1' I C8X)~ 1.40±0.05 NOTES SEATING PLANE 1. 2. 3. 4. 7-10 DIMENSIONS ARE IN MILLIMETERS LEAD COPLANARITY 0.100 MAX. PACKAGE VlIDTH AND LENGTH C24.00±0.05) DOES NOT INCLUDE MOLD PROTRUSION. MAX. ALLOVIABLE PROTRUSION IS 0.25 MM. LEAD VlIDTH DOES NOT INCLUDE DAMBAR PROTRUSION. MAX. ALLOVIABLE DAMBAR PROTRUSION ABOVE LOVIER RADIUS IS 0.08 MM. -.;~ · Package Diagrams ; CYPRESS Ceramic Dual-In-Line Packages 20-Lead (300-Mil) CerDIP D6 MIL-STD-1835 D-8 Config. A 24-Lead (300-Mil) CerDIP D14 MIL-STD-1835 D-9 Config. A ~MENSID~~NIN CJcj--II-IN I t ,245 INCHES MAX, 3TO ~~ --.i ,065 ,005 MIN, ~ fr BASE PLANE ill f i;:;1 .k~lm~r~r~~ ~ L~~ ~ l30'~ kil~" ,095 1.230 - - - - - _ ,ce" tj['045 ,~~~5 ,020 SEA TING _. PL:~~ ,01 " I ,3~500 ---J \ ,390 28-Lead (300-Mil) CerDIP D22 MIL-STD-1835 D-15 Config. A CJe j I N I -;MENSID~l~IN INCHES I I ,065 .245 3TO --.i ,005 MIN, -I --II-- .~ BASE PLANE 'O"~ ____ ~'"' _ I:ii r,"q J-M-Y-V-Y~ \ '125~~ ~ ~yt9150 -L/~ 1.430 1 5 5 '1-,095 , 200 t ,290 II MIN, ,045 ,090 jb:' ""'" cc::: 020 L,;';=r 30 ,390 7-11 Package Diagrams Ceramic Pin Grid Arrays 69-Pin Grid Array (Cavity Up) G69 TOP VIEVI BOTTOM VIEVI PIN 1 INDICA TOR 1.000 BSC, 1.000 BSC, .100 SEATING PLANE DIM E MIN, MAX, LARGE OUTLINE 1.140 1.180 SMALL OUTLINE 1.090 1135 DIM D / DIMENSIONS IN INCHES MIN MAX, \ BSC, L~ I! !gQ ,140 J:;; I~ Mi ij ij ij ij ij iffS J-J LOSO 4 X D!A. -_L Li,~§ :~~~ DIA 1')9 X 84-Pin Grid Array (Cavity Up) G84 DIMENSIONS IN INCHES MIN, MAX, INDEX MARK (NO PIN) _ l1 1 '0'35 135 ',175 185 84 X L ~DIAJ ' ,020 ,~T-f:~~ '7- SEATING PLANE 7-12 Package Diagrams Ceramic Pin Grid Arrays (continued) 145-Pin Grid Array (Cavity Up) G145 PIN 1 INDEX MARK .100 TYP. ir= DIMENSIONS IN INCHES MIN. MAX. 1.565~ SQ. 1.590 1.383 I4T5 .165 .195 SQ. GGGGGGGGGGGGG oGGGGGGGGGGGGGG GGGGGGGGGGGGGGG GGG'GGG GGG GGG GGG EXTRA GGG GGG PIN GGG GGG GGG GGG GGG GG GGG GG GGG GGG GGG GGGGGGGGGGGGGGG GGGGGGGGGGGGGGG 0GGG GGGGoGGG0 .100 TYP. l 1- ~5~ DIA.~I ~F 145 X .070 MAX. DIA .125 .135 .105 .145 .040 .060 SEATING PLANE II 7-13 Package Diagrams Ceramic Pin Grid Arrays (continued) 160-Pin PGA G160 TOP VIEW' INDEX MARK PIN Al INDICATOR BDTTOM VIEW' 1.540 1.590 D 1.400 BSC, - - - - - - I oGGGGGGGGGGGGGo GGGGGGGGGGGGGGG GGGGGGGGGGGGGGG GGGG GGG GGGG GGG GGG oGG GGG oGGG GGGG GGGG GGGG GGGG GGGG GGG GGG GGG GGG GGGG GGG GGGG GGGGGGGGGGGGGGG GGGGGGGGGGGGGGG @GGGGGGGGo oGGG~ l 1.540 1.590 ~J .100 BSC, SEATING DIMENSIONS IN INCHES MIN, 1.400 BSC, PL\ L~ ~:;: ~JI~ ~ ~ n~ ~ ~ ~ ~ rmn~75 MAX, ,120 .140 J L·050 DIA, 4 X JL ,185 :~~~~ DIA, 160 X 7-14 Package Diagrams Ceramic Windowed J-Leaded Chip Carriers 28-Pin Windowed Leaded Chip Carrier H64 150 DIA. LENS PIN 1 SEE VIE'W A n! .485 .495 SEA T1NG PLANE ,035 --J l-050 BSC ,040 X 45° VIE'W A 7-15 II Package Diagrams Ceramic Windowed J-Leaded Chip Carriers (continued) 44-Pin Windowed Leaded Chip Carrier 867 ,280 DIA, LENS PIN 1 SEE VIE'vv'~ t= ~ ,658 ,685 _ __ ,695 SEA TING PLANE 035 X ~5·1 ,035 R ,045 ' 008]===1/ + ,--=:±==-==,---------,[L ,023 ,026 ~ -ll--,050 ,040 X 45- ,017 BSC VIE'vv' A 7-16 --. -.;~ Package Diagrams 'CYPRESS Ceramic Windowed J-Leaded Chip Carriers (continued) 68·Pin Windowed Leaded Chip Carrier H81 .380 DIA LENS TYP. -l ,890 JO II, ~55 t090 .120 ~200 SEA TING PLANE ~R 045 017 r----~--====-cLS ,023 ~ ,032 --J l.040 X 45° .050 BSC II 7-17 --- ~PRESS Package Diagrams Ceramic Windowed J-Leaded Chip Carriers (continued) 84-Lead Windowed Leaded Chip Carrier H84 ADO DIA, LENS 1.142 1.158 u;~ 1.185 1.195 ----<=- i:i~~----~ ~ ,010 035 X}t '~R, ,045 017 008 I _~~==~~,023 ---+-~ ,032 -J l,040 X 45° ,050 BSC 7-18 -.=-r~rcYPRESS Package Diagrams Plastic Leaded Chip Carriers 20-Lead Plastic Leaded Chip Carrier J61 DIMENSIONS IN INCHES 28-Lead Plastic Leaded Chip Carrier J64 !::1..l.t:L DIMENSIONS IN INCHES MAX. !::1..l.t:L MAX. PIN 1 PIN 1 ofl? 0.385 0.395 0495 0.350 0.356 I ~ llA.5.Q 0.458 O'350=-J~ 0.356 0.385 0.395 0.450 0.458 1----- =-:Jj tWs 44-Lead Plastic Leaded Chip Carrier J67 DIMENSIONS IN INCHES MIN. MAX PIN 1 n r .Q&Jl.5. 0.695 • ~ 0.658 0.650 0.658 1----- ==3 ~.~~~ 0.020 MIN. 0.090 0.120 7-19 Package Diagrams Plastic Leaded Chip Carriers (continued) 68-Lead Plastic Leaded Chip Carrier J81 DIMENSIONS IN INCHES .ti!..lL MAX. PIN 1 0.950 0.958 ~ 0.950 0.958 f----- ~~~; 84-Lead Plastic Leaded Chip Carrier J83 DIMENSIONS IN INCHES MIN MAX. PIN 1 I I ~~~~~==t~ t ----.i t 0,045 0.055 0.013 o:o2T Llil5. 1.090 1.195 iT:3O U2Q 1.158 U2Q 1.158 1------ .~ :::~; 7-20 0.026 0.032 Package Diagrams Cerpacks 24-Lead Rectangular Cerpack K73 MIL-STD-1835 F-6 Config. A r iJ)Q;j 015 DIMENSIONS IN INCHES MIN. MAX. PIN 1 J.D. r 045 MAX. f I 050 BSC -.f' f -.l .5 90 0 :620 j L~~ L .005 MIN. ADO BASE AND SEATING PLANE .004 .009L r I L260 -.J .325 II 7-21 Package Diagrams Ceramic Leadless Chip Carriers 20-Pin Square Leadless Chip Carrier L6I MIL-STD-1835 C-2A 20-Pin Rectangular Leadless Chip Carrier LSI MIL-STD-1835 C-13 DIMENSIONS IN INCHES MIN, MAX, DIMENSIONS IN INCHES MIN, BOTTOM MAX, ,045 ,055 ,045 ,055 ,008 R, 20 PLACES ~ '062 ,078 ,050 1 n c=:J-l Ji66 TOP .420 .435 O t=~:t18 ,358 ,300 28-Square Leadless Chip Carrier L64 MIL-STD-1835 C-4 DIMENSIONS IN INCHES MIN, MAX, BOTTOM ,045 ,055 ,008 R, on, 28 PLACES TOP f1 SIDE ~~ :~~~ ,045 ,066 ,458 c-~ .458 7-22 1~ SIDEB ~ '060 ,075 ,054 ,066 Package Diagrams !..rcYPRESS Plastic Quad Flatpacks IOO-Lead Plastic Quad Flatpack NIOO If) (\j 0 0 0 0 0 +1 +1 +1 0 (\j 0 0 (Y) (\j 0 0 0 0 (\j (\j 0 +1 0 (\j (Y) (\j 0,65 TYP, NOTES: 1. 2. 3, 4, DIMENSIONS ARE IN MILLIMETERS, LEAD COPLANARITY 0.100 MAX, PACkAGE VlIDTH 04,00±0.10) AND LENGTH C20.00±0.10) DOES NOT INCLUDE MOLD PROTRUSION, MAX. ALLOVIABLE PROTRUSION IS 0.25 MM. LEAD VlIDTH DOES NOT INCLUDE DAI"IBAR PROTRUSION MAX ALLOVIABLE DAMBAR PROTRUSION ABOVE LOVIER RADIUS IS 0.08 MM. II 7-23 Package Diagrams ir?cYPRESS Plastic Dual-In-Line Packages 20-Lead (300-Mil) Molded DIP P5 DIMENSIONS IN INCHES Ml!:i MAX, ~ -----l 24-Lead (300-Mil) Molded DIP P13/P13A oq NOTE B DIMENSIONS IN INCHES MIN, MAX, PINI ~ ~ l --r ~Jl~ OTEA NOTE A l NOTE B P 13 P 13A 11.ZQ LnQ 1.200 1.260 .QJ!.;iQ 0,050 QM,Q 0,080 SEA TING PLANE .QJ.iO. ____ L~ ~ 0.160 'll1i 28-Lead (300-Mil) Molded DIP P21 ~1 oq DiMENSIONS IN INCHES ~ MAX, Qg;iQ ~o ~ 0,030 0,080 ili'~ UZQ SEA TING PLANE 1425 01,0 M2Q r-~-j Ir 0325 11 a ~t 3' ~~~ J QJlQ2 0012+ 0,110 7-24 MIN · -'i~ Package Diagrams 'CYPRESS Ceramic Windowed Leadless Chip Carriers 20-Pin Windowed Square Leadless Chip Carrier Q61 28-Pin Windowed Leadless Chip Carrier Q64 MIL-STD-1835 C-2A MIL-STD-1835 C-4 DIMENSIONS IN INCHES MIN, MAX DIMENSIONS IN INCHES MIN, MAX, BOTTOM 045 055 20 PLACES 087 n, 290 DIA, LENS TOP l~'
Source Exif Data:File Type : PDF File Type Extension : pdf MIME Type : application/pdf PDF Version : 1.3 Linearized : No XMP Toolkit : Adobe XMP Core 4.2.1-c041 52.342996, 2008/05/07-21:37:19 Create Date : 2017:08:12 12:09:55-08:00 Modify Date : 2017:08:12 13:14:12-07:00 Metadata Date : 2017:08:12 13:14:12-07:00 Producer : Adobe Acrobat 9.0 Paper Capture Plug-in Format : application/pdf Document ID : uuid:07a7b28e-2fde-1e4b-b50d-8710ea3e5307 Instance ID : uuid:e61bc00e-bbcc-e94f-b01d-54967c52f03f Page Layout : SinglePage Page Mode : UseNone Page Count : 506EXIF Metadata provided by EXIF.tools