1995_ATT_Product_Selection_Guide 1995 ATT Product Selection Guide
User Manual: 1995_ATT_Product_Selection_Guide
Open the PDF directly: View PDF .
Page Count: 94
Download | |
Open PDF In Browser | View PDF |
AT&T Microelectronics Product Selection Guide January 1996 Listing 01 Major Products Page Using This Guide/Introduction 1-1 Product Listing Integrated Circuits Wireless and Multimedia Digital Signal Processors 2-1 Wireless RF 2-10 Digital TV ICs 2-11 CMOS Standard-Cell ASICs 2-12 Field-Programmable Gate Arays 2-15 Network Communications and Computing Products LAN ICs 2-23 Wide Area Networks ICs ISDN 2-30 Analog tine Cards 2-31 Transmission ICs 2-36 Access ICs 2-37 VideoRF 2-37 Galhum Arsenide 2-39 Diverse Products Foundry Services 2-40 Systems and Technologies Custom Printed-Circuit Boards and Backplanes 3-1 Optoelectronics 4-1 Power Products 5-1 Transformers and Inductors 6-1 AT&T Custom Manufacturing ServIces 7-1 Appendices Customer Support 8-1 Terms and Conditions 9-1 AWord About Trademarks Advice, Fastech, ST, and VCOS are registered trademarks of AT&T. ORCA, Flying Dutchman, IANPACER, Outrigger, PrecisionDAC, SEARCH, SPIN, FlashDSp, Catamaran, ETC, and V32lite are trademarks of AT&T. Mac and Macintosh are registered trademarks of Apple Computer, Inc. Verllog and Dracula are registered trademarks of Cadence Design Systems. CSA is a registered trademark of the Canadian Standards Association. AutoSyne IS a trademark of Hayes Microcomputer Products, Inc HPis a registered trademark of Hewlett-Packard Company. IKOS IS a trademark of IKOS Systems, Inc. IEEE is a registered trademark of the Institute of Electrical and ElectroniCs Engineers, Inc Intel is a registered trademark and ICE and PentIum are trademarks of Intel Corporation. PC/X'J'is a trademark and IBM and ATare registered trademarks of International Busmess Machmes Corporation X- Windows is a trademark of Massachusetts Institute of Technology. Mentor Graphics IS a registered trademark of Mentor Graphics, Inc. MNP is a trademark of Microcom, Inc. PSPICE is a registered trademark of MicroSim Corporation. MS Windows and MS-DOS are registered trademarks and Windows IS a trademark of Microsoft Corporation. Motorola is a registered trademark of Motorola, Inc National is a registered trademark of National Semiconductor Corporation. NeoCAD, FPGA Foundry, and Timing Wizard are trademarks of NeoCAD, Inc open Look IS a registered trademark of Novell, Inc. in the United States and other countnes, licensed exclUSively through X!Open Company Ltd. Novell is a registered trademark of Novell, Inc. OSF/M011F is a registered trademark of Open Software Foundation, Inc SGS-1bomson is a registered trademark of SGS-Thomson MicroelectroniCS, Inc. Sun WorkstatIOn and Sun are registered trademarks and SunOS and Sun-4 are trademarks of Sun Microsystems, Inc SPARCstatlOn and SPARCare trademarks of SPARC Internati<:mal, licensed exclUSively to Sun Mlcrosystems, Inc Synopsys IS a registered trademark of Synopsys, Inc. 11ls a registered trademark of Texas Instruments VL and Vnderwnters Laboratories are registered trademarks of the Underwnters Laboratones, Inc GDS II Stream L~ a registered trademark of Valid Logic Systems. Viewlogle and Vlewdraware registered trademarks of Viewlogic Systems, Inc. UNIX is a registered trademark of Novell, Inc. in the United States and other countries. Voice View IS a registered trademark of Radish Communications Systems, Inc. Xilinx is a registered trademark and XC3100 and XC3000 are trademarks of XIlinx, Inc. PROSeries, PROWave, PROGen, View Wave, ViewGen, and ViewSyn are trademarks of Viewlogic Systems, Inc MOTIVE is a registered trademark of Quad DeSign Technologies, Inc Prodigy is a registered trademark of Prodigy Service Company Compuserve IS a registered trademark of Compuserve, Inc USING THIS GUIDE/INTRODUCTION Using This Guide Introduction This guide is an overview of our component offerings. It is organized to help you quickly determine what additional documentation you need to apply AT&T Microelectronics' components and capabilities In your product design. Expanding People's Capabilities Through Innovation . .. The Product Listings describe standard products, semicustom components, and custom capabilitiesquick reference charts for ordering appropriate data sheets by part number; descriptions of our capabilities in standard-cell ASICs and FPGAs; and an overview of how we can work with you to develop semi custom and custom products. Literature Code Legend: AP - Application Note BC - Brochure CA- Catalog DB - Data Book DS - Data Sheet 1M - Information Manual MN-Manual PN - Product Brief TN - Technical Note To order literature or request additional information, call your AT&T Account Manager or call 1-800-372-2447. For over a hundred years people have been communicating by voice-only telephony made significantly possible by the technology and products of AT&T Microelectronics. Through the 1990s advanced technology will be expanding people's communication possibilities through mnovative methods. People have the opportunities to exchange thoughts, messages, and information via speech, signals, and writing. Visual images and pictures will enhance people's communication between one another and the world around them, while stretching beyond the limits of strictly words. As technology advances, people will understand their need to communicate is no longer determined by their location, by the time zone in which they live, or by the communication instrument available to them at a given time. Today, their ability to communicate or access information is aided by a wider choice of media and a greater accessibility range. People now have the opportunity to com- municate sitting at a desk, traveling in an airplane or car, or even lounging on the beach. These communication capabilities are emerging from a convergence of the traditional communication, computing, and entertainment markets. From this merger come opportunities in new markets, with new products, and between new customers. AT&T Microelectronics, working together with the key players in these markets, is creating the vision of anytime, anywhere communications. INTRODUCTION Customer-Driven Solutions AT&T Microelectronics applies the world-renowned research of AT&T Bell Laboratories, our integrated technology platforms, and our customers' needs and insights to create focused applications in line with our vision. Our efforts result in leading products and application solutions which give our customers an advantage and bring an added value to their own customers (see Figure 1). Today we're delivering industry- leading solutions for networked computing, wireless communications, telecommunications, and desktop videoconferencing. Soon we'll deliver solutions for advanced consumer electronic products like HDTV. And with our state-of-the-art production facilities and design centers located throughout North America, Europe, and Asia, we can deliver these solutions on a worldwide scale. AT&T Microelectronics Common Technology Platforms ----------~---------- Applications Network Communications ___________ _ Wireless & Messaging ____________ _ PC/Multimedia_ - - - - - - - - - - - - - - - -i~' · I C ommunlca ' t 'lons _____________ ':~" .,0. V Isua Consumer _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ 'Q System/Research Integration • Communicate Systems Needs • Integrated Research Program Application/Research Integration • Provides Application Focus • Provides "Vision"/Guidance • Integrated Research Program Technology Platform/ Research Integration • Communicate Technology Needs • Integrated Research Program RESEARCH AT&T-ME is a major conduit for the innovation of research Figure 1. Focusing AT&T Microelectronic's Resources on Meeting the Critical Needs of Customers 1-2 AT&T Microelectronics Integrated Circuits Group Lifetime Warranty We deliver customer delight by guaranteeing our IC Products for Life. A1QT Microelectronics Warranty - Effective January I, 1995, Seller warrants to Buyer that products of Its manufacture will be, on the date of shipment of the product, free from defects in material and workmanship and will substantially conform to Seller's wntten specifications provided to Buyer or to the speciftcations, If any, identified in an order and agreed to III writing by Seller If any defect in matenal or workmanship or failure to meet such specifications (a "defect") appears III the product, Seller will, at Its option, either credit or refund the purchase pnce, repair, or replace the defective product With the same or eqUivalent product without charge at Seller's manufacturing or repair facility provided (I) Buyer notifies Seller in writing of the claimed defect within thirty (30) days after Buyer knows or reasonably should know of the claimed defect, and (ii) Seller's examination of the product discloses that the claimed defect actually exists and (iii) III the case of optically coupled MOSFET drivers, optically coupled sohd-state relays, or any product other than packaged monolithic integrated Circuits, the defect appears wlthlll twelve (12) months from the date of shipment of the product. Buyer shall follow Seller's Illstructions regarding return of defective product, and no product Will be accepted for repair, replacement, credit, or refund without the wntten authorization of and III accordance With Seller's instructions This warranty only extends to Buyer. Seller will not accept returns directly from Buyer's customers or users of Buyer's products Replaced products shall become Seller's property. In no event shall Seller be responsible for deinstallation or reinstallation of defective products or for the expenses thereof If Seller detennines that the returned products are not defective, Buyer shall pay Seller all costs of handling, inspection, repairs, and transportation at Seller's then prevailing rates Repairs and replacements covered by the above warranty are warranted to be free from defects as set forth above The above warranty does not apply to, and Seller makes no warranties with respect to, products that: • are software programs, experimental or prototypes (all of which are proVided "AS IS"), or • have been subjected to misuse, neglect, accident, abuse, or operating or environmental conditions that deviate from the parameters estabhshed in applicable speCifications; or • have been improperly installed, stored, maintained, repaired, or altered by anyone other than Seller; or • have had their serial numbers or month and year of manufacture or shipment removed, defaced, or altered. EXCEPT AS STATED IN lHIS WARRANTY SECTION, SELLER, ITS SUBSIDIARIES AND AFFILIATES, SUBCONTRACTORS AND SUPPliERS MAKE NO WARRANTIES EXPRESS OR IMPliED, AND SPECIFICALLY DISCLAIM ANY WARRANTY OF MERCHANTABIliTY OR FITNESS FOR A PARTICULAR PURPOSE AS WELL AS OlHER IMPliED WARRANTIES, IN LAW OR EQUITY. BUYER'S SOLE AND EXCLUSIVE REMEDY SHALL BE SELLER'S OBliGATION TO REPAIR OR REPLACE OR CREDIT OR REFUND AS SET FORTH ABOVE. DIGITAL SIGNAL PROCESSORS Floating-Point DSP Products - Product Matrix Part Number DSP32C DSP32C w/o External Memory Interface Description 32-bit CMOS Digital Signal Processor 15K RAM/O ROM Package Type 133-pin, ceramic PGA 164-pin BQFP Speed(ns) 50,60, 80 Temp. Ranges o °c to +70°C -40 °C to +85 °C' CO Literature AP, DS, 1M 2KRAMlOROM 68-pin PLCC 80 o °C to +70°C AP, DS, 1M 'Industrial temperature only available at 100 ns. DSP32C Development Tools - Product Matrix* Part Number DSP32C-SL-XXXX DSP32C-AL-XXXX DSP32C-CC-XXXX DSP32C-DS-DEV-16 DSP32C-DS-DEV-64 DSP32C-DS-ICE DSP32C -DS-PBS DSP32C-DS-MII SIG32C-8 Description Software Library containing Software Generation System (SGS) and a device simulator Application Software Library C Language Compiler including a Software Library and C-Callable Version of the Application Software Library Development Board with 16 Kword SRAM Development Board with 64 Kword SRAM PC Board - In-Circuit Emulator POD PC Board - PC Bus Interface Half-Card Multi-ICE Interface Box ISA Bus board which supports 32C 50 ns devices. Contact SignaLogic at (214) 343-0069 for details. *TechnicaVSales support is now being handled by a third party, Valley Technologies, Contact No. 1-800-370-6661 for all issues. Fixed-Point DSP Products - Product Matrix PartNumber DSP16A DSP1610 DSP1611 2-2 Description 2K RAM/12K ROM 16-bit CMOS Digital Signal Processor 1K RAM/8K ROM 16-bit CMOS Digital Signal Processor 2K RAM/24K ROM 6-bit CMOS Digital Signal Processor 16-bit CMOS DSP with 8K Downloadable Dual-Port RAM and 512K Boot ROM or 4K RAM and 512K Boot ROM 16-bit CMOS DSP with 12K Dual-Port RAM and 1K Boot ROM Power Package Type Speed(ns) Supply (V) 84-pin PLCC 25,33,55 5 84-pin PQFP Temp. Ranges Literature o °C to 70°C AP, DS, 1M -40 °C to +85°C' 84-pin PLCC 33,55 5 o °C to 70°C AP, DS, 1M -40 °C to +85°C' 84-pin PLCC 84-pin PQFP 100-pin TQFP 25,33,55 5 o °C to 70°C AP, DS, 1M -40 °C to +85°C* 132-pin PQFP 25,33 5 o °C to 70°C -40 °C to +85°C AP, BC, DS, 1M, DB 100-pin TQFP 20, 25, 30 33 38 5 3 2.7 -40 °C to +85°C DS, DB Fixed-Point DSP Products - Product Matrix (continued) Part Number Description Package Type DSP16l5 l6-bit CMOS DSP 100-pin TQFP with lK SRAM 100-pin BQFP & 24K ROM DSP16l6 x 11 l6-bit CMOS DSP 100-pin BQFP with 2K Dual-Port RAM 100-pin TQFP and 12K ROM DSP16l6 x 30 l6-bit CMOS DSP 100-pin BQFP with 2K Dual-Port RAM 100-pin TQFP and 12K ROM DSP16l7 l6-bit CMOS DSP 100-pin BQFP with 4K Dual-Port RAM 100-pin TQFP and 24K ROM DSP16l8 l6-bit CMOS DSP 100-pin BQFP with 3K Dual-Port RAM 100-pin TQFP and 16K ROM DSP1627 x 32 l6-bit CMOS DSP 100-pin TQFP with 6K Dual-Port RAM 100-pin BQFP and 32K ROM lOO-pin BQFP DSP1627 x 36 l6-bit CMOS DSP with 6K Dual-Port RAM 100-pin TQFP and 36KROM • Industrial temperature for 25, 33, 55 ns in 84 PLCC and 33, 55 ns Power Speed(ns) Supply (V) 100 3 125 3 33,38 20, 25, 30 33 38 20,25,30 33 38 20,25,30 33 38 14 20 14 20 Temp. Ranges Literature -10 DC to +60DC DS,IM 5 --40 DC to +85 DC AP, BC, DS, DB 5 3 2.7 --40 DC to +85 DC 5 3 2.7 --40 DC to +85 DC BC, DS, DB 5 3 2.7 --40 DC to +85 DC BC, DS, DB 5 2.7 --40 DC to +85 DC DS, DB 5 2.7 --40 DC DS, DB BC, DS, 1M, DB to +85 DC in 84 PQFP only. DSP16A and DSP161X Development Tools - Product Matrix Part Number DSP16A-SL-XXXX DSP16A-AL-XXXX DSP16A-DS DSP16A-BD-EVAL DSP16A-BD-EVI25 DSP16l0-ST-XXXX FlashDSPTM l600-HDS DSP16l0-HDS DSP16l0-EVAL2 CSPl027-AC T7525-AC DSP1611-ST-XXXX DSPI611-EVAL DSP16l6-ST-XXXX DSP16l6-POD DSP16l6/27-DEMO Description Software Library containing Software Generation System (SGS) and a device simulator Application Software Library Stand-Alone Development System Development Board with PC;XT/A T Plug-in Board Evaluation Board with PC/XT/A T Plug-in Board Software Library containing Software Generation System (SGS) and an Integrated Development Environment (IDE) FlashDSP 1600 Hardware Development System Hardware Development System PC Board - DSP16l0 Evaluation Board Adds CSPl027 Functionality to DSP1602/04-DEMO, DSP1610-EVAL2, DSP16lX-EVAL T7525 Codec Add-On Card. Adds functionality to DSP16l0-EVAL2, DSP16lX-EVAL Software Library containing Software Generation System (SGS) and an Integrated Development Environment (IDE) DSP1611 Evaluation Board Software Library containing Software Generation System (SGS) and an Integrated Development Environment (IDE) DSP16l6 In-Circuit Emulation POD Demonstration Board. Provides Software and Hardware Evaluation Platform for the DSP16l6 and CSPl027 2-3 DIGITAL SIGNAL PROCESSORS DSP16A and DSP161X Development Tools - Product Matrix (continued) Part Number DSP1616/84-DEMO Description Demonstration Board. Provides Software and Hardware Evaluation Platform for the DSP1616 and CSP1084 DSP1616-EVAL DSP1616 Evaluation Board withPC/ATPlug-in Board -------.~~------------------~~------------------~~------------------~~---------FlashDSP 1616x-KIT FlashDSP 1616 KIT. Program and Erase Flash Memory on FlashDSP 1616 through ]TAG/HDS Port DSP1617-ST-XXXX Software Library containing Software Generation System (SGS) and an Integrated Development Environment (IDE) DSP1617-EVAL DSP1617 Evaluation Board DSP1618-ST-XXXX Software Library containing Software Generation System (SGS) and an Integrated Development Environment (IDE) DSP1618 Evaluation Board DSP1618-EVAL FlashDSP 1618 KIT. Program and Erase Flash Memory onFlashDSP 1618 through FlashDSP 1618X-KIT ]TAG/HDS Port For additional information, call your AT&T Account Manager, your local distributor, or 1-800-372-2447. Fixed-Point DSP Products - Product Matrix Part Number Description Consumer DSP Products DSP1603 Development Device for DSP1604/06 with on-board Flash ROM and Dual-Port RAM, 3.3 V and 5 V Low-Cost DSP with DSP1604/06 on-board ROM and RAM, 3.3 V & 5 V, and lOP Ports Low-Cost DSP with DSP1605 on-board ROM and RAM, 3.3 V and 5 V, and HIF DSP1605F Development Device for DSP1605 with Flash ROM and on-board RAM, 3.3 V and 5 V Enhanced Telephone Answering Devices Low-Cost, High-Performance LC30 TAD with ARAM Support using the DSP1605 Low-Cost, High-Performance LD30 TAD with ARAM Support using the DSP1605 with Echo Cancelling Speakerphone LE30 Low-Cost, High-Performance TAD with NOR Flash Support (FTAD) using the DSP1605 2-4 Package Speed Temperature Range Literature 80-pin MQFP 30 ns 84-pin PLCC 100-pin TQFP o °c to +70°C DS 80-pin MQFP 30 ns 84-pin PLCC 100-pin TQFP 80-pin MQFP 30 ns 68-pin PLCC o °c to +70°C DS o °C to +70°C DS 80-pin MQFP 68-pin PLCC 30 ns o °c to +70°C DS 68-pin PLCC 80-pin QFP 30 ns o °C to +70°C PN 68-pin PLCC SO-pin QFP 30 ns o °C to +70°C PN 68-pin PLCC 80-pin MQFP 30 ns o °C to +70°C PN Fixed-Point DSP Products - Product Matrix (continued) Part Number Description Enhanced Telephone Answering Devices (continued) LJ30 Low-Cost, High-Performance TAD with ANAND Flash Support (FTAD) using the DSP1605 Low-Cost, High-Performance LH30 TAD with ANAND Support using the DSP1605 with Echo Cancelling Speakerphone Low-Cost, High-Performance LF30 TAD with ANAND Support using the DSP1605 with Acoustic Echo Cancelling Speakerphone Package Speed Temperature Range Literature 68-pin PLCC SO-pin MQFP 30 ns o °C to +70°C PN 68-pin PLCC 80-pin QFP 30 ns o °c to +70°C PN 68-pm PLCC 80-pin QFP 30 ns o °c to +70°C PN Consumer Development Tools - Product Matrix Part Number DSP160x-ST-MSDOS DSP1603-FPO-POD DSPl603-FP1-POD FLASHDSPl603-KIT DSP160x-DEV DSP1605-DEV-2 DSP1605-UPDATE DSP160x-TAD DSPI60x-ST-MSDOS FLASHDSP1600-HDS LC30, LJ30, or LE30 Evaluation Board LD30, LH30, or LF30 Evaluation Board SWPCUP Description Software Library containing Software Generation System (SGS) and an Integrated Development Environment (IDE) that supports 1602, 1605, 1606 (includes 1603F, 1605F, 1604) devices In Circuit Emulation Board that supports Pin 0 option In Circuit Emulation Board that supports Pin 1 option FlashDSPl600-HDS, DSP160x-DEV, DSP160x-ST-MSD Flash Target System supporting all 1604/06 devices Flash Target System supporting all 1605 devices 2 FDMs (MQFP & PLCC) which give the DSPI60X-DEV board 1605 functionality 5 TAD Application-Specific Modules DSPl60x Software Tools Flash DSPl600 Hardware Development System Low-Cost Platform for Evaluating and Developing Products Based on the ETAD; Stand-alone Digital Answering Machine Low-Cost Platform for Evaluating and Developing Products Based on the ETAD-ECS; Fully Operational Digital Answering Machine with Integrated Echo Cancelling Speakerphone or Acoutstic Echo Cancelling Speakerphone Windows-based Development Tool for TAD Host Interface development using a PC's serial port Codecs Part Number T75S2 CSP1027 CSP1084 Description Baseband Codec for Digital Cellular Applications Voiceband Codec for Cellular Handset and Modem Applications Baseband Radio Interface for IS-54 Dual-Mode Cellular Telephone Applications Package Type 44-pin PLCC 44-pin EIAJ QFP 48-pin TQFP 80-pin EIAJ QFP 100-pin TQFP --40 °C to +85 °c --40°C to +120°C Temp. Ranges Literature DS DS --40 °C to +85°C DS For additional information, call your AT&T Account Manager, your local distnbutor, or 1-800-372-2447. 2-5 DIGITAL SIGNAL PROCESSORS Modem Products In an era that demands greater amounts of real-time voice, data, and FAX over phone lines and wireless communications channels, AT&T Microelectronics responds with a range of solutions for modem applications. At the heart of every modem is a modem chip set. At the highest level there are two types of modem chip sets. They are data pump chip sets and complete modem chip sets. Data Pump Chip Sets A data pump chip set is the portion of the modem that pumps bits of data onto a telephone line or a wireless (cellular) communication channel. Data pump chip sets are for customers who have their own controller hardware and software. There are three form factors in the family of data pump chip sets: • Desktop data pump chip sets • Laptop data pump chip sets • PCMCIA data pump chip sets Desktop data pump chip sets are low-cost solutions ideal for applications such as stand-alone modems, desktop PC plug-in modems, and integral desktop PC modems. The laptop data pump chip sets are intended for low-power applications and/or applications where space saving is essential; examples include notebook and laptop PCs and pocket modems. Most of today's laptop and desktop modem designs use components in Bumper Quad Flat Packs (BQFP), formerly known as Plastic Quad Flat Pack (PQFP). The PCMCIA data pump chip sets require even less board space than the Laptop versions, and are thin enough to allow double-sided placement on Type II PCMCIA plugin cards. Table 1 shows the AT&T modem data pump chip sets that are available. The table includes the chip set name along with the highest speed it can operate at, and the packages and devices that constitute the chip set. For example, the HSM288PD+D is the name for a DSVD data pump chip set in a PCMCIA form factor It can operate at 28.8 Kbits/s, has a DSP in a TQFP package called the 16345-AD, an interface chip in a 100TQFP called the VALV 34, an audio codec in a 100TQFP called the CSP1635, and an optional device which is an optical DAA in a 14-pin SSOP called the 2560ABL. Table 2 offers a more in-depth view of the features available in each product family. For instance, the HSM288xD supports all features on the list except 3.3 v, Cellular, DSVD, and PLCC packaging. Table 1. 16-bit Fixed Point High-Speed Modem - Data Pump Chip Sets ChipSet Name" Desktop HSM192DD Highest Sl!eeds Standard Features HSM288DD 28,800 19,200 HSM288DD+D 28,800 Laptop HSM192LD V.32 bis - M] Data/FAX 84 PLCC 16A32-M] V.34 - AC Data/FAX 100 BQFP 16345-AC V.34 - AD Data/FAX/ 100 BQFP DSVD 16345-AD Interface Codec Audio Codec 68 PLCC 28 SO] V.32 Intfc. 17525 84 BQFP VALV 34 84 BQFP VALV 34 100 BQFP CSP 1635 Optional Device Comments Catamaran™ Data Pump V.32 bis - M] Data/FAX 84 BQFP 84 BQFP 44 MQFP 16A32-M] V.32 Intfc. CSP1027 HSM192LD+3 19,200 V.32 bis - M] Data/FAX 84 BQFP 84 BQFP 44MQFP 3.3 V chip set 16A32-M] V.32 Intfc. CSP1027 +C = Cellular HSM192LD+C 19,200 V.32 bis - M] Data/ 84 BQFP 84 BQFP 44MQFP FAX/Cellular 16A32-M] V.32 Intfc. CSP1027 +C = Cellular HSM192LD+C3 19,200 Y.32 bis - M] Data/ 84 BQFP 84 BQFP 44 MQFP FAX/Cellular 16A32-M] V.32 Intfc. CSP1027 3.3 V chip set 'Chip Set Name - The letters D, L, P refer to the package option: D = desktop, L ~ laptop, P ~ PCMCIA Note: Part numbers are not complete, please contact customer service for complete part numbers when placing orders. 2-6 19,200 DSP Table 1. 16-bit Fixed Point High-Speed Modem - Data Pump Chip Sets (continued) CWpSet Name· PCMCIA HSM192PD Highest Speeds Standard Features HSM192PD+3 19,200 HSM192PD+C 19,200 19,200 HSM192PD+C3 19,200 HSM288PD 28,800 HSM288PD+C 28,800 HSM288PD+D 28,800 DSP V.32 bis - MJ Data/FAX 100 TQFP 16A32-MJ V.32 bis - MJ Data/FAX 100 TQFP 16A32-MJ 100 TQFP V.32 his - MJ Data/ FAX!Cellular 16A32-MJ ._. V.32 his - MJ Data/ 100 TQFP FAX!Cellular 16A32-MJ 100 TQFP V 34 - AC Data/FAX 16345-AC V.34 - AC Data/FAX! 100 TQFP Cellular 16345-AC V.34 - AD Data/FAX! 100 TQFP 16345-AD DSVD Interface Codec 100 TQFP V.32 Intfc. 100 TQFP V.32 Intfc. 100 TQFP Y.32 Intfc. 100 TQFP Y.32 Intfc 100 TQFP VALV 34 100 TQFP VALV 31 100 TQFP VALV 34 Audio Codec Optional Device Comments 48 TQFP CSP1027 48 TQFP CSP1027 48 TQFP CSP1027 48 TQFP CSP1027 3.3 V chip set +C = Cellular +C = Cellular 3.3 V chip set 14SS0P 2560ABL 14SS0P 2560ABL 100 TQFP14SS0P CSP1635 2560ABL +C = Cellular Catamaran Data PumE 'Chip Set Name - The letters D, L, P refer to the package option: D ~ desktop, L ~ laptop, P ~ PCMCIA Note: Part numbers are not complete, please contact customer service for complete part numbers when placmg orders Table 2. Data Pump Chip Sets; Features by Product Family Product Features HSM192xD V.34 - 28.8 V.32 Terbo and Fallback ./ V.17 Fallback ./ Voice thru' mode ./ ell-Law & A-Law) 3.3 V chip set version available ./ Cellular DSVD PLCC Package x=D x=L BQFP Package TQFP Package x=P HSM192xD+C HSM288xD ./ ./ ./ ./ ./ ./ ./ ./ ./ HSM288xD+C ./ ./ ./ ./ HSM288xD+D ./ ./ ./ ./ ./ ./ x=L x=P x=D x=P x=P x=D x=P 2-7 DIGITAL SIGNAL PROCESSORS Complete Modem Chip Sets A complete modem chip set is just what it says, it is a complete modem chip set for customers who do not have their own controller hardware and software. It contains a data pump plus a microcontroller, which when working together, in addition to pumping bits of data over a telephone line or cellular channel, can also perform error correction, data compression, and AT command processing. There are two form factors in the family of complete modem chip sets, plus a special version of a complete modem chip set called the controllerless modem chip set. The family of complete chip sets consists of: • Desktop complete modem chip sets (since this uses BQFP packaging, it satisfies the laptop needs as well) • PCMCIA complete modem chip sets • Controllerless modem chip sets Desktop complete modem chip sets are low-cost solutions for standalone modems, desktop PC plug-in modems, integral desktop PC modems, laptop and notebook PCs, and pocket modems. They are available in BQFP packages. The PCMCIA complete modem chip sets are used in Type II PCMCIA plug-in modem cards where less board space is needed; they are supplied in TQFP packages. They are being used more increasingly in PC plugin cards, laptop and notebook PCs, and pocket modems. Table 3. 16-bit Fixed Point High-Speed Modem - Complete Modem Chip Sets Chip Set Name" Desktop HSM288DC Highest Speeds Standard Features or Description 28,800 V.34 - AC Data/FAX HSM288DC+Si 28,800 V.34 - AC Data/FAX/FDSP HSM288DC+Vi 28,800 V.34 -AC TAM HSM288DC+Se 28,800 V.34 - AC Data/FAX/FDSP HSM288DC+Ve 28,800 V.34 -AC TAM HSM288DC+D 28,800 V.34 - AD Data/FAX/DSVD PCMCIA HSM288PC 28,800 V.34 - AC Data/FAX HSM288PC+C 28,800 V.34 - AC Data/FAX/Cellular Controller DSP 100 BQFP C882-29Q 100 BQFP C889-29Q 100 BQFP C882-29Q 100 BQFP C882-29Q 100 BQFP C882-29Q 100 BQFP C882-29Q 100 BQFP 16345-AC 100 BQFP 16345-AC 100 BQFP 16345-AC 100 BQFP 16345-AC 100 BQFP 16345-AC 100 BQFP 16345-AD 100 VQFP C882-29V 100 VQFP C882-29V 100 TQFP 16345-AC 100 TQFP 16345-AC 16-bit Fixed Point High-Speed Modem - Controllerless Modem Chip Sets Chip Set Name" Desktop HSM192DW+S Highest Speeds HSM288DW+S 28,800 19,200 Standard Features or Description DSP16 V.32 bis - MS Data/ FAXlFDSP V.34 - AC Data/ FAX/FDSP 84 PLCC 1632-MS 100 QFP 16345-AC 'Chip Set Name - The letters D, L, P refer to the package option: D = desktop, L = laptop, P = PCMCIA. Note: Part numbers are not complete, please contact customer service for complete part numbers when placing orders. 2-8 Included in the family of complete modem chip sets are the controllerless modem chip sets. Though they are supplied without a controller, they still use the host processor (Pentium 486, 386, etc.) of the PC along with a software driver to perform traditional Microcontroller functions. Because the controllerless modem chip sets utilize a host CPU, they allow designers to achieve the lowest cost of bill of materials and reduce the power requirements, because the need for a micro controller chip and its RAM and ROM are eliminated. Interface Codec 84 BQFP VALV 34 84 BQFP VALV 34 84 BQFP VALV 34 84 BQFP VALV 34 84 BQFP VALV 34 84 BQFP VALV 34 Audio Codec A controllerless modem chip set is targeted for PC internal cards which utilize a Pentium-based windows operating system. Table 3 shows the AT&T complete modem sets that are available. The table includes the chip set name along with the highest speed it can operate at, and the packages and devices that constitute the chip set. For example, the HSM288PC+C can operate at 28.8 Kbits/s, has a controller in a TQFP called the CSS2, a DSP in a TQFP package called the 16345-AD, an interface chip in a Optional Bus Interface Device 100TQFP called the VALV 34, an audio codec in a 100TQFP called the CSP1635, and optional bus interface device in a 48TQFP called the PID2, and an optional device which is an optical DAA in a 14-pin SSOP called the 2560ABL. Table 4 offers a more in-depth view of the features available in each product family. For instance, the HSMzzzDW (controllerless 19.2 and 28.8 Kbits/s modem chip sets) do not support ETC, autosync, DSVD and TQFP packages. Optional Device Comments TAS (Controller) 28 SOJ 17525 28S0J 17525 28S0J 17525 28S0J 17525 100 BQFP CSP1635 100 TQFP VALV 34 100 TQFP VALV 34 Box modem, +S = FDSP, VV, TAM (MTC) +V = TAM (MTC) PNP 100 MQFP 48 TQFP PID2 48 TQFP PID2 Interface Codec 68 PLCC V.32Intfc. 84 QFP VALV 34 28 SOJ 17525 Half card (MTC) +S = FDSP, VV, TAM +V = TAM PNP 100 MQFP PNP 100 MQFP Catamaran TAS (Controller) 14SS0P 2560ABL 14SS0P 2560ABL TAS (Controller) +C = Cellular TAS (Controller) Audio Codec Comments 28 SOJ 17525 28 SOJ 17525 win32 +S = FDSP, VV, TAM (MTC) win34 +S = FDSP, VV, TAM (MTC) 2-9 DIGITAL SIGNAL PROCESSORS Table 4. Complete Modem Chip Sets; Features by Product Family Product Features V.34 - 28.8 V.32 Terbo and Fallback V.42 Bis HSM288xC HSM192xC+S ,/ ,/ ,/ ,/ ,/ ,/ ,/ ,/ ,/ ,/ ,/ ,/ ,/ ,/ ,/ ,/ ,/ ,/ ,/ ,/ ,/ ,/ ,/ ,/ ,/ ,/ ,/ ,/ ,/ ,/ ,/ HSM288CxV HSM288xC+C HSM288xC+D HSMzzzDW ETCTM FAX Class 1 FAX Class 2 Auto Sync TAM FDSP DSVD ,/ 2-10 ,/ ,/ ,/ ,/ Voice View Serial (external) Parallel (internal) PCMCIA PLCC Package BQFP Package TQFP Package ,/ ,/ ,/ ,/ ,/ ,/ ,/ ,/ x=D x=P ,/ x=D x=D ,/ ,/ ,/ ,/ ,/ x=D x=P zzz = 192 zzz = 288 WIRELESS RF PRODUCTS Wireless RF Products AT&T Microelectronics RF products are developed specifically for digital cellular and digital cordless applications, according to standards generated in the geographic region in which the application is primarily targeted. The following applications are presently being targeted: • Global System for Mobile, with a GSM standard, for Europe. • Personal Digital Cellular, with a RCR-27 (PDC) standard, for Japan. • American Digital Cellular, with a IS136 (TDMA) standard, for the U.S.A. • Japan Digital Cordless (Personal Handyphone), with a RCR-28 (PHS) standard, for Japan. All AT&T Microelectronics RF products target the transceiver functionality of a radio system. A transceiver is comprised of three functions: receiver, modulator, and frequency synthesizerCs). A generic radio block diagram is shown below. I I...._....._--Ir-- 0 Input from Duplexer Reference L.---Clock I....---Data Latch 1...._ _ _ _ Transceiver Out _ _ _ _ _ _ _.....r-:-:-:-~--J-------- I to Power Amp Modulator 0 Product W1452 W2005 W2012 W2020 Description 45 MHz--86 MHz, IF Amphfier/Quadrature Demodulator 1 GHz, Dual-Mode Cellular Receiver 1.9 GHz, Indirect-up Quadrature Modulator GSM Transceiver Target Application All IS136 PHS GSM Supply Voltage 5V 5V 3V 3V For additional information, call your AT&T Account Manager, your local distributor, or 1-800-372-2447. 2-11 DIGITAL TV ICs MPEG-2 System-Layer Demultiplexers AT&T's single-chip MPEG-2 SystemLayer Demultiplexer (MSLD) provides a standards-based easy-to-use solution for the design of systems for MPEG-2 applications. Applications • Integrated receiver decoders (IRD); set-top box -Cable TV - Direct broadcast satellite (DBS) - Video-on-demand - Interactive TV • Headend uplink facilities • CD-ROM video entertainment solutions • PC multimedia solutions • Telephone network set-top box AT&T's Av6220A MPEG-2 SystemLayer Demultiplexer (MSLD) provides users with efficient, cost-effective MPEG-2 transport layer demultiplexing. This device complies with the MPEG-2 and DVB Part Number Av6220A specifications and provides a comprehensive feature set. It demultiplexes transport stream (TS) packets into packetized elementary streams (PES), elementary streams (ES), PSI, SI, systems, and private data. The AV6220A works seamlessly with multiple MPEG-2 video, audio, and combined video/audio decoders, as well as a variety of processors. It accepts either serial or byte wide TS inputs and may also receive TS data through the host interface for CDROM applications. The MSLD provides clock recovery for the system 27 MHz clock and assists in the synchronization of video and audio streams. Features • DVB, MPEG-2 standard compliant - 32 PIDs and 8 service information filters -Compatible with DVB and other conditional access interfaces • High performance - Supports high transport rate of 96 Mbits/s - Allows flexible algorithm and system configuration - Off-loads the processor and allows better bandwidth • Cost-effective solution - Eliminates the need for microcode development - Achieves small chip area and low power - Allows easy configuration by users The Av6220A is available in a lowcost, 160-pin plastic metric quad flat pack (MQFP) and uses advanced 0.55 ~, 5.0 V, CMOS Technology that provides low power consumption of less than 1 W. Description MPEG-2 System-Layer Demultiplexer Package Type Temp. Ranges literature 160-pin PQFP 0 °C to +70°C PN,DS For additional informatIOn, call your AT&T Account Manager, your local distributor, or 1-800-372-2447. 2-12 CMOS STANDARD-CELL ASICs AT&T has an uncommon mix of products, technology, and support to provide powerful, cost-effective ASIC solutions in silicon. We've extended the possibilities of ASICs with higher densities, increased speed capabil1t1es, and lower power consumption. Our rich selection of libraries and design tools make design easier, faster, and more reliable. Our ASIC libraries are comprehensive, allowing you to optimize your design and provide efficient, costeffective functionality. Design Flexibility and Library Richness AT&T's product focus provides you with a rich set of library elements that range from simple logic functions to complex digital and analog macrocells. AT&T's libraries are optimized to take advantage of today's sophisticated design and test methodologies, such as behavioral modeling/synthesis, and full and partial scan insertion. AT&T provides ASIC design kits for many popular commercial CAD platform options including: Mentor GraphiCS, Verilog, Viewlogic, IKOS, Synopsys, Quad Motive, HSPICE, Sunrise, and ZyCAD. We have a broad selection of flipflops, registers, and adders, as well as full memory compilers. Our macrocells offer you system-level integration of microprocessors, DSPs, and peripheral and communcations controllers. These building blocks can dramatically slash design time by giving you ready-made solutions to your specific needs. Our extensive expertise in mixed analog/digital designs makes our ASICs the ideal solution for data recovery, data acquisition, and clock skew/synchronization. Today's performance-driven designs demand both high speeds and low power consumption-without the tradeoffs associated with achieving one at the expense of the other. AT&T offers high performance in 25 V, 3 V, and 5 V libraries to meet these demands. Integrated Capability AT&T is your source for global ASIC solutions. We have design centers and manufacturing facilities located worldwide. This gives you a full multiple-source approach for flexible manufacture from a single vendor. And because we are an inte- grated manufacturer from silicon material through wafer fabrication and final device assembly/test, we maintain full and rigorous control over every step of the process. With AT&T, you have a clear path from concept to production and from today's state of the art to tomorrow's. 0.35 J.lI1I CMOS Standard-Cell ASIC Libraries ASIC Libraries 1ll.350C HS350C LV350Cl Process Technology 0.35 !J.IIl 3 V CMOS 2.7 V-3.6 V 0.32 !J.IIl 65A 3-42 0.35!J.IIl 3 V CMOS 45 V-55 V 0.46 !J.IIl 115 A 3-42 0.35 !J.IIl 3 V CMOS 2.3 V-2.7 V 0.32J.lffi 50A 3-42 25 M 25 M 25 M 1 Mbit 54 ps 1 Mbit 4 Mbits 78 ps 1 Mbit 4 Mbits 59 ps 940 MHz 755 MHz 850 MHz 5.00 ns 5.78 ns 550 ns 860 MHz 755 MHz 775 MHz 0.8 1.7 05 Operating Voltages Leff TOX Metal Interconnect Levels Usable Gates Compilable Memory SRAM ROM Gate Speed (Internal, Typical, Unloaded) Max. Toggle Rate ASIC & EDA Benchmark' Benchmark #1 (Path Delay) Benchmark #2 (Counter Max. Freq.) Power ~W/MHz/Gate, FO = 1) Buffer Drive I/O Interfaces TTL!CMOS I/O Capability QFP E-PBGA Flip-chip PBGA Pad Pitch 304 640 >700 <3 mil 4 Mbits 40mA 40mA TTL/CMOS 3 Vl5 V5 3 V/5 V 4 PCI PCI SCSI SCSI PECL PECL Bal. CMOS Bal. CMOS GTL/HSTL/LVDS GTL/HSTL/LVDS 304 640 >700 <3 mil 40mA TTL/CMOS 25 V/3 V 6 PCI SCSI PECL Bal. CMOS GTL/HSTL/LVDS 304 640 >700 <3 mil 1. Planned 1996 introduction, preliminary information. 2. 3. 4 5 6. Flip-chip, DRAM applications. Worst-case slow process, temperature, voltage 3 V technology with 5 V tolerant VO capability. S V technology with 3 V tolerant VO capability. 2.5 V technology with 3 V tolerant VO capability. 2-13 CMOS STANDARD-CELL ASICs 0.5 J..U11-0.9 J..U11 CMOS Standard-Cell ASIC libraries ASIC Libraries Process Technology Operating Voltages Metal Interconnect Levels Total Gates Gate Speed (Internal, Typical, Unloaded) Max. Toggle Rate ASIC & EDA 1994 Benchmark' Benchmark #1 (Path Delay) Benchmark #2 (Counter Max. Freq.) Power (IlW/MHz/Gate, FO = 1) Buffer Drive I/O Capability Pad Pitch Compilable Memory SRAM ROM HL400C HL400Pl HS500C HS500P' 0.5 IlIll CMOS 2.7 V-3.6 V 0.5 IlIll CMOS 4.5 V-5.5 V 3 3 0.61l1ll CMOS 2.7 V-5.5 V 2 >500K 90 ps >500K 90 ps 600 MHz LP600C HS900C 0.6 11m CMOS 4.5 V-5.5 V 2 0.9 11m CMOS 2.7 V-5.5 V 2 150K 120 ps >150K 180 ps 150K 150 ps 650 MHz 470 MHz 285 MHz 350 MHz 6.34 ns 6.11 ns 9.27 ns 15.38 ns 12.41 ns 580 MHz 650 MHz 470 MHz 260 MHz 350 MHz 0.8 1.7 3.5 1.5 3.3 40mA TTL/CMOS 3 V/5 V3 PCI SCSI PECL Bal. CMOS GTL/HSTL <4 mil 64 rnA TTL/CMOS 5V PCI SCSI PECL Bal. CMOS 64mA TTL/CMOS 3 V/5 V PCI SCSI PECL Bal. CMOS GTL 4-5 mil 64mA TTL/CMOS 3 V/5 V PCI SCSI Bal. CMOS 64mA TTL/CMOS 3 V/5 V PCI SCSI PECL Bal. CMOS 4-5 mil 5 mil 250 kbits 1 Mbit 250 kbits 1 Mbit 250 kbits 1 Mbit <4 mil 700 kbits 700 kbits 2.8 Mbits 2.8 Mbits 1 Metal programmable option. 2. Worst-case slow process, temperature, voltage. 3 3 V technology with 5 V tolerant I/O capability. HS600c --_._-- Name Description Digital ASIC Macrocells 196KCIKB 16-bit Microcontroller 80C31/32/51152 8-bit Mlcrocontroller 960lX Embedded 32-bit Risc Processor l80 8-bit Microprocessor C10/15 16-bit Fixed-point DSP 16-bit Fixed-point DSP C25 C2XLP 16-bit Fixed-point DSP 16-bit Fixed-point DSP C5X 16c450 Universal Asynchronous Receivertrransmitter (UARn Universal Asynchronous Receiver/Transmitter (UARn 16c550A Serial Communications Controller 85C30 SCSI Bus ControVer 53C94/95/96 61602* LCD Controller AT&T Controller for Ethernet (ACE) 83C90 74LS612 Memory Mapper 82077* Floppy Disk Controller PCMCIA Host Controller 82365 146818A Real-time Clock 82C37A Programmable DMA Controller 82C54 Programmable Interval Timer 82C55A Programmable Peripheral Interface 82C59A Programmable Interrupt Controller 7186* Video Scaler PCIU PCMCIA Card Interface Unit PCI Bus Interface MPEG-1* Video/Audio Decoder T7901* Single-Port ISDN Transceiver Analog ASIC Macrocells FADC [5:8] Flash Analog-to-Digital Converters (ADC) with Resolution of 5 to 8 Bits F2ADC8 2-Step Flash ADC with 8-bit Resolution SAR8 Successive Approximation ADC with 8-bit Resolution Successive Approximation ADC with lO-bit Resolution SARlO SAR12* Successive Approximation ADC with 12-bit Resolution RDAC [5:8] Resistor Ladder Digital-to-Analog Converters (ADC) with Resolution of 5 to 8 Bits lO-bit Resistor Ladder DAC RDAC10 RDAC12* 12-bit Resistor Ladder DAC IDAC8 8-bit Current (Video) DAC IDAC10' lO-bit Current (Video) DAC PLL Phase-locked Loops with Output Frequencies to IX, 2X, and 4X the Input Frequency FREQSYNTH Freguency Synthesizers • Planned development. 2-15 FIELD-PROGRAMMABLE GATE ARRAYS ATT3000 Series Field-Programmable Gate Arrays (FPGAs) configurable logic blocks (CLBs), and routing resources for interconnection. Description The high-speed ATT3000 Series of FPGAs provides the benefits of highspeed, high-density, digital logic while avoiding the NRE, time delay, and risk of traditional masked gate arrays. The series is pin-for-pin and specification compatible with the Xilinx XC3100 family and theXilinx XC3000 family. The ATT3000 Series FPGAs are supported by the ORCATM Foundry Development System, which provides automatic place-and-route of netlists from user-created schematics or text-based design entry tools. The ATT3000 regular, flexible, reprogrammable array architecture is composed of a configuration program store with three types of configurable or programmable elements: a perimeter of input/output blocks (lOBs), a core array of The CLB implements logic functions by using programmed look-up tables (LUTs). Functional options are implemented by user-programmable multiplexers. Interconnecting networks are implemented with metal routing lines joined by userprogrammable pass transistors. Registers or flip-flops are found in CLBs and lOBs. The devices are customized by a configuration program stored in internal SRAM-based memory cells. The program data resides externally from the FPGAs in an EEPROM, EPROM, or ROM on the circuit board, or on a floppy or hard disk. Features • High performance: - Up to 270 MHz toggle rates -4-input LUT delays < 3 ns ATT3000 Series FPGAs FPGA Logic Capacity (Available Gates) Configurable Logic Blocks ATT3020 ATT3030 ATT3042 ATT3064 ATT3090 2000 3000 4200 6400 9000 64 100 144 224 320 User VOs 64 80 96 120 144 Program Data (Bits) 14779 22176 30784 46064 64160 Comparison Table Series Equivalent Xilinx Family ATT3000 XC31 00 ATT3000 XC3000 2-16 LUTDelay Toggle Rate Speed· Grade (ns) (MHz) -3 -4 -5 -125 -100 -70 2.7 33 4.1 5.5 7.0 9.0 270 230 190 125 100 70 • Flexible array architecture: - 2000 to 9000 gate logic complexity -Extensive register and I/O capabilities - Low-skew clock nets -High fan-out signal distribution - Internal 3-state bus capabilities - TTL or CMOS input thresholds - On-chip oscillator amplifier • Standard product availability: - Low-power 0.6 ~ CMOS technology - Pin-for-pin compatible with Xilinx XC3000 and XC31 00 family -Cost-effective, high-speed FPGAs - 100% factory pretested - Selectable configuration modes • ORCA Foundry for ATT3000 Development System support • All FPGAs processed on a QMLcertified line ATT3000 Series FPGA Device Matrix 44-pin 68-pin 84-pin Device &~eed PLCC PLCC PLCC M44 M68 M84 100-pin QFP J100 132-pin 144-pin 160-pin 175-pin 208-pin Plast. Plast. PIast. TQFP TQFP QFP PGA PGA SQFP TlOO H132 Tl44 H175 Q208 J160 ATI3020 CI CI CI -70, -100, -125 -5 CI CI CI -4, -3 C C C ATI3030 -70, -100, -125 CI CI CI CI CI -5 CI CI CI CI CI -4, -3 C C C C C ATI3042 -70, -100, -125 CI CI CI CI CI -5 CI CI CI CI CI -4, -3 C C C C C ATI3064 -70, -100, -125 CI CI CI CI CI -5 CI CI CI CI CI -4, -3 C C C C C ATI3090 -70, -100, -125 CI CI -5 CI CI -4, -3 C C Key: C ~ commercial temperature optIon, I ~ mdustrlal temperature option. For additional information, call your AT&T Account Manager, your local distributor, or 1-800-372-2447. - - - AT&T Optimized Reconfigurable Cell Array (ORCA) Series FPGAs Description The AT&T Optimized Reconfigurable Cell Array (ORCA) series of SRAM-based fieldprogrammable gate arrays (FPGAs) from AT&T Microelectronics provides a family of high-performance, high-density, low-power, user-programmable logic circuits. The ORCA architecture is compnsed of two major programmable blocks: programmable I/O cells (PICs) and programmable logic cells (PLCs) organized in a homogeneous array structure. These programmable cells are interconnected by abundant routing resources, which are placed symmetrically within the device. Programmable Logic Cells A PLC consists of a programmable function unit (PFU) and programmable routing resources. The PFU has a look-up table (LUT) section and a latch section. The 64-bit (four 16 x 1) LUT is used for the combinatorial logic of a design. The four latches implement the sequential logic in a design. These latches can be programmed to be active on either level, or they can be used as flip-flops. The LUTs can also be programmed to operate in one of three modes: combinatorial, ripple, or memory. In combinatorial mode, the LUTs can be programmed to realize any 4-, 5-, or 6-input logic functions. In ripple mode, the high-speed carry logic is used for arithmetic circuits. In memory mode, the LUTs can be used as two 16 x 2 or a 16 x 4 read/write or read-only memory. lit. - - DS DS DS - - DS DS DS - - - DS DS DS - - DS DS DS CI CI C CI CI C DS DS DS - - The programmable routing resources within each PLC are made from metal segments called routing nodes (Rnodes) connected together at configurable interconnect points (CIPs) to forrnuser-defined nets. Programmable I/O Cells PICs are located along the perimeter of the device. Each PIC is comprised of I/O drivers, I/O pads, and routing resources. Each PIC can be programmed to be an input, output, or both; to have either TTL or CMOS input thresholds; or to have the input signal delayed. Other options include variable output slew rates; output current drive capabilities; 3-state output (either active-high or activelow); inverting the output, if desired; and/or floating (unused) pins using pull-up or pull-down resistors. 2-17 FIELD-PROGRAMMABLE GATE ARRAYS Features • High-performance, cost-effective 0.5 J.I111 technology (4-input lookup table delay less than 3.6 ns) • High density (up to 40,000 usable gates) • Up to 480 user lIOs • Fast on-chip user SRAM; 64 bits/ logic block • Nibble-oriented architecture for implementing 4-, 8-, 16-, 32-bit (or wider) bus structures • Innovative, abundant, and hierarchical nibble-oriented routing resources that allow automatic use of internal gates for all device densities without saaificing performance • Four 16-bit look-up tables and four latches/flip-flops per PLC • Internal fast carry for arithmetic functions • TIL or CMOS input thresholds programmable per pin • Individually programmable drive capability: 12 rnA sink/6 rnA source or 6 rnA sink/3 rnA source • Built-in boundary scan CIEEE1149.1) • Low power consumption from submicron CMOS process • Full PCI-bus compliance • Supported by industry-standard CAE tools for design entry, synthesis, and simulation • ORCA Foundry Development System ORCA Series FPGAs - Product Matrix Part Number Usable Gates Registers Max User RAM Bits ATI2C04 ATI2C06 ATI2C08 ATI2CIO ATI2C12 ATI2C15 ATI2C26 3,500-4,300 5,000-6,200 7,000-8,800 9,000-11,400 12,000-14,600 15,000-18,000 22,000-26,000 400 576 784 1024 1296 1600 2304 6,400 9,216 12,544 16,384 20,736 25,600 36,864 ATI2C40 35,000---40,000 3600 57,600 Userl/Os 160 192 224 256 288 320 384 480 Array Size 10 x 10 12 x 12 14 x 14 16 x 16 18 x 18 20 x 20 24 x 24 30 x 30 Literature DS,MN DS,MN DS,MN DS,MN DS,MN DS,MN DS, MN DS, MN For additional information, call your AT&T Account Manager, your local distributor, or 1-800-372-2447. ORCA Series FPGA - Product Matrix 84-pin 100-pin 144-pin 160-pin Device ATI2C04 ATI2C06 ATI2C08 ATI2ClO ATI2C12 ATI2C15 ATI2C26 ATI2C40 .. PLCC TQFP TQFP QFP M84 TI00 T144 J160 CI CI CI CI - CI CI - CI CI CI CI CI CI - - - - - - 208-pin 240-pin 256-Pin 304-pin 364-pin 428-pin Ball Cer. Cer. EIAJ EIAJ EIAJ Grid SQFP PGA PGA SQFP SQFP SQFP-PQ2 SQFP-PQ2 Array SQFP-PQ2 S240 B256 S208 S304 R364 R429 PS240 lit. PS208 PS304 CI DS CI DS CI CI DS CI CI CI DS CI CI CI CI CI CI CI CI DS CI CI DS CI CI CI DS CI CI CI CI CI CI CI DS CI For additIonal mformatIon, call your AT&T Account Manager, your local dlstnbutor, or 1-800-372-2447 . Key: C ~ commercial, I ~ industrial. Note: The package options with the SQFP/SQFP-PQ2 designation in the table above, use the SQFP package for all densities up to and including the ATT2CI5, while the ATT2C26 and ATT2C40 use the SQFP-PQ2 package. 2-18 Optimized Reconfigurable Cell Array (ORCA) ATT2T15 (3.3 V) Field-Programmable Gate Array The ATT2T15 is the first device in this family optimized to provide logic solutions in 3.3 V systems. This device contains approximately 15,000-18,000 usable gates and is offered in a variety of packages, speed grades, and temperature ranges. The ORCA series FPGA consists of two basic elements: programmable logic cells (PLCs) and programmable input/output cells (PICs). An array of programmable logic cells (PLCs) is surrounded by programmable input/output cells (PICs). Each PLC contains a programmable function unit (PFU). The PLCs and PICs also contain routing resources and configuration RAM. All logic is done in the PFU. Each PFU contains four 16bit look-up tables (LUTs) and four latches/flip-flops (FFs). The LUTs can be programmed to operate in one of three modes: combinatorial, ripple, or memory. In combinatorial mode, the LUTs can be programmed to realize any 4, 5, or 6 input logic functions. In ripple mode, the high-speed carry logic is used for arithmetic functions. In memory mode, the LUTs can be used as a 16 x 4 read/write or readonly memory. The PLC architecture provides a balanced mix of logic and routing that allows a higher utilized gate/PFU than alternative architectures. The routing resources carry logic signals between PFUs and I/O pads. The routing in the PLC is symmetrical about the horizontal and vertical axes. This improves routability by allowing a signal to be routed into the PLC from any direction. Each PIC is comprised of I/O drivers, I/O pads, and routing resources. Each I/O can be programmed to be either an input, output, or bidirectional signal. The ATT2T15 is also capable of interfacing to 5 V devices because the I/O pads can be driven by signals of up to 6 V. Other options include variable output slew rates and pull-up or pull-down resistors. The ORCA Foundry Development System is used to process a design from a netlist to a configured FPGA. AT&T provides interfaces and libraries to popular CAE tools for design entry and simulation. The FPGA's functionality is determined by internal configuration RAM. The FPGA's internal initialization/configuration circuitry loads the configuration data at powerup or under system control. The RAM is loaded by using one of several configuration modes. The configuration data resides externally in an EEPROM, EPROM, or ROM on the circuit board, or any other storage media. Serial ROMs provide a simple, low pin count method for configuring FPGAs. Features • High-performance, cost-effective 0.5 Jlm technology optimized for 3.3 V operation • 5 V-tolerant I/O buffers can he connected to external signals up to 6 V, allowing interconnection to both 3.3 V and 5 V devices (selectahle on a per-pin hasis) • High density (15,000 usahle gates) • 308 user I/Os (all 5 V-tolerant) • 1600 latcheslflip-flops • Maximum 25,600 user RAM bits • Fast on-chip user SRAM: 64 bits/ logic block • Nibble-oriented architecture for implementing 4-, 8-, 16-, 32-bit, or wider bus structures • Innovative, abundant, and hierarchical nibble-oriented routing resources that allow automatic use of internal gates for all device densities without sacrificing performance • Four 16-bit look-up tables and four latches/flip-flops per logic block • Internal fast carry for arithmetic functions • Individually programmahle drive capability: 12 mA sink/6 mA source or 6 mA sink/3 mA source • Built-in boundary scan (IEEE 1149.1) • Low power consumption from submicron CMOS process optimized for 3.3 V operation • Architecture-compatible to the ORCA 2C series of 5 V devices • Supported hy industry-standard CAE tools for design entry, synthesis, and simulation • ORCA Foundry Development System support FIELD-PROGRAMMABLE GATE ARRAYS ORCA Foundry Development System FPGA devices are growing in size and complexity-straining the capabilities of both designers and earlygeneration tool sets. High-performance tools are critical to realizing the full potential of today's larger, more complicated devices. Such tools not only significantly shorten your design cycles, but also produce chip designs with higher device utilization and faster operating frequencies. ORCA Foundry is such a tool set. Capture, Mapping, and Optimization ORCA Foundry allows designs to be captured using device-specific libraries, vendor-independent libraries, or a combination of both. No other design tool set lets you designate the specific design capture method that best supports your requirements. As a result, vendor-independent libraries and industry-standard netlists can be easily implemented in either ORCA or A1T3000 devices. ORCA Foundry's device- and archi- tecture-specific optimization, combined with superior place and route capabilities, produces consistently high gate utilization. Of course, ORCA Foundry fully supports device-specific features, such as hard macros, RAM, and automatic routing of clocks. With complete back-annotation, incremental mapping, and the ability to preserve hierarchy throughout the design process, ORCA Foundry gives you as much help in updating and debugging your design as it does in implementing it. Advanced P1ace and Route Capabilities (PAR) Using the most powerful combination of algorithms available, ORCA Foundry's place and route (PAR) program consistently completes deSignS with the fewest iterations and 2-20 with no manual intervention. PAR's fast execution time and built-in incremental change capability result in the shortest possible design cycle. With the addition of ORCA Foundry's AT&T Timing Wizard module, designers can specify frequency and timing requirements up front. AT&T Timing Wizard then drives PAR to meet those requirements, delivering higher-performance devices with the fastest possible operating frequencies while shortening design cycles even further. ORCA Foundry·s powerful editmg and debugging environment, AT&T EPIC, also features tracking of hierarchical design data ... FPGA-Specific Timing Analyzer Powerful Interactive Layout Editor (EPIC) The AT&T Editor for Programmable ICs (AT&T EPIC) is a powerful, interactive layout editor found in ORCA Foundry that streamlines the debugging and tuning of FPGA designs. AT&T EPIC's easy-to-use graphical interface provides a choice of push button, menu-driven, or command-line editing capabilities that can be customized to suit any set of requirements. In addition, AT&T EPIC has been tuned to guarantee the fastest graphics response, eliminating the unproductive waiting while a large design is panning, zooming, or simply highlighting a net. Many advanced features have been designed into AT&T EPIC to make working with complex devices easier. Among these are manual placement and routing, auto placement, auto routing, and integration of ORCA Foundry's powerful timing analyzer. AT&T EPIC's on-line design rule checks (ORC) can be used in logical mode (allowing changes to placement and routing, but preventing any changes to the logic during the editing session) or in physical mode (allowing logic and signals to be added and deleted while guaranteeing that changes are valid within the physical constraints of the specifiied FPGA). (TRACE) AT&T TRACE provides complete analysis of a circuit's timing characteristics. Using actual component and interconnect delays, AT&T TRACE exhaustively examines every signal path and automatically evaluates the circuit for set upand hold violations, race conditions, and adherence to specified timing preferences. AT&T TRACE runs its analysiS using user-specified timing preferences (such as desired operating frequency) and feeds back detailed results that identify specifically where the design fails to meet those requirments, thereby eliminating the need to read through reams of paper to pinpoint potential timing problems. ORCA Foundry·s capabilities enable a designer to use all device-specific features. ............ _ _ Dl._1 ~ Using mdustry standards, ORCA Foundry allows a designer to take full advantage of powerful Wmdows applications. • Disk: 30 Mbytes for first family, 10 Mbytes for each additional family • Swap: 5 Mbytes permanentMicrosoft Windows swap file • ColorVGA • 2- or 3-buttonMicrosoft Windowscompatible mouse • One parallel port for security device ORCA Foundry Benefits • Automatic completion of difficult designs • Maximum device utilization • Faster clock speeds • Ease of use means fast time-tomarket benefits Libraries Supports Industry-Standard Platforms PC-Based: • IBM PC or compatible 486sx, 486, or Pentium • MS-DCIS 5.0 (or higher) • Microsoft Windows 3.1 (or higher) • RAM: 16 Mbytes minimum • Performs static timing analysis • Allows for back-annotated timing simulation Features • Complete, fully integrated tool set • Supports ORCA lC, 2C, 2T, and ATT3000 Series FPGAs • Integrates into existing CAE environments • True timing- and frequency-driven design • Performs device-specific optimization and technology mapping • Performs both automatic and manual place and route 1 Vendor Specific 1 Vendor .1 TTL Independent Gate Array 1 CAE Tools Capture and Verification , SDF Synthesis 1 I 1 EDIF I I WIR I I Capture I II I XNF ADL I QDIF V 1 I I Simulation I , Back Annotation 1 I Device Selection Technology Mapping and Device-Specific Optimization PreferencelTimlng Requirement Workstation-Based: • Sun SPARGStation compatible running SunOS 4.1.3 (or higher) or running Solaris 5.3 (or higher) • HP 9000 Series 400/700 running HP-UX 9.0.3 (or higher) • X-Windows version XllR4 (or higher) and OSF/MOTIF 1.1 • RAM: 32 Mbytes AT&T Timing Wizard ~ ~ ORCA Foundry • Disk: 45 Mbytes for first family, 10 Mbytes for each additional family • Swap: 32 Mbytes • Color monitor • 3-button mouse • One serial port for security device Multichip Partitioning Interactive Editor I 1I 1I Place and Route Timing Analysis I I I Device Programming {t FPGA Devices I ATT3000 II ORCA 1C II ORCA2C II ORCA2T I Figure 1. ORCA Foundry Environment 2-21 FIELD-PROGRAMMABLE GATE ARRAYS Development Systems for ORCA Series FPGAs Part Number Version Description ORCA Foundry Software Licenses PC Solutions: ATI-ORCAVISTA-PC 7.1 ATI-ORCAAPEX-PC 7.1 ATT-ORCAEVAL-PC 7.1 ATT-ORCAVISAP-PC 7.1 Low-density starter system for AT&T FPGAs. Supports ATI3000, ATI1Cxx, ATI2C04, ATI2Co6, ATI2C08, and ATI2C10 devices. Includes AT&T Timing Wizard and choice of CAE Vendor Kits. Complete support package for all ATT3000 and all ORCA 1Cxx and 2Cxx FPGAs. Includes AT&T Timing Wizard and choice of one CAE Vendor Kits. Evaluation version of complete software suite. No bit stream generation capability or download cable. Upgrade Vista package to Apex package. Workstation Solutions (Sun andHP700): ATI-ORCAVISTA-WS 7.1 Low-density starter system for AT&T FPGAs. Supports ATT3000, ATI1Cxx, ATT2C04, ATT2Co6, ATT2C08, and ATT2<;:1O devices. Includes AT&T Timing Wizard and choice of CAE Vendor Kits. ATI-ORCAAPEX-WS 7.1 Complete support package for all ATT3000 and all ORCA 1Cxx and 2Cxx FPGAs. Includes AT&T Timing Wizard and choice of one CAE Vendor Kits. ATI-ORCAEVAL-WS 7.1 Evaluation version of complete software suite. No bit stream generation capability or download cable. ATI-ORCAVISAP-WS 7.1 Upgrade Vista package to Apex package. PC Solutions ATT-PROCAPTURE-PC 6.1 VIEWlogic PROSeries Schematic Capture (DOSlWindows). ATI-PROSIM-PC 6.1 VIEWlogic PROSeries non-VHDL Simulation. PROWave and PROGen for simulation through ATT2C26. Requires ATT-PROCAPTURE-PC. ATI-PROSIMHD-PC VIEWlogic PROSeries non-VHDL unlimited gates simulation upgrade. 6.1 Requires ATT-PROSIM-PC. Workstation Solutions (SPARe only) ATT-PCDESIGN-SN 5.3.2 VIEWlogic Powerview Series non-VHDL ViewDraw schematic capture and ViewSim simulation through ATT2C26, including View Wave and ViewGen. ATT-PVDDESIGNHD-SN 5.3.2 VIEWlogic Powerview Series non-VHDL unlimited gates simulation upgrade. Requires ATT-PVDESIGN-SN. ORCA Software Libraries (PC) See Note Below 3.1 VIEWlogic schematic entry, synthesis, prelayout simulation library, and interface. Required when using ATT-PROCAPTURE-PC for schematic entry, PROSyn (available from VIEWlogic) for synthesis, or ATI-PROSIMPC for prelayout unit delay simulation or for postlayout back-annotated timing simulation. For additional information, call your AT&T Account Manager, your local distributor, or 1-800-372-2447. Note: The ORCA libraries forSynopsys and VIEWlogic are included on theORCA Foundry 7.1 CD 2-22 Development Systems lor ORCA Series FPGAs (continued) Part Number Version Description ORCA Software Ubraries (Sun/HP) See Note Below 3.1 ATI-UBVRG-WS 3.0 ATI-UBMN-WS 3.0 ATI-UBDA-WS 3.1 See Note Below M011VE Libraries 3.1 None LMG Libraries None VIEWlogic schematic entry, synthesis, prelayout simulation library, and interface. Required when using ATI-PVDESIGN-SN (or the HP equivalent available from VIEWlogic) for schematic entry, prelayout unit delay simulation, postlayout back-annotated timing simulation, or VIEw.5yn (available from VIEWlogic) for synthesis. Interface and library for Veri/og. Required when performing prelayout simulation using Veri/og after performing ORG4-specific synthesis or when performing postlayout timing simulation using Veri/og. Interface and library for Mentor Graphics. Required when using Design Architect for schematic capture or QuickSim II for prelayout unit delay simulation or for postlayout back-annotated timing simulation. ATI-Design Automation interface and library. Required when using either ATI-Schema for schematic capture or ATISIM for prelayout unit delay simulation or for postlayout back-annotated timing simulation. High-level design link, interface, and synthesis library for Synopsys. M011VE Integration kit (available from Quad Design Technologies Group of Viewlogic, Inc.) LMG Models (available from the Logic Modeling Group of Synopsys, Inc.) For additional information, call your AT&T Account Manager, your local dlstnbutor, or 1-800-372-2447 Note: The ORCA libraries for Synopsys and VIEW/oglc are included on the ORCA Foundry 7 1 CD 2-23 LAN ICs Intelligent Ethernet Hub Products Features The 17202 Smart Hub Controller (SHC) and 17241 Multiple Ethernet Transmitter (METRX) represent AT&T's third-generation multiport repeater controller ICs for use in /EEE802.3 lOBase-T networks. The chip set provides a two-chip solution for implementation of a central network hub with extensive network management capabilities. This chip set also provides a low-cost, easy-to-design, feature-rich solution for PC-based 10Base-T repeaters. • High level of integration - Twelve 10Base-T ports - Two AUI ports - Dedicated MAC port - Dedicated expansion port -Security • Preprocessed network management statistics • Per-port statistics • Per-port collision counters • Dedicated management report FIFO Part No. 17202 17241 Description Smart Hub Controller Multiple Ethernet Transmitter Package Type Temp. Ranges 132-pin PQF 84-pin PLCC Benefits • Reduce system cost and improve reliability • Address size-sensitive markets such as PC hubs • Provide superior system performance • Provide superior network management features in your system Applications TP Ethernet TP Ethernet literature OS, AP, TN, PN OS, PN For additional information, call your AT&T Account Manager, your local distributor, or 1-800-372-2447. Ethernet Switching Hub Products The ATT1RX04 promotes cost-effective Ethernet circuit-switched hubs where each port can be independently assigned to a LAN network or segment. Circuit switching is used to provide network administrators with the ability to optimize network performance by making it easy to move any port on the network to a new LAN segment as the load or traffic patterns dictate. The AT&T1S04 provides the circuit switch and collision handling logic for implementing a 12-port, foursegment circuit switch when used in conjunction with the ATT1RX04. In addition, it provides all the miscellaneous board-level circuitry, including the reset circuitry, and board-level address decoding. The SEABREEZE also provides a board-level reset to the ATT1RX04s that can be controlled by software or hardware. The design allows for a 4-bit hardware configured board ID. The ATT1MX10 provides four/EEE 802.3 10 Mbits/s standard MACs and TP/AUI transceivers in a single 208pin SQFP package. With deep inter2-24 nal FIFOs and a high speed system interface, the ATT1MXlO is intended for Ethernet frame switching and multiport bridging and routing applications. The ATT1MXlO allows single-cycle DMA transfers directly to and from its internal transmit and receive FIFOs. The deep FIFOs enable storing multiple packets on-chip, retransmitting a packet after a collision, and rejecting runts before any DMA activity. The ATT1MX10 also contains extensive on-chip counters and registers. This enable system designers to easily keep track of network statistics required by network management standards. Features of the ATTIRX04 • High level of integration - Four independent repeaters - Four AUI/TP transceivers - Network management and security • Comprehensive network management - 32-bit counters -802.3K and RMON support • Security - Eavesdropping - Intrusion protection - Customizable security implementation • Demonstration hardware/software available Benefits of the ATTIRX04 • Reduce system cost and improve reliability • Provide superior system performance • Provide superior network management features in your system Features of the ATTIS04 • A circuit switch supporting 12 ports and providing independent connection to 1 of 4 segmented Ethernet segments • Supports an unlimited number of configurations • Integrates miscellaneous boardlevel circuitry to minimize other components Benefits of the ATTIS04 • Makes Switched Ethernet A BREEZE (SEABREEZE)and reduces time to market. Features and Benefits of the A1TIMXIO • Four 10 Mbit/s Ethernet transceivers and MACs integrated together with separate transmit and receive channel FIFOs and a DMA interface simplify the design of a frame-switching hub • Provides extensive network management capabilities that network administrators demand in today's hub equipment - There are nine per-channel transmit event counters and 19 per-channel receive event counters - The status of each packet received is appended to the packet for custom management implementations • A high-speed 32-bit system interface maximizes throughput on the system bus - Direct system interface to FIFOs allows single-cycle DMA operations at 25 MHz - Each channel proVides separate status and control leads for efficient memory management • Separate 128-byte deep transmit and receive FIFOs are provided on a per-channel basis • The chip complies with 802.3 by default, but also can be configured for optimal frame switching performance - Short preamble generation - Ignore transmit deferred - Immediate retransmission after collision - Selectable number of collision retries - Ignore SQE test after transmission • The CRC generator can be enable or disabled on a per-packet basis With hardware control. This allows passing preformatted packets at high speed • The ATI1MXlO can also be configured for full-duplex operation Applications Description Package Type Temp. Ranges Part No. o °C to +70°C TP Ethernet ATI1RX04 Four Managed Repeaters 132-pin BQFP for Circuit-Switched Ethernet o °C to +70°C TP Ethernet ATI1S04 Circuit Switch and Collision 132-pin BQFP Handling Logic for 12-Port, 4-Segment Ethernet Circuit Switching o °C to +70°C TP Ethernet 208-pin SQFP ATI1MX10 QuadMAC and Transceiver for Ethernet Frame Switching For additional information, call your AT&T Account Manager, your local distributor, or 1-800-372-2447 Ethernet Network Interface Card (NIC) Products The T7231 Local Area Network Protocol Assist Communications Engine with ROM Controller (JANPACER Controller) and the T7213 Dual Interface Station Chip (DISC) provide a two-chip solution for the implementation of IEEE 802.3 for an Ethernet attachment unit interface (AUI) and twisted-pair wire (lOBasen media. These two devices provide the complete solution for the PQXT/ATbus interface to the network media. Features • High level of integration - Integrated bus interface -Software medium selection -Software link-integrity control • 82586 software compatibility • Certified Novell and NDIS drivers • Flexible data structure • Support EEPROM/Flash • Printer error monitor Benefits • Minimal component count • High reliability • Low manufacturing cost • Small card applications • Laptop applications • Early to market Literature PN,DS PN,DS PN,DS • Low development cost • Easy to upgrade The T7220A Twisted-Pair Medium Attachment Unit (TPMAU2) simplifies the design and implementation of a minimal-part-count, cost-effective medium attachment unit (MAU) between an Ethernet attachment unit interface (AUI) and the twistedpair wire media. The T7220A TPMAU2 can also be used to implement the twisted-pair wire interface on an Ethernet computer network interface card. The T7220A TPMAU2 device requires a standard 5 V supply and consumes a maximum of 600 mW. 2-25 LAN ICs Features • Integrated TP and AU! drivers and receivers • AIl functions integrated on a single device • Integrated LED drivers • Autopolarity detection/correction extended wire length Benefits • Small adapter and transceiver applications • Early to market • Low development cost Part No. Description 17220A Twisted-Pair Medium Attachment Unit Dual Interface Station Chip 17213 17231 IEEE 802.3 LANPACER Controller • Special features for product differentiation • Proven performance, early to market • Superior network performance • Low jitter robust smart squelch accurate predistortion Package Type 28-pin SO] 28-pin DIP 28-pin, plastic DIP 28-pin, plastic SO] 132-pin, PQFP Temp. Ranges o °C to +70°C Application TP Ethernet Literature DS o °C to +70°C TP Ethernet DS, PN o °C to +70°C TP Ethernet DS, MN, PN For additional information, call your AT&T Account Manager, your local distributor, or 1-800-372-2447. FDOI Products The 17352 TPDDI Physical Layer Device (PHY) is a smgle-chip CMOS VLSI component that implements Cipher scramble/descramble functions of the FDDI twisted-pair PMD as defined by the ANSI X3T9.5, the complete fiber-distributed data· interface (FDDI) physical layer protocol, as well as the stream FDDI Committee. In the TPDDI mode, the 17352 in- serts the stream cipher scramble/ descramble function into the serial Part No. 17352 Description FDDI/TPDDI Physical Layer Device bit stream on the NRZ side of the NRZ <-> NRZI converters. In the FDDI mode, the 17352 provides the connection to the FDDI physical media dependent (PMD) interface, the media access controller (MAC), and the station management (SMT) ports. It monitors and controls the media line state, exchanging this information with the SMT layer. Benefits • Board space saving • Board cost saving • No need to run 125 MHz clock on the board • Reduced cooling requirements • Multiport concentrator possible • No external logic • No additional components • Provides additional ring management features Features • Single-chip device • Low power • Extended CMT support Package Type 84-pin PQFP Temp. Ranges Application FDDI For addItional information, call your AT&T Account Manager, your local distributor, or 1-800-372-2447. 2-26 Literature DS, PN 100 VG/l0Base-T MAC and System Interface • Network ready motherboards • Network analyzers and test equipment • Bridges and routers • Remotely managed hubs The ATT2MD01 is a CMOS Integrated Circuit containing all of the media access control (MAC), memory management, and EISA/ ISA system interface logic required for numerous 100VG-AnyLAN/ 10Base-T adapter card applications. The ATT2MDll and ATT2MD12 are CMOS Integrated Circuits containing all of the medIa access control (MAC), memory management, and PCI system interface logic required for numerous 1OOVG-AnyLAN/lOBase-T adapter card applications. Features • Supports IEEE 802.12 100VGAnyLAN specification • EEPROM interface enables easy implementation of jumperless products • Complete hardware/software demonstration kit available • ATT2MDOl supports software data structures that can be maintained across EISA slave, [SA slave, and EISA bus master implementations for both lOBase-T and 100VGAnyLAN • ATT2MD01 provides EISA bus compatibility for high performance Both the ATT2MD01 and ATT2MDll can be used in the following applications: • Adapter cards for PC applications • Workstations and file servers Part No. ATT2MD01 ATT2MDll ATT2MD12 Description 100VG/10Base-T MAC and EISA/ISA System Interface 100VG/10Base-T MAC and PCI System Interface 100VG/10Base-T MAC and PCI System Interface 100 VG/10Base-T Multiport Managed Repeater The ATT2R01 and ATT2R02 are CMOS integrated circuits that contain all the functionality necessary to implement a six-port managed 100VG-AnyLAN repeater with an optional uplink port. The ATT2R01 is designed to provide all the hub management and security features that have evolved with over four generations of lOBase-T devices. In addition, the ATT2R01 provides two levels of priority for time-sensitive applications like multimedia. • ATT2MD01 ISA bus compatibility addresses the embedded base • ATT2MD01 BootROM interface supports Remote Program Load (RPL) for EISA/ISA diskless workstations • ATT2MDll dual media access controllers (MACs) support 10/100 applications that allow for easy migration. • ATT2MDll provides PCI bus compatibility for high performance Benefits • • • • Minimal component count Low manufacturing cost Early to market Easy migration from 10 to 100 Mbits/s • High performance Package Type 208-pin BQFP Temp. Ranges o °C to +70°C Application Literature 100VG-AnyLAN DS, PN 160-pin QFP o °C to +70°C 100VG-AnyLAN DS, PN 160-pin QFP o °C to +70°C 100VG-AnyLAN DS, PN Everything is built in so the system designer can get to market very quickly with a secure, managed 100 Mbit 100VG-AnyLAN hub product. The ATT2ROl supports a wide variety of product offerings through the topologies supported, number of ports supported, style of hub, remote management capabilities, etc. • Provides extensive network management capability • Extensive built-in security • Promiscuous mode supports network analyzers • Board-level diagnostics simplify manufacturing tests • Complete hardware/software demonstration kit available Features Benefits • Supports IEEE 802.12 specifications • High level of integration allows for expansion with minimal external components • Supports many network configurations • Minimal component count • Reduce system cost and improve reliability • Early to market 2-27 LANICs Application Description Package Type Temp. Ranges o DC to +70 DC 208-pin BQFP 100VG-AnyLAN ATI2R01 100VG Multiport Managed Repeater o DC to +70 DC ATI2R02 208-pin BQFP 100VG-AnyLAN 100VG Multiport Managed Repeater For additional information, call your AT&T Account Manager, your local distributor, or 1-800-372-2447. Part No. 100 VG Quartet Signaling Transceiver The ATI2X01 and ATI2X02 are CMOS integrated circuits implementing the physical layer function of the proposed IEEE 802.12 standard for 100 Mbits/s data transmission in local area networks (LAN). The ATI2X01 implements the Quartet Signaling technology in a single integrated circuit, enabling reliable data transmission at 100 Mbits/s over voice-grade twisted-pair cabling. Part No. ATI2X01 ATI2X02 Description 100VG Quartet Signaling Transceiver 100VG Quartet Signaling Transceiver Clock Recovery Circuits When transmitting digital sIgnals, it is very important to determine the beginning and end of each bit position. One rather expensive approach is to provide a separate clock lead to synchronize transmitter and receiver. A more cost-effective approach is to provide synchronization by recovering the clock from the suitability encoded transmitted signal itself. The data is then synchronized to this recovered clock. The T7032 and T7035 perform this clock recovery and data retiming function. 2-28 The ATI2X01 is a versatile building block for 100VG-AnyLAN systems. Features • Supports IEEE 802.12 100VGAnyLAN specifications • Enables 100 Mbits/s transmission. over 10Base-T wiring • Complete implementation of the 100VG-AnyLAN Quartet Signaling Physical Layer function Literature DS, PN DS, PN Benefits • Early to market • Minimal component count Package Type 44-pin PLCC Temp. Ranges o DC to +70 DC Application 100VG-AnyLAN Literature DS, PN 44-pin PLCC o DC to +70 DC 100VG-AnyLAN DS, PN These two devices offer a broad rang~ of application flexibility, with the T7032 up to 52 MHz, and the T7035 between 47.7 MHz and 210.5 MHz. Features • Pin-programmable for 1 MHz to 210.5 MHz • Only one inexpensive 3.58 MHz crystal needed • Programmable frequency • Single 5 V supply • lOOK ECL compatible • Extended temperature range available. -40 DC to +85 DC Benefits • Flexibility, suitable for numerous applications -SONET -ATM - Fiber channel -ESCON -FDDI - Fiber or copper wire • Ease of design • Rugged Part No. Description Package Type Clock Recovery Clock Recovery and Data Retiming 20-pin, plastic DIP 17032 Circuit (1 MHz to 52 MHz) Clock Recovery and Data Retiming 44-pin PLCC 17035 Circuit (47.7 MHz to 210.5 MHz) Temp. Ranges Application Literature -40 °C to +85 °C Transmission DS, AP, TN -40 °C to +85°C Transmission DS, PN, TN For additional Information, call your AT&T Account Manager, your local distnbutor, or 1-800-372-2447. Coding Information T 7351B-F C Telecommunication! Generation Processing/Speed II ~ Package------------------~ Temperature ----------------' Generation A Second B Third, etc. Package Options B Nonhermetic, ceramic DIP C Hermetic, ceramic DIP D Cerdip E Small-outline ]-lead F Plastic quad flat pack (PQFP) G Small-outline gull wing J Nonhermetic, leadless chip carrier K Hermetic, leadless chip carrier L Hermetic, ceramic leaded chip carrier M Plastic, leaded chip carrier N Nonhermetic, ceramic pin array P Plastic DIP R Hermetic, ceramic pin array S Plastic pin array T Plastic, leadless chip carrier U Nonherrnetic, ceramic, leaded chip carrier W Chip in wafer form Temperature Options C 0 °C to 70°C E 0 °C to 85°C M Military L -40 °C to +85°C WIDE AREA NETWORK ICs ISDN Desktop ICs The ISDN market is currently experiencing dramatic growth. This expansion is fueled by end-users' desire to obtain high-speed interconnectivity to other remote users and to services such as Prodigy, Compuserve, and the Internet. AT&T-ME offers both two-wire U and four-wire SIT interface devices to support digital services. Package Type Temp. Ranges Literature 8-pin DIP 16-pin SOG 28-pin SO] 28-pin DIP 44-pin, PLCC 44-pin PLCC -40 °C to +85°C DS -40 °c to +85 °c DS, TN, BC,AP DS, PN OS, PN, TN, BC,AP LH1465 Description ISDN dc Terminator 17121 HDLC Interface for ISDN 17237 17250C 17254 U-Interface Transceiver Enhanced user-network interface for ISDN and proprietary terminal endpoints Quad SIT Transceiver 68-pin PLCC o °C to 70°C AP,DS,BC 17256 Single-Chip NT1 44-pin PLCC -40 °C to +85 °C 17259 SBus Dual Basic Rate (DBRI) Transceiver 132-pin, ]EDEC PQFP o °c to 70 DC DS, PN, MN, BC DS, BC 17264 U-Interface 2BIQ Transceiver 44-pin PLCC -40 DC to +85 DC DS, TN, BC 17270 Time-slot Interchanger 48-pin PLCC -40 DC to +85 DC DS, TN, AP 17501 PCM CODEC 18-pin DIP 20-pin SO] o DC to 70 DC DS 17540 Speaker Phone CODEC 40-pin DIP 44-pin PLCC o DC to 70 DC DS 17901 ISA Single-Port Wide Area Connection (ISA-SWAC) Device 132-pin BQFP o DC to 70 DC DS, PN 17903 ISA Muliport Wide Area Connection (ISA-MWAC) Device 132-pin BQFP o DC to 70 DC PN, DS, MN Part No. 2-30 -40°C to +85 °c o °C to 70°C Analog Line Card (Line Interface) Solutions Test Access R Q Ring Generator B 'P! r-- p r 0 0 I--I--- w t i t c t h i e s 0 C Electronic Battery Feed S e c SJH Codec S y s R T Balance Networks Clock m n '--- r- Part No. LB1201A ATTL7591 Package DIP SONB DIP or SONB 8-Pin 8-Pin 8-Pin 8-Pin B r u s Control ) Test Access Analog Line Card products provide all of the funcions to provide Plain Old Telephone Service (POTS) in applications such as: • ISDN + POTS • Modem + POTS • FITL (Fiber-In-The-Loop) • HFC (Hybrid-Fiber/Coax) • DAML (Digitally Added Main Line) • PBX (Private Branch Exchange) • Central Office t e These products provide the functions required by POTS, called BORSCHT, which stands for: B = Battery Feed 0= Overvoltage Protection R = Ringing S = Supervision C = Codec H= Hybrid T = Testing Lightning ±12.5 A, 1Q1J.S x 1001J.S ±18.5 A, 101J.S x 1601J.S ±30 A, 101J.S x 10001J.S ±40 A, 51J.S x 3201J.S ±80 A, 21J.S x 101J.S If you look at the preceding diagram, you will see which products provide which function. And now, the products ... Protection • Protect the SLIC (Subrscriber Loop Interface Chip) from lightning or power crosses. Power Cross 1 Arms, 60 Hz, 25 ms 6 Arms, 60 Hz, 25 ms 3.5 Apeak, 50 to 60 Hz, 15 ms 5.0 Apeak, 50 to 60 Hz, 10 ms Application Note: 1. Overvoltage Protection of Solid-State Subscriber Loop Circuits. 2-31 WIDE AREA NETWORK les SliC (Subscriber Line Interface Circuit) • Short loop to long loop capability! • Low power--cuts power to the ph'!sones! • Thermal protection • Select from low cost to high balance to low power Selection Guide Low Cost Feature or Specification ATT Tip Open (Ground Start) State Feedback Guaranteed Balance (58 dB) Spare Op Amp Package Demo Board Design Software " ATT ATT " .. ATT .. 217 260 115 175 165 125 Auto Control 125 150 155 NA NA 76 NA NA ~ Control NA ATT ATT .. ;0 ;0 135 182 NA 76 ;0 " ;0 ;0 8 DIP 44 PLCC 24 DIP 24 DIP ;0 ;0 ;0 'Availability. Application Notes: 1. Using the LB1276 sue 2. Using the LB1356 High-Balance sue 3. Ground-Start Applications Using the LB1356 sue 4. Using the Low-Power sue Evaluation Board 5. Low-Power sue Application Examples 2-32 ATT LBI011 LB1276 LB1356 L7551 L7553 L7554 L7556 L7557 L7561 L7564 Quiet Polarity Reversal Switching Regulator Auxiliary Battery Input with Switching On-hook Transmission (mW) Pdiss at VBAT = --48 V Pdiss Low-Power Scan State (mW) Low Power High Balance " ;0 " ;0 ;0 ;0 ;0 " 44 PLCC 44 PLCC 44 PLCC 32 PLCC 32 PLCC 44 PLCC 44 PLCC 24 DIP ;0 " ;0 .. ;0 .. .. " ;0 " Switching Line Card Access Switches (LCAS) • Replace electromechanical relays on line cards (POTS Cards) • No impulse noise • No "Zero Cross" circuitry required • Small packages Function ATIL7580 Power Ringing Access ATIL7581 Power Ringing Access ATIL7582 Power Ringing Access Line Access 3 - 2 Form C ATIL7583A/B 24 DIP/28 SOG Power Ringing Access, Test in Access 28 SOG (Independent Logic Inputs) ATIL7583C/D 3 - 2 Form C A VersIon - Includes diode bridge/SER clamping circuit for fault protection. B VersIon - Includes diode bridge protection only. eVersion - Same as A above, but includes an additional logic state. D Version - Same as B above, but mcludes an additional logic state. Part No. Form 1-2 Form C 1 - 2 Form C 2 - 2 Form C Package 16 SOG 16 DIP/SOG 16 DIP/SOG Power Supplies Required Power Dissipation +5 V +5 V +5 V 3mW 3mW 3mW +5 V 3mW +5 V 3mW Application Notes: 1. Introduction to AT&T Line Card Access Switches 2. Switching Behavior of AT&T Line Card Access Switches 3. Impulse Noise and the AT&T ATTL758X Series of Line Card Accesss Switches Reference Designs for POTS Applications Design 1: Contains: ATTL7551 SLIC T7504 Quad CODEC ATTL7581 Switch For good resistive termination Design 2: Contains: ATTL7554 SLIC T7504 Quad CODEC ATTL7583 Switch For complex termination Design 3: Contains: ATTL7590 Ring Generator Ringing Circuits ATfL7590 Ring Generator • Applies a battery-backed, singleended, sinusoidal ringing signal to the telephone loop • Ringing capability: -40 Vrms into 5 North American REN - 30 mApeak into 4 German REN • Built-in current limiting • Low power dissipation in idle and ringing modes • Needs only +5 V, a high-value negative dc supply, and a digital input sequence ATfL7596A Integrated sue/Ring Generator • Ultralow-power short-loop line interface • Integrated constant current battelY feed plus ring generator • +5 V and --48 V battery operation • Supervision function included • Transformer-based • No ring relay required • Battery switch included Design 4: Contains: ATTL7551 SLIC T7504 Quad CO DEC ATTL7582 Switch For complex termination 2-33 WIDE AREA NETWORK ICs CODEC (Coder/Decoder) • 1, 2, or 4 channels per device • Single +5 V supply • High immunity to latch-up No. of Channels Package Size Power Supply Clock Frequency (MHz) TemE· Ran3e ("C) ComEandin3 (jl/A-law) Programmable Gain Programmable Hybrid Balance Latches Second Source Demo Board Typ Active Power (9 mW) T7502 T7503 T7504 T754S T7570 2 20 SO] +5 V 2 or 4 -40 to +85 A-law Ext. Restrs. No 2 20 SO] +5 V 2 or 4 -40 to +85 Il-law Ext. Restrs. No 4 20 DIP/PLCC +5 V 2 or 4 -40 to +85 Selectable Ext. Restrs. No 1 20 PLCC ±5V 0.512 o to +85 Selectable Yes Switches 20 PLCC +5 V 2or4 -40 to +85 Selectable Yes Yes No No Yes 37 No No Yes 37 No No Yes 65 No Yes No 6 Yes No 72 Application Notes: 1. Using the T7570 Single-Supply CODEC 2. T7570 Coefficient Selection Software T7504 - Quad CODEC • • • Analog Line Card SUC Relays Protection SUC Relays Protection 2-34 - - - Rx T7504 CODEC SUC Relays .-''--/'"---'''---'''-l Protection ' - -_ _ _---I SUC Relays Protection Four Analog Telephones vvv --Tx • First-generation CODEC features (Combo I) • Four channels • 28 PLCC package • +5 V only Part Number System for Protector/LCAS/SUC Products AT T L XXX X 9101112 13 ~ Temperature Range -Alpha Designator to Indicate Temperature Class Blank = Commercial (0 to 70°C) or Not Specified A = Industrial (-40°C to +85°C) B = Military (-55°C to +125°C) ' - - - - - Package or Wafer Vanation - Numeric Indicator, May Be Blank 1_-"-_ _ _ _ _ Package Configuration - Alpha Designator (See Below) ' - - - - - - - - - Electrical or Family Variation - Alpha Designator (A, B, etc.) ' - ' - ' - - - ' - - - - - - - - - Device Identifier - Numeric Designator Package Configuration (position 10,11 above) Alpha designators for package variations. B = 8-Pin DIP C = 16-Pin DIP F = 24-Pin DIP P = 44-Pin PLCC S = 8-Pin SONB AE = 16-Pin SaG AJ = 28-Pin SaG AU = 32-Pin PLCC Part Number System for CODECs T - 34567 - 91011 I1L_T________ l n Temperature Range _ Alpha Designator Package Type - Alpha Designator ' - - - - - - - - - Performance/Speed Indicator (Numeric 1-9) ' - - - - - - - - - - - Generation - Alpha Designator ,--'-'---'------------- Device Part Number - Numeric Designator Temperature Ranges C = 0 °C to 70°C (Commercial) E = 0 °C to 85°C (Extended) L = -40°C to +85°C (Outside Plant/Loop) Package Types E = SO] M = Plastic, Leaded Chip Carrier P = Plastic DIP 2-35 WIDE AREA NETWORK les Transmission ICs AT&T-ME's Transmission ICs group designs and manufactures integrated circuit solutions for copper-based data and voice transmission in the range of 1,544,000 bits per second (1,5 Mbits/s) to 51,840,000 bits per second (51.84 Mbits/s). AT&T Microelectronics' transmission IC solutions target SONET/SDH, DS3/E3, and DS1/E1 applications, which include multiplexers, digital access cross-connect systems (DACS), channel service unit (CSU) and digital service unit (DSU) equipment, channel banks, remote wireless modules, and PBX interfaces. Part No. T7115A T7230 T7274B T7275C T7281 T7288 T7289A T7290A T7295-1 T7295-6 T7296 T7630 Wide area network routing and signaling via X.25 or LAPD protocol applications are facilitated by AT&TME's high-level data link control (HDLC) devices. Providing 24 or 32 channels, these devices perform HDLC functionality for both domestic and international applications. For those applications requiring data compression, AT&T-ME's adaptive delta pulse code modulation (ADPCM) transcoders provide variable bit rates and are compliant with ANSI T1.301-1987 and ITU-T Recommendations G.721 and G.726. Description 32/34 Channel Synchronous Protocol Data Formatter Primary Access Framer/Controller Quad Differential Line Driver Quad Differential Line Receiver 16-Channel ADPCM CEPT Line Interface DS1 Line Interface DS1/Tl/eEPT E3 Integrated Line Receiver DS3/S0NET STS-1 Line Receiver DS3/E3 SONET STS-1 Transmitter Dual T1/E1 Line Interface and Framer plus HDLC Data Line Access Dual CEPT Primary Access Framer 3 V Quad DS1/E1 Line Interface Interdevice communication in the AT&T solution is accomplished via a full-duplex, serial time-division-multiplexed (TDM) concentration highway interface (CHI). AT&T-ME manufactures a single-chip solution that interfaces four TDM-CHIs able to switch data in both the time and space domain over any CHI, while maintaining frame integrity for wide band data/video applications or reducing the data latency for voice applications. Applications requiring cross-connect functionality can interconnect these CHI controllers to produce switching matrixes of varying sizes. Package Type 64-pin PLec Temp. Ranges -40 DC to +85 DC Literature DS, AP 68-pin PLce 16-pin DIP 16-pin SO] 16-pin DIP 16-pin SO] 44-pin PLeC, 24-pin DIP -40 DC to +85 DC -40 DC to +85 DC DS, PN DS -40 DC to +85 DC DS o DC to 7ifC DS, AP 28-pin DIP, 28-pin SO] -40 DC to +85 DC DS 28-pin 28-pin 20-pin 20-pin 28-pin 84-pin -40 DC -40 DC -40 DC -40 DC -40 DC -40 DC DS DS DS DS DS PN DIP, 28-pin DIP, 28-pin DIP, 20-pin DIP, 20-pin DIP, 28-pin PQFP SO] SO] SO] SO] SO] to to to to to to +85 DC +85 DC +85 DC +85 DC +85 DC +85 DC -40 DC to +85 DC -40 DC to +85 DC For additional information, call your AT&T Account Manager, your local distributor, or 1-800-372-2447. T7632 T7690/93 2-36 84-pin PQFP 100-pin BQFP DS, PN DS, PN, MN Access ICs Broadband access integrated circuits create the communications link between the optical network unit (ONU) and the terminal device within a customer'S premises [commonly referred to as customer premises equipment (CPE)] found in a fiber -to-the-curb (FITC)/ switcheddigital video (SDV) system. AT&TME's switched-digital video solution provides a quantum leap forward in supplying cost-effective broadband access to the home and office. AT&T-ME's circuits in these systems provide the following functions: • ONU -Framing - Line interface - Line driving • Set-top functions - Line driving - Line interface - Transmission convergence - Segmentation and Reassembly (SAR) -ATM Adaptation Layer 5 (AAL5) Description Package Type Temp. Ranges o °c to +70°C 16-CAP Receiver 84-pin PLCC 84-pin PLCC o °c to +70°C Analog Front-end and QPSK Transmitter Transmission Convergence plus 100-pin MQFP o °c to +70°C 17662 SAR/AAL Framer Quad 16-CAP Transmitter 84-pin PLCC -40 °c to +85 °C 17664 -40 °c to +85°C Quad QPSK Receiver 84-pin PLCC 17665 -40 °c to +85 °C Transmission Convergence Framer 128-pin PQFP 17666 For additional information, call your AT&T Account Manager, your local distributor, or 1-800-372-2447. Part No. 17660 17661 Video RF Components Video RF components are broadband RF communication interface ICs for voice/data/video transmission over fiber, coax, and satellite. ATfV4910 RF Transmitter Applications • Hybrid fiber/coax network interface device (NID) Description The ATTV4910 is a silicon integrated transmitter. It consists of a five-stage AGC amplifier section followed by a differential line driver. The AGC has 26 dB of gain control in 1 dB steps. The gain is controlled by 5 TTLI CMOS compatible inputs. The line driver can provide up to 200 mA of current from a 5 V supply. The ATTV4910 is designed to operate from -40 °c to +85°C and is available in a 24-pin SO] package. Features • Minimum Pout = 17.5 dB, 5 MHz to 40 MHz, @ R(A + B - C) ;:: 24 dBc • 5 MHz to 60 MHz small signal bandwidth, Pout ~ 11 dBm, R(A + B - C);:: 38 dBc • Typical dc power consumption: - Active mode 385 mW - Sleep mode 15 mW • Very fast switching times between active and sleep mode: <300 ns • 26 dB gain range, TTL or CMOS controlled ATfV5002 Dual Video Cable Driver Applications • Driving unshielded twisted pairs -ATM LAN UTP -FTTC UTP • 50 Q or 75 Q cable driver Literature DS, PN DS, PN DS, PN DS, PN DS, PN DS, PN Description The ATTV5002 is a 150 mA, 240 MHz, unity gain stable (up to 10 pF capacitive load over process and temperature variations at Av = +1 and stable for any CLat Av = +3/-2), dual operational amplifier designed specifically for those applications where stable, highspeed, large current driving capabilities are essential. The ATTV5002 utilizes a voltage feedback architecture to achieve input biasing currents of 300 nA and a high input impedance of 8 Mn. In addition, the ATTV5002 is set to a modest gain of 50 dB for a maximum range of stable operation, and gain flatness of less than 1 dB up to 30 MHz while maintaining a slew rate of 500 V/~. These features give the ATTV5002 the ability to drive over 150 mA (6 V peak to peak) into a transmission line with minimum distortion for pure mono- 2-37 WIDE AREA NETWORK les tone signals and less than 10% ringing for pulse signals. Also, with a standby flow-through current in excess of 1 rnA in its output stage, the ATTV5002 provides linear amplification of less than 1% from 6 m V to 6 V. The dual amplifiers are exact mirrors of each other with individual power supply honding pads that provide optimized matching and isolation. The ATTV5002 can operate on either single or dual power supplies with a range of 5 V to 12 V, requiring 2 V of head room in each direction. 0 A1TV5006 Dual Video Cable Driver Applications • Driving unshielded twisted pairs -ATM LAN UfP - FTIC UTP • 50 n to 75 n cable driver Description The ATTV5006 is a 150 rnA, 340 MHz, dual operational amplifier designed specifically for those applications where stable, high-speed, large current driving capabilities are essential. Features The ATTV5002 is a low-cost dual video operational amplifier optimized for applications requiring high output drive capability, such as unshielded twisted pairs in a telephony or data communications environment from --40°C to +85 dc. • Output peak current in excess of 150 rnA • Can drive any capacitive load for Av = +3/-2 • Unity gain stable up to 10 pF loads • Output swing to within 2 V of either supply • Gain flatness <1 dB, dc to 30 MHz CAv = +3) • Slew rate of 500 V/f..IS • Dual or single power supply up to 12 V • Quiescent current varies by <2% from --40 DC to +85 DC 2-38 The ATTV5006 utilizes a voltage feedback architecture to achieve input biasing currents of 300 nA and a high input impedance of 8 Mil. In addition, the ATTV5006 is set to a modest gain of 60 dB for a maximum range of stable operation, and gain flatness of less than 1 dB up to 30 MHz while maintaining a slew rate of 500 V;f.ts. These features give the ATTV5006 the ability to drive over 150 rnA C6 V peak to peak) into a transmission line with minimum distortion for pure monotone signals and less than 10% ringing for pulse signals. Also, with a standby flowthrough current in excess of 1 rnA in its output stage, the ATTV5006 provides linear amplification of less than 1% from 6 m V to 6 V. The dual amplifiers are exact mirrors of each other with individual power supply bonding pads that provide optimized matching and isolation. The ATTV5006 can operate on either single or dual power supplies with a range of 5 V to 12 V, requiring 2 V of head room in each direction. Features The ATTV5006 is a low-cost dual video operational amplifier optimized for applications requiring high output drive capability, such as unshielded twisted pairs in a telephony or data communications environment form O°C to +70 DC. • Output peak current in excess of 150 mA • Can drive any capacitive load for Av = +7/-6 • Output swing to within 2 V of either supply • Gain flatness <1 dB, dc to 30 MHz CAv = +7) • Slew rate of 500 V/f..IS • Dual or single power supply up to 12 V • Quiescent current varies by <2% from 0 DC to +70 °C High-Frequency Gallium Arsenide (GaAs) The High-Frequency GaAs rcs listed here with the associated evaluation fixtures, were introduced in 1990. They were designed for SONET compatibility at the OC-48 data rate of 2.488 Gbits/s. These devices have now been in production for over five years. Our clock and data regenerator at the OC-96 data rate of 4.977 Gbits/s has been in production for two years. Customer requests have resulted in clock and data regenerators in production that are at non standard OC rates. Our clock and data regenerator can be factory tuned to any data rate from 450 Mbits/s to 5.5 Gbits/s, for those special needs. New products for 1996, at 10 Gbits/s, are now in development. Samples will be available at the beginning of 1996. Block Diagram of a Fiber-Optic Regenerator LG1608 PLL Solution LG1605 LG1600 I-I----i~ Data In 0 m Data Oul L-_ _......l ' - - - -.... Clock Oul High-Frequency Products Part No. LG1600FXH (any data rate) LG1600FXH5332 LG 1600FXH4977 LG 1600FXH2488 LG1600FXH1244 LG1600FXH1062 LG1600FXH0622 LG1605DXB LG 1605DXB-TR16 LX1608DXF TFI003C TFlOO4A TFI006A Description Clock and Data Regenerator Clock and Data Regenerator Clock and Data Regenerator Clock and Data Regenerator Clock and Data Regenerator Clock and Data Regenerator Clock and Data Regenerator Limiting Amplifier Limiting Amplifier Laser Driver Evaluation Fixture for LG1605DXB Evaluation Fixture for LG 1600FXH Evaluation Fixture for LG 1608DXF Type Data Rate Literature 68-lead package 68-lead package 68-lead package 68-lead package 68-lead package 68-lead package 68-lead package 16-lead package 16-lead package on tape and reel 24-lead package up to 5.5 Gbits/s 5332 Mbits/s 4977 Mbits/s 2488 Mbits/s 1244 Mbits/s 1062 Mbits/s 622 Mbits/s 2488 Mbits/s 2488 Mbits/s DS DS DS DS DS DS DS DS DS 2488 Mbits/s DS DS DS DS 2-39 FOUNDRY AT&T Bipolar Foundry The AT&T Bipolar Foundry organization is one in which customer input can be anything from an idea to ready masks. Our foundry is a recognized major supplier of a wide variety of high-performance bipolar technologies that span a broad analog/mixed-signal application base including products designed for interface circuitry, network computing, telecom, instrumentation/ATE, and video/RF. The proven manufacturability of our foundry technologies is complemented nicely with a full range of development and manufacturing services (summarized in table at right) performed by our technical and management staff. Additionally, AT&T utilizes their design ingenuity to offer versatile design solutions that result in highly integrated customer solutions leading to substantial cost savings and higher system performance. Also, we support commercially available PC and workstation based design tools. Technology Offerings AT&T provides a diverse offering of high-performance bipolar technologies that can be utilized to provide system solutions to our customers. Several of AT&T's bipolar technologies are offered in both custom or semicustom design options in which either the customer or AT&T can provide the design and layout. The foundry technologies, manufactured in world-class cleanroom facilities, fall into two major groups. First, there is the Complementary Bipolar Integrated Circuit (CBIC) consisting of CBIC-R, CBIC-U2, and CBIC-V2 technologies. They provide vertical PNPs as well as vertical NPNs having fy/BVceos ranging from 250 MHz/33 V to 10 GHz/10 V, respectively. 2-40 Bipolar Foundry Services Development Services Customer Training IC Electrical Design Test Development Ie Layout and Mask Tooling Prototype Wafer Fabrication Prototype Packaging and Test Prototype Evaluation Production Services Wafer Fabrication Wafer Probe Product Packaging and Test Product Engineering For additional information, call your AT&T Account Manager, your local distributor, or 1-800-372-2447. The second group of foundry technologies, ideal for data conversion products, was designed for ultrafast NPN, ECL-type digital and analog UHF!VHF communications. This is our Bipolar Enhanced Super Self- Aligned Technology (BESTl) which offers a typical fy of 14 GHz and a minimum BVceo of 5.5 V. A technology overview and a summary of dc and ac device characteristics are shown on page 2-41. Analog/Mixed-Signal Bipolar Technology Overview Technology Overview General-purpose 33 v, 250 MHz, moderate-speed complementary bipolar technology for analogi mixed-signal applications. CBIC-R is the most mature junction-isolated complementary bipolar technology available with over 15 years of manufacturing experience. CBIC-R technology is also offered through the ALA-400 family of semicustom linear arrays. High-performance 12 V, 4 GHz, high-speed complementary bipolar technologies for wideband or CBIC-U!U2 low-power analog/mixed-signal applications. Since CBIC-U's introduction to the marketplace and CBIC-U2's introduction in 1992, many customers have used these technologies to introduce leading-edge products targeted in video!consumer, industrial, instrumentation, and data/telecommunications markets. CBIC-U's semicustom products are available in the ALA-200 family of arrays. Very high-performance 10 V, 10.2 GHz, complementary bipolar technologies that can be CBIC/V2 utilized for very high-speed or ultralow-power analog/mixed-signal applications. CBICN2 is the highest-speed side wall oxide isolated complementary bipolar technologies in manufacture. CBIC-V2 was introduced into manufacture in 1989. The ALA-110 family supports semicustom designs III CBIC-V2. BEST-! Offers a nonoverlapping, super self-aligned, oxide isolated NPN transistor capable of a 5.5 V minimum BVceo, and a typical fT of 14 GHz. BEST-1 has been in production since 1989, and is ideal for ultrahigh-speed lower power consumption mixed-signal applications. BEST-1 is utilized for applications such as video driver distribution circuitry, high-speed data communications, and high-speed data conversion. ECL prop delays of 87 ps at a power level of 2 mW per gate have been obtained. BEST-1 semicustom solutions are available in the BE1000, BE2000, and BE4000 gate arrays. For additional information, call your AT&T Account Manager, your local distributor, or 1-800-372-2447. CBIC-R Technology Characteristics Parameter BVceo (min) NPN PNP fT (typ) NPN PNP hFE (typ) NPN PNP C]C (1 x typ) NPN PNP Interconnect Resistors CBIC-R CBIC-U2 CBIC-V2 BEST-! Unit 33 33 12 11 10 10 5.5 6' V 250 MHz 250 MHz 3.5 GHz 2.7 GHz 10.2 GHz 43 GHz 14 GHz 85 110 125 35 70 40 100 4' 220 340 2LM Ti-Pt Ti-Pt-Au 200 & 2000 (implanted) 300 0.4 5.0 40 60 2LM Ti-Pt-Au Ti-Pt-Au 50 & 1080 (implanted) 300 0.34 1.5 30 50 2 LM Ti-Pt-Au Ti-Pt-Au 80 & 1880 (implanted) 300 0.22 1.5 9 V fF fF 3 LM + Poly Ti-TiN-Al-TiN 565 (Poly) Trimmed Resistors 1.62 (MaS) Capacitors Min. Feature Size 1.5 'Lateral PNP. For additional information, call your AT&T Account Manager, your local distributor, or 1-800-372-2447 Q/sq. Q/sq. fF/~m2 (MNOS) ~m 2-41 FOUNDRY Flexible Design Options Customer/AT&T IC Product Development (Custom or Semicustom) Table at the right covers the range of customer/AT&T partnership arrangements that might be followed once masks and wafers have been produced. Development begins, given customer-specified product performance requirements, with AT&T serving as technology consultants. As development commences, the division of customer/AT&T responsibility depends upon customer choice. For example, the customer might finish design through layout and finish with option 1 in table at the right, leaving AT&T only responsible for mask and wafer fabrication. Or the customer may require a turnkey solution, finished as option 6. Deliverables in options 1, 2, and 3 are either wafers or die. Furthermore, the product may be either a custom or semicustom Ie. The semicustom product offerings and component summaries are summarized in the following three tables. The essential feature of this supported IC development procedure is that it is flexible in meeting customer needs. Foundry Options Manufacturing Steps Customer Options" 1 2 Analog/Mixed-Signal Design Options AT&T design capabilities offer highly integrated solutions resulting in substantial savings in costs along with higher system performance. This is achieved by utilizing either AT&T full-custom or semicustom design alternatives. Full Custom Full custom is the customizing of component types, values placement, and interconnect and requires a complete mask set. An extensive, characterized library of transistors, Analog/Mixed-Signal Product Offering Product Semicustom CBIC-R AIA-401 AIA-402 CBIC-U AIA-201 AIA-202 AIA-21O Technology CBIC-U2 CBIC-V2 AIA-110 BEST-l BE1000 BE2000 BE4000 ~ ~ ~ Full Custom ~ For additional information, call your AT&T Account Manager, your local distributor, or 1-800-372-2447. 2-42 3 4 5 Mask Fab Wafer Fab A A A A A Visual Inspection Wafer Probe A A A A C Dicing A A C C A Package Assembly C C C A A Package Test C C C C A Qualification C C C C C • Symbol key: C: Customer A: AT&T For additional information, call your AT&T Account Manager, your local or 1-800-372-2447. 6 A A A A A A distributor, resistors, and capacitors is provided, which enables the designer to optimize the performance for a given application at minimal risk. Semicustom Semicustom is dies consisting of a standardized set of prepositioned components. Wafers are held in inventory prior to metallization. The interconnection is customized for each design, resulting in fewer masks, lower NRE, and a shorter processing interval than a full-custom design. Deliverables can be either tested or untested die, packages, or wafers. The following is a general summary of the number and type of components available on the various linear array prodlIctS. For more detailed information, please request the appropriate data sheet. Semicustom Product Component Summary Product ALA-110 ALA-201 ALA-202 ALA-210 ALA-401 ALA-402 Voltage (V) 10 12 12 12 33 33 Complementary Transistors NPN PNP 41 51 68 43 86 136 37 37 61 61 104 104 Resistors 282 480 960 104 434 744 Bonding Pads 16 36 48 16 38 46 Capacitors 14 21 38 6 7 12 The following is a summary of the BEST-1 gate-array complexities available. The number of equivalent gates is calculated based on a gate multiplier of four transistors per gate. Semicustom Gate-Array Complexity Options Product BE1000 BE2000 BE4000 voltage 5.5 V 5.5 V 5.5 v Equivalent Gates 1048 2780 4196 Internal Cells 182 484 728 J!OBufJer CeDs 48 92 108 Fixed Power &GNDPads Equivalent Gates (D Flip-Flop with Clear) Equivalent Gates (I-Bit Full Adder) 32 38 38 728 1936 2912 1001 2660 4004 For additional informatton, call your AT&T Account Manager, your local distnbutor, or 1-800-372-2447 CAD Support As circuits become more complex, computer-aided design (CAD) tools become more important to the successful completion of a design. AT&T Microelectronics supports a variety of commercially available PC and workstation based design tools. A description of the supported tools is offered below. Training in the use of any of these tools will be provided at a nominal fee. Circuit Simulation SPICE SPICE is the primary circuit analysis tool used by analog designers. There are several versions available. AT&T Microelectronics provides transistor models compatible with SPICE 2G6. The resistor and capacitor models supplied are compatible with any simulator that allows models to be specified. In addition, some enhanced subcircuit models are available for use with MicroSim's PSPICE, version 4.01 or later. AOVICP AT&T's own circuit simulator, ADVICE (a SPICE derivative), is available for use on Sun Workstation. It features an extended fourterminal bipolar transistors model that covers parasitic transistor behavior, operation in the quasi-saturation region, both interactive and batch execution, parameterized subcircuits, design centering, userdefinable models, and procedural simulation. AT&T Microelectronics licenses the ADVICE simulation tool and will provide training for a fee. Schematic Capture AT&T Microelectronics provides a library and software support for Viewdraw from Viewlogic Corporation and the schematic capture tool in the Cadence Design Systems' Analog Artist environment. 2-43 FOUNDRY Layout Verification AT&T Microelectronics supports cell-based layout libraries for a number of layout editors available for both PC and Sun Workstation platforms. A library of CBIC primitive cells is available in GDS II Stream format. Layout verification using both AT&T and other commercial tools is supported on PC and Sun Workstation platforms. Analog Artist Layout The polygon layout editor in Analog Artist is supported with CBIC cell libraries written in Analog Artist database format. Also supplied are parameterized cells for generating valid resistor cells with desired values. 2-44 Analog Artist The verification tools PDcheck, PDextract, ERC, and PDcompare are fully supported for design mle checking, layout connectivity and parasitic capacitor extraction, electrical mle checking, and layout versus schematic checking, respectively. Dracula A library of command files for Cadence Design Systems' Dracula is available to enable design mle checking, layout connectivity and parasitic capacitor extraction, electrical mle checking, and layout versus schematic checking for layouts. Dielectrically Isolated Wafers AT&T also provides an applicationspecific wafer service wherein we prepare dielectrically isolated (DO wafers for customers to finish processing in their own fab lines. In this mode, we are currently fabricating DI wafers for a variety of highvoltage and high-performance bipolar technologies. Bonded Silicon on Insulator (SOl) Wafer be achieved through wafer bonding. High-volume processes are used to meet your production needs. AT&TME's bonding process was developed in conjunction with AT&T Bell Laboratories. Customized Bonded Wafer Process Silicon wafer bonding enables two wafers with different properties to be united by an attractive force. Sophisticated substrates are created with specific properties for a given process. Features • Handles like bulk silicon • High bond strength The bonded wafer process consists of the following steps: Specifications 1. Two wafers are welded together • Diameter: - 100 mm and 125 mm -150mm • Orientation: <100> or <111> Description AT&T Microelectronics provides high-performance silicon materials to OEM customers. Process enhancements are made possible by the substrate properties which can at room temperature. 2. Bond integrity is verified by infrared interference inspection. This step ensures that no voids are present following the initial bonding process. 3. The bonded wafers are annealed at high temperature to increase bonding strength. 4. The device layer is thinned to the appropriate thickness by grinding and polishing. SOl Wafer Physical Characteristics Wafer Type Device Layer Thickness Thick SOl Thin SOl Ultrathin SOl 10 1J.ffi-150 IJ.ffi 21J.ffi-101J.ffi 0.G71J.ffi-21J.ffi Device Layer Thickness Variation ±150/0 ±o.51J.ffi ±o.021J.ffi Insulating Oxide Thickness Total Wafer TIV 0.41J.ffi-41J.ffi 0.41J.ffi-41J.ffi 0.11J.ffi-21J.ffi <10 IJ.ffi 31J.ffi 31J.ffi For additional information, call your AT&T Account Manager, your local distributor, or 1-800-372-2447. 2-45 CUSTOM PRINTED-CIRCUIT BOARDS AND BACKPLANES AT&T Microelectronics' high-density, multilayer printed-circuit boards (PC boards) offer high levels of precision and performance for applications up to 22 layers and standard line widths and spaces down to 5 mils. Standard high-density capabilities include line width and spacmg to 0.005 inches and drilled hole size to 0.0135 inches with 0.025-inch lands on external layers. Most models are available in five days--quality-tested and ready for your system testing. Choose from conventional plated holes, or select buried microvias to conserve surface area when using surface-mount components extensively All PC boards are UL-approved, and meet both Bellcore and IPC specifications. In addition, they're thoroughly electrically tested and inspected before being shipped to you. AT&T's backplanes are available with your choice of components. We will assemble your backplane design with connectors on standard or metric grids, withFastech® or other pins, and with passive and/or active components. Large sizes up to 24 inches x 24 inches are available. All backplanes are electrlcally tested, including level III testing when active components are part of your design. AT&T Microelectronics' PC boards are custom double-sided rigid and multilayer and are available in these substrates: • FR4 • BT (bismaleimide triaZine) • Materials for lower dielectric constant applications Surface finishes include solder mask over bare copper with hot-air solder leveling, plus several alternative solder masks. Additional features include: • Up to 22 layers for interconnection density • Surface-mount technology • Standard via, blind via, and buried microvia technologies For additional mformation, call your AT&T Account Manager, or call 1-800-372-2447. Total quality control (TQC) and statistical process control (SPC) programs are combined with full electrical testing to help produce reliable, defect-free boards. Personalized service from dedicated field engineers is available to all customers who wish support in the early design phases through volume production. 3-1 OPTOELECTRONICS AT&T Microelectronics is the world's largest supplier of components and subsystems for fiber-optic communications. AT&T Optoelectronics SBU addresses the telecommunications and network computing markets. For these applications, AT&T is offering laser and detector components, transmitters, receivers, lithium niobate modulators, and advanced technology erbium-doped fiber amplifiers. We have a long history of supplying state-of-the-art products for the telecommunications market. Applications range from Fiber-to-the-Home (FTTH), to SO NET, to 2.5 Gbits/s long-haul transmission, to undersea. AT&T is also a leading player in the CATV and emerging microcellular markets. AT&T's systems-level testing allows customers to repurchase fully characterized devices. Testing includes NTSC, PAL, and cellular frequency plans. In addition to leading-edge products, AT&T Optoelectronics SBU brings years of experience to the photonics industry. Drawing on the strength of Bell Laboratories, we offer outstanding technical support. With our large commitment to fiber optics, AT&T will enable you to take advantage of leading-edge products that enable you to get to the market sooner. Components Device Type Part No_ 2612AA Modulators 2613AA 2622AA 2623AA 2624AA 2410C 2420C Photodetectors InGaAs APDs 126A 126B 126c 127A 127A1 127B 127B1 127C 127C1 Description 1.3 J.1m 4 GHz Bandwidth 1.3 J.1m 8 GHz Bandwidth 1.55 J.1m 4 GHz Bandwidth 1.55 J.1m 8 GHz Bandwidth 1.55 J.1m 16 GHz Bandwidth Dual Output, 1.3 J.1m Dual Output, 1.55 J.1m Application High-speed telecommunications, analog CATV, SONET oc-64 Analog & digital cellular communications Features Uses LiNbO, technology (Z-cut), Excellent linearity for analog applications, configurable to customer specifications Lit. DS DS DS DS DS DS DS Ceramic 1.5 GHz Ceramic 2.0 GHz Ceramic 3.0 GHz High-speed communications, high-speed analog transmissions Submarine cable communication systems Compatible with industrystandard ceramic carriers DS High-speed communications, high-speed analog transmissions Submarine cable communication systems Suitable for use in lilarsh environments High coupling stability Carrier 1.5 Gbits/s Typical Bandwidth Carrier 2.5 Gbits/s Typical Bandwidth Carrier 2.5 Gbits/s Typical Bandwidth Industry-Std. Pkg. 1.5 Gbits/s 1.5 GHz Biconic Connector Industry-Std. Pkg. 1.5 Gbits/s 1.5 GHz FC-PC Connector Industry-Std. Pkg. 2.5 Gbits/s 2.0 GHz Biconic Connector Industry-Std. Pkg. 2.5 Gbits/s 2.0 GHz FC-PC Connector Industry-Std. Pkg. 2.5 Gbits/s 2.0 GHz Biconic Connector Industry-Std. Pkg. 2.5 Gbits/s 3.0 GHz FC-PC Connector DS DS DS DS DS DS DS DS 4-1 OPTOELECTRONICS Components (continued) Description Device Type Part No. Photodetectors (continued) PINs Digital 8-lead DIP SM Pigtail 131A Digital 8-lead DIP MM Pigtail 131B Analog 8-lead DIP SM Rotary 13ID Mechanical Splice 131E Analog 8-lead DIP No Connector 131G Analog 8-lead DIP SM Rotary Mechanical Splice 131H Analog 3-lead pkg. SM Connector Analog 3-lead pkg. SM Connector 131J 131K Digital 3-lead pkg. SM Rotary Mechanical Splice 131L Analog 8-lead DIP SM Rotary Mechanical Splice 131N Digital 8-lead DIP SM Rotary Mechanical Splice 131P Analog 8-lead DIP SM FC/APC Connector 131R Analog 8-lead DIP SM FC/PC Connector 131S Analog 8-lead DIP SM FC/APC Connector 131T Analog 8-lead DIP SM FC/PC Connector M128C Analog, 1.1 J.l.!I1 to 1.6J.l.!I1, Planar Structure Laser Modules High-Speed 246M Digital Distributed Feedback 246N 246PF Analog Isolated DFB 257CH 257CP 1.3 J.l.!I114-pin Butterfly Package, 2.5 Gbits/s Application Features lit. FITL, Analog CATV Low-cost, wide operating temperature range WIde bandwidth: >1 GHz High optical coupling stability DS DS DS DS DS DS DS DS DS DS DS FITL, Analog CATV, Broadband SONET OC-12148, long-haul hermetic package 1.55 J.l.!I114-pin Butterfly Package, 2.5 Gbits/s Digital 1.5 DFB CW laser with polarization maintaining fiber 1.3 J.l.!I114-pin Butterfly Package, CATV, video Multiquantum well DFB laser surveillance, wireless and personal communication networks 1.3 J.l.!I114-pin Butterfly Package, CATV, video Multiquantum well DFB laser surveillance, wireless, and personal communication networks Very high linearity high responsivity, low back reflections DS Internal isolator, compact DS & lightweight, epoxy-free, DS DS Laser module with transformer coupling, internal isolator, 1000 MHz performance, 77 NTSC channel load Laser module with predistortion board, internal isolator, 750 MHz performance, 77 NTSC channel load For additional information, call your AT&T Account Manager, your local distributor, or 1-800-372-2447. 4-2 DS DS DS DS laser Subsystems Application Features 270A-Type 1 3 ~m, single-mode fiber pigtail, multiquantum well Fabry Perot laser Telecommunications, Local loops, MANS, data communications 270F-Type 1.3 ~m, single-mode fiber pigtail, multiquantum well Fabry Perot laser Narrowband video, return path systems, mixed analog and digital systems, telecommunications Wide operatring temperaDS ture range, no TEC required, high output power, internal backface monitor. DS Wide operating termperaure range, no TEC required, high output power, internal backface monitor Device Type Part No. Description Laser Modules (continued) Fabry-Perot Lit. Bidirectional Laser Module 1420-Type 1.3 !lin Bidirectional Laser Module LANs, MANs, Fiberin-the-Loop Systems, Telecommunication 1.3 ~m Fabry-Perot laser, InGaAsP photodetector with integral splitter, wide operating temperature range, SM fiber pigtail, high output power DS Transmitters 1.3~m Fabry-Perot High-Speed 1.3~m 200 Mbits!s, -5 dBm, FC-PC Connector 1227D 650 Mbits!s, -5 dBm, FC-PC Connector 200 Mbits!s, -S dBm, FC-PC 1227E Connector 1227F 200 Mbits!s, -8 dBm, FC-PC Connector 1227G 650 Mbits!s, -8 dBm, FC-PC Connector 200 Mbits!s, -11 dBm, FC-PC 1227H Connector 200 Mbits!s, -5 dBm,S7® 1227J Connector 1227K 650 Mbits!s, -5 dBm, ST Connector 1227L 200 Mbits!s, -S dBm, ST Connector 1227M 200 Mbits!s, -S dBm, ST Connector 1227N 650 Mbits!s, -8 dBm,ST Connector 1227P 200 Mbits!s, -11 dBm,ST 1227EB Evaluation Board for 1227 Transmitter 1229-Type 650 Mbits!s, Pigtailed with FC-PC, SC, or ST connector SONET OC-3 or OC-12 20-pin DIP package with single-mode FDDI pigtail, no thermoelectric cooler required 123SB 123SC Fibre channel, SO NET, Serial HIPPI 1227C Fabry-Perot 123SEB 1062.5 Mbits!s, -S dBm, SM-Pigtail Meets Sonet Mask Only, Not Fibre Channel Mask Eva!. Board for 123S Transmitter DS DS DS DS DS DS DS DS DS DS DS DS DS SONET OC-12 Uncooled DFB laser transmitter Space-saving, selfcontained 20-pin DIP, no thermoelectric cooler required DS DS DS DS 4-3 OPTOElECTRONICS Laser Subsystems (continued) Device Type Part No. Description Application Features Lit. SONET OC-3, medium to high-speed data communications Pigtailed, 20-pin DIP, DS compact hermetic package DS Receivers InGaAs PIN 1310C 1310D 1310E 1310F 1310J 1310K 1310L 1310M 1310N 1310P 1310R BIOS 1310EB 1330Type InGaAs PIN, 155 Mbits/s, MM FC-PC Connector InGaAs PIN, 622 Mbits/s, MM FC-PC Connector InGaAs PIN, 155 Mbits/s, MM FC-PC Connector InGaAs PIN, 622 Mbits/s, MM FC-PC Connector InGaAs PIN, 52 Mbits/s, MM FC-PC Connector InGaAs PIN, 52 Mbits/s, MM FC-PC Connector InGaAs PIN, 155 Mbits/s, MM FC-PC Connector InGaAs PIN, 622 Mbits/s, MM FC-PC Connector InGaAs PIN, 266 Mbits/s, MM FC-PC Connector InGaAs PIN, 155 Mbits/s, MM FC-PC Connector InGaAs PIN, 52 Mbits/s, MM FC-PC Connector InGaAs PIN, 52 Mbits/s, MM ST Connector Evaluation Board for 1310 Receiver 155 Mbits/s FC-PC Connector DS DS DS DS DS DS DS DS DS DS DS SONET OC-3 Clock recovery and data regeneration 20-pin DIP, TTL compatible, phaselocked loop, low power DS High-Speed InGaAs PIN 1318A 1062.5 Mbits/s Multimode Pigtail Fibre channel, SONET Connectorized, 20-pin DIP DS InGaAsAPD 1319B 1319C 2.5 Gbits/s, SM FC-PC Connector 2.5 Gbits/s, SM STConnector GaAs preamplifier, compact butterfly package DS DS 1320A 1320B 1320C 1320D 155 155 622 622 SONET OC-48, line terminal equipment, high-speed networks SONET OC-3 SONET OC-3 SONET OC-12 SONECT OC-12 Space-saving, selfcontained 24-pin package DS DS DS DS 4-4 Mbits/s, Mbits/s, Mbits/s, Mbits/s, FC-PC Connector SC Connector FC-PC Connector SC Connector Laser Subsystems (continued) Device Type Part No. Description Application Features Lit. 980 nm pump, TIL compatible alarm outputs, wide operating temperature range, optical input and output taps, low power consumption 1480 nm pump, TIL compatible alarm outputs, wide operating temperature range, optical input and output taps, low power consumption 980 nm pump, optical input and output taps, wide input signal bandwidth 1480 nm pump for greater reliability optical input and output taps, wide input signal bandwidth DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS Field-proven packaging technology, compact 14-pin butterfly package, InGaAs/GaAlAs hlghpower quantum well chip design DS DS DS DS DS DS Optical Amplifiers and Components Fiber 11 dBm,STConnector Amplifiers for repeaters, 11 dBm, FC-PC Connector power boosters, preamps, CATV 11 dBm, SC Connector networks, LANs, and 14 dBm, STConnector 14 dBm, FC-PC Connector MANs 11 dBm, SC Connector 8.5 dBm, STConnector 8.5 dBm, FC-PC Connector 8.5 dBm, SC Connector 11.5 dBm,STConnector 11.5 dBm, FC-PC Connector 11.5 dBm, SC Connector 15.5 dBm,STConnector 15 5 dBm, FC-PC Connector 15.5 dBm, SC Connector 13 dBm, SC Connector 16 dBm, SC Connector 16 dBm, SC Connector 11 dBm, SC Connector Amplifier gain 13 dBM, SC Connector blocks for repeaters, 15 dBm, SC Connector power boosters, line 12 dBm, SC Connector amplifiers, CATV, 14 dBm, SC Connector networks, LANS 16 dBm, SC Connector and MANs. 11 dBm, SC Connector 13 dBm, SC Connector 15 dBm, SC Connector 1712A 1712B 1712C 1712D 1712E 1712F 1713A 1713B 1713C 1713D 1713E 1713F 1713G 1713H 1713H 1720ABC 1720BBC 1720CBC 1714ABC 1714BBC 1714CBC 1715ABC 1715BBC 1715CBC 1718ABC 1718BBC 1718CBC Pout, Pout, Pout, Pout, Pout, Pout, Pout, Pout, Pout, Pout, Pout, Pout, Pout, Pout, Pout, Pout, Pout, Pout, Pout, Pout, Pout, Pout, Pout, Pout, Pout, Pout, Pout, 263C 263D 263E 263F 263G 263H 60 mW output power 70 mW output power 80 mW output power 90 mW output power 100 mW output power 110 mW output power Pump Lasers Erbium-doped fiber amplifier systems For additional mformation, call your AT&T Account Manager, your local distnimtor, or 1-800-372-2447 4-5 WER PRODUCTS AT&T Microelectronics offers a broad line of power conversion products and power protection systems to fulfill the needs of the telecommunications and .electronic data processing markets. AT&T board-mounted power modules rangmg from 0.5 W to 300 W, hav~ small footprints, high efficiencies, and high-power densities. Our dc-dc converters range from 15 W to 1500 W, and the off-line switchers range from 50 W to 2,000 W. See details on the new line of DiskPower converters, Front Ends, and Power Shelves. Our power systems design staff in Dallas is available to assist you in the selection of power architectures that meet your needs. Dallas is an ISO 9001 registered facility and 1994 Deming Prize winner. Board-Mounted Power Modules Features AT&T board-mounted power modules offer low profiles, high-power denSity, off-the-shelf, dc-dc power conversions in module sizes of 0 5 W to 300 W. Known for reliability, AT&T board-mounted power modules feature a variety of design options with typical power efficiencies in excess of 80%. State-of-the-art surface-mount technology is used to achieve high performance in a small package. MTBFs of over one million hours and a three-year warranty are standard. • • • • • • • • • • • • • A system powered by boardmounted power modules otfers many user benefits. In addition to the capability for developing nonstandard voltages, the power modules can reduce the cost of power distribution by decreasing distances traveled by low voltages. Moreover, they can power a system on a fieldreplaceable basis, thereby Yleldmg improved system reliability. • • • • Low profiles High efficiencies 0.5 W to 300 W High-power densities Small footprints Remote on/off capability Remote sense Output current limiting Overvoltage protection Isolated and nonisolated models Input/output filtering External synchronization Parallel operation with forced load sharing Regulated output voltage -40 °c to + 100 °C operating case temperature UL recognized 3-year warranty 0-1 POWER PRODUCTS Board-Mounted Power Modules Low-Power Product Matrix (0.5 W to 50 W) Part Number Nominal Input (Vdc) Input Range (Vdc) Output Voltage (Vdc)* 112A2 5 4.5-5.5 12 112C2 5 4.5-5.5 15 112D2 5 45-5.5 25 112E2 5 4.5-5.5 12 113A2 5 4.5-5.5 -5 4.5-5.5 -5 113AA2 5 4.5-5.5 -12 113B2 5 113B3 5 4.5-5.5 -12 113C2 5 4.5-5.5 -15 113E2 5 4.5-5.5 -130 -11-3-F-2----5---c 4-.5-5-.5-- -5 Output Current (IA) Power, Watts (W) Length (in.) Width (in.) Height (in.) 0.041 0.100 0.030 0.125 0.100 0.100 0.063 0.063 0.050 0.0005 0.300 0.5 1.5 0.75 1.5 0.5 0.500 0.75 0.75 0.75 0.065 1.5 0.96 0.96 0.96 0.96 0.96 0.96 0.96 0.96 0.96 0.96 0.96 0.70 0.70 0.70 0.70 0.70 0.70 0.70 0.70 0.70 0.70 0.70 0.44 0.44 0.44 0.44 0.44 0.44 0.44 0.44 0.44 0.44 0.44 Temp. COC) 0 0 0 0 0 0 0 0 0 0 0 to +70 to to to to to to to to to to +70 +70 +70 +70 +70 +70 +70 +70 +70 +70 Literature DS DS DS DS DS DS DS DS DS DS DS 4.5-5.5 -5 0.300 1.5 0.96 0.70 0.44 0 to +70 DS 113F3 5 113G2 5 4.5-5.5 -12 0.125 1.5 0.96 0.70 0.44 0 to +70 DS RA003A 12 8.0-16.5 5 0.6 3 1.75 0.43 0.81 -10 to +50 DS RA003B 12 8.0-16.5 12 0.25 3 1.75 0.43 0.81 -10 to +50 DS RAOO3C 12 8.0-16.5 15 0.2 3 1.75 0.43 0.81 -10 to +50 DS RA003BK 12 8.0-16.5 ±12 ±0.125 3 1.75 0.43 0.81 -10 to +50 DS RA003CL 12 8.0-16.5 ±15 ±0.1 3 1.75 0.43 0.81 -10 to +50 DS RC003A 28 16-32 5 0.6 3 1.75 0.43 0.81 -10 to +50 DS RC003B 28 16-32 12 0.25 3 1.75 0.43 0.81 -10 to +50 DS RC003C 28 16-32 15 0.2 3 1.75 0.43 0.81 -10 to +50 DS 16-32 ±12 ±0.125 3 1.75 0.43 0.81 -10 to +50 DS RC003BK 28 16-32 ±15 ±0.1 3 1.75 0.43 0.81 -10 to +50 DS RC003CL 28 28-60 5 0.6 3 1.75 0.43 0.81 -10 to +50 DS RE003A 48 28_60 12 0.25 3 1.75 0.43 0.81 -10 to +50 DS RE003B 48 R=E=0~0~3~C--~48~-~2~8_~6~0--- 15 ---~0~.2---~3-~1~.7~5--~0-.4~3--~0.~8~1---~1~0-t-o-+~5~O--~D~S~RE003BK RE003CL RH003A RH003B RH003C 48 48 5 5 5 28_60 28_60 4.0-7.2 4.0-7.2 4.0-7.2 ±12 ±15 5 12 15 ±0.125 ±0.1 0.6 0.25 RH003BK RH003CL MA005A MA005B MA005C MA005BK MA005CL 5 5 12 12 12 12 12 4.0-7.2 4.0-7.2 10-15 10-15 10-15 10-15 10-15 ±12 ±15 5 12 15 ±12 ±15 ±0.125 ±0.1 1.00 0.42 0.33 ±0.21 ±0.17 3 3 3 3 1.75 1.75 1.75 1.75 0.43 0.43 0.43 0.43 0.81 0.81 0.81 0.81 to to to to +50 +50 +50 +50 DS DS DS DS 0.2------3--1-.7-5---0-.4~3---0-.8-1----1-0-t-o-+-5-0--D-S- 3 3 5 5 5 5 5 1.75 1.75 2.00 2.00 2.00 2.00 2.00 0.43 0.43 1.10 1.10 1.10 1.10 1.10 0.81 0.81 0.46 0.46 0.46 0.46 0.46 'Other voltages available. For additional informatlon, call your AT&T Account Manager, your local distributor, or 1-800-372-2447 5-2 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 to to to to to to to +50 +50 +70 +70 +70 +70 +70 DS DS DS DS DS DS DS Board-Mounted Power Modules (continued) Low-Power Product Matrix (0.5 W to 50 W) Part Number MC005A MC005B MC005C MC005BK MC005CL ME005A ME005B ME005C ME005N ME005BK ME005CL MH005A MH005B MH005C MH005BK MH005CL MK005CL5 MW005A MW005B MW005C MW005A] MW005BK MW005CL FEOOSA]4 FE008A]3 MAOIOA MA010B MA010C MA010BK MA010CL MCOlOA MC010B MC010C MC010BK MC010CL MHOlOA MH010B MH010C Nominal Input Input (Vdc) Range 28 28 28 28 28 48 48 48 48 48 48 5 5 5 5 5 48 48 48 48 48 48 48 48 48 12 12 12 12 12 28 28 28 28 28 18-36 18-36 18-36 18-36 18-36 39.5---60 39.5---60 39.5---60 39.5---60 39.5---60 39.5---60 45-5.5 4.5-5.5 4.5-5.5 4.5-5.5 4.5-5.5 38-72 5 5 5 (Vdc) 36-72 36-72 36-72 36-72 36-72 36-72 39.5---60 39.5---60 10--15 10--15 10--15 10--15 10--15 18-36 18-36 18-36 18-36 18-36 4.5-5.5 4.5-5.5 4.5-5.5 Output Voltage (Vdc)* 5 12 15 ±12 ±15 5 12 15 5.2 ±12 ±15 5 12 15 ±12 ±15 20 5 12 15 ±5 ±12 ±15 ±5 ±5 5 12 15 ±12 ±15 5 12 15 ±12 ±15 5 12 15 Output Power, Current Watts Length (IA) 1.0 0.42 0.33 ±0.21 ±0.17 1.0 0.42 0.33 0.96 ±0.21 0.17 1.00 0.42 0.33 ±o.21 ±o.17 120 1.0 0.42 0.33 ±o.5 0.21 0.17 1.2 2.0, -0.4 2.00 0.83 0.67 ±0.42 ±0.33 2.0 0.83 0.67 0.43 0.33 2.00 0.83 0.67 (W) 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 8 8 10 10 10 10 10 10 10 10 10 10 10 10 10 Width Height (in.) (in.) (in.) 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 1.10 1.10 1.10 1.10 1.10 1.10 1.10 1.10 1.10 1.10 1.10 1.10 1.10 1.10 1.10 1.10 1.19 1.10 1.10 1.10 1.10 1.10 1.10 2.00 2.00 1.60 1.60 1.60 1.60 1.60 1.60 1.60 1.60 1.60 1.60 1.60 1.60 1.60 0.46 0.46 0.46 0.46 0.46 0.46 0.46 0.46 0.46 0.46 0.46 0.46 0.46 0.46 0.46 0.46 0.46 0.46 0.46 0.46 0.46 0.46 0.46 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 Temp. Literature eC) -40 -40 -40 -40 -40 -40 -40 -40 -40 -40 -40 -10 -10 -10 -10 -10 -40 -40 -40 -40 -40 -40 -40 -40 to to to to to to to to to to to to to to to to to to to to to to to to o to -10 to -10 to -10 to -10 to -10 to -40 to -40 to -40 to -40 to -40 to -10 to -10 to -10 to +85 +85 +85 +85 +85 +85 +85 +85 +85 +85 +85 +70 +70 +70 +70 +70 +85 +85 +85 +85 +85 +85 +85 +85 +70 +50 +50 +50 +50 +50 +85 +85 +85 +85 +85 +50 +50 +50 DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS 'Other voltages available. For additional information, call your AT&T Account Manager, your local distributor, or 1-800-372-2447. 5-3 POWER PRODUCTS Board-Mounted Power Modules (continued) Low-Power Product Matrix (0.5 W to 50 W) Part Number Nominal Input (Vdc) Input Range (Vdc) Output Voltage (Vdc)* 5 5 4.5-5.5 4.5-5.5 36-72 36-72 36-72 36-72 36-72 4().-(j0 ±12 ±15 5 12 15 ±12 ±15 110 ±5 Output Power, Current Watts (IA) (W) MHOI0BK MHOI0CL MWOlOA MWOI0B MWOI0C MWOI0BK MWOlOCL SEOI4S110 LW016AJ LW020A NH020F CC025AJ CC025BK CC025CL CC025ABK CC025ACL CW025AJ CW025BK CW025CL CW025ABK ±0.42 ±o.33 2.0 0.83 0.67 0.43 0.33 130 ±16 48 48 48 48 48 48 48 48 5 28 28 28 28 28 48 48 48 48 36-75 36-75 4.5-5.5 18-36 18-36 18-36 18-36 18-36 36-72 36-72 36-72 36-72 5 4 3.3 ±5 ±12 ±15 5, ±12 5, ±15 ±5 ±12 ±15 5, ±12 6 CW025ACL DC025AA DC025AF DC025AJ DC025BB DC025BK DC025CC DC025CL DC025ABK DC025ACL DW025AA DW025AB DW025AF DW025AJ DW025BB DW025BK DW025CC DW025CL DW025ABK 48 28 28 28 28 28 28 28 28 28 48 48 48 48 48 48 48 48 48 36-72 18-36 18-36 18-36 18-36 18-36 18-36 18-36 18-36 18-36 36-72 36-72 36-72 36-72 36-72 36-72 36-72 36-72 36-72 5, ±15 5, 5 5, 3.3 ±5 12, 12 ±12 15, 15 ±15 5, ±12 5, ±15 5, 5 5, 12 5, 3.3 ±5 12, 12 ±12 15, 15 ±15 5, ±12 2.50 1.04 0.83 5, ±1 5, ±0.8 ±2.50 ±1.04 ±o.83 5, ±1 5, ±0.8 2.5, 2.5 2.50, 2.50 ±2.50 1.04, 1.04 ±1.04 0.83, 0.83 ±o.83 5, ±1 5, ±0.8 2.50, 2.50 5, 1 2.50, 2.50 ±2.50 1.04, 1.04 ±1.04 0.83, 0.83 ±0.83 5, ±1 Length Width (in.) Height (in.) Temp. (in.) caC) Literature 10 10 10 10 10 10 10 14 16 20 20 25 25 25 25 25 25 25 25 25 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.00 2.50 2.80 2.80 2.80 2.80 2.80 2.80 2.80 2.80 2.80 1.60 1.60 1.60 1.60 1.60 1.60 1.60 2.00 2.00 2.00 0.24 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.375 0.375 0.55 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 -10 to +50 -10 to +50 -40 to +85 -40 to +85 -40 to +85 -40 to +85 -40 to +85 -40 to +85 -25 to +71 -25 to +71 o to +55 -40 to +95 -40 to +95 -40 to +95 -40 to +95 -40 to +95 -40 to +95 -40 to +95 -40 to +95 -40 to +95 DS DS DS DS DS DS DS DS 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 ,25 25 25 2.80 2.80 2.80 2.80 2.80 2.80 2.80 2.80 2.80 2.80 2.80 2.80 2.80 2.80 2.80 2.80 2.80 2.80 2.80 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 'Other voltages avaIlable. For additional information, call your AT&T Account Manager, your local distributor, or 1-800-372-2447 5-4 -40 to -40 to -40 to -40 to -40 to -40 to -40 to -40 to -40 to -40 to -40 to -40 to -40 to -40 to -40 to -40 to -40 to -40 to -40 to +95 +95 +95 +95 +95 +95 +95 +95 +95 +95 +95 +95 +95 +95 +95 +95 +95 +95 +95 DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS Board-Mounted Power Modules (continued) Low-Power Product Matrix (0.5 W to 50 W) Part Number DW025ACL CC030A CC030B CC030C CW030A CW030B CW030C LW030A ]C030A ]C030B ]C030C ]W030A ]W030B ]W030C ]W030D Nominal Input (Vdc) Input Range (Vdc) Output Voltage (Vdc)* 48 28 28 28 48 48 48 48 24 24 24 48 48 48 48 36-72 1&---36 1&---36 1&---36 36-72 36-72 36-72 36-75 1&---36 1&---36 1&---36 36-72 36-72 36-72 36-72 5, ±15 5 12 15 5 12 15 5 5 12 15 5 12 15 2 Output Current (IA) 5, ±0.8 6.0 2.5 2.0 6.0 2.5 20 6 6.0 2.5 2.0 6.0 2.5 2.0 6.5 Power, Watts (W) 25 30 30 30 30 30 30 30 30 30 30 30 30 30 13 Length (in.) Width (in.) Height (in.) Temp. caC) Literature 2.80 2.80 2.80 2.80 2.80 2.80 2.80 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.80 228 2.28 2.28 2.28 2.28 2.28 2.28 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.375 050 050 0.50 0.50 0.50 0.50 0.50 -40 to +95 -40 to +100 -40 to +100 -40 to +100 -40 to +95 -40 to +95 -40 to +95 -30 to +75 -40 to +100 -40 to +100 -40 to +100 -40 to +100 -40 to +100 -40 to +100 -40 to +100 DS DS DS DS DS DS DS DS DS DS DS DS DS DS 'Other voltages avaIlable. For addItIonal mformal1on, call your AT&T Account Manager, your local distnbutor, or 1-800-372-2447 5-5 POWER PRODUCTS High-Power Product Matrix (50 W to 300 W) Part Number ]C050A1 ]C050Bl ]C050C1 ]C050F1 ]C075A1 ]C075B1 ]C100A1 ]C100B1 ]C100C1 ]C100F1 ]W050A lW050B lW050C lW050F lW075A1 lW075Bl ]W075D1 ]W100A ]W100B ]W100C ]W100F ]W150A ]W150B ]W150C ]W150F FC050A FC050B FC050C FC050D FC050F FC100A FC100B FC100C FC100D FC100F FC150A FC150C FC150D FC150F Nominal Input (Vdc) 28 28 28 28 28 28 28 28 28 28 48 48 48 48 48 48 48 48 48 48 48 48 18 48 48 28 28 28 28 28 28 28 28 28 28 28 28 28 28 Input Range (Vdc) 18---36 18---36 18---36 18---36 18---36 18---36 18---36 18---36 18---36 18---36 36---72 36---72 36---72 36---72 36---72 36---72 36---72 36---72 36---72 36---72 36---72 36---72 36-72 36---72 36-72 18---36 18---36 18---36 18---36 Output Voltage (Vdc)* 5 Output Power, Current Watts (IA) 10 4.2 (W) 12 8.3 6.7 20 10 4.2 50 50 50 50 75 75 100 100 100 100 50 50 12 15 3.3 5 12 5 12 15 3.3 5 3.3 10 15 6.3 20 15 3.3 50 3.3 5 10 33 75 12 2 6.3 5 12 15 3.3 5 12 15 3.3 5 12 15 15 20.0 8.3 6.7 20.0 30 12.5 10.0 30 10.0 4.2 15 2 3.3 18---36 18---36 18---36 3.3 10.0 20.0 18---36 18---36 18---36 18---36 18---36 18---36 18---36 15 2 5 12 3.3 5 15 2 3.3 10 8.3 6.7 20.0 20.0 30.0 10.0 30.0 30.0 75 75 100 100 100 66 150 150 150 99 50 50 50 20 33 100 100 100 40 66 150 150 60 100 Length (in.) 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 2.40 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.80 Width (in.) 2.28 2.28 2.28 2.28 2.28 2.28 2.28 2.28 2.28 2.28 2.28 2.28 2.28 2.28 2.28 2.28 2.28 2.28 2.28 2.28 2.28 2.28 2.28 2.28 2.28 2.50 2.50 2.50 2.50 2.50 2.50 2.50 2.50 2.50 2.50 2.50 2.50 2.50 2.50 Height (in.) 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 'Other voltages available. For additional information, call your AT&T Account Manager, your local distributor, or 1-800-372-2447. 5-6 Temp. Literature caC) DS -40 to +100 DS -40 to +100 DS -40 to +100 os -40 to +100 -40 to +100 DS DS -40 to +100 DS -40 to +100 DS -40 to +100 DS -40 to +100 DS -40 to +100 DS -40 to +100 DS -40 to +100 -40 to +100 DS -40 to +100 DS DS -40 to +100 DS -40 to +100 -40 to +100 DS DS -40 to +100 DS -40 to +100 DS -40 to +100 DS -40 to + 100 DS -40 to +100 DS -40 to +100 DS -40 to +100 DS -40 to +100 DS o to +90 DS o to +90 DS o to +90 o to +90 DS o to +90 DS o to +90 DS o to +90 DS o to +90 o to +90 DS o to +90 DS o to +90 DS o to +90 DS o to +90 os o to +90 High-Power Product Matrix (50 W to 300 W) (continued) Part Number FC250A1 FC250Bl FC250C1 FC250F1 FE050A FE050B FE050C FE050D FE050F FE050H FE100A FE100B FE100C FE100D FE100F FElOOH FE150A FE150B FE150C FE150D FE150F FE150H FE200A9 FE200B9 FE200F9 FW050A FW050B FW050C FW050D FW050F FW100A FW100B FW100C FW100D FW100F Nominal Input Range Input Output Voltage (Vdc) (Vdc) (Vdc)* 28 28 28 28 48 48 48 48 48 48 48 48 48 48 48 48 48 48 48 48 48 48 48 48 48 48 48 48 48 48 48 48 48 48 48 18-36 18-36 18-36 18-36 38-60 38-60 38-60 38-60 38-60 38-60 38-60 38-60 38-60 38-60 38-60 38-60 38-60 38-60 38-60 38-60 38-60 38-60 38-60 38-60 38-60 36---72 36---72 36---72 36---72 36---72 36---72 36---72 36---72 36---72 36---72 5 12 15 3.3 5 12 15 2.0 3.3 24 5 12 15 2.0 3.3 24 5 12 15 2 3.3 24 5 12 3.3 5.0 12 15 2 3.3 5.0 12 15 2 3.3 Output Power, Current Watts (IA) 50 20.8 16.7 50 10.0 4.2 3.33 10.0 10.0 2.1 20.0 8.3 6.7 20.0 20.0 4.2 30.0 12.5 10.0 30.0 30.0 6.25 40 16.6 40 10.0 4.2 3.33 10 10 20.0 8.4 6.7 20 20 Length Width Height (W) (in.) (in.) (in.) 250 250 250 250 50 50 50 20 2.40 2.40 2.40 2.40 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.80 4.60 4.60 4.60 4.60 2.50 2.50 2.50 2.50 2.50 2.50 2.50 2.50 2.50 250 2.50 2.50 2.50 2.50 2.50 2.50 2.50 2.50 250 2.50 2.50 2.50 2.50 2.50 2.50 2.50 2.50 2.50 2.50 2.50 2.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 050 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 0.50 33 50 100 100 100 40 66 100 150 150 150 60 100 150 200 200 132 50 50 50 20 33 100 100 100 40 66 Temp. ee) -40 -40 -40 -40 to +100 to +100 to +100 to +100 o to +90 o to +90 o to +90 o to +90 o to +90 o to +90 o to +90 o to +90 o to +90 o to +90 o to +90 o to +90 o to +90 o to +90 o to +90 o to +90 o to +90 o to +90 o to +80 o to +80 o to +80 o to +90 o to +90 o to +90 o to +90 o to +90 o to +90 o to +90 o to +90 o to +90 o to +90 Literature DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS DS 'DS 'Other voltages available. For additional information, call your AT&T Account Manager, your local distributor, or 1-800-372-2447. 5-7 POWER PRODUCTS High-Power Product Matrix (50 W to 300 W) (continued) Nominal Input Output Output Power, Input Range Voltage Current Watts Length Width Height (Vdc) (Vdc) (Vdc)* (IA) (W) Number (iu.) (in.) (in.) FW150A 48 4.80 2.50 36-72 5.0 30.0 150 0.50 FW150B 48 12 12.6 4.80 2.50 36-72 150 0.50 FW150C 48 10.0 4.80 150 2.50 36-72 15 0.50 FW150D 48 2 4.80 2.50 36-72 0.50 60 30 FW150F 48 4.80 2.50 36-72 30 0.50 3.3 99 FW250Al 48 4.60 2.40 36-75 50 250 0.50 5 FW250Bl 48 12 20.8 4.60 2.40 36-75 250 0.50 FW250Fl 48 2.40 4.60 36-75 50 250 0.50 3.3 FW300Al 48 60 2.40 4.60 36-75 300 0.50 5 FW300Bl 48 12 2.40 4.60 36-75 25 300 0.50 FW300Fl 48 2.40 4.60 36-75 60 0.50 300 3.3 'Other voltages available For additional information, call your AT&T Account Manager, your local distributor, or 1-800-372-2447 Part DiskPower Modules Other features include overvoltage protection and current limiting on each output and a remote on/off input. The modules offer a very low failure rate and reliability ten times better than the disk drives being powered. The 1W050AB and 1W070AB DiskPower Converters are designed to power large-capacity disk drives and other mass storage devices. They are ideal for implementing distributed power systems in disk array systems. The modules operate with input voltages from 36 Vdc to 72 Vdc and provide two outputs: 5 Vdc and 12 Vdc. Each output is independently regulated. The output npple and noise are very low. The 12 V output supports surge currents up to 3.5 A for the 1W050AB and up to 5 A for the 1W070AB in order to spin-up large disk drives. Temp. eC) Literature o to +90 DS o to +90 DS o to +90 DS o to +90 DS o to +90 -40 to +100 DS -40 to +100 DS -40 to +100 DS -40 to +100 DS -40 to +100 DS -40 to +100 DS • Input and outputs are electrically isolated • 2:1 input voltage range • Remote on/off • Overtemperature protection • UI 1950, CSA 22.2-950, and EN60950 approvals are pending Features • Small-Business Card Size 2.0 in. x 3.5 in. x 0.625 in. 50.8 mm x 88.9 mm x 15.9 rum • Low output noise • Economical open-frame construction • Automated assembly with all surface-mount construction offers high reliability and consistency DiskPower Modules Part Number 1W050AB 1W070AB 5-8 Nominal Input (Vdc) Input Range (Vdc) Output Voltage (Vdc)* 48 36-72 5.12 48 36-72 5.12 Output Power, Current Watts (IA) 1.5, 2.5 (3.5) 2.2, 2.5 (5.0) Length (in.) Width Height (iu.) (iu.) 37.5 2.0 3.5 41 2.0 3.5 (W) 0.625 Temp. ee) o to +50 Literature DS 0.625 o to +50 DS Enhanced Distributed Power Architecture (EDPA) RM Series Front Ends AT&T front-end power supplies convert ac mput power to a regulated, SELV dc bus voltage. They are parallelable, are power factor corrected, and can be used redundantly. AT&T's front-end power supplies feature a full complement of alarm and control functions to ease diagnostics and are available in power levels up to 2000 W. Features • Recognized by Underwriters Laboratories to UL 1950, certified by CSA to CSA 22.2 and also licensed to IEC950 • Meets FCC Class A EMI requirements for conducted and radiated emissions • Autoranging for worldwide input voltage ranges • Power factor corrected • Outputs are overvoltage protected • Overtemperature protection • Redundant parallel operation • Remote ON/OFF • Current sharing • Hot insertion/removal (hot plug) • Power fail and fault alarms • Margining RM Series Front Ends Watts (W) Input (Vac) 750 750 750 1000 1000 1000 1500 1500 1500 2000 2000 2000 85-264 85-264 85-264 85-264 85-264 85-264 102-264 102-264 102-264 170-264 170-264 170-264 Output (Vdc, A) 48, 15.6 54.5, 13.7 56.25, 13.3 48,20.8 54.5, 18.3 56.25, 17.7 48, 31.2 54.5, 27.5 56.25,26.6 48,41.6 54.5,36.7 56.25, 35.5 Dimensions L W H Part Number 12 12 12 12 12 12 12 12 12 12 12 12 3 3 3 4 4 4 6 6 6 6 6 6 5 5 5 5 5 5 5 5 5 5 5 5 RM0750A RM0750H RM0750L RM1000A RM1000H RM1000L RM1500A RM1500H RM1500L RM2000A RM2000H RM2000L 5-9 POWER PRODUCTS Power Shelves Features AT&T Power Shelves mount in a standard 19 in. rack and provide all of the wiring and control signals for the front-end power supplies and battery interface units. • Rack mount sheet metal chassis (3U height, 19 in. rack) • ac input module with line filtering, circuit breaker, and ac present LED • Power system controller Power Shelves Number of Power Slots Units Accomodated 4 3 750W 1000W 1500 W/2000 W 2 de-de Converters Capabilities • AT&T has more than 50 years experience in designing and manufacturing custom dc-dc converters typically ranging from 15 W to 1500 W with a wide variety of optional features. • Custom design capabilities to address both United States and European requirements and standards. • Manufacturing capabilities are available in the United States (Dallas, TIO, Europe (Malmesbury, UK), and Mexico (Matamoros). • AT&T's Dallas manufacturing site includes fully equipped product qualification facilities to meet FCC, UL, CSA, and other world regulatory and safety agency requirements. 5-10 • Surface-mount technology is available to provide high-power densities, modular packaging flexibility, and high quality. Features • • • • • • • • • • • Wide input voltage range Low-profile designs Wide operating temperature range Input-to-output isolation Inrush protection to provide hot plug-in capability Meets CISPR and FCC EM! and susceptibility requirements Externally synchronized switching frequency Customized alarms for input! output conditions Load sharing with redundancy and fault tolerance Programmable overcurrent shutdown Remote sensing Part Number PS3000A4 PS3000A3 PS3000A2 • Output current limiting/shutdown • AT&T can provide a fully customized dc-dc converter solution using customer-specified hardware. • AT&T offers a standard family of proven high-reliability Fastecb and TRANSPAC dc-dc converters that can be modified if necessary to meet specific application requirements. Fastecb and TRANSPAC dc-dc converters use AT&T connector systems and are plug-in type circuit card modules which have standardized feature sets. The following is a representative listing of AT&T Fastecb, TRANSPAC, and custom dc-dc converter products. TRANSFORMERS AND INDUCTORS AT&T manufactures more than 3000 different transformers for telecommunications and power applications. This guide includes those transformers designed to meet the need for modem, ISDN, and highfrequency applications. In addition, AT&T manufactures custom power magnetics for both linear and switched-mode power supplies. AT&T manages its transformer division from the Power Systems location in Mesquite, Texas. Each of our manufacturing locations has been ISO and BABT Certified, and AT&T Microelectronics Power Systems is the first American manufacturer to be awarded the Deming Prize for quality. Along with the expertise that goes with 30 years of magnetics design and manufacturing experience, AT&T offers the following features and benefits· • Low-profile packaging • Compatibility with ITU-T, ANSI, and IEEE standards • Compliance with Bellcore 1R-NWT-00357 Component Reliability Requirements • Compliance with AT&T X-74550 Assembly Process Qualification Requirements • WSF/TIC compatibility • International safety requirement compliance • Surface-mount designs AHractive Quality Product Availability When you order AT&T transformers, you receive not only reliable, high-quality products, but also our value-added commitment, which includes: • Preorder support - Our experienced Bell Laboratories magnetics designers proVide the technical support required to incorporate our components into your design/production needs. In many cases, our magnetics designers have identified circuit or interface related problems which would have otherwise remained unresolved. • Product quality - Reliability and quality are built into our products and are ensured through validated design practices, qualification of new designs, annual requalification of manufactured products, and strict control of materials and manufacturing processes. • Custom design - AT&T offers both catalog and custom solutions to meet its customer's needs. Our designers work with each customer to ensure that the design objectives and specifications are understood. The specifications, initially expressed in terms of circuit performance, are analyzed and translated into magnetic parameters. Engineermg samples are made and provided to customers for incircuit evaluation. After customer approval of engineering samples and agreement on requirements, the custom product is developed for production. All transformers listed in this guide are available. Call 1-800-372-2447 to request our Transformers Selection Guide and for sales information. For technical assistance, call the Power Systems hot line 1-800-5267819. 6-1 TRANSFORMERS Modem Transformers AT&T has an expanding portfolio of transformers designed to serve all segments of the high-speed modem market. All transformers meet appropriate industry and safety standards. Maximum Maximum Minimum Insertion Loss Return Loss Frequency Response @lkHz Agency 0.2 dB Shaping 300 Hz...-3 kHz (dB) (dB) Part No. Comcode Approvals Relative to 1 kHz 2746J V.32bis 107265860 UL, GSA 1.8 23 200 Hz-5 kHz UL, GSA 1.2 24 200 Hz---6 kHz 2769A V.32bis 106684939 2770A V.32bis 1066684947 UL, GSA 1.2 24 200 Hz---6 kHz 107240186 UL, GSA, BABT 12 200 Hz-3 kHz 2778A V.32bis BAHT 15 2780A V.32bis SMT 107244246 24 200 Hz---6 kHz UL, GSA 1.6 UL, GSA 2.0 24 100 Hz-4 kHz 2791A V.32bis 107538092 107643868 UL, GSA Pending 1.8 200 Hz-5 kHz 2794] V.32bis 23 2746KV.34 107314338 UL, GSA 2.1 22 200 Hz-4 kHz 107244253 UL, GSA, BABT 20 20 200 Hz-4 kHz 2781A V.34 BAHT 107244287 21 2783A V.34 SMT UL, GSA 1.8 200 Hz-5 kHz 2.4 100 Hz-5 kHz 2789AV.34 UL, GSA 25 107434086 UL, GSA 2.6 20 100 Hz-4 kHz 279IBV.34 107538415 2793A V.34 BABT 2784A V.32bis PCMCIA' 2792A V.34 PCMCIA 2792B V.34 BAHT PCMCIA 2786A V.34 PCMCIA 2796A V.34 PCMCIA 107593535 107390114 107565426 107565434 UL, GSA, BABT UL, GSA UL, GSA UL, GSA, BABT 20 2.7 27 2.8 20 25 25 20 100 200 100 100 Hz-2 Hz---6 Hz-4 Hz-4 kHz kHz kHz kHz 2.9 2.7 30 30 200 Hz-5 kHz 200 Hz-5 kHz Pending 107451358 1076768253 UL, GSA UL, GSA Pending • AvaIlable wIth multiple terminal conftguratlons. AT&T is developmg additlonal PCMCIA transformers for applicatlons requiring lower profile or lower distortlOn ISDN Transformers AT&T offers ISDN S/T- and U-Interface transformers compatible with various ISDN transceivers. Part No. 2754G2 U Interface 2754H21 U Interface Comcode 106376759 106559990 107155426 107306946 Maximum Maximum Primary Primary Leakage Associated AT&T Transceiver IC Turns Ratio Inductance Inductance (PRJ,SEC) (mH) (jlH) T7262/T7263 T7264, T7256 & T7237 T7264, T7256&T7237 T7264, T7256 & T7237 1:25 1:15 115 1:1.5 256 76.4 76.4 76.4 50 2768A' Dual PKG sIT Interface 106546575 T7250C, T7256 1.25 12.0 34.2 34.2 342 220 2776A'S!T Interface 107049942 T7250C, T7256 1'25 22.0 2754J2 2 U Interface 2754K2'U Interface In Development: Dual-Package Surface-Mount SIT-Interface Unit, Dual-Package PCMCIA SIT-Interface Unit 1 2. 3 4. 5 6-2 For North Amencan use only. For Far East appitcations For European, North AmerIcan, and Far East applications. Transmit and receIve transformer m single package Meets European safety agency requirements 4.0 Maximum Maximum de Resistance de Resistance Primary Secondary (0) Minimum Breakdown Voltage (Vrms) Maximum Length x Width x Height (Inches) Total Harmonic Distortion -76.5 dB Max @ -10 dBm, 600 Hz (0) 90 120 1500 072x063xO.56 -78 dB Max @ 71 93 1500 0.62 x 0.70 x 0.35 -78 dB Max @ -10 dBm, 600 Hz 71 -86 dB Max -76 dB Max @ 69 88 93 69 117 1500 3000 0.62 x 0.80 x 0.35 071 x 0.71 x 0 565 @ -9 dBm, 380 Hz -10 dBm, 600 Hz 1500 062 x 0.80 x 0.295 -76 dB Max @ -10 dBm, 600 Hz 118 1000 069 x 0.71 x 0 472 90 118 126 120 1000 066 x 0 56 x 0.481 155 1500 3000 072 x 0 63 x 0.56 135 118 1500 0.62 x 0.80 x 0 393 -765 dB Max -10 dBm, 600 Hz @ -10 dBm, 600 Hz -81 dB Max @ -9 dBm, 600 Hz -86 dB Max @ -3 dBm, 600 Hz -81 dB Max @ -9 dBm, 600 Hz 109 88 -90 dB Max @ -9 dBm, 600 Hz 140 165 1000 1145 x 0 95 x 0 50 -86 dB Max @ -10 dBm, 600 Hz 152 165 1000 069 x 0.71 x 0.472 -82 dB Max @ -3 dBm, 300 Hz 120 120 -77 dB Max -80 dB Max @ 140 170 3000 1000 @ -10 dBm, 600 Hz -10 dBm, 600 Hz 180 156 1000 071 x 071 x 0.42 060 x 0 33 x 0.170 0.66 x 0.66 x 0.172 -80 dB Max @ -10 dBm, 600 Hz 160 183 1500 066 x 0.66 x 0.172 -85 dB Max @ -10 dBm, 600 Hz 165 200 1000 075 x 033 x 0.172 -80 dB Max @ -10 dBm, 600 Hz 165 165 1000 060x033xO.170 Maximum Interwinding Capacitance (pF) Maximum de Resistance Primary (0) Maximum de Resistance Secondary (0) Minimum Breakdown Voltage (Vrms) Maximum Length x Width x Height (Inches) 1 03 x 1.04 x 0 48 25 56 12.2 735 7.4 13.65 17.4 1000 1500 1.03 x 1.04 x 0 48 100 2.3 5.8 2400 o 93 x 0 48 x 0 50 100 1.55 8.75 3000 0.68 x US x 0 51 13.05 1000 1000 0.71 x 0.71 x 0.495 1 03 x 1 04 x 0 48 1 03 x 1.04 x 0 48 6-3 TRANSFORMERS DS1{f1/CEPT Line Interface Transformers Products listed in order by turns ratio. Turns Ratio (PRI:SEC) Minimum Primary Inductance (mH) Maximum Primary Leakage Inductance (IlH) Maximum Interwinding Capacitance (pF) Maximum dc Resistance Primary (n) Maximum de Minimum Resistance ac Secondary Breakdown (0) (Vac) Apparatus Code Ordering Comcode 2745AG2 2664AM!,4.7 106186430 107620130 1:1 CT 230 2 25 10 12 500 1:1 CT 1.50 1:107 CT 1.00 05 10 1000 106727605 5 75 (TYP) 1.0 2745AJ2 3.5 0.8 2745G3 2745A1Q! 106696297 107154676 1:1.14 CT 1'1.14 CT 175 125 14 2 90 11 08 850 1000 2745AL24 2741H2! 107194326 1:1.14 CT 18 106003999 1:136 CT 1.25 0.92 2.5 5 14 5 20 05 10 09 1000 0.9 0.9 0.4 1000 1.5 850 2745CA 106445711 1:1.36 CT 0.92 1CT:1.37 0.92 8 0.9 0.9 850 105668727 0.7 2 1.2 2741j1 1.3 850 2745C2 106004013 1:1.37 CT 0.68 1.2 85 (TYP) 10 1.4 850 2745AE 104450697 1:1.43 CT 1.30 1.2 90 06 09 850 2741G2! 106003973 1 CT:2 CT 3.15 6.5 5 19 4.4 850 2745AF2 106004047 1 CT:2 CT 3.15 16 3.2 850 107213860 105710461 1 CT:1:3 CT 0.93 0.43 1:3.76 CT 3 0.5 0.7 23 2741R2 2745AH 65 45 1.0 04 3.3 1.5 850 107084352 1:1.14 CT 1:1.14 CT 1.75 2 80 0.8 0.8 1.30 0.70 15 12 5 50 (TYP) 0.6 0.4 0.7 850 1500 0.5 850 3 0.5 30 20 (TYP) 1.1 23 1500 0.7 13 1500 0.55 0.45 20 (TYP) 09 1.4 2.0 1500 34 1500 5 23 1.0 3.4 0.74 0.97 1500 1500 1.3 1500 1.1 2771B (SMD 27710 (SMD! 850 2771G (SMT) 107310500 107089906 l'137CT 2771C (SMT) 107089880 1 CT:2 CT 2664AK 107594988 1:191CT 3.15 0.78 2664AL 107591851 1:2.1CT 078 2664AJ 2771E (SMT), 107542565 1:2.43CT 0.97 107310518 1:2 CT 3.2 See Note 6 2779C (SMT) 107436495 107637815 1:1.36 CT 3.15 1.85 1.00 2779H (SMT) 107728594 053 0.50 0.8 1.8 0.85 1.1 1.1 107714057 107714842 1.65 1.65 13 1.25 15 22 2779J (SMD 1:1.07 1:1.37 1:1.07 1:1.14 14 06 0.9 1.45 1.1 0.48 1500 1500 1500 1500 0.07 0.1 15 (TYP) 0.20 0.40 1500 2771H (SMT) 2779L (SMT) CT CT CT CT 1.3 0.7 20 (TYP) 25 DS3/STS-1/E3 Transformer 2745AM23 107253056 1:1:1 Wide-Band Hybrid Transformer 20 kHz-800 MHz 106648009 750: 750 + 750 3 dB 6. Transformer has a dual turns ratio (1: 1.0 Band I: 1.36). I. CT = center tap. 7. Designed for EMI suppression in Tl circuits. 2. An electrostatic shield is included between the primary and secondary. 3. Can be configured for both I: I and 1:4 impedance ratios. 4. "Hardened" to withstand a 6 A current for I second with no damage. 5. Precision adjustable tuned transformer used in LC tank circuit for Tl timing recovery. Minimum Q = 160 at 1.544 MHz. 2689J2 6-4 Through-Hole Transformers for DSI Devices Transmit Transfonners Comcode Receive Transfonners Comcode code Communication Device Application Code 17288 CEPT 2745CA 2741H2 106445711 106003999 17289, 17289A DS1 2745G3 2745AK2 2745AL2 106696297 107154676 107194326 2745C2 2745CA 2741H2 106004013 106445711 106003999 DS1 2745A]2 106727605 CEPT 2745CA 2741H2 106445711 106003999 DS1 106696297 CEPT 75.1 2745G3 2745A]2 CEPT75.2 2745CA 106727605 106445711 CEPT 120 2745CA 106445711 DS1 2664AL 107591851 2664AL 107591851 CEPT 751 2664AK 107594988 2664AK 107594988 17290A 17690 17693 17296 Tl Note 2 2 3 2745AF2 2741G2 Note 2745AF2 2741G2 106004047 106003973 106004047 106003973 2745AF2 2741G2 106004047 106003973 2 2745AF2 2741G2 106004047 106003973 2 2745AF2 2741G2 106004047 106003973 2 2745G3 2745A]2 106696297 106727605 2 2 2 1 2 2745CA 106445711 2745CA 106445711 CEPT 75 2 2664A] 107542565 2664A] 107542565 CEPT 120 2664A] 107542565 2664A] 107542565 DS3, E3, STS-1 2745AM2 107253056 2745AM2 107253056 Surface-Mount Transformers for DSI Devices Transmit Transfonners Communication Device Application 17288 17289,17289A 17290A CEPT DS1 Code Comcode Receive Transformers Note Code 2779B 2779C 107697815 2779G 2779B 107554693 Tl 2779H 107728594 2779B 4 2779B DS1 2779H 107728594 4 2779B CEPT 2779H 107728594 DS1 4 2779G 17690 2779G 107728594 CEPT 75.1 4 2779H 2779H 107728594 4 107728594 CEPT75.2 2779H 2779H 4 2779H CEPT 120 2779H 107728594 1. Transformer with extra interwinding insulation for low capacitance. 2. Transformer with electrostatic shield for maximum circuit EMI suppression. 3. Hardened transformer. Center-tapped winding can handle 6 Amps for I second. 4. Transformer has a dual turns ration (1: 1.36 and I: 1.08) to accommodate all three applications of T7290A and all three CEPT applications the 17690. Comcode Note 107554701 107554701 107554701 107554701 107554701 107554693 107728594 107728594 107728594 4 4 4 6-5 TRANSFORMERS 2741 Type 2745 Type t"6"~")h r'~"~~)=h 0.35 (8.89) Max. h-n--""---n--rl~ J L ----:r 0.250 0.45 (11.43) Max. Lr-r8r---rr--8~~ L J 0.150 (3.81) Terminal Spacing I Max. I h-n-"--IT"'"TI'"-rl~ f I I 2689 Type 0.70 (17.78)-1 0.35 (8.89) Max. L I I-- f I L 0.125 (3.18) f 0.100 (2.54) Terminal Spacing f f -. 0.40 0.250 (10.16) (6.35) Max 0.46 (11.69) (6.35) Max. ----*- ----1. ! i4' R'Jo ~ J " 'L +-, ' End View Side View IF 91, (10.2) ~. 5°/8', --l I- 0.100 (2.54) 0.120 (3.05) Terminal Spacing HL 0.300 ' , (7.62) Ref.J.-+ (l.59 (15.0) Max. j 2 0.200 (5.08) Terminal Spacing 3 Terminal 1 Identifier Side View ~ j lO.100(2.54) Terminal Spacing General Notes: Dimensions in inches (millimeters) TIl Standard Terminal Lengths: L = 0.110 (2.79), 0.155 (3.94) Terminals: Solder Coated Phosphor Bronze, 0.023 (0.584) Sq Typ 6-6 0 .120 1- (3.05) Top View 1 o 17 ' " , . 2779 Type 2771 Type Top View (4~.32) Max. II ! W End View it , 0.35 (8.89) Max. ---.L ~ 3~) ~II 0.49 (12.45)- AT&T CUSTOM MANUFACTURING SERVICES Full-Service, Box-Build Solutions Total Life-Cycle Solutions AT&T Custom Manufacturing Services (CMS) is a full-service, electronics manufacturing services industry (EMS!) provider specializing in turnkey, final-system build solutions for communications products. Through its alliance with AT&T Microelectronics, AT&T CMS offers customers a one-stop product realization source. For OEMs requiring a complete solution to their manufacturing outsourcing needs, AT&T Custom Manufacturing Services offers total life-cycle solutions unlike traditional contractors with "enhanced" assembly services. We have integrated, end-to-end capabilities and resources to take a product at the de- sign stage and deliver finished units to your end customers. We can even provide repair, upgrade, and warranty services, all with the value you would expect from AT&T-the value of high quality and reliability backed by leading technology and standard-setting customer service Service Primary Partner Benefit(s) Other Benefits Concurrent Design and Engineering - Reduced risk and cost - On-site Bell Labs Design Engineering Services PWB layout, DFX - Component engineering - vendor qualification, approved vendor list - Co-location support available for design transition - Bell Labs Engineering Research Center support New Product Introduction - Enhanced time to market - Product design evaluation and proposals for cost reduction and reliability improvements - Prototype builds, evaluation and testing to prove-m manufacturing processes - Design For Manufacturability (DFM) reviews following the prototype build - Each program assigned a dedicated project manager who leads a cross-functional project team throughout the life of the program '- Concurrent approach provides smooth transition to production SupplyUne Management - Lower total cost of ownership - Enhanced tlexibility - Component and supplier evaluation for passive, active, PWB, and custom parts - Material planning and procurement leverages approved vendors and corporate contracts to improve delivery, quality, and cost performance. - Customized scheduling and production planning to meet customer order fulflliment needs (MRP II-based) - EDI support - Global purchasing support Manufacturing - Improved quality and reliability - Technology leverage - Flexible, state-of-the-art facilities operated by a highly skilled, experienced work force. - Wide range of printed-circuit assembly capabilities-from 12-mil pitch surface mount to large discrete through-hole devices - Ball grid array (BGA) and 0402 passive assembly - Dedicated final-system assembly areas and processes utilizing progressive assembly and material buffering - Jointly developed test strategies identify the appropriate combination of cost-effective tests Quality - Improved quality and reliability - Lower total cost of ownership - Total Quality Management (TQM) approach ISO 9002-certified manufacturing facilities BellCore-compliant EPA, UI, CSA, FCC, VDE product-specific certification support (BABT in 1995) 7-1 AT&T CUSTOM MANUFACTURING SERVICES Partnering In Product Realization Markets/Applications Served The motivation and benefits of outsourcing are clear-from reduced costs associated with capital investments, inventory, and operating overhead to enhanced time to market, market flexibility, and fmancial perfonnance. AT&T CMS partners enjoy these benefits and more. They leverage the strengths of a leading EMSI provider backed by the resources of AT&T: Networked Communications • Total life-cycle solutions backed by AT&1's extensive resources • Unmatched experience, expertise and vision in communications markets • Access to world-class technology and process development • Global purchasing power and supply line management resources • Legendary quality and reliability • AT&T's global brand recognition and market leverage Wireless Communications Multimedia Communications - Internetworking LAN/WAN hubs and routers - Broadband switches - Secure voice/data equipment - CellularlPCS base stations and tenninals -WLAN, WPBX - Air-to-ground communications equipment - Videoconferencing equipment - Video servers - Computer Telephony Integrated (CTI) Terminals Custom Manufacturing Centers • Greensboro, North Carolina, U.SA.: - Dedicated EMSI facility built in 1992 - Full-service facility - SM, Pili, system assembly (including BGA, 12-mil pitch, 0402 assembly in 1995) - 210,000 square feet - 400 employees - ISO 9002-certified (DnV Industry) - 1994 NC Quality Leadership Honor Roll recipient • Little Rock, Arkansas, U.SA.: - Wireless Center of Excellence (RF-speclfic capabilities and resources) - Full-service facility - SM, Pili, system assembly - 288,000 square feet - 300 employees - ISO 9002-certified (lloyd's Register) Together we can leverage our combined core competencies to deliver winning products to the market. Let us show you how ''Partnering In Product Realization" can work for you. For more infonnation about AT&T Custom Manufacturing Services, call your AT&T Account Manager, or call 1-800-447-2925. 7-2 CUSTOMER SUPPORT AT& T Worldwide Support • Design Centers Santa Clara, CA Allentown, PA London, England Madrid, Spain Munich, Germany Singapore Taipei, Taiwan Tokyo, Japan • Manufacturing Plants Allentown, PA Bangkok, Thailand Dallas, TX Madrid, Spain Malmesbury, England Matamoros, Mexico Merrimack Valley, MA Orlando, FL Reading, PA Richmond, VA Singapore Sales Offices Located worldwide. For the nearest location, please call: 1-800-372-2447. 8-1 CUSTOMER SUPPORT AT& T Microelectronics World Headquarters 2 Oak Way Berkeley Heights, NJ 07922-2727 (908) 771-2000 San Francisco 4995 Patrick Henry Drive Santa Clara, CA 95054 (408) 980-3700 International Sales Offices 7-18, Higashi-Gotanda 2-chome Shinagawa-ku, Tokyo 141, Japan Tel: 03-5421-1600 Int'l: +81-3-5421-1600 FAX: 03-5421-1700 Int'l: +81-3-5421-1700 For additional information: AT&T Microelectronics 555 Union Boulevard Room 21Q-133BA Allentown, PA 18103 1-800-372-2447 In Canada: 1-800-553-2448 Finland Tekniikantie 12 SF-02150 ESPOO Helsinki, Finland Tel. 4354-2800 Int'l: +358-0-4354-2800 FAX: 463-263 Int'l +358-0-463-263 Singapore 14 Science Park Drive #03-02A/04 The Maxwell Singapore 0511 Tel: 778-8833 Int'l: +65-778-8833 FAX: 777-7495 Int'l· +65-777-7495 North American Sales Offices France Tour Horizon 52 Quai de Dion Bouton F-92800 Puteaux Cedex Spain Poligono Industrial de Tres Cantos (Zona Oeste) E-28770 Colmenar Viejo Madrid, Spain Tel: 1-807-1700 Int'l: +34-1-807-1700 FAX: 1-807-1420 Int'l: +34-1-807-1420 Atlanta 3295 River Exchange Dr. Suite 350 Norcross, GA 30092 (404) 446-4700 Boston Point West Place 111 Speen St. Framingham, MA 01701 (508) 626-2161 Chicago 450 E. Devon Ave. Suite 225 Itasca, IL 60143-1262 (708) 773-8858 Dallas 222 West Las Colmas Blvd. SUite 950 Irving, TX 75039 (214) 556-8800 Denver 7979 E Tufts Avenue 2nd Floor Denver, CO 80237 (303) 290-2336 Los Angeles 7711 Center Ave. Suite 101 Huntington Beach, CA 92647 (714) 890-4198 Minneapolis 1650 W. 82nd Street Suite 550 Bloomington, MN 55431 (612) 885-4300 Portland 10220 S.W. Greenburg Rd. Suite 520 Portland, OR 97223 (503) 244-3883 8-2 Paris, France Tel: 4767-4767 Int'l: +33-1-4767-4767 FAX: 4767-4724 Int'l: +33-1-4767-4724 Germany Rudower Chaussee 5 D-12484 Berlin, Germany Tel: 030-63925370 Int'l· +49-30-63925370 FAX: 030-6643225 Int'l: +49-30-6643225 Bahnhofstrasse 27A D-85774 Unterfoehnng Germany Tel: 089-95086-0 Int'l: +49-89-95086-0 FAX: 089-95086-333 Int'l: +49-89-95086-333 Italy Viale Fulvio Testi 117 1-20092 Cinisello Balsamo Milan, Italy Tel· 02-6601-1800 Int'l: +39-2-6601-1800 FAX: 02-6127-005 Int'l +39-2-6127-005 Korea 17th FI. Securities Supervisory Board Bldg. #27 Yoido-Dong Youngdeungpo-Ku Yoido P. O. Box 341 Seoul, Korea Tel: +82-2-767-4000 Int'l: +82-2-767-4001 Japan 2-10 Minami-Semba, 4-Chome Chou-ku, Osaka 542 Int'l: +81-6-258-6222 FAX: 6-258-6448 Int'l: +81-6-258-6448 Sweden Klarabergsviadukten 70 Box 70363 S-10724 Stockholm, Sweden Tel: 08-700-5230 Int'l: +46-8-700-5230 FAX: 08-700-5263 Int'l: +46-8-700-5263 Taiwan 16F, Hung Tai Century Tower 156, MmSheng E. Rd. Sec 3 Taipei, 105, Taiwan, R.O.C. Tel: 2-547-3131 Int'l: +886-2-547-3131 FAX: 2-713-3246 Int'1. +886-2-713-3246 United Kingdom Microelectronics House Broad Lane Bracknell, Berkshire RG129GS Umted Kmgdom Tel: 1-344-865-900 Int'l: +44-344-865-900 FAX: 1-344-865-990 In!'l: +44-344-865-990 Manufacturer Representatives Canada/Puerto RicolUnited States Canada Ontario J-Squared Technologies, Inc. 300 March Road, Suite 501 Kanata, Ontario, Canada K2K 2E2 (613) 592-9540 J-Squared Technologies, Inc. 3405 American Drive Building 307, Unit #11 Mississauga, Ontario, Canada L4v IT6 (905) 672-2030 Quebec J-Squared Technologies, Inc. 1405 Transcanada Hwy Suite 350 Dorval, Quebec, Canada H9P 2V9 (514) 421-7800 British Columbia J-Squared Technologies, Inc. 4170 Still Creek Drive Suite 200 Burnaby, British Columbia, Canada V5C 6c6 (604) 473-4666 Puerto Rico Power Products Only ETS, Inc. P.O Box 10758 Caparra Hts. Station San Juan, Puerto Rico 00922 (809) 798-1300 United States Alabama Prime Components, Inc. 4801 University Square, Suite 31 Huntsville, AL 35816 (205) 837-9668 Power Products Only Electramark 4910 Corp. Dr. Huntsville, AL 35805 (205) 830-4400 Arizona Aztech Component Sales, Inc. 15230 North 75th Street Suite 1031 Scottsdale, AZ 85260 (602) 991-6300 Arkansas Southern States Marketing 23 Inca Lane Hot Springs Village, AR 71909 (50l) 922-0807 California Electec SoCal, Inc. 20301 Ventura Blvd., Suite 305 Woodland Hills, CA 91364 (818) 226-6010 Electec SoCal, Inc. 15520 Rockfield Blvd., SUite D Irvine, CA 92718 (714) 580-0270 Electec Sales, Inc. 4701 Patrick Henry Dr. Suite 2101 Santa Clara, CA 95054 (408) 496-0706 Electec Sales San Diego, Inc. 9666 Busmess Park Ave. Suite 207 San Diego, CA 92131 (619) 635-5959 Power products Only Infinity Sales 20 Corporate Park Suite 100 Irvine, CA 92714 (714) 833-0300 Colorado Quorum 3 23 Inverness Way East Suite 120 Englewood, CO 80112 (303) 799-8100 Connecticut Anchor Engineering 40 Oxbow Lane Guilford, CT 06437 (203) 458-7496 Florida Power Products Only CBX Electronics, Inc. 1706 E. Semoran Blvd. Suite 114 Apopka, FL 32703 (407) 889-9100 Power Products Only CBX Electronics, Inc. 324 S Military Trail Deerfield Beach, FL 33442 (305) 429-3400 Georgia Prime Components, Inc. 6825 Jimmy Carter Blvd. Suite 1890 Norcross, GA 30071 (770) 441-3343 Power Products Only Electramark 6030-H Unity Drive Norcross, GA 30071 (404) 446-7915 Illinois Victory Sales, Inc. 1030 Higgins Road Suite 101 Hoffman Estates, IL 60195 (708) 490-0300 Indiana Victory Sales, Inc. 3077 E. 98th St. Suite 135 Indianapolis, IN 46280 (317) 581-0880 Power Products Only Mohrfie1d Marketing, Inc. 4173 Millersville Road Indianapolis, IN 46205 (317) 546-6969 Power Products Only Mohrfie1d Marketing, Inc. 9415 Teke Drive Leo, IN 46765 (219) 627-5355 Kansas MIDTEC Associates, Inc 11900 W. 87th Street Pkwy SUite 220 Lenexa, KS 66215 (913) 541-0505 Kentucky Power Products Only Mohrfie1d Marketing, Inc. 2265 Harrodsburg Road Suite 200 Lexington, KY 40504 (606) 276-0478 Maryland Advanced Technology Sales 201 International Circle Suite 570 Hunt Valley, MD 21031 (410) 771-0880 Massachusetts Universal Technology, Inc. 460 Totten Pond Road, Fifth Floor Waltham, MA 02154 (617) 890-8505 Power Products Only Anchor Engineering Corp. 33 Lyman St. Westborough, MA 01581 (508) 898-2724 Power products Only Bill Byrne & Associates 125 Conant Rd. Weston, MA 02193 (617) 899-3439 8-3 CUSTOMER SUPPORT Michigan Trilogy Marketing Incorporated 691 N. Squirrel Rd. Suite 110 Auburn Hills, MI 48326 (810) 377-4900 Minnesota D.A. Case Associates 4620 West 77th Street Suite 250 Minneapolis, MN 55435 (612) 831-6777 Missouri Midtec Associates, Inc. 55 Westport Plaza, Suite 614 st. LOlliS, MO 63146 (314) 275-8666 New Jersey Technical Marketing Group 175-3C FaIrfield Ave. West Caldwell, NJ 07006 (201) 226-9408 Power Products Only Systech Associates, Inc. 700 Black Horse Pike, Suite 504 TurnersvIlle, NJ 08012 (609) 751-5151 New Mexico Power Products Only Nelco Electronix 3240 Juan Tabo NE Albuquerque, NM 87111 (505) 293-1399 New York Entec Group Inc. 6037 Taft Road East Suite 106 North Syracuse, NY 13212 (315) 458-7936 North Carolina Prime Components, Inc. 5250-77 Center Drive Suite 350 Charlotte, NC 28217 (704) 522-1150 Prime Components, Inc. 805 Spring Forest Road Suite 800 Raleigh, NC 27609 (919) 850-9866 Prime Components, Inc. 1605 Maple Ridge Court Greensboro, NC 27455 (910) 282-7855 Power Products Only Quantum Marketing 4801 E Independence Blvd. Suite 1000 Charlotte, NC 28212 (704) 536-8558 Power Products Only Quantum Marketing 6604 Six Forks Rd. Suite 102 Raleigh, NC 27615 (919) 846-5728 Power products Only Warren Partners 3425 Labrador Lane Chapel Hill, NC 27516 (919) 967-3915 Ohio Victory Sales Inc. 7333 Paragon Rd. Suite 210 Centerville, OH 45458 (513) 436-1222 Technical Marketing Group 150 Broad Hollow Road Melville, NY 11747 (516) 351-8833 Victory Sales Inc. 32901 Station St Suite 104 Solon, OH 44139 (216) 498-7570 Power Products Only MUcom Sales Associates 314 Route 22W Greensbrook, NY 08812 (908) 752-8884 Power Products Only Midwest Marketing Associates 30 Marco Lane Dayton, OH 45458 (513) 443-2511 Power Products Only Upstate Components Sales Inc. 40 Humboldt St. Rochester, NY 14609-7429 (716) 482-6070 Power Products Only Midwest Marketing Associates 5001 Mayfield Road Suite 212 Lyndhurst, OH 44124 (216) 381-8575 8-4 Oregon Advanced Technical Marketing Inc. 4900 S.W. Griffith Drive Suite 155 Beaverton, OR 97005 (503) 643-8307 Pennsylvania SIlicon Materials Only HOTTEC, Inc. 146 Surrey Lane Harleysville, PA 19438 (215) 256-6003 Texas Southern States Marketing 400 E Anderson Lane Suite 126 Austin, TX 78752 (512) 835-5822 Southern States Marketing 13831 Northwest Freeway Suite 151 Houston, TX 77040 (713) 895-8533 southern States Marketing 1702 North Collins Blvd. SUIte 250. Richardson, TX 75080 (214) 238-7500 Power products Only OM Sales 9020 Capital of Texas Hwy N Bldg #1 Suite 335 AustIn, TX 78759 (512) 794-9971 Power products Only OM Associates 20405 State Hwy 249 Suite 170 Houston, TX 77070 (713) 376-6400 Power Products Only OM Sales 690 W. Cambell Rd Suite 150 Richardson, TX 75080 (214) 690-6746 Utah Anderson Associates 270 S. Main Street Suite 108 Bountiful, UT 84010 (80l) 292-8991 Virginia Advanced Technology Sales 13615 Knobhill Court Midlothian, VA 23113 (804) 378-5275 Washington Advanced Technical Marketing H5211,;4th Ave N.E Redmond, WA 9H052 (206) H69-7636 Wisconsin Victory Sales, Inc. 405 N Calhoun Road SlIlte 20H Brookfield, WI 53005 (414) 7H9-5770 International Manufacturer Representatives England Ultimate Marketing Coltwood Hou,e 2 Tongham Rd Runfold, Farmham Surrey GUlO 1PH England Tel 0252-781212 Int'l. +44-252-7H1212 FAX.0252-7H1313 Int'l +44-252-781313 Germany Peter Sibities Ingenieur Buero Heckenstallerstrasse 153 0-81369 MUnich Germany Int'l' +49H9-711H69 Ireland Micro Marketing Ltd. Ellenborough House, Dubhn Rd NAAS. Co Kildare Ireland Tel 01-2989400 Int'l +353-45-71316 FAX 01-2989828 lnt'l +353-45-71315 Israel SMD Electronics Ltd. POBox 3075, 12 lrus Ha'argaman II-42130. Netanya Israel Tel 02-9654368/9 lnt'l +97-2-9654368/9 FAX. 02-9654370 Int'l· +97-2-9654370 Scotland Stuart Electronics Ltd. PhoenIX House Bothwell Road Castlehlll Carluke MLS 5UF. Scotland Tel 0555-751572 Int'l +44-555-751572 FAX: 0555-75028 Int'l' +44-555-75028 Distributors Canada/United States Canada ArrowISchweber Electronics 36 Antares Dnve Unit 100 Nepean, Ontano Canada K2E 7W5 (613) 226-6903 Capstone Electronics Canada Hub 1093 Meyerslde Dnve Mlsslssauga, Ontano, Canada L5T 1M4 (905) 670-2010 ArrowISchweber Electronics 1093 Meyerslde Dnve Mlsslssauga, Ontario L5T 1M4 (905) 670-7769 ArrowISchweber Electronics 1100 St RegiS Boulevard Dorval. Quebec, Canada H9P 2T5 (514) 421-7411 ArrowISchweber Electronics Lake City Busmess Center 8544 Baxter Place Burnaby, B. C , Canada V5A 4T8 (604) 421-2333 United States California Anthem Electronics, Inc. (Corporate Office) 1160 Ridder Park Dnve San Jose, CA 95131 (408) 452-2219 Anthem Electronics, Inc. 1 Oldfield Dnve lrvme, CA 92718-2809 (714) 768-4444 Anthem Electronics, Inc. 9369 Carroll Park Dnve San Diego, CA 92121 (619) 453-9005 Anthem Electronics, Inc. 9131 Oakdale Avenue Chatsworth, CA 91311 (818) 775-1333 Anthem Electronics, Inc. 580 Menlo Dnve Suite 8 Rockhn, CA 95677 (916) 624-9744 ArrowISchweber Electronics 6 Cromwell Street, SlIlte 100 Irvme, CA 92718 (714) 454-4303 ArrowISchweber Electronics 1180 Murphy Avenue San Jose, CA 95131 (408) 441-9700 Alabama Arrow/Schweber Electronics 1015 Henderson Road Huntsville, Ai 35816 (205) 837-6955 ArrowISchweber Electronics 9511 Rldgehaven Court San Diego. CA 92123 (619) 565-4800 Pioneer Technologies Group 4H35 UnIVerSIty Square, SlIlte 5 Huntsville, AL 35816 (205) 837-9300 ArrowISchweber Electronics 27607 W Agoura Road Mahhu Canyon Business Park Calahasas, CA 91302 (818) 880-9686 Anthem Electronics Inc. 4920 H Corporate Dr Huntsvllle, AL 35805 (205) 890-0302 Arizona Anthem Electronics, Inc. 1553 W 10th Place SlIlte 101 Tempe, AZ 85281 (602) 966-6600 Arrow/Schweber Electronics 2415 W Ene Dnve Tempe, AZ 85282 (602) 431-0030 Capstone Electronics 6 Cromwell St., #100 Irvme. CA 92718 (714) 454-4245 Capstone Electronics San}oseHub 1180 Murphy Avenue San Jose, CA 95131 (408) 453-9804 Zeus Electronics, Inc. 6276 San IgnaCiO Ave., SlIlte E San Jose, CA 95119 (408) 629-47H9 8-5 CUSTOMER SUPPORT Zeus Electronics, Inc. 6 Cromwell SI. #100 Irvine, CA 92718-1816 (714) 581-4622 Pioneer Technologies Group 333 River Oaks Parkway San Jose, CA 95134 (408) 954-9100 Power Prexiucts Only Compumeck 726 S, Hillview Dr. Milpitar, CA 95035 (408) 945-9100 Power Products Only Foresight Electronics 318 MartIn Avenue Santa Clara, CA 95050 (408) 980-9700 Power Products Only Pioneer Standard 217 Technology Dr. #110 Irvme, CA 92718 (714) 753-5090 Power Products Only Pioneer Standard 9449 Balboa Ave #114 San Diego, CA 92123 (619) 514-7700 Colorado Anthem Electronics, Inc. 373 Inverness Dnve South Englewood, CO 80112 (303) 790-4500 ArrowISchweber Electronics 101 Inverness Dnve East SUIte 105 Englewood, CO 80112 (03) 799-0258 Capstone Electronics (Headquarters) 3254 Fraser Street Aurora, CO 80011 (303) 375-1300 Connecticut Anthem Electronics, Inc. 61 Mattatuck HeIghts Road Waterbury, CT 06705 (203) 575-1575 Florida ArrowISchweber Electronics 400 Fairway Drive Deerfield Beach, FL 33441 (305) 429-8200 Arrow/Schweber Electronics 37 Skyline Dnve BUIldIng D Suites 3101, 3102, & 3103 Lake Mary, FL 32746 (407) 333-9300 Anthem Electronics, Inc. 598 South Northlake Blvd, SUIte #1024 Altamonte Spnngs, FL 32701 (407) 831-0007 Anthem Electronics, Inc. 5200 Northwest 33rd Ave, SUIte 206 Ft. Lauderdale, FL 33309 (305) 484-0990 Zeus Electronics, Inc. 37 Skyhne Dr. BUIlding D, SUItes 1301-3 Lake Mary, FL 32746 (407) 333-3055 Pioneer Technologies Group 337 South-North Lake, #1000 Altamonte Spnngs, FL 32701 (407) 834-9090 Pioneer Technologies Group 674 South MIlitary Trail Deerfteld Beach, FL 33442 (305) 428-8877 Power Products Only Sager 7699 Commerce Center Drive Orlando, FL 32819 (407) 354-1130 Georgia Arrow/Schweber Electronics 4250 E, RIver Green Parkway Duluth, GA 30136 (404) 497-1300 Pioneer Technologies Group 4250 C Rlvergreen Parkway Duluth, GA 30136 (404) 623-1003 Power Products Only Sager 3000 Northwoods Parkway #170 Norcross, GA 30071 (404) 446-0085 Illinois Anthem Electronics, Inc. 1300 Remington Road Suite A Schaumburg, IL 60173 (708) 884-0200 Arrow/Schweber Electronics 1140 W Thorndale Avenue Itasca, IL 60143 (708) 250-0500 Capstone Electronics Chicago Regional Center 1100 W. Thorndale Avenue Itasca, IL 60143 (708) 250-0300 Power Products Only Sager 1105 RemIngton Rd. Schaumburg, IL 60173 (708) 882-9790 Indiana ArrowISchweber Electronics 7108 Lakeview Parkway, West Dnve Indianapohs, IN 46268 (317) 299-2071 Power Products Only Pioneer Standard 9350 N Pnority Way W Dr Indlanapohs, IN 46240 (317) 573-0880 Kansas ArrowISchweber ElectronicsCapstone 9801 Legler Road Lenexa, KS 66214 (913) 541-9542 Maryland Anthem Electronics, Inc. 7168 ColumbIa Gateway Dnve SUIte A ColumbIa, MD 21046 (410) 995-6640 ArrowISchweber Electronics 9800J Patuxent Woods Dnve ArrowISchweber Electronics 860 N MaIn 5t Ext Walhngford, CT 06492 (203) 265-7741 Power Products Only Sager 108 N, PlaIns Industrial Rd, Walhngford, CT 06492 (203) 265-4600 H-6 Anthem Electronics, Inc. 3305 Breckenridge Blvd, SUIte 108 Duluth, GA 30136 (404) 813-0023 ColumbIa, MD 21046 (301) 596-7800 Pioneer Technologies Group 15810 GaIther Dnve Gaithersburg, MD 20877 (301) 921-8407 Pioneer Technologies Group 9100 GaIther Road GaIthersburg, MD 20877 (301) 921-0660 Power Products Only Sager 9051 K Red Branch Road ColumbIa, MD 21045 (410) 995-4900 Massachusetts Power Products Only Sager (N. Branch) 100 Research Dr WIlmIngton, MA (508) 657-5155 Anthem Electronics, Inc. 200 Research Dr WilmIngton, MA 01887 (508) 657-5170 ArrowISchweber Electronics 25 Upton DrIve WIlmIngton, MA 01887 (508) 658-0900 Minnesota Anthem Electronics, Inc. 7690 Golden Tnangle Dnve Eden PraIrie, MN 55344 (612) 944-5454 Arrow/Schweber Electronics 25 Hub Dnve MelVIlle, NY 11747 (516) 391-1300 ArrowISchweber Electronics 10100 VIkIng Dnve, SUIte 100 Eden Prairie, MN 55344 (612) 941-5280 Zeus Electronics, Inc. 100 MIdland Ave Port Chester, NY 10573 (914) 933-4200 New Hampshire Power Products Only Sager 2 Industnal Way Salem, NH 03079 (603) 898-1348 New Jersey Anthem Electronics, Inc. 26 Chapm Road, Umt K Pme Brook, NJ 07058 (201) 227-7960 ArrowISchweber Electronics 4 E Stow Road, Umt 11 Marlton, NJ 08053 (609) 596-8000 Zeus Electronics, Inc. 25 Upton Dr WIImmgton, MA 01887 (508) 658-4776 ArrowISchweber Electronics 43 Route 46 East PIne Brook, NJ 0705R (201) 227-7889 Power Products Only Sager 60 Research Rd HIngham, MA ·02043 (617) '749-6700 Arrow/Schweber Electronics 101 Crawfords Corner Road Room IM511 Holmdel, NJ 07733-3030 (908) 949-4700 Power Products Only Pioneer Standard 44 Hartwell Ave LeXIngton, MA 02173 (617) 861-9200 Capstone Electronics Philadelphia Regional Center 4 E Stow Road, #12 Marlton, NJ 08053 (609) 596-7500 Power Products Only Pioneer Standard 4595 Broadmoor SE, SUIte 235 Grand RapIds, Ml 49512 (616) 534-0500 Power Products Only Pioneer Standard 44190 Plymouth Oaks Dr Plymouth, MI 48170 (313) 416-2157 3375 Bnghton-Hennetta TownlIne Road Rochester, NY 14623 (716) 427-0300 ArrowISchweber Electronics 10120A West 76th Sr Eden Prame, MN 55344 (612) 946-4800 Capstone Electronics - Boston Regional Center 25 Upton DrIve WIlmIngton, MA 01887 (508) 657-5874 Michigan ArrowISchweber Electronics 44720 Helm St Plymouth, MI 48172 (313) 462-2290 Arrow/Schweber Electronics Power Products Only Pioneer Standard 14A MadIson Rd. FaIrfIeld, NJ 07006 (201) 575-3510 New York Anthem Electronics, Inc. 47 Mall Dnve Commack, NY 11725 (516) 864-6600 Power Products Only Sager 800 Pnme Place Hauppauge, NY 11788 (516) 348-1300 Power Products Only Pioneer Standard 840 FaIrport Park FaIrport, NY 14450 (716) 381-7070 Power Products Only Pioneer Standard 60 Crossways Park West Woodbury, NY 11797 (516) 921-8700 North Carolina Arrow/Schweber Electronics 5240 Greens DaIry Road RaleIgh, NC 27604 (919) 876-3132 Capstone Electronics Raleigh Hub 5230 Greens Dairy Road RaleIgh, NC 27604 (919) 954-0600 Pioneer TechnolOgies Group 2200 Gateway Centre Boulevard Suite 215 MOrrIsvIlle, NC 27560 (919) 460-1530 Power Products Only Sager 5249 CapItal Blvd RaleIgh, NC 27604 (919) 850-9550 Ohio ArrowISchweber Electronics 6573 E Cochran Road Solon, OH 44139 (216) 248-3990 ArrowISchweber Electronics 20 Oser Avenue Hauppauge, NY 11788 (516) 231-1000 8-7 CUSTOMER SUPPORT ArrowISchweber Electronics 8200 Washington Village Drive SUite A Centerv!lle, OH 45458 (513) 435-5563 Power Products Only Sager 705 Thomson Park Dnve Mars, PA 16046 (412) 772-2233 Power Products Only Sager 2608 E. River Road Dayton, OH 45439 (513) 298-5555 Power Products Only Sager 2270 Cabot Blvd. W. Suite 2 Langhorne, PA 19047 (215) 750-7778 Power Pr
Source Exif Data:File Type : PDF File Type Extension : pdf MIME Type : application/pdf PDF Version : 1.3 Linearized : No XMP Toolkit : Adobe XMP Core 4.2.1-c043 52.372728, 2009/01/18-15:56:37 Create Date : 2014:01:08 16:24:11-08:00 Modify Date : 2014:01:09 01:32:44-08:00 Metadata Date : 2014:01:09 01:32:44-08:00 Producer : Adobe Acrobat 9.55 Paper Capture Plug-in Format : application/pdf Document ID : uuid:eefd80c1-6fb9-45cd-863a-0188df0ca795 Instance ID : uuid:3e614d1f-a61f-41d6-a1e4-2ab64dbec4cd Page Layout : SinglePage Page Mode : UseNone Page Count : 94EXIF Metadata provided by EXIF.tools