1996_National_SCAN_Databook 1996 National SCAN Databook

User Manual: 1996_National_SCAN_Databook

Open the PDF directly: View PDF PDF.
Page Count: 338

Download1996_National_SCAN_Databook 1996 National SCAN Databook
Open PDF In BrowserView PDF
SCAN

DATABOOK
1996 Edition

Design for Test Solutions with
Boundary Scan
Description of Boundary Scan
Device Description and Characteristics
Loading Specifications, Waveforms,
Quality and Reliability
Characterization Data

••
••
•
••II
III
[II

Boundary Scan Design Support
Application Notes
SCAN CMOS Test Access Logic Datasheets
SCAN ABT Test Access LogiC Datasheets
System Test Support Datasheets
Ordering Information and
Physical Dimensions

III

http://www.national.com

I

TRADEMARKS

Following is the most current list of National Semiconductor Corporation's trademarks and registered trademarks.
ABiCTM
Abuseable™
AirShare™
AnadigTM
APPSTM
ARi 1TM
ASPECTTM
AT/LANTICTM
Auto-Chem DefiasherTM
BCPTM
BI-FETTM
BI-FET IITM
BI-LiNETM
BIPLANTM
BLCTM
BLXTM
BMACTM
Boomer®
Brite-Lite™
BSITM
BSI-2TM
CDDTM
CDLTM
CGSTM
CIMTM
CIMBUSTM
CLASICTM
COMBO®
COMBO ITM
COMBO IITM
CompactRISCTM
CompactSPEECHTM
COPSTM microcontrollers
COP8TM
CRDTM
CROSS VOL pM
CSNITM
CTITM
CYCLONETM
DA4TM
DENSPAKTM
DIBTM
DISCERNTM
DISTILLTM
DNR®
DPVMTM
E2CMOSTM

ELSTARTM
Embedded System
Processor™
EPTM
E-Z-LlNKTM
FACTTM
FACT Quiet Series™
FAIRCADTM
FairtechTM
FAST®
FastLockTM
FASTr™
GENIXTM
GNXTM
GTOTM
HEX3000TM
HiSeCTM
HPCTM
HyBal™
13L®
ICMTM
IntegrallSETM
IntelisplayTM
Inter-LERICTM
Inter-RICTM
ISETM
ISEIO6™
ISE/08TM
ISEI16™
ISE32TM
ISOPLANARTM
ISOPLANAR-ZTM
LERICTM
LMCMOSTM
M2CMOSTM
Macrobus™
Macrocomponent™
MACSITM
MAPLTM
MAXI-ROM®
Microbus™ data bus
MICRO-DACTM
/JoPotTM
/Jotalker™
Microtalker™
MICROWIRETM

MICROWIRE/PLUSTM
MOLETM
MPATM
MSTTM
Naked-8TM
National®
National Semiconductor®
National Semiconductor
Corp.®
NAX800™
NeuFuz™
Nitride PIUS™
Nitride Plus Oxide™
NMLTM
NOBUSTM
NS486™
NSC800™
NSCISETM
NSX-16TM
NS-XC-16TM
NTERCOMTM
NURAMTM
OPALTM
Overture™
OXISSTM
p2CMOSTM
Perfect Watch™
PLANTM
PLANARTM
PLAYERTM
PLAYER+TM
PLLatinum™
Plus-2TM
Polycraft™
POPTM
Power + ControlTM
POWERplanar™
QSTM
QUAD3000™
Quiet Series™
QUIKLOOKTM
RATTM
RICTM
RICKITTM
RTX16TM
SCENICTM

SCXTM
SERIESI800™
Series 32000®
SIMPLE SWITCHER®
SNITM
SNICTM
SofChek™
SONICTM
SpeechPro™
SPiKe™
SPIRETM
Staggered Refresh™
STARTM
Starlink™
STARPLEXTM
ST-NICTM
SuperATTM
Super-BlockTM
SuperChipTM
SuperScriptTM
Switchers Made Simple®

SYS32TM
TapePak®
TDSTM
TeleGate™
The National Anthem®
TinyPak™
TLCTM
Trapezoidal™
TRI-CODETM
TRI-POLYTM
TRI-SAFETM
TRI-STATE®
TROPICTM
Tropic Pele/™
Tropic ReefTM
TURBOTRANSCEIVERTM
TWISTERTM
VIPTM
VR32™
WATCHDOGTM
XMOSTM
XPUTM
ZSTARTM
883B/RETSTM
883S/RETSTM

29KTM and AM29200TM are trademarks of Advanced Micro Devices, Inc.
AMD® and MACH®465 are registered trademarks of Advanced Micro Devices, Inc.
BTPGTM is a trademark of JTAG Technologies.
IBM® and PC® are registered trademarks of International Business Machines Corp.
VICTORYTM is a trademark of Teradyne, Inc.
Windows® is a registered trademark of Microsoft Corporation.

LIFE SUPPORT POLICY

NATIONAL'S PRODUCTS ARE NOT AUTHORIZED FOR USE AS CRITICAL COMPONENTS IN LIFE SUPPORT DEVICES OR
SYSTEMS WITHOUT THE EXPRESS WRITIEN APPROVAL OF THE PRESIDENT OF NATIONAL SEMICONDUCTOR CORPORATION. As used herein:
2. A critical component is any component of a life support
1. Life support devices or systems are devices or systems
which, (a) are intended for surgical implant into the body,
device or system whose failure to perform can be reasonably expected to cause the failure of the life support deor (b) support or sustain life, and whose failure to pervice or system, or to affect its safety or effectiveness.
form, when properly used in accordance with instructions
for use provided in the labeling, can be reasonably ex·
pected to result in a significant injury to the user.
NatlonalSemlconductorCorporatlon 2900 Semiconductor Drive, P.O. Box 58090, Santa Clara, California 95052·8090 1·800·272·9959
TWX (910) 339·9240
National does not assume any responsibility for use of any circuitry described, no circuit patent licenses are implied. and National reserves the right, at any time
without notice, to change said circuitry or specifications.
http://www.national.com

~

C"

Table of Contents

CD
o

-

National Semiconductor's SCAN Data Book offers Design for
Test Solutions to improve R&D development cycle time, reduce test development and manufacturing cost and improve
a customer's end system uptime. Designers, test engineers
and engineering management using concurrent engineering
practices will see life-cycle cost of ownership go down for
systems designed with boundary scan.
The SCAN Family of IEEE 1149.1 (JTAG) compliant devices
simplify integration of design and test.

SCAN Data Book
Product Index and Selection Guide
The Product Index is a numerical list of all device types contained in this book. The Selection Guide groups the products
by function and by family.

Section 1 Design for Test Solutions with Boundary
Scan ................................ 1-1
Describes OFT and boundary scan and why it affects the lifecycle cost of ownership of complex systems. Describes failure modes identified with boundary scan in board and system
level implementations as well as embedded, on-board test.

Section 2

Description of Boundary Scan ........ 2-1

Describes boundary scan architecture: Test Access Port,
TAP controller, and TDI, TOO, TMS, TCK signals; registers
and state diagram; mode of operation.

Section 3

Device Description and
Characteristics ...................... 3-1

CMOS and BiCMOS characteristics of SCAN ABT and SCAN
CMOS 18-bit Test Access Logic are described, including design architecture and performance attributes.

Section 4 Loading SpeCifications, Waveforms,
Quality and Reliability ................ 4-1
Section 5 Characterization Data ................ 5-1
Graphs depicting the propagation performance of the SCAN
CMOS buffers and transceivers.

Section 6

Boundary Scan Design Support ....... 6-1

How to obtain BSDL models and other simulation models.
Sources of information.

iii

http://www.national.com

oo

::::s

CD

::::s

( I)

-o

r---------------------------------------------------------------------------------,

C
CD
C

Section 7

Application Notes ............ 7-1

-:c

Section 8

SCAN CMOS Test Access Logic
Datasheets . ................. . 8-1

o

o

o

CD

~

Datasheets for 18-bit CMOS devices feature low
power consumption, - 48 mA/64 mA drivers used
for surrounding clusters of non-1149.1 compliant devices in order to make a board fully 1149.1 compliant.

Section 9 SCAN ABT Test Access Logic
Datasheets .................. . 9-1
Datasheets for 18-bit SiCMOS devices. They are
used along the card edge going into a backplane to
provide live insertion/removal capability. Their 250
series resistors on the output eliminate an external
damping resistor and reduce ringing (noise).

Section 10 System Test Support
Datasheets ................ 10-1
These are true "system support" products. These
devices extend boundary scan features from the single board environment to several boards.
The Embedded Boundary Scan Controller,
SCANPSC100F, allows creation of an on-board embedded test environment. It provides the interface
from a target system's microprocessor and memory
to the IEEE 1149.1 Test Access Port. The Hierarchical and Multidrop Addressable JTAG Port,
SCANPSC110F Bridge, provides access to multiple
boards within a system for simultaneous testing of
like boards as well as system partitioning to better
isolate test faults. SCAN EASE in a suite of software
tools that enables test vectors to be embedded within an 1149.1 system; compiles and compresses vectors; controls and applies tests; reports failures; and
includes a graphical user interface.

Section 11

Ordering Information and
Physical Dimensions . ...... . 11-1

Functional description of the ordering codes, package outlines, (JJA information and Dry Pack handling
procedures.

http://www.national.com

iv

..."Uo
c.

-c

IfINational Semiconductor

n

CJ)
D)

c
en

Product Status Definitions

-o·
C

CD

S·

::;:

::::s

Definition of Terms

!

en

Data Sheet Identification

Product Status

Advance Information

Formative or
In Design

This data sheet contains the design specifications for product
development. Specifications may change in any manner without notice.

Preliminary

First
Production

This data sheet contains preliminary data, and supplementary data will
be published at a later date. National Semiconductor Corporation
reserves the right to make changes at any time without notice in order
to improve design and supply the best possible product.

No
Identification
Noted

Full
Production

This data sheet contains final specifications. National Semiconductor
Corporation reserves the right to make changes at any time without
notice in order to improve design and supply the best possible product.

Obsolete

Not In Production

This data sheet contains specifications on a product that has been
discontinued by National Semiconductor Corporation. The data sheet
is printed for reference information only.

•

•

I

,
i

Definition

National Semiconductor Corporation reserves the right to make changes without further notice to any products herein to
improve reliability, function or design. National does not assume any liability arising out of the application or use of any product
or circuit described herein; neither does it convey any license under its patent rights, nor the rights of others.

v

http://www.national.com

Alpha-Numeric Index
ADC0851 8-Bit Analog Data Acquisition and Monitoring System ...........................•.... 7-54
ADC0858 8-Bit Analog Data Acquisition and Monitoring System ................................ 7-54
AN-881 Design Considerations for Fault Tolerant Backplanes .............................•.... 7-26
AN-889 Design of a Parallel Bus-to-Scan Test Port Converter .............................•.... 7-30
AN-891 Non-Contact Test Access for Surface Mount Technology ..........................•.... 7-22
AN-1003 G.Host JTAG Interface for Graphics Host Reference Design ......................•.... 7-34
AN-1022 Boundary Scan Silicon and Software Enable System Level Embedded Test ............... 7-8
AN-1023 Structural System Test via IEEE Std. 1149.1 with SCANPSC110F Hierarchical &
Multidrop Addressable JTAG Port ......................................................... 7-3
AN-1037 Embedded IEEE 1149.1 Test Application Example ................................... 7-13
SCAN EASE SCAN Embedded Application Software Enabler .................................. 10-54
SCAN18245T Non-Inverting Transceiver with TRI-STATE Outputs .........................•..... 8-3
SCAN18373T Transparent Latch with TRI-STATE Outputs ................................ _.... 8-17
SCAN18374T D Flip-Flop with TRI-STATE Outputs ......................................•.... 8-29
SCAN18540T Inverting Line Driver with TRI-STATE Outputs .............................. _.... 8-41
SCAN18541T Non-Inverting Line Driver with TRI-STATE Outputs .......................... _.... 8-52
SCAN182245A Non-Inverting Transceiver with 250 Series Resistor Outputs ...................... 9-3
SCAN 182373A Transparent Latch with 25!l Series Resistor Outputs ............................ 9-18
SCAN182374A D Flip-Flop with 25!l Series Resistor Outputs .............................•.... 9-31
SCAN182541 A Non-Inverting Line Driver with 250 Series Resistor Outputs .................•.... 9-44
SCANPSC100F Embedded Boundary Scan Controller (IEEE 1149.1 Support) ..................... 10-3
SCANPSC11 OF SCAN Bridge Hierarchical and Multidrop Addressable JTAG Port
(IEEE 1149.1 System Test Support) ...................................................... 10-26

vi

en

o

:t>
Z

t(JNational Semiconductor

...

"'tJ

o
Co
c(')

en

SCAN Products Selection Guide

en

(I)

-o·
CD
(')
::::J

Function

I

Device

I

BitWidth

C)
C

Features

I

c:
(I)

TRANSCEIVERS
Non-Inverting

SCAN18245T

18-bit

•
•
•
•
•
•

Non-Inverting

SCAN182245A

18-bit

• High performance BiCMOS technology (tpo < 4 ns, typ)
• 25n series resistors in outputs eliminate the need for external terminating
resistors
• Dual output enable control signals
• TRI-STATE outputs for bus-oriented applications
• 25 mil pitch SSOP (Shrink Small Outline Package)
• IEEE 1149.1 (JTAG) Compliant
• Includes CLAMP, IDCODE and HIGHZ instructions

D

SCAN18374T

18-bit

•
•
•
•
•
•

D

SCAN 182374A

18-bit

•
•
•
•
•
•
•

Dual Output Enable Control Signals
IEEE 1149.1 (JTAG) Compliant
Includes CLAMP and HIGHZ Instructions
9-Bit Data Busses for Parity Applications
TRI-STATE@ Outputs for Bus-Oriented Applications
Reduced-Swing Outputs source 32 rnA/sink 64 rnA (Comm), and source
24 mA/sink 48 mA (Military)
• Guaranteed to Drive 50n Transmission Line to TTL Input Levels of O.8V and
2.0V
• TTL Compatible Inputs
• 25 mil Pitch SSOP (Shrink Small Outline Package)

FLIP-FLOPS
Buffered Positive Edge-Triggered Clock
IEEE 1149.1 (JTAG) Compliant
Includes CLAMP and HIGHZ Instructions
9-Bit Data Busses for Parity Applications
TRI-STATE Outputs for Bus-Oriented Applications
Reduced-Swing Outputs source 32 mA/sink 64 mA (Comm), and source
24 mA/sink 48 mA (Military)
• Guaranteed to Drive 50n Transmission Line to TTL Input Levels of O.8V and
2.0V
• TTL Compatible Inputs
• 25 mil Pitch SSOP (Shrink Small Outline Package)
High performance BiCMOS technology (TPO < 4 ns, typical)
25n series resistor outputs eliminate need for external terminating resistors
Buffered positive edge-triggered clock
TRI-STATE outputs for bus-oriented applications
25 mil pitch SSOP (Shrink Small Outline Package)
IEEE 1149.1 (JTAG) Compliant
Includes CLAMP, IDCODE and HIGHZ instructions

vii

http://www.national.com

CD

"C

·s

C!J

Function

c

o

;:;

Device

I

Blt-

Features

I Width I

LATCHES

Co)

CD

Ci)

Transparent

SCAN18373T

18-bit

•
•
•
•
•
•

Transparent

SCAN182373A

18-bit

•
•
•
•
•
•
•

Inverting

SCAN18540T

18-bit

(Same Features of the SCAN 18541 T)

Non-Inverting

SCAN18541T

18-bit

•
•
•
•
•
•

Non-Inverting

SCAN 182541 A

18-bit

•
•
•
•
•
•
•

-...

CJ)
U)

Co)

::::s

"C

o

D.

Z



Features

Z

SYSTEM SUPPORT
Embedded
Boundary
Scan
Controller

SCANPSC100F

• Compatible with the IEEE Std. 1149.1 (JTAG) Test Access Port and Boundary Scan
Architecture
• Interfaces up to Two 1149.1 Scan Rings
• Fabricated in FACTTM 1.5JJ- CMOS Process
• Generic Parallel Interface Synchronizes Processor Signals with the SCANPSC1 OaF
Operation Clock, SCK
• 16-Bit Serial Signature Compaction (SSG) at the Test Data in (TOI) Port
• Automatically produces Pseudo-Random Patterns at the Test Data Out (TOO) Port
• 25 MHz Operation
• TTL Compatible Inputs, Outputs are Full-Swing CMOS with 24 mA Source/Sink Capability

"tJ
....
o

c.

o-·
r::::

(")

en

en
(I)

CD

(")

:::s

G)

r::::

c:
(I)

Hierarchical
and Multidrop
Addressable
JTAG Port

SCANPSC110F

• True IEEE1149.1 Hierarchical and Multidrop Addressable Capability
• The 6 Slot Inputs Support Up to 59 Unique Addresses, a Broadcast Address, and 4 Multi-cast
Group Addresses
• 3 IEEE 1149.1-Compatible Configurable Local Scan Ports
• Mode Register Allows Local TAPs to be Bypassed, Selected for Insertion into the Scan Chain
Individually, or Serially in Groups of Two or Three
• 32-bit TCK Counter
• 16-bit LFSR Signature Compactor
• Local TAPs Can Be TRI-STATE Via the OE Input to Allow an Alternate Test Master to Take
Control of the Local TAPs

SCAN

SCAN EASE

• Processor independent-runs on big/little endian and memory- and I/O-mapped
architectures
• Compatible with Teradyne VICTORYTM ATPG and JTAG Technology BTPGTM tools (others
supported upon request)
• Provides automated translation, application and evaluation of ATPG-generated tests in an
embedded system environment
• Includes a Scan Function Library and National's Embedded Boundary Scan Controller
SCANPSC1 OaF device driver to support custom or non-ATPG generated vector applications
• Supports embedded test data log for diagnostic processing
• Includes Microsoft Windows GUI and serial communication tool for system administration and
remote testing
• Supports SCANPSC110F Hierarchical and Multidrop JTAG Addressable Port architecture

Embedded
Application
Software
Enabler

ix

http://www.national.com

Section 1
Design for Test Solutions
with Boundary Scan

II

http://www.national.com

Section 1 Contents
What is DFT? .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Board Development ................................................................
Board Manufacturing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Field Service. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
The Economics of Design for Test. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Where the Failures Are. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Boundary Scan Fundamentals .......... . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
System Test . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
System-Level Embedded Test .......................................................
The Impact of PC and Communications Technology. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

1-2

1-3
1-3
1-4
1-4
1-5
1-5
1-7
1-9
1-10
1-11

c

(I)

tn

cO'

-...

t!lNational Semiconductor

:::J

o

-I
(I)

tn

Design for Test Solutions
with Boundary Scan

en

o

-O·
C

:::J

tn

What is OFT?
Design for Test, or OFT, is being used by many companies
to lower the overall cost of development, manufacturing,
test and field service. Some companies call it "Concurrent
Engineering" and it replaces the "Over-the-wall" method of
product development. In each stage of the product life-cycle, a consideration for testing is made in the earliest stages
of design.

Adding boundary scan to a board does add cost and time to
the design cycle due to the increased cost of boundary scan
compliant components and initial time investment required
to understand the boundary scan architecture and tools.
However, these costs are easily justified when viewing the
benefits and cost savings boundary scan provides at every
stage of a product's life cycle. What was originally developed as a manufacturing test tool offers benefits before,
during and after manufacturing.

National employs boundary scan technology to enable not
just chip testing, but also board testing, system testing, and
in-field service testing.

~
;:;:
:r'

m
o
c

:::J
C.

s:u

- - - + - T " " " - - - - - - - - - - .
IN

T~S

Boundary
Scan

J6~~ 0

SELECT

!
-t--I

Registers

I

TCK
TEST
CLOCK
TOO
D~M

:

0

o-t===-_________

~-_+_-_'_---...J

TLIF/11587-9

FIGURE 5. 1149.1 Device Hardware

1-7

http://www.national.com

II

C

as
(,)

en
~

as
c
::::s
o

"C

m

-

.c

";:

U)

c
o

;:;
::::s

"0

en

U)
Q)

l-

I..

o
c
en
"iii
Q)

C

r---------------------------------------------------------------------------------------~

TCK/TMS: All devices in the chain are connected in parallel to the TCK and TMS signals. This means
that all the devices' TAP controllers are in the
exact same state and transition simultaneously.
The instruction register allows each device to
include different data registers in the scan
chain and perform different scan operations.

In like fashion as the chip, the boundary scan chain is extended to the board in a serial scan chain. Boards in systems can also be linked in a scan chain.
When placed on a printed circuit board, each 1149.1 component is connected together to form a chain of boundary
scan devices. In Figure 6, note the scan chain connections:
TOI/TOO:

The tester's serial test data out pin is connected to the first device's TDI. The test data is
passed from the first device to the second device via the TOO pin which connects to the second device's TOI pin. This chain formation continues until the last device's TOO pin is connected back to the tester. Therefore, shifting
data into a device's instruction or data registers
requiies that the data passes through every
JTAG device which is connected ahead of the
device in the scan chain. Additionally, as the
data is shifted into the device, the data previously stored in the selected instruction or data
register is shifted out of the chain. The tester
software either reads and evaluates the returning data or masks it out. The Bypass register is
included to shorten the chain when shifting
data through devices which are not participating in a given scan operation.

Dala from Tesler

Tesler elK

____ .. ________________ !_______ ~----------------------------- ___ 1

:

---~--------------~-----------------------.
TLlF/11587-10

FIGURE 6. 1149.1 Boundary Scan Chain

http://www.national.com

1-8

c

Implementing boundary scan on every component on the
board provides the maximum benefit in terms of reduced
tester cost, test development times and concurrent engineering. However, boundary scan can also complement an
ICT in testing structural faults. See Figure 7. This was fully
recognized by ICT tester companies when they developed
their ICT systems and most ICT's now have a connector
dedicated for boundary scan testing. Additionally, these
tester companies included the option of mixing boundary
scan and ICT in their ATPG tools by using ICT component
libraries for non-scan products and BSDL for scan products.
For example, vectors can be automatically generated to
drive signals with boundary scan and compare results using
physical test probes. Many companies have already invested millions of dollars in ICTs and may want to use boundary
scan only where required for inaccessible nodes, non-library
parts, etc. or as a means of cutting ICT fixture costs.

Figure 7 shows two examples of ICT and boundary scan
working together. The lower of the two graphics shows cluster testing. Cluster testing is the testing of a group of devices by applying data to the inputs of the group or cluster and
evaluating the results on the output of the group or cluster.
Cluster testing can vary from testing the interconnects between the components in the cluster to testing the internal
nodes of each component within the cluster.

System Test
National supports the needs of the system design architect
by:

en
cO'

::::J

.,o

-I

CD

en

en

o
C

O·
::::J

en

• Acknowledging the need for live insertion on the backplane

;::;:
==

• Fully complying to IEEE 1149.1 (board test)

o

::J'

OJ

• Addressing the 1149.5 standard (system test)
While boundary scan diagnostics are particularly useful for
telecommunications and workstations, their benefits also
extend to board manufacturers that want to reduce the timeto-market of their products.

For smaller companies or companies in the process of purchasing new ICT equipment, implementing boundary scan
may provide a way to reduce the required ICT features like
number of channels providing a tremendous reduction in the
tester cost where cost ranges from $200K up to $1 M.

CD

For small companies, we provide the option to expensive
$1 million test equipment. With help from our software and
hardware partners (such as Corelis and JTAG Technologies), National can support total system test solutions with
PC-based boundary scan devices, software, and hardware
solutions.

C

::::J

a.
D)

-.co

"'C

c:::

::l

o
m

o
c:::

o
:;::;

C-

0':
(.)
U)
(I)

c

system logic, the 1149.1 user can logically extend the internal system logic to the EXT EST function. This feature is
available during the EXTI;:ST instructions for these products
because the state of the outputs is captured along with the
state of the inputs during the rising edge of TCK in the
CAPTURE-DR state. Note that this is contrary to a recommendation of capturing fixed values on the outputs during
EXTEST, but it provides for a feature that would otherwise
not exist.

sarily in its function. The BYPASS register consists of a single shift register stage in order to shorten the board-level
serial scan chain by bypassing some devices while accessing others. This feature is intended to reduce the software
overhead in applying and retrieving serial test data by permitting a shortcut between TDI and TOO of any given integrated circuit in order to expedite access to others.
The BYPASS register must capture a logic low value upon
the rising edge of TCK in the SHIFT-DR state provided that
it is selected by the current instruction. This feature is designed to accompany those devices which incorporate the
32-bit device identification register. (The BYPASS register is
a test data register whose least significant bit is a fixed logic
high.) Upon an initial scan of the data registers connected
across the board, all devices will either connect the BYPASS register or the optional device IDENTIFICATION register in its test data register scan path between TDI and
TDO while in the SHIFT-DR state. (This condition is a result
of power-up or a logic low assertion to TRST to initialize
each 1149.1 device on board.) By shifting the data registers
the retrieval of each logic zero indicates a BYPASS register
connection until the first logic high is read. The logic high
will be the framing bit of a device IDENTIFICATION register
which would then indicate that the following thirty-one bits
are identifiers to the specific device at that location of the
scan chain. The requirement that the BYPASS register capture a logic low value is intended to form the background for
the device IDENTIFICATION register framing bit. Additionally, the logic low value is opposite the value to be produced
in the case of an undriven TDI input pin.

While these cells are sufficient to observe the logic state of
the signal in which they are placed, they have a limitation in
observing the activity of such a signal as in the specific case
of a three-stated output. To determine the activity as well as
the logic state of such an output, two such scan cells are
required. One in the data signal path and another in the
output enable signal path. By observing at both locations
the drive activity and/or logic value can be inferred. In thecase of a single output enable signal controlling more than
one output data path, the output enable signal may be observable and controllable at a single location rather than at
each specific output without loss of functional intent provided that the specific location retain control over all the data
outputs in unison. This provision is included to reduce the
hardware overhead as in the case of a device where such
output enable signals are organized byte-wide.
The order of the required scan cells in the Boundary-Scan
register is undefined by the 1149.1 Standard and hence can
be device specific even if the system function of that device
be identical to another 1149.1-compliant device. In other
words, even if two identical system function devices are
1149.1-compliant there is no guarantee that such devices
will be identical in the structure of the Boundary-Scan register.
A description of the Boundary Scan Register for each device is included in its datasheet.

Device Identification Register
The device identification register is a 32-bit, read only register compliant with IEEE Std. 1149.1. When the IDCODE instruction is active, the identification register is loaded with a
fixed, unique value upon leaving the Capture-DR state. The
10 code register contains information pertaining to the device manufacturer, part number and revision. It is used to
ensure the correct device is properly placed in the correct
location within a boundary scan chain.
An identification (ID) register is included within the National
SCAN ABT Test Access Logic devices. The specific ID register value is provided in the associated device datasheets.

Input Boundary-Scan Register (SCAN ABT only)
The Input Boundary-Scan register operates in a manner
analogous to the full length Boundary-Scan register.
Output Boundary-Scan register (SCAN ABT only)
The Output Boundary-Scan register operates in a manner
analogous to the full length Boundary-Scan register.
Please refer to the device datasheet for a description of its
input and output Boundary-Scan Registers.
BYPASS REGISTER
The BYPASS register is also a test data register and therefore must comply with the definitions surrounding test data
register operation; but its advantage is in its size, not neces-

http://www.national.com

2-10

Section 3
Device Description
and Characteristics

http://www.national.com

Section 3 Contents
Family Comparison Chart. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
BiCMOS and CMOS Family Comparison. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SCAN ABT Test Access Logic................ .............................. ...... ...
SCAN ABT Live Insertion and Power Cycling Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . .
ABT Circuit and Design Architecture ....................................... . . . . . . . . .
Threshold and Noise Margin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Dynamic System Power Dissipation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ABT Process Characteristics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SCAN CMOS Test Access Logic.....................................................
Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Noise Immunity ..................................................................
Noise Characteristics ..... . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Output Characteristics ............................................................
Circuit Characteristics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

3·2

3-3
3-3
3-4
3-4
3-6
3-9
3-9
3-11
3-12
3-12
3-12
3-12
3-13
3-13

cCD

<
5°

/fINational Semiconductor

CD

c

CD

en
(')
-.
iSo
0°

-

Device Description and Characteristics

:l
D)

:l

Co

o:l"

Family Comparison Chart

D)

Depending on system architecture and purpose, devices are
selected to optimize system performance. National offers
CMOS and BiCMOS SCAN families, and the comparison
chart is provided to assist you with your selection criteria.

Speed, power, noise drive, etc. may weight differently in importance depending on whether the end system requires
computing speed, low standby power, low EMI to meet FCC
regulations or must meet anyone of many bus standards.

Significance

BICMOS

CMOS

6.5

8.5

Guaranteed
Speed-tplH ns (A - . B)

Faster system performance

Static Power ICCl
(Outputs Low)

Lower quiescent supply current, less power consumption,
and less cooling required

65mA

0.8mA

Guaranteed Dynamic
Power ICCD (mA/MHz)

Lower system power consumption under heavier loading
conditions

0.2
(Note 3)

not
specified

Ground Bounce
VOlP (5V, 25°C)

Less data disruption, especially when switching multiple
outputs at one time

not
specified

1.5

0.8

0.8

Dynamic Threshold
(5V, 25°C)

VllD

Capacitance

Compatible with 16-bit wide pinout
CIN(pF)

ESD(Note4)

Lower capacitance means less bus loading,
notwithstanding
frequency

2.0

2.0

SSOP

SSOP

5.9

4.0

13.7

20

IOl

15

64

IOH

-32

-32

>2000V

>2000V

ClIO (pF)

Output Drive (mA)

Less data disruption, especially when connected to a bus

VIHD

Packaging

Easier handling

NG = Not Guaranteed; NA = Not Available; NS = Not Specified
Assumptions: Device is SCAN16245T CMOS and SCAN162245A BiCMOS
Note 1: VOLP is measured on '244 function.
Note 2: Specified with 6 outputs switching and no load.
Note 3: ICCD measured 1 bit toggling, OV to 5V, 50% duty cycle, outputs loaded with 50 pF, no resistor.
Note 4: Typical values for HBM ESD.

3-3

(')

CD

-.

(ii"
(=)0

en

BiCMOS and CMOS Family Comparison
Criteria

~

-

http://www.national.com

(I)

u

;:;
(I)
0i:

Q)

u
~

ca
.c

o

"C

-

Live insertion

-

Removal of boards without having to power down the
system

C

This saves time and eliminates those unwelcome sparks!

o

-

25fl series resistors on the outputs reduce ringing
(noise) and eliminate the need for an external "damping" resistor. In the past, this was used to reduce noise
on CMOS or FCT products.

-

SCAN ABT will power up in TRI-STATE®. Beyond allowing live insertion and board removal, it enables system
power partitioning by electronically switching them offline to save on power. This is particularly beneficial in
remote locations that experience power shortages.

-

SCAN ABT has reduced power during power-up and
power-down TRI-STATE. This reduces the loading on
the bus to which it is attached, taking less time to charge
up all of the capacitance on the circuit using SCAN ABT,
and allowing the bus to run faster.

ca
c
;:;
Co
0i:

SCAN ABT is intended to serve in live insertion backplane
applications. It provides 2nd Levellsolation 1 which indicates
that while external circuitry to control the output enable pin
is unnecessary, there may be a need to implement differentiallength backplane connector pins for Vee and GND. As
well, pre-bias circuitry for backplane pins may be necessary
to avoid capacitive loading effects during live insertion.

Here are other SCAN ABT features:

U

(I)

Q)

c

SCAN ABT Live Insertion and
Power Cycling Characteristics

SCAN ABT Test Access Logic
When these functions are added to the card edge going into
the backplane, users gain these benefits:

Q)

u

oS;
Q)

C

SCAN ABT provides control of output enable pins during
power cycling via the circuit in Figure 1. It essentially controls the G n pin until Vee reaches a known level.
During power-up, when Vee ramps through the O.OV to O.7V
range, all internal device circuitry is inactive, leaving output
and I/O pins of the device in high impedance. From approximately O.8V to 1.8V Vee, the Power-an-Reset circuitry,
(PaR), in Figure 1 becomes active and maintains device
high impedance mode. The paR does this by providing a
low from its output that resets the flip-flop The output, Q, of
the flip-flop then goes high and disables the NOR gate from
an incidental low input on the G n pin. After 1.8V Vee, the
paR circuitry becomes inactive and ceases to control the
flip-flop. To bring the device out of high impedance, the G n
input must receive an inactive-to-active transition, a high-tolow transition on G n in this case to change the state of the
flip-flop. With a low on the Q output of the flip-flop, the NOR
gate is free to allow propagation of a G n signal.

For more information on power-up and power-down characteristics refer to Application Note AN-881, "Design Considerations for Fault Tolerant Backplanes, "found in Section 7.

TO INTERNAL
TRI-STATE CIRCUITRY

elK

QI----....
RESET

POWER
ON
RESET
TLIF/12133-2

FIGURE 1

1Section 7, Design Considerations for Fault Tolerant Backplanes, Application Note AN-881.

http://www.national.com

3-4

cCD

<
(=)'

SCAN ABT Live Insertion and
Power Cycling Characteristics (Continued)
During power-down, the Power-an-Reset circuitry will become active and reset the flip-flop at approximately 1.BV
Vee. Again, the Q output of the flip-flop returns to a high and
disables the NOR gate from inputs from the Gn pin. The
device will then remain in high impedance for the remaining
ramp down from 1.BV to O.OV Vee.

CD

C

Some suggestions to help the designer with live insertion
issues:

CD

• The Gn pin can float during power-up until the Power-OnReset circuitry becomes inactive.

-C'
0'

• The Gn pin can float on power-down only after the Power-an-Reset has become active.
The description of the functionality of the Power-an-Reset
circuitry can best be described in the diagram of Figure 2.

en

(")

-.

:::s
D)

:::s

Co

o

::r
D)

Dl

(")

CD

-.

-

I
I
I
I
I
I
I
I POWER-ON RESET CIRCUIT OFF

:-.. DEVICE IN HIGH
~ IMPEDANCE MODE

VCC (V)

iii'
(=)'

en

I
I
I
I
I
I
I

ALL CIRCUIT _ _-=--t:l'I~
ELEMENTS INACTIVE

RAMP-UP AND RAMP-DOWN TIME
POWER DOWN CYCLE

POWER UP CYCLE

TL/F/12133-3

FIGURE 2. SCAN ABT Includes Additional Power-On Reset Circuitry Not Otherwise Included in ABT Devices

3-5

http://www.national.com

~

u

:;:;
~

-

"i:
CD

u

f!

CO

.c

o

"'C
C

co

c

o

:;:;
C.

"i:
U

~

CI)

c

CD

u

":;
CD

C

r---------------------------------------------------------------------------~

ABT Circuit and Design
Architecture
SCAN ABT performs as ABT devices, except as where noted.
The circuitry for an ABT non-inverting Buffer with TRISTATE control logic is shown in Figure 3. Robust bipolar
components form the dual rail ESO protection networks for
both input and output structures. The 06 and 06 ESO circuits provide protection to the Vee rail and have a high
enough breakdown voltage rating to remain high impedance
(12Z < 100 /LA) during powered-down applications. The
Schottky transistors 05, 07 and 08 provide protection to
the Ground rail and double functionally as highly conductive
undershoot clamps.
The TRI-STATE output structure is formed with Bipolar
components to produce high drive (IOL = 64 mA;
IOH = -32 mAl and high speed TTL compatible logic
swings. The pull-up stage utilizes cascaded emitter followers 03 and 04 to provide high source current drive for the
charging of capacitive loads. The no-load TTL compatible
VOH level is one forward-biased VBE (03) drop and one
forward-biased VFD Schottky diode (04) drop below the
Vee rail yielding typical 3.SV VOH at 5V Vee, 25°C and
10 /LA source current. The ON source impedance of this
pull-up stage is typically less than 10n for source currents
between -5 mA to -40 mA at 25°C. This initial low impedance turn-on characteristic allows the pull-up stage to easily
provide a VOH level of 2V minimum at IOH source current of
-32 mA over the operating Vee and temperature ranges.
At 25°C and source currents above - 50 mA, the pull-up
stage becomes limited by voltage drop across the Rlos resistor and the effective source impedance becomes 25n
typically. Schottky diodes 03, 04 and 05 also provide blocking to insure that the pull-up stage remains high impedance
during power down applications.

When the output is enabled by a logic low on the OE input
and a logic high is on the Data input, the base of 03 is
driven to the Vee rail by the CMOS inverter in the data path.
The open drain CMOS NAND gate is logic high-open (nonconducting) and allows the base of 04 to be driven ON by
03. The CMOS NOR gate goes low turning 01 OFF and
turning ON the CMOS AC/OC Miller Killer circuitry which
grounds the base of 02, quickly turning it OFF. This circuitry
provides an active shunt for any charge coupled by the Miller Effect of the 02 collector-base capacitance during the
low to high output transition. Use of this active circuitry improves output rise time and serves to reduce simultaneous
conduction of pull-up and pull-down stages during LH transitions. The AC/OC Miller Killer circuit is also active when
the output goes to TRI-STATE to prevent 02 base injection
by the LH transitions of other outputs on a bus, therefore
dynamic bus loading will be capacitive only.
Power Down Miller Killer circuitry at the base of 02 is inactive when Vee is applied. When Vee is powered down, the
Power Down Miller Killer circuitry provides an active shunt to
transient energy coupled to the 02 base by its collector
base capacitance. This prevents momentary turn-on of 02
during LH transitions in partial power down bus applications
and maintains the powered off output as only a Hi-Z light
capacitive load (Izz < 100 /LA) to the bus.
Note that 01 drives only the 02 pull-down stage and does
not function as the Phase Splitter driver typical of TTL logic.
The pull-up stage is controlled by CMOS logic independent
of 01. This feature allows the input threshold voltages for
the CMOS logic driving the pull-up stage to be set independent of the logic driving the pull-down stage.

Blocking

Sub 1-micron
CMOS logic
for very low
power and
fast delays

r""'----------------------------..".....O::::::::'-..,

High
impedance
CMOS inputs,
BiPolar ESO
Structures

ABT TRI-STATE Buffer Schematic

diodes
high for
impedance
during
power-off

Proven
BiPolar
Output
Stage for
high drive,
smooth
edges

·open drain
TlIF/12133-1

FIGURE 3. Basic ABT TRI-STATE Buffer Schematic

http://www.national.com

3-6

c(1)
<

ABT Circuit and Design
Architecture (Continued)

n'
(1)

The transfer function for the non-inverting ABT Buffer
shown in Figure 4 indicates that the data input switching
threshold for the pull-down stage is approximately 200 mV
lower than the pull-up stage. As the Data input is swept from
logic LOW to logic HIGH, the output switches from active
LOW to high impedance at an input threshold of about 1.3V
at 25·C and a Vee of 5.0V. When the input reaches about
1.5V, the output switches from high impedance to HIGH.
This design feature serves to reduce simultaneous conduction of the stages during switching. Also, the 200 mV offset
in Data input switching thresholds acts like hysteresis and
causes the buffer to be very tolerant of slow data input edge
rates, i.e., edge rates slower than 10 nslV can easily be
tolerated without output oscillation. The switching threshold
is proportional to Vee as indicated in Figure 5 and is quite
stable as a function of temperature as indicated by Figure 6.

c(1)

5.0

en

Vee = 5.0 VDe
r NO LOAD

-4.0

I.
r

=>

3.0

o::r
Q)
..,

Q)
(')

a..
.....

=>

0

(1)
..,

I

(

1.4
1.6
INPUT VOLTAGE, VIN

VOL"
'\.

L

1.4
1.6
INPUT VOLTAGE, VIN

2.0

1.8

TL/F/12133-4

FIGURE 4, Buffer Transfer Function
@ Room Temperature
Vee = 5V, T A = 25·C, No Load

1.8

400

"<
-5

2.0

_6 300

-4.0
f0-

If

r

r

i

Vee =5.50V

r

u

--

I

~

o
>

25

200

vee =-4.50V

.....

150

::::>

100

~
o

50

.....

~ 2.0
::>

I

~

-""'iL 25°C

Ir ,
J.'/

II

0.4

f0-

-+85°C

0

~

g

III V
AI /

Vee = 5.0 VDC
350

....:

TA = 25 0 e
r NO LOAD
Vee =5.0"'1.

o

I

With the output enabled by a LOW on the OE input, a LOW
on the Data input forces active LOWS on both the CMOS
inverter and the open drain CMOS NAND gate outputs,
which then simultaneously turn OFF 03 and 04. The CMOS
NOR gate output goes HIGH, turning the AC/DC Miller Killer
circuitry OFF and 01 ON to drive 02 ON. 02 is designed to
easily sink 64 mA IOL' at VOL < O.55V. During HL output
transitions, Schottky diode 01 assists the pull-down stage in
providing a low impedance discharge path for the output
load capacitance. As the stage turns on, part of the charge
on the output load passes through 01 and 01 to momentarily increase the base drive to Q2 and increase 02's current
sink capability. See output characteristics in Figure 7, IOL vs
VOL·

1.0

3.0

I

FIGURE 6, Transfer Function vs Temperature
Vee = 5V DC, No Load

r

'"

-

en'
n
'
en

1.0

.rVOH

r

t::

a.

TL/F/12133-6

Vee = 5.0V
rTA = 25 0 e
NO LOAD

5. 0

Q)

::::J

..... 2.0
=>

1.2

1.2

::::J

=-40 o e

~>

5.0

-4.0

-

is'
0'

"'- f-TA =25°e

~ TA

>0

....
C>

..,

(')

JI TA =+85 o e

0.8

II /
'l If/
j

/
"- -40°C

1.2

1.6

2.0

2.4

2.8

OUTPUT LOW VOLTAGE, VOL (V)
1.0

TL/F/12133-7

FIGURE 7, Output Low Characteristics
Vee = 5VDC

r
I

1.2

1.-4
1.6
INPUT VOLTAGE, VIN

I

1.8

2.0

TLlF/12133-5

FIGURE 5, Transfer Function vs Vee
T A = 25·C, No Load

3-7

http://www.national.com

fI)

u

=
".:::;
fI)

Q)

ABT Circuit and Design
Architecture (Continued)

u
~

ABT is designed to be tolerant of controlled live insertion at
the PCB level. Controlled means that the insertion or removal methodology is accomplished in such a way that power to
the PCB is applied in a preferred sequence and that control
signals are provided to the PCB also in the preferred sequence such that output control is asserted to prevent contention of outputs attached to a bus during the power up or
down sequence.

Yee =5.0 YDe

a:s
.c

o

"'C
C

ca
c
o

=
c.
".:::;
(,)
fI)
Q)

c

Q)
(,)

"S;

1.

-401----J----J_---,H.,hl.---t_---l

:I:

_0

....
~

-80

Tolerant means that ABT is designed and guaranteed to
behave in a predictable manner during controlled PCB live
insertion in systems requiring fault-tolerant or noninterruptable applications. Additionally, ABT has features which facilitate design of systems which must utilize power partitioning
for redundant circuitry or for powering saving of inactive circuits.

:z:
'-"

;;: -1201----J--7~-J_---+---t_--_I

....
....0..
~

~

o

Q)

c

1.0

2.0

3.0

4.0

All ABT input, output, and I/O pins are protected with robust
Bipolar components with respect to both Vee and Ground
rails. This circuitry is designed to withstand 2000V
(Human Body Model) and also to provide clamping action
for voltage undershoot while preserving low capacitive loading of the pin. The clamping action by the undershoot clamp
begins aggressively at voltages more negative than - 0.5V
relative to Ground, but this clamp remains non-conductive
at voltages up to 7V. Relative to the Vee rail, the ESO circuitry begins clamping only at voltages greater than 5.5V
above Vee. These ESO circuits remain high impedance and
non-conductive for applied input or output voltages between
-O.4V to 5.5V with Vee = OV to 5.5V.

5.0

OUTPUT HIGH VOLTAGE. YOH
TL/F/12133-8

FIGURE 8" Output High Characteristics
Vee = 5VDC
When the output is disabled by a HIGH on the OE input, the
enable CMOS logic quickly overrides the Data path logic
and cuts off drive to whichever stage is ON. In the case of
an LZ transition, the CMOS NOR gate is driven LOW turning
OFF 01 and turning ON the AC/OC Miller Killer circuitry to
insure 02 is quickly turned off. In the case of a HZ transition,
the CMOS inverter goes hard LOW to turn off 03 and quickly discharge the base of 04 through Schottky diodes 07 and
08. The effect of disable time (tpLZ, tpHZ) being typically
faster than enable time (tpZL' tpZH) inherently helps avoid
bus contention.

ABT CMOS input stages are Hi-Z with or without Vee applied. The IlL, IIH, and IBVI datasheet specification guarantees high DC impedance for inputs with Vee applied. The
VIO specification guarantees Hi-Z inputs with Vee = OV.
High impedance output and I/O pins are capable of maintaining Hi-Z status with Vee = 0 and during the application
or removal of Vee. The ABT data sheet parameters IOZH
and IOZl guarantee < 50 p,A output leakage for applied
VOUT voltages of 2.7V or 0.5V at any Vee between 5.5V
and OV with the output disabled and with the appropriate
logic input voltage maintained on the OE input pin. An additional Izz bus drainage specification guarantees < 100 p,A
output leakage at VOUT = 5.5V with Vee = OV. Therefore,
ABT outputs are guaranteed to remain glitch-free during the
power cycle and at power down Vee = OV. Refer to Application Section for a more detailed discussion of live insertion and powerup/down TRI-STATE capabilities of ABT.

Since the CMOS Enable logic remains active to Vee's well
below 2V, high impedance control can be maintained to
Vee voltages below the turn-on Vee thresholds of the Bipolar output stage. This insures the capability for glitch free
power ON/OFF high impedance outputs with the provision
that the OE input is maintained logic HIGH at or greater than
the data sheet specified 2.0V minimum VIH during the Vee
power ramp. However, since the CMOS logic switching
threshold varies proportional to Vee, a practical worst case
OE logic high of 2.0V or 50% of Vee, will maintain the power ON/OFF TRI-STATE condition during the Vee transition.

http://www.national.com

3-8

Total power consumption under AC conditions comes from
three sources; quiescent power, internal dynamic power,
and output dynamic power.

Threshold and Noise Margin
Figure 9 describes the input signal voltage levels for use
with ABT products. The AC testing input levels follow industry convention which require O.OV for a logic LOW and 3.0V
level for a logic HIGH. DC input levels are typically O.OV to
Vll, and high input levels are typically VIH to VCC. DC testing
uses a combination of threshold and hard levels to assure
datasheet guarantees. Input threshold levels are usually
guaranteed through VOL and VOH tests.

In other words: PTOTAl = POO
Where:

POINT

+

POOUT

POO

= Quiescent Power Dissipation
= Internal Dynamic Power Dissipation

-

POOUT

= Output Power Dissipation

Co

First the Quiescent power can be derived from the following
equation.

[IC~l. NOOl • vcc]

+

(1)

c(1)

...

POINT

POO =

5"

en
()
-Co
0"
:::s
0)
:::s

= Total Power Dissipation

PTOTAl

High level noise immunity is the difference between VOH
and VIH and low level noise immunity is the difference between Vil and VOL. Noise-free VIH or Vil levels should not
induce a switch on the appropriate output of an ABT device.
When testing in an automated environment, extreme caution should be taken to ensure that input levels plus noise
do not go into the transition region.

+

c(1)

<

[DICC· NOIH • Vcc]

+

o:::s-

...
...
Cir
O)

-n"
0)
()
(1)

[lc~H • NOOH • Vcc]

Dynamic System Power Dissipation

en

Where:

One of several advantages to using BiCMOS logic is its low
power when compared to bipolar technologies. As well, it
has reduced dynamic output power because of the reduced
output swing in comparison to CMOS devices. In the static
or quiescent high state, SCAN ABT will consume power like
a pure CMOS device, and in the quiescent low state all power goes to driving the bipolar output pull-down transistor.

POO

= Quiescent Power Dissipation

ICCH

= Quiescent Power Supply Current with All Out-

ICCl

= Quiescent Power Supply Current with All Out-

puts High
puts Low
NOOl = Number of Quiescent Outputs Low
NOOH = Number of Quiescent Outputs High
NOIH

= Number of Quiescent Inputs High

N

= Number of Active Outputs

Dice

= Power Supply Current for Input with VIN other

than Vcc

DC

AC

•

LOGIC (1) INPUT RANGE

....-'V'I
o

>

--------]--

2.5 __

LOGIC (1) NOISE f.lARGIN

D

1
0.8 __

LOGIC (0) NOISE

0.4 __

T:N~ITlON
REGION

f.I~R~ ]

- LOGIC TofiNPuTRANGE

o

TLlF/12133-9

FIGURE9

3-9

http://www.national.com

U)
(.)

;::
U)

-e

'i:
G)

()

m

.c

o

"C

c:::

m

c:::

o

Dynamic System Power
Dissipation (Continued)

ICCl
]
[ (N*NS*DL)*Vcc + [(Icco*f*NS)*Vccl

C.
'i:

c

G)
(.)

'S:

G)

c

1. Vcc = 5V
2. The data and control inputs are being driven with OV and
3.4V voltages for logic levels.
3. Data input frequency = 16 MHz @ 50% duty cycle.
4. Cl = 50 pF
5. There are no DC loads on the outputs, Le., outputs are
either unterminated or terminated with an AC shunt termination.
6. Since the output high voltage is produced by a Darlington
transistor pair, the output voltage swing will be assumed
to be Vcc - 1.6V or 5.0 - 1.6V = 3.4V. Therefore VS =
3.4V with Vcc = 5.0V.
For quiescent current, all data inputs and outputs are
switching leaving only the 2 OE inputs static low.

POINT = [(Dlcc*DH*NS)*Voo] +

;::
()
U)
G)

Assumptions:

Secondly, a SCAN ABT device will dissipate power internally by charging and discharging internal capacitiance. The
following equation takes into account the duty cycle of inputs and outputs and current due to the internal switching of
capacitances.

Where:
POINT = Internal Dynamic Power Dissipation
Vcc = Power Supply Voltage
Dlcc = Power Supply Current for Input with VIN other
than Vcc (For example, a typical TTL input voltage is considered to be 3.4V)
Note: The farther away an input (VIN) is from threshold
(1.5V), the less power supply current the Ie will consume.

N
DH
DL
ICCl

lcCl
]
Poa = [ N*NaOl *Vcc + [Dl cc*NaIH*Vcc1 +

=
=
=
=

Number of Active Outputs
Duty Cycle for Switching Inputs High
Duty Cycle for Switching Outputs Low
Data book specification for power supply current with all outputs low
Icco = Power consumption coefficient (rnA/MHz) for
1-bit toggling
= Frequency of Outputs
NS
= Number of Outputs Switching
Finally, at high frequencies a significant amount of current is
consumed by a device to drive its output load. SCAN ABT
has an advantage here because of its reduced output swing
compared to CMOS devices. For a simple case, if we assume only capacitive components to the load, we can use
the following equation.

[IC~H*NaOH*Vcc ]
=0+0+0=0
Internal Dynamic Current
ICCl
POINT = [(Dlcc*NS*DH)*Voo] + [(N*NS*DL)*Vcc1
+ [(lcco*f*NS)*Vcc1
POINT = [(2.5e-3*18*0.5)*5.0]

= 5.60 + 1.66 + 144
= 151.3 mW
Finally the Output Current
POUT = [Cl * VS * f] * Vcc
POUT = [50.e-12*3.4V*16e6] * 5.0
= [2.72e-3]*5
= 13.5 mW

Where:
Output Power Dissipation
Load Capacitance
Output Voltage Swing
Output Operating Frequency
Power Supply Voltage

PTOTAl = Poa + POINT + POOUT
= 0 + 151.3 mW + 13.5 mW
PTOTAl = 164.8 mW

Take for an example a SCAN182244A with all 18 outputs
switching at 16 MHz. How much power would be consumed
by the IC in this case?

http://www.national.com

30e-3
[(----;s-*8*0.5)*5.0]

+ [(0.1*16*18)*5.0]

POUT = [Cl * VS * f] * Vcc
POOUT =
=
Cl
=
VS
=
f
=
Vcc

+

3-10

c

CD

<

ABT Process Characteristics

C:;'

PROCESS CHARACTERISTICS

CD

PROCESS FLOW

National's 1.0 jJ.m BCT process combines bipolar and
CMOS transistors in a single process to achieve high speed,
high drive characteristics while maintaining low tri-state
power and the ability to control noise.
National's 1.0 jJ.m BCT process provides a suitable platform
for migration to higher performance levels with minor technology enhancements planned for the near future. In its
present form, the technology supports Interface, Digital, Bus
and Telecom products from National Semiconductor.

1.0
2.0
3.0
4.0
5.0
6.0
7.0
8.0
9.0
10.0
11.0
12.0
13.0
14.0
15.0
16.0
17.0
18.0

PROCESS FEATURES
• 18 masking layers using stepper lithography
• 100% ion implantation utilized for dopant placement
• Localized retrograde wells tailored for high performance
• Optimized recessed and field isolation sequence for
CMOS/bipolar
• NMOS LDD (Lightly Doped Drain), PMOS Halo
architecture
• 150A gate oxide
• Self aligned bipolar contact set utilizing minimum
geometries

C

Buried Layer
P-Well
N-Well
Isolation
Sink
Active
Active Strip
Poly
Base
Bipolar Contact
Emitter
P+ Source/Drain
N+ Source/Drain
Contact
Metal 1
Via
Metal 2
Passivation

CD

en
(')

...

-

-6'

0'
m
::J
c.
::J

o

::T

m

DJ
n

...

CD

en'

C:;.

en

PROCESS PARAMETERS
• Bipolar Performance: 10 GHz Ft with gains greater than
100

• Localized retrograde sub-emitter collector
• Advanced planarization on all topographies

• CMOS Performance: 0.5 jJ.m min Left

• PtSi Schottky diodes, all contacts use platinum for
resistance reduction

• Platinum Schottky diodes for TTL
• Typical ESD Performance:
Method

• Barrier metal of TiW
• Dual layer metal of AI-Cu 0.3% for long term reliability

> 2000V, Human Body

• Robust latch-up and punch-through protection with
retrograde wells

• Metal pitch of 3.5 microns

• Advanced interconnect supports superior temperature
cycle performance

ISOLATION
OX

CHANNEL
STOP

N-WELL

P-WELL

CHANNEL
STOP

~

BIPOLAR

__--------J

~--------~v

~--------~v---------~

PMOS

NMOS
TL/F/12133-10

FIGURE 10. 1.0 jJ.m BCT Process Cross SectIon

3-11

http://www.national.com

(I)

u

:;:::
(I)

-...

"i:
CD
U

m
m
.c

o

"ccca
o

:;:::

a.

"i:

u
(I)

CD

C

CD

U

"S;
CD

C

3. Terminate all inputs and outputs to ensure proper loading
of the outputs and that the input levels are at the correct
voltage.

SCAN CMOS Test Access Logic
SCAN CMOS features low power consumption. Products
are used in board test by surrounding clusters of nonboundary scan devices to create a fully 1149.1 compliant
board. SCAN CMOS provides adequate drive and buffering
for microprocessors, too. For more information on Advanced CMOS devices, refer to the FACT Databook.

4. Set VOO to 5.0V.
5. Set the word generator to toggle all but one output at a
frequency of 1 MHz. Greater frequencies will increase
DUT heating and affect the results of the measurement.
6. Set the word generator input levels at OV LOW and 3V
HIGH. Verify levels with a digital volt meter.

SCAN CMOS logic is manufactured on a 1.3 Ilm process
and offers a good combination of high speed, low power
dissipation, high noise immunity, wide fanout capability and
high reliability.

VOLP/VOLV and VOHPIVOHV:
• Determine the quiet output pin that demonstrates the
greatest noise levels. The worst case pin will usually be
the furthest from the ground pin. Monitor the output voltages using a 50n coaxial cable plugged into a standard
5MB type connector on the test fixture. Do not use an
active FET probe.

Characteristics
Meets or Exceeds JEDEC Standards for 74ACXX
Family
High
-

Performance Outputs
Common Output Structure
Output Sink/Source Current of -24/48 rnA
Transmission Line Driving 50n (Commercial)175n
(Military) Guaranteed

Temperature Range
- Commercial
- Military

ACTIVE
OUTPUTS

J ______ X,.--J

•

OH
V

•
'-----VOL

QUIET
OUTPUT

-40°C to + 85°C
- 55°C to + 125°C

UNDER TEST

Improved ESD Protection Network

~~~ ~~~ ~ ~ ~ ~~ ===: ~~~P
.-----------------.~~

High Current Latch-Up Immunity

TL/F/12133-11

FIGURE 11" Quiet Output Noise Voltage Waveforms

Patented Noise Suppression Circuitry

Note A: VOHV and VOLP are measured with respect to ground reference.

Noise Immunity

Note B: Input pulses have the following characteristics: f
3 ns, tf = 3 ns, skew < 150 ps.

The DC noise immunity of a logic family is also an important
equipment cost factor in terms of decoupling components,
power supply dynamic resistance and regulation as well as
layout rules for PC boards and signal cables.

1 MHz, tr

=

• Measure VOlP and VOlV on the quiet output LOW during
the HL transition. Measure VOHP and VOHV on the quiet
output HIGH during the LH transition.

The input threshold of a device and the output voltage,
IVIL - voLl/iVlH - vOHI at 4.5V Voo, for SCAN CMOS is
1.25V/1.25V.

• Verify that the GND reference recorded on the oscilloscope has not drifted to ensure the accuracy and repeatability of the measurements.

Noise Characteristics

VllO and VIHO:
• Monitor one of the switching outputs using a 50n coaxial
cable plugged into a standard 5MB type connector on
the test fixture. Do not use an active FET probe.

The setup of a noise characteristics measurement is critical
to the accuracy and repeatability of the tests. The following
is a brief description of the setup used to measure the noise
characteristics of SCAN CMOS.

• First increase the input LOW voltage level, VIL, until the
output begins to oscillate. Oscillation is defined as noise
on the output LOW level that exceeds VIL limits, or on
output HIGH levels that exceed VIH limits. The input
LOW voltage level at which oscillation occurs is defined
as VILO.
• Next decrease the input HIGH voltage level on the word
generator, VIH until the output begins to oscillate. Oscillation is defined as noise on the output LOW level that
exceeds VIL limits, or on output HIGH levels that exceed
VIH limits. The input HIGH voltage level at which oscillation occurs is defined as VIHO.

Equipment:
Hewlett Packard Model 8180A Word Generator
PC-163A Test Fixture or Equivalent
Tektronics Model 7854 Oscilloscope or Equivalent
Procedure:
1. Verify Test Fixture Loading: Standard Load 50 pF, 500n.
2. Deskew the word generator so that no two channels have
greater than 150 ps skew between them. This requires
that the oscilloscope be deskewed first. Swap out the
channels that have more than 150 ps of skew until all
channels being used are within 150 ps. It is important to
deskew the word generator channels before testing. This
will ensure that the outputs switch simultaneously.

http://www.national.com

=

• Verify that the GND reference recorded on the oscilloscope has not drifted to ensure the accuracy and repeatability of the measurements.

3-12

cCD

Noise Characteristics (Continued)

<

c;"
CD

C

CD

en
(')

DUT
HP8180A
WORD
GENERATOR

-

-a""

TEK 7854
Oscilloscope
Inputs

0

0"

:l

son

D)

:l

C.

o

450n

:l"

50 pF

GND is supplied via
a copper plane

'1' ~
\! L

D)

Probes are grounded as
close to DUT pins as possible.

OJ
(')

Load capacitors are placed
as close to DUT as possible.

CD

TLlF/12133-12

FIGURE 12" Simultaneous Switching Test Circuit

""
iii"
c;"

(I)

Secondly, a SCAN CMOS device will dissipate power dynamically by charging and discharging internal capacitance.
This can be calculated by using the following formula:

Output Characteristics
All SCAN CMOS outputs are buffered to ensure consistent
output voltage and current specifications. Two clamp diodes
are internally connected to the output pin to suppress voltage overshoot and undershoot in noisy system applications
which can result from impedance mismatching. The balanced output design allows for controlled edge rates and
equal rise and fall times.

Eq.2.
POINT = [(lOOT· DH • NT) • VOO] +
[ (CpO • Vs • f) .VOO]
POINT = Internal Dynamic Power Dissipation
lOOT = Power Supply Current for a TTL HIGH
Input (VIN = 3.4V)
DH
= Duty Cycle for TTL Inputs HIGH
NT
= Number of TTL Inputs at DH
VOO = Power Supply Voltage
Cpo = Device Power Dissipation Capacitance
Vs
= Output Voltage Swing
f
= Internal Frequency of Operation

All SCAN CMOS devices are guaranteed to source 48 rnA
and sink - 24 rnA. Commercial devices are capable of driving 50n transmission lines.

Circuit Characteristics
POWER DISSIPATION
One advantage to using CMOS logic is its extremely low
power consumption. But DC power consumption is not the
whole picture. Any circuit will have AC power consumption,
whether it is built with CMOS or bipolar technologies.

CPO values are specified for each device and are measured
per JEDEC standards as described in this section. On device data sheets, Cpo is a typical value and is given either
for the package or for the individual stages with the device.
Vs and Voo are the same value and can be replaced by
V002 in the formula.

Total power dissipation of SCAN CMOS device under AC
conditions is a function of three basic sources, quiescent
power, internal dynamic power, and output dynamic power
dissipation.

Thirdly, a SCAN CMOS device will dissipate power dynamically by charging and discharging any load capacitance.
This can be calculated by using the following formula:

Firstly, a SCAN CMOS device will dissipate power in the
quiescent or static condition. This can be calculated by using the formula: (Note: In many datasheets 100, ~Ioo, lOOT,
and Voo are referred to as Icc, ~Icc, ICCT' and Vcc, respectively. There are no differences.)
Eq. 1

PDQ =
PDQ
100
VOO

Eq. 3

100 • VOO
= Quiescent Power Dissipation
= Quiescent Power Supply Current Drain
= Power Supply Voltage

3-13

PDOUT =
PDOUT
CL
Vs
f
Voo

(CL • Vs • f) • Voo
Output Power Dissipation
Load Capacitance
Output Voltage Swing
Output Operating Frequency
= Power Supply Voltage
=
=
=
=

http://www.national.com

II

o

~---------------------------------------------------------------------------------------,

Co)

;;
o
"i:

Circuit Characteristics (Continued)

-e

In many cases the output frequency is the same as the internal operation frequency. Also Vs is similar to VOO and
can be replaced by V002.

The 100 calculations are as follows:
100 Total

=

o

The total device power dissipation is the sum of the quiescent power and all of the dynamic power dissipation. This is
best described as:

Input 100

= (lOOT)

CD
Co)

ca
.c
"C
C

ca
C
o

;;

a.

"i:
Co)
o
CD

C

CD
Co)

"S;
CD

C

PDTOTAL = PDQ + PDOYNAMIC or
P~OTAL = PDQ + POINT + PDOUT
The following is an exercise in calculating total dynamic 100
for SCAN CMOS. The device used as an example is the
SCAN18245T. Static 100, lOOT and CPO numbers can be
found in the datasheet. 100 numbers used will be worstcase commercial guarantees. Room temperature power will
be less. These are approximate worst-case calculations.
Eq.4

x (number of TTL inputs)
Cycle)
= (2 x 10- 3 ) x (1) x (0.50)
=

+

Output

x (Duty

1.0 mA per input being toggled at TTL levels

Internal 100 = (VSWING) x (CPO) x (CP freq)
= (5.0) x (41 x 10- 12) x (16 x 10+ 6)
= 3.28 per mA per input being toggled by CP

Output 100 = (VSWING X (CO
CL = 50 pF
= (5.0) x (50

The following assumptions have been made:

=

1. 100 will be calculated per input/output (as per JEDEC
Cpo calculations). The total for the SCAN18245T will be
the calculated 100 x 18.
2. Worst case conditions and JEDEC would require that the
data is being toggled at the clock frequency in order to
change the outputs at the maximum rate (% CP).

x (Q freq)

x 10- 12) x (8 x 10+ 6)

2 mA per output toggled at

% CP

Adding Input, Internal and Output 100 together and multiplying by 18 1/0 per SCAN18245T, the approximate worstcase 100 calculations are as follows:
CL

=

50 pF

100 total

=

100.48 mA or 502.4 mW· at a
CP of 16 MHz

("Power is obtained by multiplying 100 by Voo)

3. The data and clock input signals are derived from TTL
level drivers (OV to 3.0V swing) at 50% duty cycle.
4. The clock frequency is 16 MHz.
5. 100 will be calculated for CL = 50 pF.
6. VOO = 5V.
7. Total POWER dissipation can be obtained by multiplying
total 100 by Voo (5.0V).
8. Quiescent 100 will be neglected in the total 100 calculation because it is 1000 times less than dynamic 100.
9. There is no DC load on the outputs, i.e. outputs are either
unterminated or terminated with series or AC shunt termination.

http://www.national.com

Input 100 + Internal Switching 100
Switching (AC load) 100

3-14

Section 4
Loading Specifications,
Waveforms, Quality and
Reliability

htto:/Iwww.naiional.r.nm

Section 4 Contents
Definition of Terms .................................................................
DC Characteristics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
AC Characteristics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
AC Loading and Waveforms .........................................................
Waveforms-Normal Operation ......................................................
Waveforms-Scan Test Operation......................... ...........................
Quiet Output Noise ...................................... . . . . . . . . . . . . . . . . . . . . . . . . . . .
Skew Definitions and Examples ........................... . . . . . . . . . . . . . . . . . . . . . . . . . . .
Definition of Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Characterization and Test Specifications ..............................................
Philosophy ........................................... . . . . . . . . . . . . . . . . . . . . . . . . . . .
AC Electrical Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
AC Dynamic (Noise) Characteristics..................... ...........................
DC Electrical Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Power..........................................................................
Capacitance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Reliability Tests . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Quality and Reliability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Introduction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Quality Information and Communication (QUIC) System.... ...........................
Wafer Level Reliability (WLR) ........................... . . . . . . . . . . . . . . . . . . . . . . . . . . .
Electrostatic Discharge Sensitivity (ESD) ............................................
Power Sensitivities for Minimum Geometry Products ..................................
Latchup Testing....... ................................ ...........................

4-2

4-3
4-3
4-4
4-4
4-5

4-6
4-6
4-7
4-8

4-9
4-9
4-9
4-9
4-9
4-9
4-1 0
4-10
4-10
4-1 0
4-11
4-11
4-12
4-14
4-14

(fINational Semiconductor

Loading Specifications, Waveforms,
Quality and Reliability
Definition of Terms
DC Characteristics
10ZH

Currents: Positive current is defined as conventional current flow into a device. Negative current is defined as current flow out of a device. All current
limits are specified as absolute values.
Voltages: All voltages are referenced to the ground pin. All
voltage limits are specified as absolute values.
ISVI
Input HIGH Current (Breakdown Test). The current
flowing into an input when a specified Absolute
MAX HIGH voltage is applied to that inD'It.
ISVIT
1/0 Pin HIGH Current (Breakdown Te~ The current flowing into a disabled (output is high impedance) 1/0 pin when a specified Absolute MAX HIGH
voltage is applied to that 1/0 pin.
ICEX
Output HIGH Leakage Current. The current flowing
into a HIGH output due to the application of a specified HIGH voltage to that output.
ICCH
The current flowing into the Vce supply terminal
when the outputs are in the HIGH state.
ICCl
The current flowing into the Vce supply terminal
when the outputs are in the LOW state.
ICCT
Iccz
III

IIH

10H
10l
los

10Zl

Izz

Vcc

VCD

VID

VIH
VIHD

Vil

Additional Icc due to TIL HIGH levels forced on
CMOS inputs.
The current flowing into the Vcc supply terminal
when the outputs are disabled (high impedance).
Input LOW Current. The current flowing out of an
input when a specified LOW voltage is applied to
that input.
Input HIGH Current. The current flowing into an input when a specified HIGH voltage is applied to that
input.
Output HIGH Current. The current flowing out of an
output which is in the HIGH state.
Output LOW Current. The current flowing into an
output which is in the LOW state.
Output Short Circuit Current. The current flowing
out of an output in the HIGH state when that output
is shorted to ground (or other specified potential).
Output OFF current (LOW). The current flowing out
of a disabled TRI-STATE® output when a specified
LOW voltage is applied to that output.

VllD

VOH

VOHV
VOL

VOlP
VOlV

4-3

Output OFF current (HIGH). The current flowing
into a disabled TRI-STATE output when a specified
HIGH voltage is applied to that output.
Bus Drainage. The current flowing into an output or
1/0 pin when a specified HIGH level is applied to
the output or 1/0 pin of a power-down device.
Supply Voltage. The range of power supply voltages over which the device is guaranteed to operate.
Input Clamp Diode Voltage. The voltage on an input
( -) when a specified current is pulled from that input.
Input Breakdown Voltage. The voltage on an input
of a powered-down device when a specified current
is forced into that input.
Input HIGH Voltage. The minimum input voltage
that is recognized as a DC HIGH-level.
Dynamic Input HIGH Voltage. The minimum input
voltage that is recognized as a HIGH-level during a
Multiple Output Switching (MaS) operation.
Input LOW Voltage. The maximum input voltage
that is recognized as a DC LOW-level.
Dynamic Input LOW Voltage. The maximum input
voltage that is recognized as a LOW-level during
Multiple Output Switching (MaS) operation.
Output HIGH Voltage. The voltage at an output
conditioned HIGH with a specified output load and
Vcc supply voltage.
Minimum (valley) voltage induced on a static HIGH
high output during switching of other outputs.
Output LOW Voltage. The voltage at an output conditioned LOW with a specified output load and Vcc
supply voltage.
Maximum (peak) voltage induced on a static LOW
output during switching of other outputs.
Minimum (valley) voltage induced on a static LOW
output during switching of other outputs.

http://www.national.com

~r-------------------------------------------------------------------------------'

~

:c

AC Characteristics

1i>

ft Maximum Transistor Operating Frequency-The frequency at which the gain of the transistor has dropped by
three decibels.

.~

a::

"C

c

co
~

:!::

"iii

::s

o
ur

...E

o

Q)

~

3=

ur

c
o
:;:;
CO

(.)

;;::

.(3
Q)

c.

en

C)

c

=cCO
o

-I

tPZH Output Enable Time (of a TRI-STATE Output) to a
HIGH Level-The time between the 1.5V levels of the input
and output voltage waveforms with the TRI-STATE output
changing from a high impedance (OFF) state to a HIGH
level.

f max Toggle Frequency/Operating Frequency-The
maximum rate at which clock pulses may be applied to a
sequential circuit. Above this frequency the device may
cease to function.

tpZL Output Enable Time (of a TRI-STATE Output) to a
LOW Level-The time between the 1.5V levels of the input
and output voltage waveforms with the TRI-STATE output
changing from a high impedance (OFF) state to a LOW levels.

tpLH Propagation Delay Time-The time between the
specified reference points, normally 1.5V on the input and
output voltage waveforms, with the output changing from
the defined LOW level to the defined HIGH level.

tree Recovery Time-The time between the 1.5V level on
the trailing edge of an asynchronous input control pulse and
the same level on a synchronous input (clock) pulse such
that the device will respond to the synchronous input.

tpHL Propagation Delay Time-The time between the
specified reference points, normally 1.5V on the input and
output voltage waveforms, with the output changing from
the defined HIGH level to the defined LOW level.

AC Loading and Waveforms

tw Pulse Width-The time between 1.5V amplitude points
of the leading and trailing edges of a pulse.
th Hold Time-The interval immediately following the active
transition of the timing pulse (usually the clock pulse) or
following the transition of the control input to its latching
level, during which interval the data to be recognized must
be maintained at the input to ensure its continued recognition. A negative hold time indicates that the correct logic
level may be released prior to the active transition of the
timing pulse and still be recognized.
ts Setup Time-The interval immediately preceding the active transition of the timing pulse (usually the clock pulse) or
preceding the transition of the control input to its latching
level, during which interval the data to be recognized must
be maintained at the input to ensure its recognition. A negative setup time indicates that the correct logic level may be
initiated sometime after the active transition of the timing
pulse and still be recognized.

For Normal Operation Figures 1 and 2 show waveforms for
all propagation delay and pulse width measurements while
Figures:3 and 4 show waveforms for TRI-STATE enable and
disable times. The waveforms shown in Figure 5 describe
setup, hold and recovery times. These diagrams define all
input and output measure points used in testing devices in
the Normal Operation Mode.
For SCAN Test Operation, Figure 8 shows propagation delay waveforms; Figures 9 and 10, TRI-STATE enable and
disable times waveforms; Figure 11 Set up, hold, and recovery time waveforms and Figure 12, Pulse Width waveform.
Figure 6 shows the AC loading circuit used in characterizing
and specifying propagation delays of all devices, unless otherwise specified in the data sheet of a specific device. The
value of the capacitive load (Cd is variable and is defined in
the AC Electrical Characteristics.
The 500n resistor to ground in Figure 6 is intended to slightly load the output and limit the quiescent HIGH-state voltage
to about + 3.5V. Also shown in Figure 6 is a second 500n
resistor from the device output to a switch. For most measurements this switch is open; it is closed for measuring a
device with open-collector outputs and for measuring one
set of the Enable/Disable parameters (LOW-to-OFF and
OFF-to-LOW) of a TRI-STATE output. With the switch
closed, the pair of 500n resistors and the + 7.0V supply
establishes a quiescent HIGH level of + 3.5V, which correlates with the HIGH level discussed in the preceding paragraph.
Figures 7a and 7b describe the input pulse requirements
necessary when testing circuits.

tpHZ Output Disable Time (of a TRI-STATE Output) from
HIGH Level-The time between the 1.5V level on the input
and a voltage 0.3V below the steady state output HIGH level with the TRI-STATE output changing from the defined
HIGH level to a high impedance (OFF) state.
tpLZ Output Disable Time (of a TRI-STATE Output) from
LOW Level-The time between the 1.5V level on the input
and a voltage 0.3V above the steady state output LOW level
with the TRI-STATE output changing from the defined LOW
level to a high impedance (OFF) state.

http://www.national.com

4-4

r-

oQ)

Waveforms

c..

S·

Normal Operation

cc

en

DATA~g v.

lN~b2:k

CONTROL --~II,..-"";;--"I~--IN

n

::::;;
C=;.

Q)

DATA

OUT

"C
CD

Vmo

CLOCK ___

~

________

~JI'~~

. TlIF/12134-1

o·
::s

gJ

FIGURE 1. Waveform for Inverting
and Non-Inverting Functions

:E
Q)

OUTPUT
TL/F/12134-2

FIGURE 2. Propagation Delay, Pulse
Width and tree Waveforms

.
tp:kL

c~U,TiR~i ~8
~~Tt

Vmo

TL/F/12134-4

FIGURE 4. TRI-STATE Output Low
Enable and Disable Times

FIGURE 3. TRI-STATE Output High
Enable and Disable Times

3

gJ

;:::;:

VOL +O.3V
VOL

TL/F/12134-3

<
CD
o

"ce!.

V..;,;m;.;..i_ _

~
ZL

-...
'<
Q)
::s

c..

:xl
~

iii·

g

;:::;:

'<
DATA

eo'T::L
INPUT

r-:~

OPEN

----'Sj? ~

.J

ALL OTHER

~,-...;m~I_ __

£~ts,.;.; *re,; .,c_ _ __

MR

OR
CLEAR

soon

...V~m~i_ _ _ __
TL/F/12134-5

soon

Vmi = 1.5V
Vmo = 1.5V

FIGURE 5. Setup Time, Hold
Time and Recovery Time

TL/F/12134-6

·Includes jig and probe capacitance

FIGURE 6. Standard AC Test Load
t - - - - - tw ----~

AMP (v)

1'----------'1 -+---

OV

1_-------,,1 --+---

AMP (v)

OV

~---- tw ----~

TlIF/12134-7

FIGURE 7a. Test Input Signal Levels

FIGURE 7b. Test Input Signal Requirements

4-5

http://www.national.com

~
:s

Waveforms

a;

Scan Test Operation

.!2

(Continued)

a:

TCK ______-'I'-~______________

"C

c
ca

~
'iij

TOO, Data _______________n"'V...
o ______
m...

::J

a

TLlF/12134-8

FIGURE 8. Propagation Delay

u)

...o

E

CD

>

~

u)

TOO.

c
o
:;:::
ca
Co)

D~: ~!~HZ ~ ~:

TCKJ}£bC
_0.3V

~Z~t:. I ~LZ
~

TDO.D.t.

TLlF/12134-9

kv

, '0.3V
D
VOL

Tl/F/12134-10

FIGURE 9. TRI-STATE Output High
Enable and Disable Times

FIGURE 10. TRI·STATE Output Low
Enable and Disable Times

:;::

'uCD

c.

en
Q

c
=cca
o

..J

Tl/F/12134-11

Tl/F/12134-12

FIGURE 11. Setup Time, Hold
Time and Recovery Time
Vmi
V mo

FIGURE 12. Pulse Width

= 1.5V
= 1.5V

ou~~~i~ ______.JX,,____________.JX:~~~~~~~ :::
QUIET
OUTPUT
UNDER TEST ~- - - - - - - - - - - - - - - - - - - VOlP

--------------~l
- - - - - - - - - - - - - - - - - - - VOlV

FIGURE 13. Quiet Output Noise Voltage Waveforms
Note A: VOHV and VOlP are measured with respect to ground reference.
Note B: Input pulses have the following characteristics: f

http://www.national.com

=

1 MHz, tr

=

3 ns, t,

=

4-6

3 ns, skew

<

150 ps.

Tl/F/12134-13

Skew Definitions and Examples
Minimizing output skew is a key design criteria in today's
high-speed clocking schemes, and National has incorporated skew specifications into the SCAN CMOS family of devices.

Example:
If signal appears at out # 1 in 3 ns and in 4 ns at output # 5,
the skew is 1 ns.
Without skew specifications, a designer must approximate
timing uncertainties. Skew specifications have been created
to help clock designers define output propagation delay differences within a given device, duty cycle and device-to-device delay differences.

This section provides general definitions and examples of
skew.
CLOCK SKEW
Skew is the variation of propagation delay differences between output clock signal(s). See Figure 15.

7-lnputs
HP8180A
WORD
GENERATOR

450n
TEK 7854
Oscilloscope
50n Inputs

~50PF

50 pF
''GND is supplied via
a copper plane

\!

Probes are grounded as
close to OUT pins as possible.
Load capacitors are placed
8S close to OUT 8S possible.

TLlF/12134-14

FIGURE 14. Simultaneous Switching Test Circuit

J\..F

: : "\...J/

CLOCCIN

5~
~:

:....

SKEW
DUE TO DELAY
UNCERTAINTY

TL/F/12134-15

FIGURE 15. Clock Output Skew
SOURCES OF CLOCK SKEW
Total system clock skew includes intrinsic and extrinsic skew. Intrinsic skew is defined as the differences in delays between the
outputs of device(s). Extrinsic skew is defined as the differences in trace delays and loading conditions.

INTRINSIC SKEW

EXTRINSIC SKEW

OUT
SKEW DUE TO
DEVICE AND TRACE/LOAD DELAYS

TL/F/12134-16

FIGURE 16. Sources of Clock Skew
Example: 50 MHz Clock signal distribution on a PC Board.
50 MHz signals produces 20 ns clock cycles
Total system skew budget = 10% of clock cycle" = 2ns
If extrinsic skew = 1 ns

~
~

2 ns
- 1 ns

Device skew (intrinsic skew) must be less than 1 nsf

~

1 ns

'Clock Design Rule of thumb.

4-7

http://www.national.com

Skew Definitions and Examples (Continued)
CLOCK DUTY CYCLE
• Clock Duty Cycle is a measure of the amount of time a signal is High or Low in a given clock cycle.
T
I

i

:

~;

r--irLJ
,
,

--I,
Duty Cycle

TLIF/12134-17

= tiT • 100%
FIGURE 17. Duty Cycle Calculation

Clock Signal

~
~
CLOCK CYCLE

Example:
tHIGH and tLOW are each 50% of the clock cycle therefore
the clock signal has a Duty Cycle of 50/50%.

TLIF/12134-1B

FIGURE 18. Clock Cycle
Clock

+

• Clock skew effects the Duty Cycle of a signal.

Skew
Example: 50 MHz clock distribution on a PC board.
TLIF/12134-19

Skew must be guaranteed less than 1 ns at 50 MHz to
achieve 55/45% Duty Cycle requirements of core silicon!

FIGURE 19. Clock Skew

TABLE I
System
Frequency

Skew

tHIGH

tLOW

Duty Cycle

50MHz
50 MHz
50 MHz

o ns

10 ns
12 ns
11 ns

10 ns
8 ns
9 ns

50/50%
60/40%
55/45%

+0-

Ideal Duty Cycle (50/50%) occurs for zero skew.

2ns
1 ns

33 MHz

2 ns

17 ns

15 ns

55/45%

+0-

Note that at lower frequencies, the skew budget is not as tight
and skew does not effect the Duty Cycle as severely as seen at
higher frequencies.

Definition of Parameters
tOSLH. tOSHL (Common Edge Skew)
tOSHL and tOSLH are parameters which describe the delay from one driver to another on the same chip. This specification is the
worst-case number of the delta between the fastest to the slowest path on the same chip. An example of where this parameter
is critical is the case of the cache controller and the CPU, where both units use the same transition of the clock. In order for the
CPU and the controller to be synchronized, toSLH/HL needs to be minimized.
Definition

Example

tOSHL. tOSLH (Output Skew for High-to-Low Transitions):
CLOCK
INPUT

tOSHL = ItPHLMAX - tPHLMINI
Output Skew for Low-to-High Transitions:

OUTPUT 1

tOSLH = ItPLHMAX - tpLHMINI
Propagation delays are measured across the outputs of any
given device.

OUTPUT 2

I

I

~
TLIF/12134-20

FIGURE 20. tOSLH. tOSHL

http://www.national.com

4-8

rPulse Width (synchronous logic)
Pulse Width testing is used to define the minimum
pulse duration that a flip-flop or latch input will accept and still function properly. This test is package
and test environment sensitive.

Characterization and Test
Specifications
Philosophy
During the product introduction process for National logic
IC's, a new IC design will undergo a rigorous characterization to baseline its performance. This data is required to
correlate with simulation models, determine product specifications, compare performance to other product, provide a
feedback mechanism to the fabrication process, and for
customer information. National's Logic IC characterizations
are designed to get as much information as possible about
the product and potential customer application performance.

F-Toggle (asynchronous logic)
F-Toggle is the minimum frequency at which the IC
is guaranteed to function under multiple outputs
switching condition with outputs operating in phase.
This test is package and test environment sensitive.

National's logic IC characterization methodology uses past
knowledge of design performance, simulation, and process
parametrics to determine what electrical parameters to
characterize. Characterization samples are selected so that
they have key process parametrics (e.g., Drive, Beta, Vtn,
Vtp Left, etc.) which have been shown to significantly affect
device electrical parameters. Data is acquired and processed using statistical analysis software. Manufacturing test
limits are then set using the knowledge of variations due to
fabrication, package, tester, Vee, temperature, and condition. This allows product to be shipped on demand without
problems or delays.

Measured parameters with 50 pF loading relate the
amount that a static conditioned output will change
in voltage under multiple outputs switching condition
with outputs operating in phase. They are heavily
influenced by the magnitude that Vee and Ground
move internal to the IC.

tpLH
tpHL

Active Propagation Delays

tPZH
tPZL

Enable Propagation Delays

This test is performed to determine what minimum
edge rate can be applied to an input and have the
corresponding output transition with no abnormalities such as glitches or oscillations.

DC Electrical Characteristics
Automated Test Equipment (ATE) DC Tests
DC test data gathered show the performance of an
IC to statically applied voltages and currents.
Functional Shmoo
The function shmoo shows the function operational
window of an IC at a wide range of Vee's and temperatures.
Power Up & Power Down Output Shmoo
Similar to the function shmoo, the power up and
power down output shmoo shows the DC operation
of an output during power up and power down conditions.

Multiple (Simultaneous) Output Switching Propagation
Delays
These tests are used to ensure compliance to the
extended databook specifications and include active
propagation delays, disable and enable times at 50
pF and 250 pF output loads.

Transfer Characteristic (VIN/Vour)
Input Traces (VIN/lIN)
Output Traces (VOL/lOL, VOH/lOH)

Multiple Output Switching Skew
Performance data from the Multiple Output Switching propagation delay testing is analyzed to obtain
information regarding output skew of an IC.

Power
Power-Up Icc Traces
Shows how the supply current reacts to various input conditions during power up.

FMAX (synchronous logic)
FMAX determines the minimum frequency at which
the device is guaranteed to operate for a clocked IC.
This test is package and test environment sensitive.

Icc vs VIN Traces
Traces of lee vs VIN show how the supply current
changes with input voltage.

4-9

Il.)

0'

:l

~

o
.....
3

~

o
c:::

e!.

;:::;:

'<

Il.)

:l
Co

Input Edge Rate

Disable Propagation Delays
tpHZ
Also included are input timing parameters
ts
Setup Time
tH
Hold Time

n

c;'

<

Dynamic threshold measures the shift of an IC's input threshold due to noise generated while under
multiple outputs switching condition with outputs operating in phase. This test is package and test environment sensitive.

tpLZ

(1)

:::;:

Il.)

VILO, VIHo-Dynamlc Threshold

Single Output Switching propagation delays
Testing includes measured propagation delays at 50 pF and
250 pF output load capacitances.

en

"C

(1)

VOLP, VOLV-Ground Bounce (Quiet Output Switching)

AC Electrical Characteristics

Co

5'
(C

::E

AC Dynamic (Noise)
Characteristics

The following are brief summaries of characterization tests
performed.

o
Il.)

http://www.national.com

:xJ
~

iii'

g

;:::;:

'<

~
:c
.~

"'C

ICeD (DynamiC IcC>
Determines the amount of current an IC will consume at frequency.

>-

CapaCitance

Cii

a:

c
ca

:!::

ca::s

o

Reliability Tests

-

LatCh-Up

...o
CI)

>
ca

3:

uf

c
o
:;::::
ca
u

~

'(3

CI)

a.

UJ

C)

c

=cca
o

..J

As a matter of policy, it is NSC's goal to design and manufacture product that is 100% defect-free and capable of surviving the qualification tests with zero failures. This policy is
not interpreted as a directive to abandon a qualification program when failures occur or to delay new product releases
until perfection has been achieved. Rather, the policy is intended to focus engineering resources on the identification
and elimination of the design, process, or workmanship deficiencies that are the root causes of the failures and then to
engineer a solution to correct those deficiencies.

Input/Output Capacitance (CIN/COUT)

uf

E

cess, or package, share a joint responsibility for demonstrating that the product does conform to NSC standards and to
the standards and expectations of NSC's customers.

Power (Continued)

Testing determines if an IC is susceptible to latch-up
from over-current or over-voltage stresses per
MIL-STD-883 JEDEC method 17.

Results from the initial qualification for the SCAN ABT Advanced Logic families are published in Self Qualification
handbooks. Additional stress testing is performed regularly
as a reliability monitor as part of the Fast Reaction Program
and Long Term Audit Program. The Self Qualification handbook contains the data typically requested by customers as
part of joint qualification programs in addition to detailed
explanations of all tests performed. The Logic self qualification handbook may be obtained by contacting the Customer
Response Center at 1-800-272-9959.

HBM Electrostatic Discharge, Human Body Model
Per MIL-STD-883C method 3015.6.

Quality and Reliability
Introduction
Product qualification is a disciplined, team activity which focuses on demonstrating, through the acquisition and analysis of engineering data, that a device design, fab process, or
package design meets or exceeds minimum standards of
performance. In most cases, this involves running samples
of product through a series of tests which expose the samples to operating stresses far in excess of those which
would be encountered in even the most severe "real life"
operating environment. These tests are called either accelerated stress tests or accelerated life tests. A properly designed qualification test sequence exposes, within a matter
of days or weeks, those design, materials, or workmanship
defects which would lead to device failure in the customer's
application after months or even years of operation.

TABLE II. Qualification Requirements for
Logic Integrated Circuits
Test

In order to be considered a "world class" supplier of semiconductor devices, NSC designs and manufactures products which are capable of meeting the reliability expectations of its most demanding customers. While customer requirements and expectations vary on the subject of reliability requirements for devices, virtually all large users have
general procurement specifications which establish failure
rate goals or objectives for the suppliers of the components
used in their products.

Test
Method

Test/Stress
Conditions

Sample
Size
Each Lot

Operating Life

SOP-5-049-RA 1000 Hours
Method 107
@TA = 125·C

77

High Temperature Storage

SOP-5-049-RA 1000 Hours
@150·C
Method 103

45

Temperature
Cycle

SOP-5-049-RA 1000 Cycles
- 65·C to + 150·C
Method 105

77

Temperature
Cycle with
Preconditioning

SOP-5-049-RA 1000 Cycles
- 65·C to + 150·C
Method 105

TemperatureHumidity-Bias

SOP-5-049-RA 1000 Hours
85·C@85%RH
Method 104

77

77

TemperatureHumidity-Bias
with Preconditioning

Method 112
Method 104

Precondition
plus 100 hours
85·C to 85%RH

Failure rate goals for infant mortality and long-term-failurerate-in-service have been established for all NSC product
lines. These goals are published internally at the beginning
of each fiscal half-year (usually June and December). The
actual performance of the product against these goals is
measured monthly using life test data gathered from various
sources including the Fast Reaction and Long Term Audit
Program. Performance is reviewed every six (6) months by
Reliability and Product Group management and adjusted as
necessary to reflect customer expectations, competitive
data, and/or historical performance trends.

Autoclave

Method 101

500 hours
121·C @15 psig

45

Thermal Shock

Method 106

100 Cycles
- 65·C to + 150·C

22

Salt Atmosphere

Method 209

25 Hours 35·C

Resistance to
Solvents

Method 207

4 Solvents

Lead Integrity

Method 205

Condition as
Appropriate
to Package

Given that product reliability is an overriding corporate objective, and that any deficiency in design, materials, procedures, or workmanship, has a potential for adversely affecting the reliability of the product, Manufacturing and Engineering organizations within NSC, its subsidiaries, and its
sub-contractors, involved in introducing a new device, pro-

Solderability

Method 203

8 Hour Steam
5 secs @260·C

22

Solder Heat

Method 204

12 secs 260·C

22

http://www.national.com

4-10

77

22
3 Each
Solvent
22 Leads

As device complexity increases, the testing sample size required to ensure infant mortality ppm levels in the 0-10 ppm
range will quickly deplete reliability test capacity. While
burn-in eliminates inferior devices, it can also substantially
shorten the lifetimes of "good" devices to an unacceptable
level, creating an expensive and somewhat risky procedure.
New technology advances which minimize geometry, have
moved our device lifetime distributions closer to our customer's expected system life. As device geometries shrink, resulting in higher current densities, electric fields, and chip
temperatures, tighter fab process control and instant feedback become critical.

Quality Information and Communication (QUIC) System
BACKGROUND
National's Quality Assurance Systems Development group
(QASD) maintains a variety of data tracking systems such
as: Electronic Reliability Data Management (ERDM), Failure
Analysis (F/A), Burn-in Board Inventory, and a number of
others.
QUIC users will find a user friendly, menu-driven, real-time
system that gives them a simultaneous-user environment
with timely data inputs from sites around the world. QUIC is
programmed to recognize each individual user of the system at the point of logging on to the mainframe, and provides an appropriate list of menu options consistent with the
user's level of access requirements.

THE GOAL OF WAFER-LEVEL-RELIABILITY TESTINGPROCESS RELIABILITY
Wafer-level-reliability testing represents a proactive, correlation and control approach to ensuring device reliability. WLR
is not meant to replace classical reliability testing. Instead it
is used to supplement existing methods.

National grants access to QUIC by customers that provides
a sufficient level of security over the entire system, thus
precluding the possibility of accidental access (or even
damage) to various files.

WLR testing is used to:
1. Identify shifts in On-Line Process Controls (fab monitors) which affect product reliability.

HOW A CUSTOMER LINKS TO QUIC

2. Reduce process qualification cycle time.

1. Check to make sure you have the hardware components
listed below. (An attached printer is desirable but not imperative.)

3. Improve process qualification success rate.
4. Assess reliability trends of production processes.

IBM/PC compatible computer with at least 128k memory.

5. Quantify the reliability impact of process modifications.

Hayes compatible 1200 baud modem (or 2400,4800 or
9600).

WLR provides faster feedback for fab process control. The
collection of WLR test data during and at the end of wafer
fab processing provide a reliability baseline for each of our
fab processes. Shifts in WLR test results, whether intentional (a process change or qualification) or unintentional (a process control problem), signal an increase or decrease in
product reliability risk. WLR monitoring of production processes using Statistical Quality Control (SQC) techniques
provides engineering with the information required to find
and fix process control problems faster, and to determine
the effectiveness of on-line process controls from a reliability standpoint. In this way, WLR testing is used to link on-line
process controls to the traditional accelerated life testing
methods.

Touch tone phone.
2. Request access to QUIC by contacting your National
sales representative or Customer Service Center at
1-800-272-9959, who will coordinate all activities necessary to provide access for your company and arrange
training (usually handled over the telephone).

3. Identify the person who will be your company's main contact and user of the QUIC system. This person will assume responsibility for the USERID assigned to your
company and will receive training on how to access and
use the QUIC system.
4. National will provide a USERID, password and account
number with appropriate menus and a communications
software package called EXECULlNK, which allows the
customer's PC to talk with NSC's host computer and also
turns the PC into a virtual host terminal, with full-screen
editing capability and full use of program function (PF)
keys. EXECULINK also provides for file transferring between host and PC and spooling of print files to a PC-attached printer.

NATIONAL'S WLR PROGRAM
National developed a corporate-wide WLR program which
continues to implement powerful, new test techniques. WLR
testing has been used effectively to help understand how
process variability affects product reliability. It is also used
to help build-in reliability at the design stage for new process technologies.
WLR tests and test structures have been designed to increase the likelihood and predict a rate of a reliability failure
mechanism occurrence. In addition, National has developed
a partnership with a leading parametric test system supplier.
Working together, a WLR test system was designed and
developed to meet the unique requirements of Wafer-LevelReliability testing. These systems are capable of testing to
the voltage, current, and temperature extremes required for
inducing the desired failure mechanisms in a short period of
time. Some examples of the reliability failure mechanisms
that are monitored using WLR techniques include:

ONGOING IMPROVEMENTS
As we receive feedback from the users of QUIC, we (QASD)
will continue to enhance the "User Friendliness" of the system and add new features which, we hope, will help promote a true sense of teamwork between us and our customers.

Wafer Level Reliability (WLR)
BACKGROUND

Interlayer Dielectric Integrity

The conventional methods of reliability screening, that of
short-term burn-in to eliminate infant mortalities and longterm life tests at high temperature, will soon become impractical for many devices. The reasons for this are tighter
infant mortality ppm requirements, higher costs, and shortened lifetimes.

Unique high voltage testing (to 1500V) is used to test for
dielectric particles, metal hillocks or contamination, and
poor dielectric stop coverage. Designed experiments

4-11

http://www.national.com

Wafer Level Reliability (WLR)

(Continued)

Hot Electron Degradation
Two wafer level tests are performed to indicate device
susceptibility to hot electron damage. First, the maximum
substrate current is measured to indicate the level of impact ionization occurring at the drain edge. Second, gate
current measurements are taken to gauge the magnitude
of electron injection during device operation. Long-term
DC stressing of transistors at peak substrate current conditions is also monitored.
Electromlgratlon
A Standard Wafer Electromigration Accelerated Test
(SWEAT) technique is used to measure the sensitivity of
a metal line to electromigration failures. SWEAT is used
as a relative test of the reliability of a line.
Contact Electromlgration
Risk of failures due to contact spiking and solid phase
epitaxial growth (SPEG) are monitored by forcing current
through specially designed test structures, and monitoring increases in resistance and substrate leakage.

have been successful in correlating the high voltage
WLR test results to fab process monitors (such as deposition temperature and etch selectivity), and to accelerated life test results (Op-life, Temp Cycle, and Thermal
Shock).

Metal Step Coverage
High current testing of large area metal serpentine structures is performed to detect restrictions in the conducting
stripe. Designed experiments have been successful in
correlating the high current WLR test results to fab process monitors such as metal thickness, critical dimensions, and via size.
Mobile Ions
A 200·C hot chuck is used with custom-built high temperature probe cards to accurately measure transistor
threshold voltage shifts for a variety of oxide layers. Other methods for detecting mobile ion contamination include the use of self-heated polysilicon gate test structures and Triangular Voltage Sweep (TVS) test techniques.
Metal Stress Voids
High current resistance measurements are taken before
and after wafers are processed through a series of heating and cooling cycles. This heat treatment is designed
to mimic the high temperature processing incurred during
device assembly (such as a seal-dip furnace), and it has
been shown to accelerate metal void formation when the
stress of the overlying film is high enough. Significant
increases in the final resistance indicate the formation of
metal stress voids.
Gate Oxide Integrity:
JEDEC JRAMP, VRAMP and OBO test techniques are used
to monitor gate oxide quality. The WLR tester is also
used to perform very sensitive leakage current measurements, using a specially designed picoammeter module,
which allows us to detect subtle differences in gate oxide
quality.
Passivation Integrity
A novel wafer-level-autoclave test technique has been
developed which allows us to quantify the level of protection the passivation film provides when the wafer is subjected to a high temperature, high humidity environment.

Electrostatic Discharge
Sensitivity (ESD)
BICMOS LOGIC
National BiCMOS Logic has designed special dual-rail ESD
protection circuitry to increase its level of ESD performance
over non-protected inputs and outputs. This protection is
standard on all BiCMOS Logic designs and was first used in
National's family.
By design, this circuitry limits product vulnerability to both
positive and negative Human Body Model (HBM) ESD and
Electrical Overstress (EOS) voltages by protecting inputs
and outputs connected to Vee as well as ground. Protection
to ground is provided through the transistor 02 and diode
D2, standard Schottky clamp. The path to Vee is protected
through the BVCEO breakdown mechanism of 01. Diode
D1 ensures isolation of the input or output from Vee leakages.
The device design and layout ensures dependable turn-on
characteristics as well as robustness.
ESO protection was achieved with no appreciable affect on
speed or increase in capacitance.

01

01

INTERNAL
CIRCUITRY
INPUT 0 - -....- -

- -....- 0 OUTPUT

02

GND

GND

Figure 21. ESD Protection Circuit

http://www.nationai.com

4-12

TL/F/12134-21

r-

o

Electrostatic Discharge
Sensitivity (ESD) (Continued)

I»
C.

CMOS Logic
Circuits which show excellent resistance to ESD-type damage are classified as category "B" of MIL-STD-883C, test
method 3015, and withstand in excess of 4000V typically. It
is guaranteed to have 2000V ESD immunity on all inputs
and outputs. Parts do not require any special handling procedures, however, normal handling precautions should be
observed as in the case of any semiconductor device.

ABT and SCAN ABT logic ESD sensitivity is guaranteed
greater than 2000V, using the MIL-STD-883C, test method
3015 for Human Body Model (HBM) ESD.
Rl

= 10 M!l

1

= 1500!l

R2

~~

HIGH
VOLTAGE
POWER

1

SUP{LY

C1 =

100

pF

Figure 23 shows the ESD test circuit used in the sensitivity
analysis for this specification. Figure 24 is the pulse waveform required to perform the sensitivity test.

J

OUT

High Voltage

R2

~
<

-

;::;:
'<

= 1500!l

I»
:::J
C.

Relay

Ch"~

Regulated
High Voltage
Supply

-

o:::J·

In

"ce!.

= 800 k!l

1---------0

n'
I»

o....
3
In

Normal handling precautions should be observed as in the
case of any semiconductor.
(min)
3G!l (max)

CD

(')

:::;:

CD

TL/F/12134-22

Figure 22. HBM Test Circuit

R1

S·
en
"'C
(C

1,

DC
Voltmeter

:::JJ

Calibrate

o;"h",.

!!.
iii'

g

Test

= lOOp'
OUT

Waveform
Terminals

;::;:
'<

TLlF/12134-25

FIGURE 23. ESD Test Circuit

100%;----",.........

.,

90%

'"

taECAY :5 350 ns

a.
E

(R2 + R3 ) C1 2: 300 ns



III

~ISE :5 15 ns

"0

36.8%-f--I-----

10%

TIME

TlIF/12134-26

FIGURE 24. ESD Pulse Waveform

4-13

http://www.national.com

~r-----------------------------------------------------------------------------------~

==
:is
.!!
'iii
a:

"C

c
co

~
~

"iii

::::s

"Euf
...

o

G)

~

==uf
c
o
ca
(,)

:;:;

i;:::

'u
G)
Q.

U)

c:n
c
ca
o

;:;
...J

Latchup Testing

Power Sensitivities for Minimum
Geometry Products

Latchup in CMOS and bipolar circuits can vary in severity
from being a temporary condition of excessive Icc current
and functional failure, to total destruction requiring a new
unit. The latchup condition is usually caused by applying a
stimulus that is able to cause a regenerative condition in a
PNP-NPN structure. For a more detailed description of definitions and causes of latchup, see National Semiconductor
Application Note 600 (located in the "FACT Advanced
CMOS Logic Data book" Lit. # 40019).

The demand for high performance process technology capable of sub 4 ns speeds, minimal noise and lower operating voltages drives the microelectronics industry towards
decreasing layout geometries. Advanced process technology minimizes gate widths, gate oxide thickness and junction
depths to improve gate switching speeds. In contrast, the
decreased geometries reduce the ability of the devices built
on advanced processes to resist electrical overstresses. As
geometries decrease, emphasis shifts towards the reduction of environmentally induced electrical overstresses to
ensure system and component reliability.
Market trends continue to drive the need for smaller geometries with reduced power supply voltages. Current 5.0V technologies are migrating towards 3.0V technologies while
3.0V technologies have shown a greater sensitivity to electrical overstresses. Sensitivities to electrical overstresses
have been observed in as large as 1.0 J-Lm geometries.
Device damage from electrical overstresses vary and the
categories include, but are not limited to: Electrical-OverStress (EOS) due to excessive current or voltage exposure
and Electro-Static-Discharge (ESD) be it exposure by Human Body Model, Charged Device Model or Machine Model. Sources of electrically induced overstresses are difficult
to determine; however, investigation of failures from small
geometry devices may show that environmental hazards
such as unregulated and unconditioned power supplies in
the field exceed "Absolute Maximum Ratings" causing unrecoverable device damage.

BICMOS Logic
National has characterized its Advanced BiCMOS logic for
robustness using the JEDEC 17 method and an IMCS 4600
Automated Latchup Test System. The automated test
eqUipment approach to latchup provides a repeatable test
setup and application of test conditions, reduces the
amount of time for evaluation, and provides a more comprehensive set of vectors and stimuli over a shorter period of
time.
The JEDEC 17 method is a standard measurement procedure for the characterization of CMOS integrated circuit
latchup susceptability/immunity, measured under static
conditions. The method allows for overcurrentl overvoltage
stressing of inputs and outputs to detect latchup.
In short, the JEDEC 17 method follows a sequence of:
1.
2.
3.
4.
5.
6.

Advanced processes such as BiCMOS include small dimension current density limited geometries that are sensitive to
electrically induced overstresses. The combination of internal bipolar and CMOS gates provides current capabilities for
maximum device performance. In an unconditioned supply
environment, the bipolar section of a BiCMOS circuit can
source excessive current through the CMOS section and
cause damage due to the CMOS circuit's current density
limited geometries.
In an effort to resolve device sensitivities to electrical overstresses, designers and engineers can reference device databooks. Databook specifications include "Absolute Maximum Ratings" and adherence to this specification is essential in ensuring component and system level reliability.

The time for each parameter as well as the temperature is
critical for correlation of latchup. National characterizes
latchup on the Advanced BiCMOS family at 125°C and with
the critical timing parameters on Table III. Close correlation
can only be accomplished by using the same trigger duration, Vee, test temperature, and magnitude of trigger stimulus.
TABLE III. Critical Timing Parameters

Symbol

1. A. Amerasekera, A. Chatterjee, ':4n Investigation of BiCMOS ESD Protec·
tion Circuit Elements and Applications in Submicron Technologies",
EOS/ESD Symposium, p5B.6.1.

http://www.national.com

Apply power
Setup I/O conditions to place device in desired state
Apply trigger source for desired duration
Measure supply current
Remove power supply if lec ~ test limit
Inspect for electrical damage

4-14

Parameter

Time

Tw

Trigger Duration

500 J-Ls

teool

Cool Down Time

10 ms

Latchup Testing

(Continued)
TABLE IV. Supply and Stimulus Values

For BiCMOS ABT products, logic states are checked for a
susceptability to latchup witil all outputs high, all outputs low
and all outputs in TRI-STATE. If the device is a bidirectional
device, then the logic states are tested in each direction. All
inputs and outputs are tested for each logic state and direction.

TRIGGER
SOURCE (v /1)

Parameter

PVT

Positive Voltage
Trigger

NIT

Negative Current
Trigger

Vee
7.0V

Stimulus

en

"'C

Vee

+ 3V (10V)

(1)
(")

~

o·

D)

7.0V

-500 mA

Verification of any unusual observations is performed with a
curve tracer manually. For example, when ABT outputs are
brought below ground, the NMOS transistor feeding current
to the bipolar output will turn on and current from Vee will
come out of the output pull-down device. This condition is
unavoidable by design and is not latchup. Thus good analysis of observations will tell one whether latchup has occurred.
Due to the high trigger stresses, devices used for latchup
testing should be discarded and not used for design, production, or other tests. Latchup testing is potentially destructive and may limit the life of a device.

Finally all inputs and outputs have clamp diodes, requiring a
negative current trigger as a stimulus for latchup. The clamp
diodes are designed to allow current flow into ground without injecting carriers into the substrate that could cause a
parasitic PNP-NPN. Supply and stimulus values used by National for latchup testing the ABT family are in Table IV.

'?

Stimulus

o·

::J

Forcing a current in the positive direction overstresses the
inputs and outputs by causing a breakdown. Such breakdowns consume enough power in the breakdown area to
cause the junction permanent damage. PVT stresses the
inputs and output while keeping the input and output devices out of any breakdown region.

ov

S·

CC

Because the ABT and SCAN ABT family is designed for live
insertion, a Positive Voltage Trigger (PVT) and a Negative
Current Trigger (NIT) is applied to the inputs and outputs to
check for latchup.

vee

roD)
a.

~rn

=e
D)

<
(1)

.,o

3
In

"ce!..
::;:

'<
D)

::J

a.

::D

,

7.0Y,

Il\
~!

H
: :

tw

SEQUENCE

,

!!..

Dr

... ~

g

::;:

'<

... ~
teooL

·1
TLIF/12134-23

FIGURE 25.

•
4-15

http://www.nationai.com

Latchup Testing

(Continued)

CMOS Logic
The test procedure is as follows; five pulses, each of at
least 2000V, are applied to every combination of pins with a
five second cool-down period between each pulse. The polarity is then reversed and the same procedure, pulse and
pin combination used for an additional five discharges. Continue until all pins have been tested. If none of the devices
from the sample population fails the DC and AC test characteristics, the device shall be classified as category B of MILSTD-883C, TM-3015. Devices that result in ESD immunity in
the 2000V-3999V range are listed as ESD Class 2. Devices
that result in ESD immunity in the 4000 + V range are listed
as ESD Class 3.

In the past a major problem with CMOS has been its sensitivity to latch-up, usually attributed to high parasitic gains
and high input impedance. SCAN CMOS logic is guaranteed
not to latch-up with dynamic currents of 300 rnA forced into
or out of the inputs or the outputs under worst case conditions (TA = 125°C and Voo = 5.5 Voc). At room temperature the parts can typically withstand dynamic currents of
close to 1A. For most designs, latch-up will not be a problem, but the designer should be aware of its causes and
how to prevent it.
SCAN CMOS devices have been specifically designed to
reduce the possibility of latch-up occurring; National Semiconductor accomplished this by lowering the gain of the parasitic transistors, reducing substrate and p-well resistivity to
increase external drive current required to cause a parasitic
to turn ON, and careful design and layout to minimize the
substrate-injected current coupling to other circuit areas.
P-Channel MOS

For further specifications of TM-3015, refer to the relevant
standard. The voltage is increased and the testing procedure is again performed; this entire process is repeated until
all pins fail. This is done to thoroughly evaluate all pins.

Input

N-Channel MOS

N + Substrate
0.008-0.25 ohm-em
TLIF/12134-24

FIGURE 26. Advanced CMOS EPI Process Cross Section with Latch-up Circuit Model

http://www.national.com

4-16

Section 5
Characterization Data

http://www.national.com

Section 5 Contents
Propagation Delay vs. Temperature, Capacitive Loading and Switching Output.............
SCAN 18245T . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SCAN18373T. ... ......... ..................................................... ....
SCAN18374T............. .........................................................
SCAN18540T......................................................................
SCAN18541T......................................................................
Typical Icc vs. Frequency. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . .. . . . . . . . . . . . . . . . . . . . .

5-2

5-3
5-3
5-5
5-8
5-10
5-12
5-14

o::r

...mm
n
...
N'

-

tflNational Semiconductor

CD

m

O·

Propagation Delay vs Temperature
Capacitive Loading and Switching Outputs

::s

-

C

m
OJ

SCAN18245T
tpHL (A to BIB to A) vs Temperature
CL = 50 pF, 1 Output Switching

tpHL (A to BIB to A) vs
# Outputs Switching
CL = 50 pF, TA = +25'C

tpHL (A to BIB to A) vs Temperature
CL = 50 pF, 18 Outputs Switching
12

-.- ~ITIIlt~X.I

10

: ·1·

Tli!..u:::...
T~~ ~

b'jbv'

J
o~~~~~~~~--~

O~~~~~~~~~~

-55

-55

-~5

-15 5

25 45 65 85 105 125

-~5-15

Temperature (C)

5

r

o

25 45 65 85 105125

18

1

Temperature (C)

tpHL (A to BIB to A) vs Load Capacitance
1 Output Switching, TA = + 25'C

Number Outputs Switching

tpLH (A to BIB to A) vs Temperature
CL = 50 pF, 18 Outputs Switching

tpLH (A to BIB to A) vs Temperature
CL = 50 pF, 1 Output Switching

14r---------,---~~__,

12

12~--------+---,~,~~'-'~

10

t.lAX ,

"

. ---- - - - - _+A~
T~P J4.L

O~--------.L-----------'

50

250

150

O~~~~~~~~~~

-55

-~5-15

5

25 45 65 85 105125

Temperature (C)

Capacitance (pr)

tpLH (A to BIB to A) vs # Outputs Switching
CL = 50 pF, TA = +25'C
12

,.

~~x

__ f-f-'

1

... f-

sf

,.....

-55-35-15 5

2545 65

851~5125

tPZH (~ to An/Sn) vs Temperature
CL = 50 pF, 1 Output Switching

....

MAX .". ... -

.. .. .... ....

10r-------. .~..~~..- ..------;

~ii"H:

... f-

i- f--

Temperature (C)

tpLH (A to BIB to A) vs Load Capacitance
1 Output Switching, T A = + 25'C
12

10

o

--

::;lrl
- - - - - - - -~t.lt

f---"
f--

Hrt71V

II

r

o
1

Number Outputs Switching

----

------~I~

OL-________L -______
18

50

150

Capacitance (prJ

~

250

-55-35-15 5

25 45 65 85105125

Temperature (e)
TL/F/11576-1

5-3

http://www.national.com

ca
as
C
c

SCAN 18245T (Continued)

o

*'

tpZH (0£ to An/Sn) vs Temperature
CL = 50 pF, 18 Outputs Switching

;::

~

tpZH (OE to An/Sn) vs Outputs Switching
CL = SOpF, TA = +2So C

'i:

12

1:)

10

tPZL (OE to An/Sn) vs Temperature
CL = 50 pF, 1 Output Switching

Illll~x

CD

f!
as

111111

10 f-t--+--+--t---1-

TL"t:lil~

.c

o

TYP

@

5.5V

llllll

1IIIn

o

o~~~~~~~~--~

-55 -35 -15 5 25 45 65 85 105125

1

o~~~~~-L~~~~

18

Temperature (c)

-55 -35-15 5 25 45 65 85 105125
Temperatur. (c)

Number Outputs Switching

*'

tpZL (0£ to An/Sn) vs Temperature
CL = 50 pF, 18 Outputs Switching

(oe

tPZL (OE to An/Sn) vs Outputs Switching
CL = 50 pF, TA = +2So C

12f-+--+--t-~-+-+~--~

12

10 f-+-+-+--+---1-

10

10

.+HHtx
II II

~~

tpHZ
to An/Sn> vs Temperature
CL = 50 pF, 1 Output Switching

TYP @ 4.5V

- -

I LUll

I-

L,I
TYP@ 5'n

III

r'~

o

O~~~~~~~~--~

-55 -35 -15 5 25 45 65 85 105 125
Temperature (C)

' .. _-

*'

-- --

~

I-

-

I--

I--

10

T~P J4JV

--

I-- 10-

T rp

~.

;v

YP

-:
~ :::

.v

I

~IN

o

n

~

o

-55 -35 -15 5 25 45 65 85 105125

1

18

Temperature (C)

o

1~x

~

L1Jv

i~

--~
~p~dv

~IN

-55 -35-15 5 25 45 65 85 105125

*'

'Ux
T~~ JUvi
qv;

TYP@

TYP @ 5. V

T

II
n

I
1'N

o

-1t~x
T

Temperature (C)

(oe

10

-- -

-

tpLZ
to An/Sn) vs Outputs Switching
CL = 50 pF, TA = +2So C

12
10

.. - ..

- - - - - - _. _. -.

Number Outputs Switching

tpLZ (OE to An/Sn) vs Temperature
CL = 50 pF, 18 Outputs Switching

5. iV

~IN

'. -"I-- ..

~AX

~

YP

tpLZ (OE to An/Sn) vs Temperature
CL = 50 pF, 1 Output Switching

rlJ JtU

-

-I--

- -- - - ----

10

..1~

I
I

~

I-"

Temperature (C)

tpHZ (OE to An/Sn) vs Outputs Switching
CL = 50 pF, TA = +2SoC

-- "'-I-- - - -t:x

- -iA"x
T~P J 4.~V
- --

--.

-55 -35-15 5 25 45 65 85 105125

Number Outputs Switching

tpHZ (0£ to An/Sn) vs Temperature
CL = 50 pF, 18 Outputs Switching
10

o
18

1

..... _- ...

o

-55-35-15 5 25 45 65 85105125

1

T.mperature (c)

18
Number Outputs Switching

TL/F/11576-2

http://www.nationai.com

5-4

o

::r
D)

SCAN18373T

....
D)

-

n

CI)

tpHL (In to On) VI Temperature
CL = 50 pF, 18 Outputl Switching

tpHL (In to On) va Temperature
CL = 50 pF, 1 Output Switching
12

12

--r-- - - -;t:14

10

i--

I--

1~X

"-

10

!V

~

r--

TYP @ 5.5V

---------

-- -- - - - -

I
T~P J 4~
~V

-

r-

-~;X

TYP@

------

I

TI

'iJ

o

-55-35-15 5 25 45 65 85105125

16~--------'-----~~~A~X

12~-------.~.~~·-·-·-----1
10

.'

..

'

. . . _-r--

12
10

8

(cl

Number Outputs Switching

tpHL (LE to On) va Temperature
CL = 50 pF, 18 Outputa Switching
14

-- - --"I'"r

"--- -- - - - - -i:x

AX
12

Tr~

TYP @ 4.5V

T~pJ~

10

m ~ dv

::::: ;;00-

.-~
~
TYP@5.5V

18

1

tpHL (LE to On) VI Temperature
CL = 50 pF, 1 Output Switching

tpHL (In to On) va Load Capacitance
1 Output Switching, T A = + 25'C

'II

o

I

TYP @ 5.5V

I
- - - - - - - -tl~

6F=--------+-------~

_-------

-----

---------

MIN

T

liN
o~--------~------~

50

150

250

o

o

-55-35-15 5 25 45 65 85105125

-55 -35-15 5 25 45 65 85 105125

Tamperatura

Capacitance (pF)

tpHL (LE to On) va # Outputa Switching
CL = 50pF, TA = +25'C
14

tpHL (LE to On) VI Load Capacitance
1 Output Switching, TA = + 25'C

TYP @ 4J.':'."

12

TYP @ 5rl

8_

.....,.........~YP @ 5.5V

n

_-------

18

"-~- r-- r-

r-

-

-r r

T~P ~

dv

10

--

~

r-

-

- - - - - - -JI;

T~J Jl.U

-r-

r-r-

I

-55 -35-15 5 25 45 65 85 105125
Temperature

(cl

cttmt
TYP@ 5rl

I

11

l

@ 5.~V

- - - - - -4I'N

o

-55 -35 -15 5 25 45 65 85 105 125

(cl

tpLH (In to On) va Load CapaCitance
1 Output Switching, T A = + 25'C
~AX

12r---------r-~~~..~--..~

..... ----_

10

......

...

TYP

@

4.5V

6~~

8

---

OL---------.l....----------'
18

Number Outputs Switching

TYP

2 I-r-_ _

'II
1

m

-_-_-_-_-_-_--t--__-_-_-_-__M_IN- ;

~I~

o

1~X

Temperature

-- ~1·HtMx

AX

r

o

250

tpLH (In to On) VI # Outputa Switching
CL = 50 pF, TA = +25'C
12

i~

~
f- :.- r- I-:.- r- I--

~

Capacitance (pF)

tpLH (In to On) va Temperature
CL = 50 pF, 18 Outputa Switching
10

- -

--

t.lIN

150

50

Number Outputs Switching

12

-----

0L-________L -______

-.

:.- I--

I--

II
11

1

-r--

TY~

......

10

o

12
10

•••1-'

(cl

tpLH (In to On) va Temperature
CL = 50 pF, 1 Output Switching

14r-________~~~·~..- ..~~~A~X

Ull

10

Tomperotura

16~--------.-------.~.~

'-~~

12

(cl

50

150

250

Capacitance (prj

TL/F/11576-3

5-5

....

N"

D)

0"
::s
C

D)

~ ~ ~vl-

-~IN

-55-35-15 5 25 45 65 85105125

14r---------+-----.~.~·~·

T~J Jl.U

.........

o

Temperature

----H ';:x'

10

TYP

I

(cl

12

dv

IN

Temperature

tpHL (In to On) va # Outputl Switching
CL = 50 pF, TA = +25'C

http://www.national.com

D)

Sta

SCAN 18373T (Continued)

C

C

o

;:

tpLH (LE to On) va Temperature
CL = 50 pF, 1 Output Switching

~

0i:

14

CI)

u
~
ta
.c

10

'-

12

-

tpLH (LE to On) va # Outputl Switching
CL = 50 pF, TA = +2SoC

tpLH (LE to On) VI Temperature
CL = 50 pF, 18 Outputl Switching

10

o

12

..

'~lx
T~I

~A!

- ---- -m

~

1-1-

#ttt1

-I-

~P @ 5jv

I-

I-

10

II

1

- - - - - - - -i~
l

O~~~~~~~~~~

o

-55 -35-15 5 25 45 65 85 105125

-55 -35-15 5 25 45 65 85 105125

(cl

Temperature

Temperatur.

~I~

rr

o
1

18

(cl

Number Outputs Switching

tPZH (Of to On) VI Temperature
CL = SO pF, 1 Output Switching

tpLH (LE to On) va Load Capacitance
1 Output Switching, TA = + 25"C

l.l.

to

tpZH (Of to On) VI Temperature
CL = SO pF, 18 Outputl Switching

14r---------~------~

12~------~~C-~-------~;~;~X

--_ .. ----

10~-f-+-~~-+-4~--~

10 F---------f--

OL---______

50

~

_______ _ J

150

250

OL-~~~-L~~~~~

O~~~~~~~~~~

-55-35-15525456585105125

-55 -35-15 5 25 45 65 85 105125

Capacitance (pF)

Temperature

tpZH (~to On) VB # Outputl Switching
CL = SO pF, TA = +25"C
12

1.!~X.

10

JJll !..I

14
12

11

n
18

1

,

-- .. ...

~t_~

*

--- - --

10

~IN

-55 -35 -15 5 25 45 65 85 105 125
Temperature

~t!

10

r-

II

11
r'~

o
1

Temperature

..~-

I

TYP@

tpHZ (OE to On) VI Temperature
CL = SO pF, 18 Outputl Switching

10

'--- --~-

- - - !t~
I

-

~

sJv

-- - -- - I

(el

~
TYP

o

-55 -35-15 5 25 45 65 85 105125
Tlmperature

(el

@5.~V

I

liN

_. -~IN

18
Number Outputs Switching

~IN

-55 -35-15 5 25 45 65 85 105125

~

--- -

I

o

MIAX

- . .....

TYP @ 4.5V

TYP @ 5.5V

I - -~5.~V

12

10

II

- --

(el

12

12

- - "M~;
T~P J4.L
I - -:±tC

- - - - - -. - -4--

tpHZ (~ to On) VI Temperature
CL = 50 pF, 1 Output Switching

tpZL (~to On) va # Outputl Switching
CL = SOpF, TA = +25"C

' .. _- I-- --~-

I-

I

1

Number Outputs Switching

14

12

~v

o

(el

tPZL (O'E to On) VI Temperature
CL = SO pF, 18 Outputl Switching
14

l-

TYP @ 5jVI

o

Temperature

tpZL (Of to On) VI Temperature
CL = 50 pF, 1 Output Switching

10

Trrul~
IIIII

(cl

o

-55 -35-15 5 25 45 65 85 105125
Temperature

(cl
TL/F/11576-4

http://www.national.com

5-6

o
:::r

SCAN 18373T (Continued)
tpHZ (0£ to On) VI # Outputl Switching
CL = 50 pF, TA = +25°C

tpLZ (0£ to On) VI Temperature
CL = 50 pF, 1 Output Switching
12

12

J~X

10

TYP

@ ••

TYP

@

IIl

12

"(

..

---- - - -rt;r: L

10

10

......

_- -- -- - -

~ t-"

n
18

TYP @

- - - - - - _.

o

-55 -35-15 5

Number Outputs Switching

5r

- .t.t{!

11~

'i~

bv

II

I

tpLZ (0£ to On) VI Temperature
CL = 50 pF, 18 Outputl Switching

WIAX

5.5V

o

D)

DJ
n

TYP

I

@

5'r

I

r

-~;N

o

Temperatur. (C)

-55 -35-15 5

25 4S 65 85 105125

Temperature (C)

tpLZ (0£ to On) VI # Outputl Switching
CL = 50 pF, TA = +25°C
12

JA~
J.~

10

r

~.

"

TYP @ 4.5V

TYP@ 5n

II

r'~

o
1

18
Number Outputs Switching

TL/F/11576-5

5-7

CD

tiD

0"

:::I

C
D)

I»

--~

25 45 65 85 105125

-...
-

http://www.national.com

ca
ca
c

SCAN18374T

C

0

+=
CO

tpHL (CP to On) vs Temperature
CL = 50 pF, 1 Output Switching

N

-...
"i:

12

( .)

10

CD

CO

.............

.. . ..

I- .. ~ ..

-~AX
'j'

ca

TYP

~ f--

~

14

......

12

T~

.c
0

tpHL (CP to On) vs Temperature
CL = 50 pF, 18 Outputs Switching

dv
F

-------

--

r

o
-55-35-15 5

..-

-55 -35 -15 5

18r---------,-------__,

12

MAX

14~--------..+..~~..~..-----1
12 ~--_:::._o'..=..-..-+- TYP@4.5V
@

o~--------~------~

150

250

1

"

~U

rr

l

18

tpLH (CP to On) vs Temperature
CL = 50 pF, 18 Outputs Switching

n:+-+TYP

@

5. V

y

~~I~
I

-55 -35 -15 5

25 45 65 85 105125

5

Temperature (e)

tpLH (CP to On) vs # Outputs Switching
CL = 50 pF, TA = +25'C

'i1x

"

T~pIJ tU

UJ

-t~

o

Capacitance (pr)

12

~

TYP

Number Outputs Switching

- - -----

MIN

Hi

25 45 65 85 105125

I-- I-I-- I-- I-I-- I--~

5.5V

-------

10

5jV

Tr~~

.. . T~P.. J-1~
4.L

...........

10

r..:..-.. -....---l:~:s=~~=__j

50

II

10

tpLH (CP to On) vs Temperature
CL = 50 pF, 1 Output Switching

16~--------+-----..
- ..
~~

F"T'yp

@

,~~

12

Temperature (C)

tpHL (CP to On) vs Load Capacitance
1 Output Switching, T A = + 25'C

---=

T~P

-------

Temperature (C)

10

+lb

o

25 45 65 85105125

14

_- -- - - JJ~;X-

10

tpHL (CP to On) vs # Outputs Switching
CL = 50 pF, TA = +25'C

25 45 65 85 105125

Temperature (e)

tpLH (CP to On) vs Load CapaCitance
1 Output Switching, T A = + 25'C

tpZH (OE to On) vs Temperature
CL = 50 pF, 1 Output Switching

14r---------,---~~__,
12~--------~~~--__1

10 I-:=_c::.-----+_-

11
TYP

@

5.n

"'H

-----

l''f

o
1

50

150

tpZH (OE to On) vs Temperature
CL = 50 pF, 18 Outputs Switching

-- - - - - ..M·t!
T~P J4.L

-

I-- I--

UJltix

10

111111

TYP

rtll.
~p~

T~~ ~

I'N

-55 -35 -15 5

25 45 65 85 105 125

Temperature (C)

12
10

o

..

--

4.5V

Uv

I-- P

I-

i

,UHH
IIWi

- - - - - - - -+-

o

@

tpZL (OE to On) vs Temperature
CL = 50 pF, 1 Output Switching
14

II U II

5+

25 45 65 85 105125

Temperature (C)

tpZH (DE to On) vs # Outputs Switching
CL = 50 pF, T A = + 25°C
12

l.- I-- I-1--

5

250

Capacitance (pF)

12

... ~--

MIN

O~--------~------~

18

Number Outputs Switching

10

----

1

... .

-

l.- I--

~A:

- ~
~lv
I--

I

I
~IN

o
18

Number Outputs Switching

"

-55 -35 -15 5

25 45 65 85 105 125

Temperature (e)

TLlF/11576-6

http://www.national.com

5-8

o

::r'
I»

SCAN18374T (Continued)
tPZL (E to On) va Temperature
CL = 50 pF, 18 Outputa Switching

10

.... _- I--

12

M{~

~~

II

~

~IN

~I~

o

25 45 65 85105125

Temperature

(el

I II

TYP @ 5.n

J
-55-35-15 5

r

TYP @ 5. V

~~x
1 ..:j;-+".

10

5.tv-

o

T[P~

Temperature

TYP @ 4.5V

~

(el

-55 -35-15 5 25 045 65 65 105125

'i~

TYP

C

tpLZ (c:>E to On) VI # Outputl Switching
CL = 50 pF, TA = +25'C

- - ___ .
rlp JJv-

--

:::J

I»
I»

e
- - -.ttl

~

Number Outputs Switching

12

0"

T

18

1

N"

I
- - - - - - -;IN
o

iii

o

25 45 65 85105125

"--r--

10

--

II

....

I»

tpLZ (c:>E to On) va Temperature
CL = 50 pF, 1 Output Switching
12

j~X

10

I
-55-35-15 5

Temperature

12

M.{~.

CD

-55-35-155250456565105125

tpHZ (E to On) va Temperature
CL = 50 pF, 18 Outputa Switching
12

o
16

!:x
I

...

~

~

II

25 45 65 65 105125

Temperatura

-- -

TYP @ 5.5V

I

T

-55-35-15 5

- - - ...

10

II

.

10

~5~~V

o

tpHZ (

Source Exif Data:
File Type                       : PDF
File Type Extension             : pdf
MIME Type                       : application/pdf
PDF Version                     : 1.6
Linearized                      : No
Create Date                     : 2015:11:12 10:37:05-08:00
Modify Date                     : 2015:11:12 10:31:45-08:00
XMP Toolkit                     : Adobe XMP Core 4.2.1-c041 52.342996, 2008/05/07-21:37:19
Metadata Date                   : 2015:11:12 10:31:45-08:00
Producer                        : Adobe Acrobat 9.0 Paper Capture Plug-in
Format                          : application/pdf
Document ID                     : uuid:95e6c274-b653-e34c-80d0-7474837cf53d
Instance ID                     : uuid:19e015f6-9eb0-6c4c-b50f-02ff43fa269b
Page Layout                     : SinglePage
Page Mode                       : UseNone
Page Count                      : 338
EXIF Metadata provided by EXIF.tools

Navigation menu