1997_Motorola_Master_Selection_Guide 1997 Motorola Master Selection Guide

User Manual: 1997_Motorola_Master_Selection_Guide

Open the PDF directly: View PDF PDF.
Page Count: 734

Download1997_Motorola_Master_Selection_Guide 1997 Motorola Master Selection Guide
Open PDF In BrowserView PDF
.®

MOTOROLA

MOTOROLA SEMICONDUCTOR

MASTER SELECTION GUIDE

. SG73/D
REV13

Introduction
Advanced Digitallfl
Consumer Products L!J
Microcomputer Components []]
Logic: Standard, SpeciallJl
and Programmable ~
Analog and Interface '4l
Integrated Circuits ~
Communications, Power and ~
Signal Technologies Group Products ~
Product Literature and f61
Technical Training ~
Device Index and Ifl
Subject Index LLJ

MOTOROLA
Master Selection Guide

The information in this book has been carefully checked and is believed to be accurate; however, no responsibility is assumed
for inaccuracies. Furthermore, this information does not convey to the purchaser of semiconductor devices any license under the
patent rights to the manufacturer.
Motorola reserves the right to make changes without further notice to any products herein. Motorola makes no warranty,
representation or guarantee regarding the suitability of its products for any particular purpose, nor does Motorola assume any
liability arising out of the application or use of any product or circuit, and specifically disclaims any and all liability, including without
limitation consequential or incidental damages. 'Typical" parameters which may be provided in Motorola data sheets and/or
specifications can and do vary in different applications and actual performance may vary over time. All operating parameters,
including "Typicals" must be validated for each customer application by customer's technical experts. Motorola does not convey
any license under its patent rights nor the rights of others. Motorola products are not designed, intended, or authorized for use
as components in systems intended for surgical implant into the body, or other applications intended to support or sustain life, or
for any other application in which the failure of the Motorola product could create a situation where personal injury or death may
occur. Should Buyer purchase or use Motorola products for any such unintended or unauthorized application, Buyer shall
indemnify and hold Motorola and its officers, employees, subsidiaries, affiliates, and distributors harmless against all claims, costs,
damages, and expenses, and reasonable attorney fees arising out of, directly or indirectly, any claim of personal injury or death
associated with such unintended or unauthorized use, even if such claim alleges that Motorola was negligent regarding the design
or manufacture of the part. Motorola and ® are registered trademarks of Motorola, Inc. Motorola, Inc. is an Equal
Opportunity/Affirmative Action Employer.

-NOTEREVS. 11 AND 12 WERE PUBLISHED ELECTRONICALLY ONLY
NO BOOKS WERE PRINTED

© Motorola, Inc. 1997

Previous Edition © 1996
"All Rights Reserved"
Printed in U.S.A.

Motorola Master Selection Guide

Introduction

ALExiS, Buffalo, Bullet-Proof, BurstRAM, CDA, CMTL, Ceff-PGA, Customer Defined Array, DECAL, Designer's, DIMMIC,
DSPRAM, ECLinPS, ECLinPS LITE, ECL300, E-FETs, EpiBase, Epicap, FIRsT, GEL-PAK, GEMFET, GlobalOptoisolator,
GreenLine, HDC, HDTMOS, H4C Series, H4C Plus, HYPERformance, ICePAK, L2TMOS, MAACPAC, MCML, MDTL, MECL,
MECL 10K, MECL 10H, MECL III, MEGAHERTZ, MCCS, Media Engine, Memorist, MHTL, MicroCool, MicroSIMM, MiniMOS,
MONOMAX, MOSAIC I, MOSAIC II, MOSAIC III, MOSAIC IV, MOSAIC V, MOSFET, Mosorb, MRTL, MTTL, Multi-Pak, MUSCLE,
Mustang, IlSIMM, OACS, OnCE, PHACT, Predix, PowerBase, POWER OPTO, POWERTAP, PRISMCard, QUIL, Rail-To-Rail,
SCANSWITCH, SENSEFET, Senseon, SLEEPMODE, SMALLBLOCK, SMARTDISCRETES, SMARTMOS, SMARTswitch, SORF,
Surmetic, SWITCHMODE, Symmetric Superscalar, TestPAS, Thermopad, Thermopad II, Thermowatt, TMOS V, Unibloc,
UNIT/PAK, VECOMP, X-ducer, Z-Switch and ZIP R TRIM are trademarks of Motorola, Inc.
C-QUAM, MOSAIC and TMOS are registered trademarks of Motorola, Inc.

Apollo is a registered trademark of Hewlett Packard Inc.
AutoLogic, NetEd, QuickSim II, QuickPath and Falcon Framework are trademarks of Mentor Graphics Corp.
Concept, Gate Ensemble, Verilog-XL, Veritime and Dracula are trademarks of Cadence Design Systems, Inc.
Daisy is a trademark of Daisy Systems Corporation.
DDCMP and VAX are trademarks of Digital Equipment Corporation.
Design Compiler, HDL Compiler, Test Compiler and DesignWave are trademarks of Synopsys, Inc.
Echelon, LON and NEURON are registered trademarks of Echelon Corporation.
ETHERNET is a trademark of Xerox Corporation.
FACT and FAST are trademarks of National Semiconductor Corporation.
FIDE is a trademark of Aptronix.
GED is a trademark of Valid Logic Systems Inc.
HP/Apollo is a registered trademark of Hewlett-Packard Inc.
i486 and Pentium are registered trademarks of Intel Corporation.
InterTools is a trademark of Intermetrics, Inc.
IBM, IBM PC, PowerPC and SDLC are trademarks of International Business Machines Corporation.
Isotop is a trademark of SG8-Thomson Microelectronics.
LONBuILOER, LONTALK and LONWORKS are trademarks of Echelon Corporation.
Macintosh is a trademark of Apple Computer, Inc.
Mentor Graphics is a trademark of Mentor Graphics Corporation.
Micr08 is a trademark of International Rectifier.
MOTIVE is a registered trademark of Quad Design.
NeXT is a trademark of NeXT Computer, Inc.
ROM68K and SmartROM are trademarks of Integrated Systems, Inc.
SPARC is a trademark of SPARC International, Inc.
Sun-4 is a trademarks of Sun Microsystems Inc.
Thermal Clad is a trademark of the Bergquist Company.
UNIX is a registered trademark of XlOpen Company, Ltd.

All brand names and product names appearing in this document are registered trademarks or trademarks of their
respective holders.

REV 1

Introduction

Motorola Master Selection Guide

Master Selection Guide
Where We Stand ...

How To Use This Guide...

Total Customer Success

This Selection guide is arranged to provide three-way
assistance to engineers and technicians in making a
first-order selection of components best suited for a specific
circuit or system design.

Service, speed and facility of response, product quality and
reliability are the goals to which we are dedicated. Our
commnment to progress such as Six Sigma performance and
Cycle Time Reduction are symbolic of a cuHure in which Total
Customer Success is, overwhelmingly, our primary objective.
In today's highly competitive market, selecting the most
effective semiconductor components for a given application
poses a significant challenge. The range of available functions
and the sheer number of components within each unique
product line is staggering. Add to this the number of vendors
capable of satisfying a portion of the overall system demands
and the selection of a cost-€ffective component complement
can be as time consuming as the deSign of the system itself.
This is where Motorola occupies a unique position among
semiconductor manufacturers - one that can significantly shorten
the product selection cyde. Please consider these facts:
As a manufacturer of semiconductors since the very
beginning of the technology, Motorola has emerged as a
leading supplier of such components to the world market.
Motorola's product line is the broadest in the industry,
capable of filling 75-80% of the many applications for
semiconductor devices.
In each of its various product categories, Motorola is a
recognized leader, with leading edge products as well as
commodity products for mass applications.
Motorola'S vast network of sales offices and distributors,
augmented by manufacturing centers throughoutthe world,
not only ensures easy communications, cost-effective
pricing and rapid service, but guarantees a continuing
stream of state-of-the-art products based on world-wide
experience and demand.

Motorola Master Selection Guide

If you have a device number that needs identification or
if you want to know if Motorola manufactures a particular
device type:
1. Turn to the Device Index for a complete listing of Motorola
products, and the page numbers where more detailed
information is given for these products.
If you have a device name or acronym and wish to know
if Motorola makes such a device:
2. Look for it in the Subject Index.
If you want an overview of Motorola products for a
specific product category:
3. Refer to the quick-reference product line guide located at
the front of this publication or use the table of contents
located at the front of each section.

Telephone Assistance, North America Only
For literature requests or general product information, call
toll-free any weekday, 8:00 a.m. to 4:00 p.m., MST.
To order technical literature by specific document title, i.e.,
SGXXlD or DLXXXlD, or by part number only, call

1-800-441-2447
Non-North American Locations
Please contact your local Motorola Sales Office or
Authorized Distributor.

iii

Introduction

Table of Contents
The M88000 RISe Family (continued)

Advanced Digital
Consumer Products ......... 1.0-1
Advanced Digital Consumer Division (ADCD)
NewMarket Focus ..........................
MPC821/MPC823 RISC Microprocessors and
the MC68328 DragonBallTM Integrated Processor..
LCD Driver Product Summary .................
Monitor On Screen Display (MOSD) ............
Scorpion Graphics Processor (MC921 00) .......
M5CTM Series Gate Arrays/M5CBTM Series
Standard Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . ..
H4EPIus™ Series Gate Arrays .................
Literature ............... . . . . . . . . . . . . . . . . . . ..
Worldwide DeSign Centers ....................

Microprocessors ............................... 2.3-2
Cache/Memory Management Units ............... 2.3-3

1.1-1

The PowerPC RISC Family
Microprocessors ................. 2.4-1

1.1-2
1.1-3
1.1-4
1.1-5

PowerPCTM RISC Microprocessors. . . . . . . . . . . . . . .. 2.4-2
MPC601 RISC Microprocessor . . . . . . . . . . . . . . . . . .. 2.4-2
MPC602 RISC Microprocessor . . . . . . . . . . . . . . . . . .. 2.4-3
MPC603 RISC Microprocessor. . . . . . . . . . . . . . . . . .. 2.4-3
MPC603e RISC Microprocessor. . . . . . . . . . . . . . . . .. 2.4-6
MPC604 RISC Microprocessor. . . . . . . . . . . . . . . . . .. 2.4-9
MPC604e RISC Microprocessor. . . . . . . . . . . . . . . . .. 2.4-9
MPC620 RISC Microprocessor .................. 2.4-13
MPC105 PCI Bridge/Memory Controller .......... 2.4-15
MPC106 PCI Bridge/Memory Controller .......... 2.4-16

1.1-6
1.1-7
1.1-8
1.1-8

Microcomputer Components .. 2.0-1

Single-Chip Microcontrollers (CSIC)

Digital Signal Processors ......... 2.1-1
DSP56100 -16--Bit Digital Signal Processors .. 2.1-2
DSP56800 - 16--Bit Digital Signal Processors .. 2.1-3
DSP56000 - 24-Bit Digital Signal Processors .. 2.1-3
DSP56300 - 24-Bit Digital Signal Processors .. 2.1-5
DSP56600-16·Bit Digital Signal Processors ... 2.1-12
DSP96002 - 32-Bit Digital Signal Processors . 2.1-17
DSP56ADC16 - The Analog-To-Digital Converter 2.1-18
DSP DevelopmentTools ........................ 2.1-18
Application Development Systems ............ 2.1-18
Graphical User Interface ..................... 2.1-19
DSP Development Software .................... 2.1-19
Design-In Software Packages ................ 2.1-19
C-Compiler Packages. . . . . . . . . . . . . . . . . . . . . .. 2.1-20
C-Compiler Upgrades . . . . . . . . . . . . . . . . . . . . . .. 2.1-20

Single-Chip Microcontrollers (AMCU) . 2.6-1
M68HC11 Family ............................... 2.6--2
Modular Microcontroller ........................ 2.6-12
The M68HC16 Family ....................... 2.6-14
The M68300 Family ......................... 2.6--19
Development Tools ........................... 2.6--23
Fuzzy Logic .................................. 2.6--26
On-Line Help .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2.6-26
Third-Party Support ........................... 2.6--27

LonWorks Products . ............. 2.7-1
NEURON CHIPS ..................................
LONWORKS Technology Overview and Architecture ..
LONBulLDER Developer'S Workbench ..............
LONWORKS Support Tools ........................
LONWORKS Literature ............................

The M68000 Family ............... 2.2-1
Microprocessors ............................... 2.2-2
Embedded Controllers .......................... 2.2-5
Integrated Processors. . . . . . . . . . . . . . . . . . . . . . . . . .. 2.2-7
Coprocessors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2.2-10
DMA Controllers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2.2-10
Network Devices .............................. 2.2-10
Data Communication Devices ................... 2.2-12
General Purpose I/O ........................... 2.2-12
Fiber Distributed Data Interface ................. 2.2-13
Support Software . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2.2-13

2.7-2
2.7-5
2.7-6
2.7-7
2.7-9

Memory Products ................ 2.8-1
Fast Static RAMs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ..
Introduction .................................
Application Specific Static RAMs. . . . . . . . . . . . . ..
Fast Static RAM Modules ................ . . . ..
Flash Memory .................................
Dynamic RAMs ......... ,......................
Introduction .................................
Dynamic RAMs (HCMOS) ....................
DRAM Modules .............................

The M88000 RISC Family ......... 2.3-1
Architecture, Performance,
and Software Compatibility . . . . . . . . . . . . . . . . . . . . .. 2.3-2

Table of Contents

2.5-1

M68HC05 CSIC Family ......................... 2.5-2
M68HC08 Family . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2.5-13
DevelopmentTools ........................... 2.5-14
On-Line Help .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2.5-23

iv

2.8-2
2.8-2
2.8-2
2.8-6
2.8-7
2.8-7
2.8-7
2.8-8
2.8-9

Motorola Master Selection Guide

Table of Contents
Power Supply Circuits (continued)

Logic: Standard, Special
and Programmable .......... 3.0-1

Very High Voltage Single-Ended with
On-Chip Power Switch .....................
Double-Ended .............................
CMOS Micropower DC-ta-DC Converters .....
Single-Ended GreenLine™ Controllers .........
Very High Voltage Switching Regulator ........
Special Switching Regulator Controllers ..........
Dual Channel ..............................
Universal Microprocessor ....................
Power Factor. . . . . . . . . . . . . . . . . . . . . . . . . . . . . ..
Supervisory Circuits ...........................
Overvoltage Crowbar Sensing ................
Over/Undervoltage Protection ................
CMOS Micropower Undervoltage Sensing. . . . ..
CMOS Micropower Undervoltage Sensing with
Output Delay ..............................
Undervoltage Sensing .......................
Universal Voltage Monitor. . . . . . . . . . . . . . . . . . ..
Battery Management Circuits ...................
Battery Charger ICs . . . . . . . . . . . . . . . . . . . . . . . ..
Battery Pack ICs . . . . . . . . . . . . . . . . . . . . . . . . . . ..
MOSFET/IGBT Drivers .........................
High Speed Dual Drivers. . . . . . . . . . . . . . . . . . . ..
Single IGBT Driver . . . . . . . . . . . . . . . . . . . . . . . . ..
Package Overview ............................

Motorola Logic Families: Which Is Best for You? .... 3.1-1
Motorola Programmable Arrays (MPA) ............ 3.1-5
Selection by Function
Logic Functions ............................ 3.1-12
Device Index .................................. 3.1-40
Ordering Information ........................... 3.1-50
Case Outlines ................................. 3.1-54
Packaging Information ......................... 3.1-85
Surface Mount ............................. 3.1-85
Pin Conversion Tables ....................... 3.1-85
Tape and Reel .............................. 3.1-86

Analog and Interface
Integrated Circuits .......... 4.0-1
Amplifiers and Comparators ...... 4.1-1
Operational Amplifiers . . . . . . . . . . . . . . . . . . . . . . . . . ..
Single ......................................
Dual .......................................
Quad .......................................
High Frequency Amplifiers . . . . . . . . . . . . . . . . . . . . . ..
AGC .......................................
Miscellaneous Amplifiers ........................
Bipolar .....................................
CMOS .....................................
Comparators ...................................
Single ......................................
Dual .......................................
Quad .......................................
Package Overview .............................

4.1-2
4.1-2
4.1-3
4.1-4
4.1-5
4.1-5
4.1-6
4.1-6
4.1-6
4.1-7
4.1-7
4.1-7
4.1-7
4.1-8

4.2-29
4.2-30
4.2-31
4.2-32
4.2-32
4.2-34
4.2-38
4.2-38
4.2-38
4.2-40

Power/Motor Control Circuits ..... 4.3-1
Power Controllers .............................. 4.3-2
Zero Voltage Switches. . . . . . . . . . . . . . . . . . . . . . .. 4.3-2
Zero Voltage Controller ................. . . . . .. 4.3-3
High-Side Driver Switch ................ . . . . .. 4.3-4
Motor Controllers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.3-4
Brushless DC Motor Controllers ............... 4.3-4
Closed-Loop Brushless Motor Adapter ......... 4.3-7
DC Servo Motor Controller/Driver .............. 4.3-8
Stepper Motor Driver .... . . . . . . . . . . . . . . . . . . . .. 4.3-9
Universal Motor Speed Controllers ............ 4.3-10
Package Overview ............................ 4.3-11

Power Supply Circuits ............ 4.2-1
Linear Voltage Regulators ....................... 4.2-2
Fixed Output ................................ 4.2-2
Adjustable Output. . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.2-4
Micropower Voltage Regulators for
Portable Applications . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.2-5
80 rnA Micropower Voltage Regulator. . . . . . . . . .. 4.2-5
120 rnA Micropower Voltage Regulator ......... 4.2-6
Micropower Voltage Regulator for
External Power Transistor ............ . . . . . . .. 4.2-6
Micropower Voltage Regulators with
On/Off Control .............................. 4.2-7
Special Regulators ............................. 4.2-8
Voltage Regulator/Supervisory. . . . . . . . . . . . . . . .. 4.2-8
SCSI Regulator ............................. 4.2-11
Switching Regulator Control Circuits ............. 4.2-12
Single-Ended .............................. 4.2-12
Single-Ended with On-Chip Power Switch ..... 4.2-14
Easy Switcher™ Single-Ended Controllers with
On-Chip Power Switch ..................... 4.2-14

Motorola Master Selection Guide

4.2-15
4.2-15
4.2-17
4.2-18
4.2-20
4.2-23
4.2-23
4.2-23
4.2-24
4.2-27
4.2-27
4.2-27
4.2-28

Voltage References ............... 4.4-1
Precision Low Voltage References . . . . . . . . . . . . . . .. 4.4-2
Package Overview ............................. 4.4-2

Data Conversion ................. 4.5-1
Data Conversion ...............................
A-D Converters .............................
CMOS ...................................
Bipolar. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ..
Sigma-Delta .............................
D-A Converters .............................
CMOS ...................................
Sigma-Delta .............................
Package Overview .............................

v

4.5-2
4.5-2
4.5-2
4.5-2
4.5-2
4.5-3
4.5-3
4.5-3
4.5-4

Table of Contents

Table of Contents
Communication Circuits (continued)

Analog and Interface
Integrated Circuits (continued)

Voice Switched Speakerphone with
IlProcessor Interface .....................
Voice Switched Speakerphone Circuit .......
Telephone Line Interface and
Speakerphone Circuit ....................
Family of Speakerphone ICs ...............
Telephone Accessory Circuits ................
Audio Amplifier ...........................
Current Mode Switching Regulator .........
300 Baud FSK Modems ...................
ADPCM Transcoder ......................
Calling Line Identification (CLlD) Receiver ...
CVSD Modulator/Demodulator .............
Summary of Bipolar Telecommunications
Circuits ................................
Phase-Locked Loop Components ...............
PLL Frequency Synthesizers .................
Phase-Locked Loop Functions ...............
Package Overview ............................

Interface Circuits ................. 4.6-1
Enhanced Ethernet Transceiver .................. 4.6-2
ISO 8802-3[IEEE 802.3]1 OBASE-T Transceiver ... 4.6-3
Hex EIA-485 Transceiver with
Three-State Outputs ............. . . . . . . . . . . . . .. 4.6-4
5.0 V, 200 M-BitlSec PR-IV Hard Disk
Drive Read Channel ........................... 4.6-5
Line Receivers ........... . . . . . . . . . . . . . . . . . . . . .. 4.6-7
EIA Standard. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.6-7
Line Drivers ................................... 4.6-7
EIA Standard. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.6-7
Line Transceivers . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.6-7
EIA-232-EN.28 CMOS Drivers/Receivers ...... 4.6-7
Peripheral Drivers ........................... 4.6-8
IEEE 802.3 Transceivers. . . . . . . . . . . . . . . . . . . . .. 4.6-8
Read/Write Channel ............................ 4.6-8
Drive Read Channel. . . . . . . . . . . . . . . . . . . . . . . . .. 4.6-8
Inkjet Drivers .................................. 4.6-8
28-Channellnkjet Driver. . . . . . . . . . . . . . . . . . . . .. 4.6-8
CMOS Display Drivers .......................... 4.6-9
Package Overview ............................ 4.6-10

4.7-29
4.7-30
4.7-31
4.7-31
4.7-31
4.7-32
4.7-32
4.7-33
4.7-34
4.7-35
4.7-38
4.7-38
4.7-39
4.7-41

Consumer Electronic Circuits ..... 4.8-1
Entertainment Radio Receiver Circuits ............ 4.8-2
Entertainment Receiver RF/IF ................. 4.8-2
C-Quam® AM Stereo Decoders . . . . . . . . . . . . . .. 4.8-2
Audio Amplifiers ............................. 4.8-2
Video Circuits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.8-3
Encoders ................................... 4.8-3
TV Decoder . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.8-3
Video Capture Chip Sets. . . . . . . . . . . . . . . . . . . . .. 4.8-3
TV Picture-in-Picture ........................ 4.8-3
Comb Filters ................................ 4.8-3
Deflection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.8-3
TV IF Circuits ............................... 4.8-3
Tuner PLL Circuits ........................... 4.8-4
Modulator. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.8-4
Video Data Converters ....................... 4.8-4
Monitor Subsystem .......................... 4.8-4
Miscellaneous . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.8-4
Circuit Descriptions and Diagrams ............. 4.8-6
Package Overview ............................ 4.8-24

Communication Circuits .......... 4.7-1
RF Communications ............................ 4.7-2
RF Front End ICs ............................ 4.7-2
Wideband IFs ............................... 4.7-2
Wideband Single Conversion Receivers ........ 4.7-2
Narrowband Single Conversion Receivers ....... 4.7-2
Narrowband Dual Conversion Receivers ........ 4.7-3
Universal Cordless Phone Subsystem ICs ...... 4.7-3
Transmitters ................................ 4.7-3
Balanced Modulator/Demodulator .............. 4.7-4
Infrared Transceiver .......................... 4.7-4
Telecommunications ........................... 4.7-11
Subscriber Loop Interface Circuit ............. 4.7-11
PBX Architecture (Analog Transmission) ....... 4.7-12
PCM Monocircuits ........................ 4.7-12
Dual Tone Multiple Frequency Receiver ..... 4.7-15
ISDN Voice/Data Circuits .................... 4.7-15
Integrated Services Digital Network ......... 4.7-15
Second Generation U-In1erface Transceivers. 4.7-16
Second Generation SfT-lnterfaceTransceivers 4.7-16
Dual Data Link Controller .................. 4.7-17
Voice/Data Communication (Digital Transmission) 4.7-18
Universal Digital Loop Transceiver ........... 4.7-18
ISDN Universal Digital Loop Transceiver II ... 4.7-19
Electronic Telephone Circuit .................. 4.7-19
Tone Ringers ............................... 4.7-20
Speech Networks ........................... 4.7-21
Speakerphones ............................ 4.7-25
Voice Switched Speakerphone Circuit ....... 4.7-25

Table of Contents

4.7-27
4.7-28

Automotive Electronic Circuits .... 4.9-1
Voltage Regulators ............................. 4.9-2
Electronic Ignition .............................. 4.9-2
Special Functions .............................. 4.9-3
Package Overview ............................ 4.9-13

Other Analog Circuits ........... 4.10-1
liming Circuits ................................
Singles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ..
Duals .....................................
Multipliers ....................................
Linear Four-Quadrant Multipliers ..............
Smoke Detectors (CMOS) ......................
Package Overview ............................

vi

4.10-2
4.10-2
4.10-2
4.10-2
4.10-2
4.10-3
4.10-4

Motorola Master Selection Guide

Table of Contents
Analog and Interface
Integrated Circuits (continued)

TMOS Power MOSFETs Products.. 5.4-1
TMOS Power MOSFETs ........................ 5.4-1
TMOS Power MOSFETs Numbering System .... 5.4-2
HDTMOSTM Power MOSFETs ................. 5.4-3
TMOS V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.4-5
SMARTDISCRETES Products. . . . . . . . . . . . . . . .. 5.4-7
N-Channel MOSFETs . . . . . . . . . . . . . . . . . . . . . . .. 5.4-8
S0-8 MiniMOS .... .. . .. .. .. .. .. . .. .. .. ... 5.4-8
S0-8 EZFET ............................. 5.4-9
Micro8 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.4-9
SOT-223 ................................ 5.4-9
DPAK .................................. 5.4-10
D2PAK ................................. 5.4-11
D3PAK ................................. 5.4-12
TO-220AB .............................. 5.4-13
TO-247 ................................. 5.4-15
TO-264 ................................. 5.4-16
P-Channel MOSFETs ....................... 5.4-17
S0-8 MiniMOS .......................... 5.4-17
Micro8 .................................. 5.4-17
SOT-223 ............................... 5.4-18
DPAK .................................. 5.4-18
D2PAK ................................. 5.4-19
TO-220AB .............................. 5.4-19
Logic Level MOSFETs . . . . . . . . . . . . . . . . . . . . . .. 5.4-20
SOT-223 ............................... 5.4-20
DPAK .................................. 5.4-20
D2PAK ................................. 5.4-21
To-220AB .............................. 5.4-21
Insulated Gate Bipolar Transistors (IGBTs) ..... 5.4-22
N-Channel . . . . . . . . . . .. . . . . . . . . . . . . . . . . .. 5.4-22
Ignition IGBTs ......................... 5.4-22
Standard and Copackaged IGBTs ........ 5.4-22

Tape and Reel Options .......... 4.11-1
Tape and Reel Configurations ................... 4.11-2
Tape and Reel Information Table ................. 4.11-4
Analog MPQTable ............................. 4.11-5

Communications, Power and
Signal Technologies Group
Products .................. 5.0-1
Small Signal Transistors, FETs
and Diodes ...................... 5.1-1
Bipolar Transistors .............................. 5.1-2
Plastio-Encapsulated Transistors .............. 5.1-2
Plastio-Encapsulated Multiple Transistors . . . . . .. 5.1-8
Plastic-Encapsulated Surface
Mount Transistors .......................... 5.1-10
Metal-Can Transistors ...................... 5.1-18
Field-Effect Transistors ........................ 5.1-20
JFETs ..................................... 5.1-20
MOSFETs ................................. 5.1-22
Surface Mount FETs ........................ 5.1-23
Tuning and Switching Diodes ................... 5.1-25
Tuning Diodes - Abrupt Junction ............. 5.1-25
Tuning Diodes - Hyper-Abrupt Junction ...... 5.1-29
Schottky Diodes ............................ 5.1-33
Switching Diodes ........................... 5.1-35
Multiple Switching Diodes .................... 5.1-39
GreenLine Devices ............................ 5.1-41
Small Signal Multi-integrated Devices . . . . . . . . . . .. 5.1-43

TVSlZeners
Transient Voltage Suppressors I Zener
Regulator and Reference Diodes .. 5.2-1

Bipolar Power Transistors ........ 5.5-1

TVS (Transient Voltage Suppressors) ............. 5.2-2
General-Purpose . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.2-2
Axial Leaded for Through-hole Designs .. . . .. 5.2-2
Surface Mount Packages ..................... 5.2-9
Overvoltage Transient Suppressors ........... 5.2-18
Zener Diodes ................................. 5.2-19
Voltage Regulator Diodes .................... 5.2-19
Notes - Axial Leaded Chart ................. 5.2-23
Notes - Surface Mount Chart ................ 5.2-26
Voltage Reference Diodes ................... 5.2-34
Current Regulator Diodes . . . . . . . . . . . . . . . . . . .. 5.2-34

Bipolar Power Transistors ....................... 5.5-2
Selection by Package ........................ 5.5-2
Plastic To-220AB .. .. .. .. .. . .. . .. .. . . . .... 5.5-3
Plastic To-218 Type ...................... 5.5-6
Plastic To-247 Type ...................... 5.5-7
Large Plastic To-264 . . . . . . . . . . . . . . . . . . . . .. 5.5-8
Plastic To-225AA Type
(Formerly To-126 Type) .................. 5.5-8
DPAK - Surface Mount Power Packages .... 5.5-10
Metal To-204AA (Formerly T0-3),
To-204AE ............................. 5.5-11
Audio ..................................... 5.5-15
Electronic Lamp Ballasts ..................... 5.5-16

Hybrid Power Module Operation .. 5.3-1
Integrated Power Stage IGBT .................... 5.3-2

Motorola Master Selection Guide

vii

Table of Contents

Table of Contents
Sensors (continued)

Communications, Power and
Signal Technologies Group
Products (continued)

Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.9-3
Typical Electrical Characteristic Curves ......... 5.9-4
Unibody Cross-sectional Drawings. . . . . . . . . . . .. 5.9-5
Pressure Side Identification ................... 5.9-6
Selector Guide .............................. 5.9-7
Reference Table ............................ 5.9-13
Packaging Options .......................... 5.9-14

Rectifiers ........................ 5.6-1
Rectifier Numbering System ..................... 5.6-2
Application Specific Rectifiers .................... 5.6-3
Low VF Schottky ............................ 5.6-3
MEGAHERTZ ... . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.6-3
SCANSWITCH .............................. 5.6-3
Automotive Transient Suppressors ............. 5.6-3
SWITCHMODP" Rectifiers. . . . . . . . . . . . . . . . . . . . .. 5.6-4
Surface Mount Schottky ...................... 5.6-4
Axial Lead Schottky .......................... 5.6-6
TQ-220 Type Schottky ....................... 5.6-7
TQ-218 Types and TO-247 Schottky ........... 5.6-8
POWERTAP II . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.6-9
Ultrafast Rectifiers . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.6-10
Surface Mount Ultrafast ...................... 5.6-10
Axial Lead Ultrafast ......................... 5.6-10
TQ-220 Type Ultrafast ...................... 5.6-11
TQ-218 Types and TQ-247 Ultrafast .......... 5.6-12
POWERTAP II .............................. 5.6-12
Fast Recovery Rectifiers/General
Purpose Rectifiers ....................... 5.6-13
GaAs Rectifiers Power ManagerT" • • • • • • • • • • • • • • • 5.6-14

RF Products .................... 5.10-1
RF Discrete Transistors ........................ 5.10-2
RF Power MOSFETs ........................ 5.10-4
RF Power Bipolar Transistors ................. 5.10-7
HFTransistors ........................... 5.10-7
VHF Transistors ......................... 5.10-7
UHF Transistors ......................... 5.10-8
900 MHz Transistors ..................... 5.10-9
1.5 GHz Transistors ..................... 5.10-10
Microwave Transistors ................... 5.10-10
LinearTransistors ....................... 5.10-12
RF Medium Power Transistors . . . . . . . . . . . . . .. 5.10-14
Discrete Wireless Transmitter Devices ..... 5.10-15
RF Small Signal Transistors ................. 5.10-16
Selection by Package . . . . . . . . . . . . . . . . . . .. 5.10-17
Plastic SOE Case .................... 5.10-17
Ceramic SOE Case ................... 5.10-20
Selection by Application .................. 5.10-21
Low Noise ........................... 5.10-21
CATV, MATVand Class A Linear ....... 5.10-22
RF Monolithic Integrated Circuits ............... 5.10-23
Switching ...... . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.10-24
Antenna Switches ... . . . . . . . . . . . . . . . . . . .. 5.10-24
Receiver Functions ........................ 5.10-24
General Purpose Integrated Circuits ....... 5.10-24
900 MHz Front End ...................... 5.10-24
1.5-2.2 GHz Front End .................. 5.10-24
2.4 GHz Front End ...................... 5.10-25
Transmitter Functions ...................... 5.10-25
General Purpose Integrated Circuits ....... 5.10-25
900 MHz Transmit Chain ... . . . . . . . . . . . . .. 5.10-26
1.5-2.2 GHz Transmit Chain ............. 5.10-27
2.4 GHz Transmit Chain .................. 5.10-28
RF Amplifier Modules ......................... 5.10-29
Land Mobile/Portable ....................... 5.10-31
Wideband Linear Amplifiers ................. 5.10-34
TV Transmitters ........................... 5.10-35
CATV Distribution Amplifiers ................... 5.10-36
Fiber Optic Receivers ...................... 5.10-36
Forward Amplifiers . . . . . . . . . . . . . . . . . . . . . . . .. 5.10-36
Reverse Amplifiers ......................... 5.10-40

Thyristors and Triggers ........... 5.7-1
Silicon Controlled Rectifiers ...................... 5.7-2
TRIACs ....................................... 5.7-7
General Purpose ............................ 5.7-7
Thyristor Triggers .............................. 5.7-14
SIDACs ................................... 5.7-14
Programmable Unijunction Transistors - PUT .. 5.7-14
Silicon Bidirectional Switch (SBS) ............. 5.7-14
High Voltage Bidirectional TVS Devices ........ 5.7-14

Optoelectronic Devices ........... 5.8-1
Optoisolators .................................. 5.8-2
Safety Standard Approvals for 6-Pin
Optoisolators ............................... 5.8-2
Regulatory Approval Certification Index ......... 5.8-2
VDE Approved Optoisolators .................. 5.8-3
6-Pin Dual In-line Package . . . . . . . . . . . . . . . . . . . . .. 5.8-6
Small Outline - Surface Mount .................. 5.8-9
POWER OPTO Isolators ....................... 5.8-10

Sensors ......................... 5.9-1
Introduction .................................
The Basic Structure ..........................
Motorola's Patented X-ducer . . . . . . . . . . . . . . . . ..
Linearity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ..

Table of Contents

5.9-2
5.9-2
5.9-2
5.9-3

Surface Mount Information ...... 5.11-1
Information for Using Surface Mount Packages .... 5.11-2
Footprints for Soldering ........................ 5.11-5

viii

Motorola Master Selection Guide

Table of Contents
Communications, Power and
Signal Technologies Group
Products (continued)

Product Literature
and Technical Training ....... 6.0-1
Technical Data Services .........................
Motorola Semiconductor Master Selection Guide ..
"IMAGINE" SPS Customer Magazine ...........
Mfax- Touch-Tone Fax .....................
Internet Server ..............................
Motorola Data and Application Literature ...........
Motorola Application Literature. . . . . . . . . . . . . . . ..
Technical Training ..............................

Tape and Reel Specifications
and Packaging Specifications .... 5.12-1
Tape and Reel Specifications ................... 5.12-2
Embossed Tape and Reel Ordering Information . 5.12-3
Embossed Tape and Reel Data for Discretes ... 5.12-4
Lead Tape Packaging Standards
for Axial-Lead Components ................. 5.12-6
Packaging Specifications ....................... 5.12-7
T0-92 EIA Radial Tape in Fan Fold Box
or on Reel ................................. 5.12-7
Fan Fold Box Styles. . . . . . . . . . . . . . . . . . . . . . . .. 5.12-9
Adhesion Pull Tests ......................... 5.12-9
Reel Styles ............................... 5.12-10
DO-35, D0-41, Surmetic 30 Radial Tape in
Fan Fold Box or On Reel ................... 5.12-11

Motorola Master Selection Guide

6.1-1
6.1-1
6.1-1
6.1-1
6.1-1
6.1-2
6.1-6
6.1-7

Device Index
and Subject Index ........... 7.0-1
Device Index ................................... 7.1-1
General Index .................................. 7.2-1
Subject Index .................................. 7.2-9

ix

Table of Contents

Table of Contents

x

Motorola Master Selection Guide

Advanced Digital Consumer Products

In Brief ...
Page

Motorola supports strategic programs and co-development
partnerships to accelerate the availability of advanced digital
consumer products.

Motorola Master Selection Guide

Advanced Digital Consumer Division (ADCD)
New Market Focus . . . . . . . . . . . . . . . . . . . . . . . . . . . ..
MPC821/MPC823 RISC Microprocessors and
the MC68328 DragonBaWM Integrated Processor ...
LCD Driver Product Summary . . . . . . . . . . . . . . . . . . ..
Monitor On Screen Display (MaS D) ..............
Scorpion Graphics Processor (MC921 00) ..........
M5CTM Series Gate Arrays/M5CBTM Series
Standard Cells ................................
H4EPIus™ Series Gate Arrays ...................
Literature . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ..
Worldwide Design Centers .......................

1.0-1

1 .1-1
1.1-2
1.1-3
1.1-4
1.1-5
1.1-6
1.1-7
1.1-8
1.1-8

Advanced Digital Consumer Products

Advanced Digital Consumer Products

1.0-2

Motorola Master Selection Guide

Advanced Digital Consumer Division (ADCD)
New Market Focus
The Advanced Digital Consumer
Division blends expertise in embedded
cores, DSPs, memories and custom
silicon to achieve cost effective design
solutions for the exploding digital
multimedia markets.

•

Unified

~in¥4i.w-=
Syale...

Standard ASIC and Digital Camera Products

Display Systems

The ADC Division champions standard cell methodologies and
libraries to provide a technology base for use across the
Communications and Advanced Consumer Technologies Group
(CACTG). The ADC Division is taking the lead role in the area of
systems integration. Mixed (analog + digital) signal system integration
is ideally suited to 'camera-on-a-chip' concepts for the digital camera
market.

Products that provide best-irH:lass display system (flat panel and
monitor) solutions for high growth, high volume communication and
consumer electronic products. LCD Drivers for applications ranging
from pager and cellular telephone displays to mid-range drivers for
electronic translators, games, etc., to large LCD drivers for computer
VGA displays.

CAD Support

AudioNideo Systems

Focused on developing next generation Cell-based libraries for
delivery within the UDS design system.

Focusedto provide leadership products in multimedia markets, such as
Set-Top Box (STB) converters, Advanced TV, and DigitalVersatile Disk
(DVD).

Unified Design System (UDS 1.0 ASIC)Now Available

Set-Top Box Converters

The consumer marketplace is driven by low cost, high
performance, and short development schedules. The UDS system
rapidly takes a design from the system level to physical
implementation, allowing designers more time to focus on system
level design trade-offs and IC performance.
Now available to Sun, Solaris, and HP platform ASIC gate array
customers. This CAD system is based upon the Open Architecture
CAD System (OACS) and includes new graphical interfaces, silicon
technology features, and enhancements to OACS tools.

One application of digital set-top boxes is in digital cable TV
distribution networks. These systems expand the number of available
channels while delivering high quality digital video and audio via cable
to the home.
Cable operators are also offering high-speed data services for
computer to computer communications. These modems make it
possible for ordinary PCs to access the Internet at Ethernet speeds
(10 MbiVsecond) or higher.

Personal Systems

Scorpion Chip (MC92100)
Prototypes available - June '97

Personal Systems develops integrated microprocessors based on
Motorola's68K(M68328)andEmbeddedPowerPCTM(MPC821IMPC823)
archHectures for digital consumer products such as PDAs, Portable
Clients, Smart Phones, Digital Cameras, and Web Appliances.
These highly integrated microprocessors include the peripherals
most commonly required for these markets, such as LCD controller,
serial interfaces, USB, IrDA, PCMCIA, etc. They provide solutions for
systems requiring low cost, low power, and high performance using
PowerPCTM and Embedded DSP to provide over 100 MIPS. By
incorporating industry standard architectures these processors are
backed by superior development tools and OS support. Some
examples include Microware (OS-9), Microsoft (WindowsCE 821/823 only), lSI (pSOS), WindRiver (VxWorks), Diab, SDS,
Metrowerks, HP, Applied, and others. Personal Systems also provides
reference designs for HandHeld PC, Digital Cameras and Web
Appliances to shorten new product development time.

Motorola Master Selection Guide

The MC92100 is a graphics display generator and digital video
encoder for analog and digital video systems. The chip provides a
highly integrated solution for Intelligent TV (iTV), Set-Top Box (STB),
and Digital Versatile Disk (DVD) applications. The Scorpion chip
support team offers customers the design talents of Motorola's
Phoenix Technology Center combined with the silicon integration
skills of the ADC Division.

Low Cost Plastic Ball Grid Array
(LCPBGA) Packages - Now Available
The ADC Division is offering a new BGA family designed to match
the cost of plastic QFP packages in the 100 - 200 lead range. The low
cost BGA family presently includes packages with 100, 144, and 196
leads. The family employs a 1.0 mm solderball pitch which provides
a very small, thin, and light package ideal for consumer products.

1.1-1

Advanced Digital Consumer Products

MPC821/MPC823 RISC Microprocessors and the
MC68328 DragonBall™ Integrated Processor
The enhanced architecture of PowerPCTM microprocessors, created to drive the most powerful personal computers
in the world, is now changing the world of embedded processing. It's the optimum solution any time:
• system performance is a principal goal,
• you need a range of software--compatible solutions that
match your product's performance levels,
• you need a full computer architecture, or
• your product's software is closely related to PC software
technology.
All embedded PowerPCTM microprocessors benefit - both
technologically and economically - from their desktop
heritage. Cutting-edge technologies are shared with desktop
versions, from integral FPUs to the use of sub-half-micron
process. And economies of scale make them more
cost-effective than other RISC architectures.

MPC823 RISC Microprocessor
• Embedded PowerPCTM Core provides 66 MIPS (using
Dhrystone 2.1) or 115K Dhrystones 2.1 at 50 MHz and
33 MIPS (using Dhrystone 2.1) or 58K Dhrystones 2.1 at
25 MHz
• Data Bus Dynamic Bus Sizing for 8-, 16-, and 32-Bit
Busses
• Completely Static Design (0-50 MHz Operation)
• Two Baud Rate Generators
• One Serial Communication Controller (SCC)
• Two Serial Management Channels (SMCs)
• One Serial Peripheral Interface (SPI)
• One Interprocessor-Integrated Circuit (I(2)C) Port
• Time-Slot Assigner
• General-Purpose TImers
• Memory Controller (Eight Banks)
• System Interface Unit (SIU)
• LCD Interface Controller
• PCMCIA Controller
• Low-PowerSupport
• Debug Interface
• 3.3 V Operation with TTL Compatibility on 110 Pins

MPC821 RISC Microprocessor
• Embedded PowerPCTM Core provides 66 MIPS (using
Dhrystone 2.1) or 115K Dhrystones 2.1 at 50 MHz and
33 MIPS (using Dhrystone 2.1) or 58K Dhrystones 2.1 at
25 MHz
• Data Bus Dynamic Bus Sizing for 8-,16--, and 32-Bit
Busses
• Completely Static Design (0-50 MHz Operation)
• Four Baud Rate Generators
• Two Serial Communication Controllers (SCC)
• Two Serial Management Channels (SMCs)
• One Serial Peripheral Interface (SPI)
• One Interprocessor-Integrated Circuit (I(2)C) Port
• Time-Slot Assigner
• Parallel Interface Port
• General-Purpose TImers
• Memory Controller (Eight Banks)
• System Interface Unit (SIU)
• LCD Interface Controller
• PCMCIA Controller
• Low-PowerSupport
• Debug Interface
• 3.3 V Operation with TIL Compatibility on I/O Pins

Advanced Digital Consumer Products

MC68328 DragonBall™ Integrated
Processor
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•

1.1-2

Static 68ECOOO Core
PCMCIA Support
LCD Controller
Real Time Clock
Pulse Width Modulator
Serial Peripheral Interface
UART
Two 16--bit Timer/Counters
16 Chip Selects
System Integration
Low Power Consumption
24 Address Lines, 16 Data Lines
2.7 MIPS @ 16 MHz
Available in 16 MHz
Available in 3.3V

Motorola Master Selection Guide

LCD Driver Product Summary

Part Number

Description

System

Application
Examples

Display Size
Examples

Package

Segmented LCD Driver for Low MUX Application
MC14LC5003
MC14LC5004

4 MUX, total 128 Segments, Serial In
4 MUX, total 128 Segments, IIC

Low MUX,
General MCU

Fax Machines, Pager,
Digital Meter, Home
Appliances

32 x4

OFP,
Bare die

DragonKat'" Series LCD Driver Kits with MC68HCOSL1OIL11
MC141511A

DragonKat 1+ Slave Driver,
32141 MUX, 128 Segments

DragonKat 1+
MC68HC05L10

Databank, Pager,
Organizer, Games

128 x 32141,
256 x 32/41

TAB,
Bare die

MC141512

DragonKat 2 Backplane Driver,
146 MUX, 80 Backplanes
DragonKat 2 Segment Driver,
146 MUX, 160 Segments
DragonKat 2 Backplane Driver,
146 MUX, 160 Backplanes
DragonKat 2 Segment Driver,
80 MUX, 160 Segments

DragonKat2
MC68HC05L11

Transistor, Dictionary,
Pen-based Organizer,
LowCostPDA

160 x 80,
320 x 146,
320 x 160

TAB

64 MUX LCD Backplane Driver,
64 Backplane Outputs
64 MUX LCD Segment Driver,
80 Segment Outputs

DragonKat2
MC68HC05L11,
other MCU with
SPI

Pager, Games,
Dictionary

80 x 64,
160 x 64,
240 x 64

TOFP,
Bare die

Active LCD

Portable TV, Projector

480 x 240,
720 x 480

TAB

MC141514
MC141515
MC141519
MC141516
MC141518

TFT LCD Driver Accepts RGB Signal Inputs
MC141522
MC141524

TFT-LCD Gate (Row) Driver,
120 Row Outputs
TFT-LCD Source (Column) Driver,
120 Column Outputs

MC141S8X Series LCD Driver with Commons, Segments, Annunciators "Allin One" Chip
MC141531
MC141532
MC141533
MC141535
MC141537
MC141539

17 Com,
33 Com,
33 Com,
17 Com,
16 Com,
32 Com,

120 Seg, 3 Annunciators
120 Seg, 4 Annunciators
120 Seg, 4 Annun, Split Com
161 Seg, 4 Annunciators
120 Seg, 3 Annunciators
120 Seg, 4 Annunciators

General MCU,
6800,68K
(Parallel Interiace)

Mobile Communication
Devices, Pager, Cellular,
PHS

120 x 17
120 x 33
120 x 33
161 x 17
120 x 16
120 x 32

TAB,
Bare die,
Au bump die

DragonBalrM
MC68328,
General MCU
with LCD
Controller

PDA, Palm-top,
Sub-notebook

320 x 200,
320 x 240,
640 x 200

TAB,
Au bump die

Cellular Phone, PHS
Large Display Pager

128 x 65,
128 x 64 plus
128 Icons

TAB,
Au bump die

300 MUX LCD Driver without Display DRAM
MC141562
MC141563

LCD Common Driver, 100 Com olp
LCD Segment Driver, 80 Seg olp

MC14180X Series LCD Driver for Cellular Phone/PHS Applications
MC141800A

65 Common, 12B Segment olp

Motorola Master Selection Guide

General MCU,
6800, 68K (IIC,
Parallellnteriace)

1.1-3

Advanced Digital Consumer Products

Monitor On Screen Display (MOSD)
Motorola's MOSD family includes ES(EMOSD & SMOSD)
and AG(AMOSD2 & GMOSD) pin-compatible series which
provide easy-te-use, sophisticated hardware to generate on
screen display function for Monitor, LCD, TV and Display Systems.ln ES series, either 1281256 number offont's device can
be chosen and 8 real time programmable RAI\!I are equipped
for flexible icon design for different OEM models. For AG
series, besides 128/256 font option, both devices provide a

SVGA resolution display with maximum dot clock at 92.2MHz.
The two series offer DAC integration, programmable display
resolutions and user-friendly display attribute controls. Users
can freely choose Motorola MOSD devices to fulfill their full
application spectrum: from low-end to high-end, from costsensitive to feature-oriented. In addition, custom mask ROM
is welcome fortailor-made model design. Details ofthe MOSD
devices can be found in the following section.

EMOSD Enhance
MC141541

SMOSDSuper
MC14154819

AMOSD2 Advance
MC14154617

GMOSD Graphic
MC14154215

lOR x 24C

15R x 30C

15Rx30C

15Rx30C

8

8

8

8

Intensity

High

High/Low

High/Low

High/Low

Windows

3

4

4

4

Display Area
Color

No. of Font

128

256

128

256

ROM

120

248

128

288

Mask ROM

Yes

Yes

Yes

Yes

8

8

0

0

lOx 16

10x 16

12x 18

12 x 18

RAM
Font Matrix
Resolution

EGA

VGA

SVGA

SVGA

Max. Dot Clk.

52.8 MHz

76.8 MHz

92.2 MHz

92.2 MHz

Max Freq.

110KHz

120 KHz

120 KHz

120 KHz

0

12

12

12

MC141541P

MC141549P

MC141547P

MC141545P

24 DIP, 8 DAC

N.A.

MC141548P

MC141546P

MC141542P

28 SOIC, 12 DAC

N.A.

Custom

Custom

DAC Integration
16 DIP, 0 DAC

Special Display Feature

- Double Height
- Double Width
-Shadowing
-Bordering

EMOSD Plus
- Windows Shadow
-Blinking
- Fade--lnlFade-Out
- Automatic Height
- Icon Intensity
- Windows Intensity

- Double Height
- Double Width
-Shadowing
-Bordering
- Automatic Height
- Spacing Control
- Windows Intensity

Custom
AMOSD2 Plus
- 16 Multi-color Font
- 7 Color Background
- Windows Shadow
-Blinking
- Fade--lnlFade-Out
- Automatic Height
- Icon Intensity

Data Sheet

MC141541/D

MC141548/D

MC141546/D

MC1415421D

Evaluation

MC141541EVK

MC141548EVK

MC141546EVK

MC141542EVK

Advanced Digital Consumer Products

1.1-4

Motorola Master Selection Guide

Scorpion Graphics Processor (MC921 00)
Graphics Display Generator,
SRAM Controller, and Digital Video
Encoder - on a single chip

MC92100 Features
• Graphics controller compatible with high-level languages
• Microware OS90oo (for PowerPC) MAUl dedicated
software driver available
• Host processor interface for PowerPC and Coldfire
• True color graphics generator at VGA density with videol
graphics mixing in 1.6% steps (64 levels)
• True color graphics generator for NTSC (720 x 4BO
pixels) and PAL (720 x 576 pixels)
• Selectable conversion from CCIR-656 aspect ratio to
square pixels
• Selectable vertical filtering for flicker reduction
• Graphics matched to resolution and color depth of
high-quality NTSC/PAL television graphics overlay
• Uses 12116 bits per pixel to store images equivalent to
24-bit-per-pixel computer images and CCIR-601 data
streams and/or a 2141B-bit color look-up table (CLUT)
• Maximum of two viewports on any horizontal line
• Multiple viewports vertically
• Supports four image levels including video, two viewports,
and hardware cursor
• Graphics display requires less than 30% of memory
bandwidth per viewport, allowing rapid processor updates
• Digital video encoder for converting CCIR-656 to
compOSite and S-VHS analog video
• Macrovision generator for copy protection and closed
caption inserter for line 21 data
• SDRAM controller for shared system and graphics
memory with glueless interface
• 20B QFP and 196 PBGA packages

Scorpion is a graphic display generator and NTSC/PAL
digital video encoder for analog and digital video systems
including DVD, STB, and Internet TV applications. The
display architecture has been designed to provide a
high-quality television-oriented graphics overlay.
The
graphics overlay matches the resolution and color depth of
the NTSC/PAL baseband video and optimizes memory
usage. Scorpion can provide generation of true color
graphics, mixing of video and graphics in 1.6% steps (64
different levels), and control and display on four image layers.
Scorpion is controlled by high-level language instructions
from a host processor (PowerPC" or Coldfire"').
The digital video encoder accepts a CCIR-656 data
stream with embedded synchronization codes or it may be
genlocked with a television horizontal flyback and vertical
synchronization signal. Scorpion supports both a composite
and separate luma and chroma output (S-VHS) analog video
It also includes
generation, or composite and RGB.
Macrovision" generation of copy protection and a closed
caption inserter for line 21.

fFJU'®OD[J[JDD[liJ&1£ryf
O[liJ1!@U'[J[JD&1I1D@[liJ
SpecIfications and Information
herein are subject to chBnge
without notice.

Motorola Master Selection Guide

1.1-5

Advanced Digital Consumer Products

MSCTM Series Gate ArrayslMSC8™ Series Standard Cells
Deep Sub-Micron CMOS Process

M5C1CB Series Features

The M5C/CB Series feature performance optimized 3.3 V
and 5 V tolerant 1/0 capability, high-speed interfaces, and
analog PLLs for chip-to-chip clock skew management. The
gate length has been reduced to 0.45 ).1m nominal Leff to
provide improved 3.3 V performance.
The M5C/CB Series utilizes Motorola's standard 0.5 micron
CMOS process for logic, the same one used for products like
the 68060 and low power 68040. The process has advanced
features such as tungsten plugged, stacked vias and
contacts, and planarized metalization.
High-speed SCI-LVDS, GTL, PCI and PECL macros offer
enhanced chip-to-chip communication. Motorola's SCI-LVDS
(Low-Voltage Differential Swing) interface enables differential
operation up to 311 MHz. Analog PLLs may be embedded into
three corners of the die for on-chip 50% duty cycle clock
signals up to 175 MHz with only 250 ps jitter plus phase error,
which provides on-chip clock synthesis.
The M5C/CB Series offers a large selection of diffused SRAM
blocks through the FeliX m
Compiler. FeliX can generate a
variety of word and bit length
combinations in synchronous
single-and dual-port RAMs.

•
•
•
•
•
•
•
•
•
•
•
•
•
•

0.45 J.1ITl effective gate length process, triple-layer metal
Typical gate delay of 240 ps at 3.3 V (CMND20, Fo--2)
Performance optimized 3.3 V core
Low power of 1 ).1W/gate/MHz at 3.3 V
Multiple VDD rails for the core and 3.3 V outputs
Cell library optimized for efficient logic synthesis
Single-, and dual-port metal and diffused SRAM compilers
Contact programmable ROM compiler
Internal macros characterized from 1.4 to 3.6 volts
High-speed SCI-LVDS, GTL, PCI and PECL interface
macros
Full support of DFT and SCAN methodology;
JTAG/Boundary Scan embedded in periphery
Clock tree synthesis with clock skew management
through optional embedded analog PLL macro functions
for clocks up to 175 MHz at 3.3 V with a 50% duty cycle
Powerful design environment using Mentor Graphics,
Synopsys, Cadence Design Systems, Viewlogic, and
Motorola's deSign tools
Advanced plastic - BGA, Tape Ball Grid Array (TBGA),
MQUAD, thermally enhanced QFP and PQFP packaging

0.5 Micron CMOS

Process
Diffused SRAMs.
User definable
SRAMs configurations
are available usIng
Motorola's FeliX SRAM
complier. FeliX
generates several
versions of a given SRAM
sIze, each with different
performance, gate
counts, and physIcal
configurations.

Advanced Digital Consumer Products

1.1-6

Motorola Master Selection Guide

H4EPIuS™ Series Gate Arrays
Enhanced Density
Mixed-Voltage Environments

H4EPIus Series Features
• 0.65 lim left, channelless, triple-layer metal gate arrays
• 8,056 to 278,304 available gates
• Typical gate delay of 280 ps at 5 V and 420 ps at 3.3 V
(NAN2, FO=2)
• low power, 1 liW/gate/MHz (3.3 V), 3 liW/gate/MHz (5 V)
• 3.3 V, 5 V or mixed system and core voltage levels
• Custom power bus tying and ground bus isolation for
special power needs
• Configurable 1/0 cell supports 2 to 24 mA, up to 48 mA
cells
using dual
• PCI compliant 5 V and 3.3 V 1/0 buffers (5 V tolerant), 3 V
1/0 macros, and 5 V 1/0 fail-safe macros
• Differential and single-ended GTl 1/0 and PECl input
macros
• Analog Pll with 70 to 250 MHz worst-case VCO
frequency
• OFT methodology support (JTAG, BIST, lSSD, and ESSD)
• JTAG 1149.1 boundary scan built into 1/0 macros
• Single-, dual-, and quad-port metal SRAMs at 3.3 V
and 5 V
• Single-, and dual-port diffused SRAMS
• Powerful design environment using Mentor Graphics,
Cadence Design Systems, Synopsys, Quad Design
Technology, and Motorola design tools
• GTPAC'" ball-grid array, Thin QFP, Thermally Enhanced
QFP, PlCC, and Ceramic BGA packaging

The H4EPIus Series arrays offer a fully featured 3.3 V, 5 V
and mixed-voltage capable family combined with an increased
core density providing over 50% more gates in the same die
area as Motorola's H4CPlus Series. It offers a wide range of
mixed-voltage lias, high-speed interfaces, and analog PlLs for
clock skew management. The gate length of 0.65 lim nominal
leff provides a competitive 3.3 V performance, with increased
performance at 5 V.
The low- and mixed-voltage capability allows designers to
customize the H4EPIus arrays to fit power and performance
needs. All H4EPlus arrays have dual VDD rails, with custom
powerlground tying, to provide full 3.3 V, 5 V and mixed-voltage
1/0 capability. Additionally, the core logic may be powered at
either 3.3 V or 5 V.
High-speed CMTl"', GTl"', PCI and PECl macros offer
Motorola's CMTL
enhanced chip-to-chip communication.
(Current Mode Tranceiver logic"') have optional internal active
termination and support differential clock rates of up to 300+
MHz. GTl, PCI and PECl are available for interfacing to
standard logic and RAMs.
Each array can have up to two APll (Analog Phased lock
loop) macros with separate APll power pins for lower noise
and phase jitter. No external components are required since
the VCO filter is built in. These can be used in applications
such as clock synthesis
with a worst-case VCO
frequency of 70 to 250 MHz.

va

H4EPlus

Series
Features

H4EPIus provides
50% more gates
than equivalent
H4CPlus die size

H4EPO08
H4EP012
H4EPOf7
H4EP028
H4EP044
H4EPM4
H4EP075
H4EP116
H4EP171
H4EP227
H4EP278

0.65 Micron CMOS Arrays

H4EPIus Enhanced
Density Core

Reuse H4CPlus
110 Ring & Die

Motorola Master Selection Guide

1.1-7

Advanced Digital Consumer Products

Literature
To order any literature item(s), contact the Motorola Semiconductor Products Literature Center at 1-800-441-2447

Design Manuals

Application Notes/Article Reprints

Order Number

Description

Order Number

Description

H4EPDM/D
M5CDM/D

H4EPIus Series CMOS Arrays
M5C Series CMOS Arrays

AN1093/D

Delay and Timing Methods for
CMOS and ASICs
JTAG Boundary Scan for H4C
Arrays
Embedded RAM/BIST
ASIC Clock Distribution Using PLL
TestPAS Primer
High-Performance CMOS
Interfaces
Analog Phase-Locked Loop for
H4EPIus and M5C Series Arrays
DeSign Considerations of Plastic
Ball-Grid Arrays for CMOS Gate
Arrays
Minimizing Skew in Gate Arrays
SRAM Built-in-Self Test
Gate Arrays Simplify Translation
Between High Speed
Logic Families
Pick the Right ASIC Package
Exploit the Potential of
High-Performance CMOS by
Selecting the Best Interface

AN1500/D

Data Sheets
H4EP/D

M5C/D
M5CB/D

AN1502lD
AN1509/D
AN1512/D
AN1521/D

H4EPIus Series CMOS Arrays
M5C Series CMOS Arrays
M5CB Series Standard Cells

AN1522/D

Brochures/Selector Guides/Misc.
BR916/D
BR1441/D
BR1473/D

AN1534/D

Packaging Manual for ASIC Arrays
ASIC Reliability and Quality Report
The Individual Solution

AN1553/D
AN1554/D
AR518/D

AR524/D
AR611/D

Worldwide Design Centers
Optimum Design, Service and Support
Motorola has established a worldwide network of
ADC design centers to serve the design and applications needs of its customers. The centers provide
support at all phases of your semi-custom design.
Skilled designers provide training for Motorola's ADC
design flows, as well as applications support for CAD
and silicon issues during the design process.
ADCD Regional Design Centers - International
European Headquarters, Germany, Munich
(089) 92103-306
England, Aylesbury, Bucks (01296) 395252
France, Velizy (01) 34635900
Holland, Best (04998) 61211
Israel, Tel Aviv (09) 590-303
Italy, Milan (02) 82201
Sweden, Stockholm (08) 734-8800

ADCD Regional Design Centers - U.S.A.
California, San Jose (408) 991-7331
Georgia, Atlanta (404) 729-7137
Illinois, Chicago (847) 413-2526
Massachusetts, Marlborough (617) 932-6084

Advanced Digital Consumer Products

Hong Kong, Silicon Harbour Center, Tai Po
(852) 2666-8333
Japan, Tokyo (03) 440-3311

1.1-8

Motorola Master Selection Guide

Microcomputer Components

In Brief . ..
Page

Motorola continues to be a leading supplier of components
for microcomputer systems. The product portfolio includes
digital signal processors; CISC and RISC and PowerPC
advanced microprocessors and complementary full-function
peripherals; a comprehensive selection of high-perforrnance
microcontrollers; VLSI functions for Local Operating Network
applications; and a broad range of fast static RAM and dynamic
RAM chips and modules.
Our commitment is to provide state-of-the-art devices
as well as continuing support of established products, with
six-sigma quality and total customer satisfaction.

Motorola Master Selection Guide

Digital Signal Processors ....................... .
The M68000 Family ........................... .
The M88000 RISC Family ...................... .
The PowerPC RISC Family Microprocessors ...... .
Single-Chip Microcontrollers (CSIC) ............. .
Single-Chip Microcontrollers (AMCU) ........... .
LonWorks Products ............................ .
Memory Products ............................. .

2.0-1

2.1-1
2.2-1
2.3-1
2.4-1
2.5-1
2.6-1
2.7-1
2.8-1

Microcomputer Components

Microcomputer Components

2.0-2

Motorola Master Selection Guide

Digital Signal Processors

In Brief ...
Page
DSP5610Q-16-Bit Digital Signal Processors .... 2.1-2
DSP56800-16-Bit Digital Signal Processors .... 2.1-3
DSP56000-24-Bit Digital Signal Processors .... 2.1-3
DSP5630Q-24-Bit Digital Signal Processors .... 2.1-5
DSP56600-16-Bit Digital Signal Processors ... 2.1-12
DSP96002-32-Bit Digital Signal Processors ... 2.1-17
DSP56ADC16-The Analog-To-Digital
Converter ................................. 2.1-18
DSP DevelopmentTools ........................ 2.1-18
Application Development Systems ............ 2.1-18
Graphical User Interface ..................... 2.1-19
DSP Development Software .................... 2.1-19
Design-In Software Packages ................ 2.1-19
C Compiler Packages ....................... 2.1-20
C Compiler Upgrades ....................... 2.1-20

Drawing on both design excellence and expertise in
manufacturing, Motorola has created a range of
architecturally compatible Digital Signal Processing chips.
The philosophy behind the DSP families has been to create
compatibility between products, as well as to conform to
international standards.
Motorola offers a complete portfolio of 16- and 24-bit fixed
pOint and 32-bit floating point DSPs.
In addition, we offer a comprehensive array of
development tools to give the designer access to the full
power and versatility of the DSPs with minimum fuss. All the
tools were designed for ease of use and functionality. They
provide a low-cost means of evaluation and greatly simplify
the design and development phase of a DSP project.

Motorola Master Selection Guide

2.1-1

Digital Signal Processors

16-/24-/32-Bit Families-Your Complete DSP Solution
DSP561 OQ-16-Bit Digital Signal Processors
The DSP561 00 family of HCMOS, low-power, 16-bit fixed
point general-purpose Digital Signal Processors (DSPs) is
ideal for high end speech coding, telecommunications, and
control applications. The first DSP561 00 family member, the
DSP56156, combines the high-speed core with 8 K bytes
RAM, two serial ports, one parallel port, codec, Phase-Lock
Loop (PLL), and an On-Chip Emulation port (OnCE'M). The
DSP56166, the second member of the DSP561 00 family, has
identical package and pinout to the DSP56156 with different
memory configuration and peripherals.

DSP56156 BENCHMARKS

Host
Control
or
PortBIlO

HD-H7

or
PortBIlO

Instruction Cycles

Benchmark

Real FIR Filter with Data Shift

1 per Tap

LMS Adaptive Real FIR Filter

2 per Tap

Double Integration Sinewave Generation

2 per Sample

Complex FIR Filter with Data Shift

4 per Tap

General Lattice Filter

4 per Tap

Real Cascaded IIR Biquad
Filter Sections (4 coell.)

5 per Section

PIDLoop

5

Double Precision Multiply

6

[1 x 3)[3 x 3] Matrix Multiplication

21

DSP56100 Features
A~A15

28erial
Interfaces

D~D15

5810&
8811

• Up to 30 Million Instructions Per Second (MIPS) at
60 MHz; 33.3 ns Instruction cycle
• Single-cycle 16 x 16-bit parallel Multiply-Accumulate
• 2 x 40-bit accumulators with extension byte
• Fractional and integer arithmetic with support for
multiprecision arithmetic
• Highly parallel instruction set with unique DSP addressing
modes
• Nested hardware DO loops, including infinite loops and
DO zero loop
• Two instruction LMS adaptive filter loop
• Fast auto-return interrupts
• Three external interrupt request pins
• Three 16-bit internal data and three 16-bit internal
address buses
• Individual programmable wait states on the external bus
for program, data, and peripheral memory spaces
• Off-chip memory-mapped peripheral space with
programmable access time and separate peripheral
enable pin
• On-chip memory-mapped peripheral registers
• Low power Wait and Stop modes
• OnCE port for unobtrusive, processor speed independent
debugging
• Operating frequency down to DC
• 5 V single power supply
• Low power (HCMOS)

Tout} TImer or
Tin PortC 110
Power
Ground

C§llXIloltu
ou;oa:
~ ~ f3Clock~o~Cl

1a:

~ [g g a: and 1311>
~Cl
::;: ::;: ::;: PLL '--=v--.J
'--v---J
OnCETM
000

Interrupt and
Mode Control
PART NUMBERS
Part

Description

XC56156FV40

40 MHz in TQFP

XC56156FV50

50 MHz in TQFP

XC56156FE60

60 MHz in CQFP

XC56166FV60

60 MHz in TQFP

Digital Signal Processors

2.1-2

Motorola Master Selection Guide

DSP56800-16-Bit Digital Signal Processors
The DSP56800 core family is the first architecture
designed to enable digital signal processing and embedded
microcontroller functionality. This multi-functional approach
supports applications requiring both signal processing and
control functionality, such as wireless messaging, digital
answering machines, feature phones, and low-cost wireline
modems.
The first two DSP56800 family members, the DSP56L811
and DSP56L812 are identical except for memory
configuration. The DSP56L811 contains 1 K of Program RAM
and 2 K of data RAM. The DSP56L812 features 22 K of
Program ROM, 2 K of data ROM and 2 K of data RAM.

DSP56800 Features

•
•
•
•
•
•
•
•

20 MIPS at 40 MHz
3.3 volts
Three 16-bit timers
Two Serial Peripheral Interfaces (SPls)
Serial Synchronous Interface (SSI)
JTAG OnCPM Port
Phase-Lock Loop
Sixteen - thirty-two general purpose input/output pins.
(Sixteen dedicated and sixteen shared with peripherals)
• External bus interface to allow for additional memory
• Support for high-level C and C++ programming
languages
• Streamlined instruction set featuring frequently used DSP
and microcontroller codes, as well as control extensions
PART NUMBERS (4Q '96 Availability)
Description

Part

;

~.

XC56LB11 BU40

40 MHz in TOFP

XC56LB12BU40

40 MHz in TOFP

:

DataALU
t6 x 16 + 36" 36·Bit MAC

DSP56000-24-Bit Digital Signal Processors
The DSP56000 family of 24-bit, fixed point, general
purpose Digital Signal Processors is Motorola's original DSP
family and has set the standard for high end DSP devices with
its triple Harvard architecture of seven internal buses and
three parallel execution units-Data ALU, Address
Generation Unit, and Program Controller. Motorola has
retained architectural compatibility with the 24-bit family into
the 16-bit DSP561 00 and 32-bit DSP96002 products helping
to preserve our customer software investment.
The DSP56000 family of HCMOS, 24-bit DSP devices
consists of the DSP56002, DSP56L002, DSP56004,
DSP56005, DSP56007, DSP56L007, DSP56009, and the
transitional DSP56001A. All these products are source code
compatible and are used extensively in telecommunications,
control, and audio applications. The DSP56000 family's
unique 24-bit architecture has made these products the
industry standard for CD-quality digital audio processing.
The DSP56L002 and DSP56L007 low-voltage devices
operate at 3.3 volts, which effectively extends the battery life
of portable applications up to three times longer than 5 volt
systems.

Motorola Master Selection Guide

DSP56002 BENCHMARKS
Instruction Cycles

Benchmark

2.1-3

Real FIR Filter with Data Shift

1 per Tap

Two DimenSional Convolution
(3 x 3 coell. mask)

1 per Output

LMS Adaptive Real FIR Filter

3 per Tap

Real Cascaded IIR Biquad
Filter Sections (4 coell.)

4 per Section

Complex FIR Filter with Data Shift

4 per Tap

[1 x 3][3 x 3] Matrix Multiplication

17

Division

2B

Leroux-Gueguen LPC Analysis:
Bth Order
10th Order
16th Order

473
622
1203

Digital Signal Processors

DSP5600Q-24-Bit Digital Signal Processors (continued)
HO-H70r
PortS 1/0

• Double-buffered peripherals
• Power-saving Wait and Stop modes

Host Control or
PortS 1/0

DSP56002 Features
• 512 x 24-bit on-chip Program RAM and 64 x 24-bit
bootstrap ROM
• Two 256 x 24-bit on-chip data RAMs
• Two 256 x 24-bit on-Chip data ROMs containing sine,
A-law, and I!-Iaw tables
• External memory expansion with 16-bit address and
24-bit data buses
• Bootstrap loading from external data bus, Host Interface
(HI), or Serial Communication Interface (SCI)
• Byte-wide HI with Direct Memory Access (DMA) support
• Synchronous Serial Interface (SSI) to communicate with
codecs and synchronous serial devices
- 8-,12-, 16-, 24-bit word sizes
- Up to 32 software-selectable time slots in network
mode
- SCI for full-duplex asynchronous communications
- 24-bit Timer/Event Counter also generates and
measures digital waveforms
- Up to twenty-five General Purpose Input/Output
(GPIO) pins
- Three external interrupt request pins; one
non-maskable
- 3.3 V (DSP56L002) and 5 V (DSP56002) power
supply options

g~~/OSO~Note1

AO-At5

DSCKlOS1
DR
DO-D23

RXDJNote
TXD
SCLK
2

DSP56002

ig~}Note

Bus

SCK
SRD
STD

3

PINIT}
PLOCK Note
CKP
4
Lr-....-.,......,r-r--r-r-~--PCAP

""I"'I-IF- -'-' --'
I:;E:;E~~e:itE-?:J!'
0

(J)

/,':,..'" .:i, .

SCI
Interface

,tt

Peripheral

.'

"',"

Program RAM
20480 x 24 or
(Program RAM
19456 x 24 and
Instruction Cache
1024 x 24)
c,

Expansion Area

0:

X Data
RAM
7168x24

......

Ifl[
:::;:

YAB
XAB
PAB
DAB

"-

,.

,.:.'.'

YData
RAM
7168x24

:.,

'.

If!;
:::;:
x

...

~.

~~:

..,",

..

:::;:

>-

External
Address
Bus
Switch

Bootstrap
ROM

External
Bus
Interface
&
I-Cache
Control

Internal
Data
Bus
Switch

DDB
YDB
XDB
PDB
GDB

External
Data Bus
Switch

Clock
Generator
PLL

1

I

II PInterru
r09ram l.J. Program
Decode ~ pro3rram
Ad ress 111
LControl~rt J LController
J LGenerator
J
.~ MODD/IRQD

RESE;i
PINIT/NMI

'

,ffl; .

24·81t
DSP56300
Core

EXTAL

.-~

.

6!6!

•

tU

DataALU
24 x 24+56->56-bit MAC
Two 56-bit Accumulators
56-bit Barrel Shifter

18
/

Address

13
Control

24
Data

I

1-;~~
Power

JTAG

5
~
DE

MODC/IRQC
MODB/IRQB
" - - - MODAlIRQA

High Performance DSP56300 Core
• 66 Million Instructions Per Second (MIPS) with a 66 MHz
clock
• Object code compatible with the DSP56000 core
• Highly parallel instruction set
• Data Arithmetic Logic Unit (ALU)
- Fully pipelined 24 x 24-bit parallel multiplieraccumulator
- 56-bit parallel barrel shifter (fast shift and
normalization; bit stream generation and parsing)
- Conditional ALU instructions
- 24-bit or 16-bit arithmetic support under software
control
• Program Control Unit (PCU)
- Position Independent Code (PIC) support
- Addressing modes optimized for DSP applications
(including immediate offsets)
- On-Chip instruction cache controller
- On-chip memory-expandable hardware stack

Digital Signal Processors

- Nested hardware DO loops
- Fast auto-return interrupts
• Direct Memory Access (DMA)
- Six DMA channels supporting internal and external
accesses
- One-, two-, and three-dimensional transfers (including
circular buffering)
- End-of-block-transfer interrupts
- Triggering from interrupt lines and all peripherals
• Phase Lock Loop (PLL)
- Allows change of low power Divide Factor (DF)
without loss of lock
- Output clock with skew elimination

2.1-8

Motorola Master Selection Guide

DSP5630D-24-Bit Digital Signal Processors (continued)
• Hardware debugging support
- On-Chip Emulation (OnCPM) module
- Joint Action Test Group (JTAG) Test Access Port
(TAP) port
- Address tracing mode reflects internal accesses at
the external port
Instruction
Cache

Switch
Mode

Program
RAM Size

disabled

disabled

enabled

disabled

disabled

enabled

24576 x 24-bit

enabled

enabled

23552 x 24-bit

On-Chip Memories
• Program RAM, Instruction Cache, X data RAM, and Y
data RAM size is programmable

Instruction
Cache Size

X Data
RAM Size

V Data
RAM Size

20480 x 24-bit

0

7168 x 24-bit

7168 x 24-biI

19456 x 24-bit

1024 x 24-biI

7168 x 24-bit

7168 x 24-biI

0

5120 x 24-bit

5120 x 24-bit

1024 x 24-bit

5120 x 24-bit

5120 x 24-bit

• 192 x 24-bit bootstrap ROM

Reduced Power Dissipation

Off-Chip Memory Expansion

•
•
•
•

• Data memory expansion to two 256 K x 24-bit word
memory spaces
• Program memory expansion to one 256 K x 24-bit words
memory space
• External memory expansion port
• Chip Select Logic for glueless interface to SRAMs and
SSRAMs
• On-chip DRAM Controller for glueless interface to
DRAMs

Very low power CMOS design
Wait and Stop low power standby modes
Fully-static logic, operation frequency down to 0 Hz (DC)
Optimized power management circuitry
(instruction-dependent, peripheral-dependent, and
mode-dependent)

Target Applications
The DSP56302 is intended for applications requiring a
large amount of on-chip memory, such as wireless
infrastructure applications. It is also intended as a RAM-based
emulation part for low-cost ROM-based solutions.

On-Chip Peripherals
• Enhanced DSP56000-like 8-bit parallel Host Interface
(HI08) supports a variety of buses (e.g., ISA) and
provides glueless connection to a number of industry
standard microcomputers, microprocessors, and DSPs
• Two Enhanced Synchronous Serial Interfaces (ESSI),
each with one receiver and three transmitters (allows
six-channel home theater)
• Serial Communications Interface (SCI) with baud rate
generator
• Triple timer module
• Up to thirty-four programmable General Purpose
Input/Output (GPIO) pins, depending on which
peripherals are enabled

Product Documentation
The three documents listed in the following table are
required for a complete description of the DSP56302 and are
necessary to design properly with the part. Documentation is
available from one of the following locations (see back cover
for detailed information):
•
•
•
•

A local Motorola distributor
A Motorola semiconductor sales office
A Motorola Literature Distribution Center
The World Wide Web (WWW)

See the Additional Support section of the DSP56300
Family Manual for detailed information on the multiple support
options available to you.

DSP56302 DOCUMENTATION
Name

Description

Order Number

DSP56300 Family Manual

Detailed description of the DSP56300 family processor core and
instruction set

DSP56300FM/AD

DSP56302 User's Manual

Detailed functional description of the DSP56302 memory configuration,
operation, and register programming

DSP56302UM/AD

DSP56302 Technical Data

DSP56302 features list and physical, electrical, timing, and package
specifications

DSP56302/D

Motorola Master Selection Guide

2.1-9

Digital Signal Processors

DSP56300-24·Bit Digital Signal Processors (continued)
Ordering Product

Consult a Motorola Semiconductor sales office or
authorized distributor to determine product availability and to
place an order.
ORDERING INFORMATION
Part

Supply
Voltage

DSP56302

3V

Package Type

Pin
Count

Frequency
(MHz)

144

66

Thin Quad Flat Pack (TQFP)

Order Number
DSP56302PV66

DSP56303 Features

Ht

16!

6!6!

3!

•

tH

t

• 9

Triple
Timer

Host
Intertace
HI08

ESSI
Interface

SCI
Intertace

I

I+t

1+.

Itt.
Address
Generation
Unit
Six Channel
DMAUnit

.f
III
d
a:

Peripheral

,,':

Program RAM
4096 x 24
or
(3072 x 24 and
Instruction Cache
1024 x 24)
",

co

.,.

, y•••• ,;...

.

.;;t'

~

.

~
'Area:,

.i':""J

~

~.

,':.

.,,",~'

i,:

'

..." ..... :.

>-

External
Address
Bus
Switch

Internal
Data
Bus
Switch

DDB
YDB
XDB
PDB
GOB

External
Data Bus
Switch

i

1

I L9:~~J
prow ~
am
Interru t

~ pro~ram
Ad ress

Program
Decode
L9:ntroller J

III

L~~~J

'EMODDIIRQD
MODCIIRQC
MODBIIRQB

,,' .

::;;

Bootstrap
ROM

Clock
Generator

~,

';"

'.

External
Bus
Interface
&
1- Cache
Control

PLL

RESE:
PINITINMI

.,'

YAB
XAB
PAB
DAB

"-

.

!fl.
....

YData
RAM
2048 x 24 Expanai0n:

24·Bit
DSP56300
Core

EXTAL

iITAL~

'~

ffi

expansion Area

-.---

.-~

X Data
RAM
2048 x 24

I

DataALU
24 x 24+56~56-bit MAC
Two 56-bit Accumulators
56-bit Barrel Shifter

Power

18
Address

13
Control

24
Data

I

Itil: 7~
OnCEr"

~MODAIIRQA

High Performance DSP56300 Core

- 56-bit parallel barrel shifter (fast shift and
normalization; bit stream generation and parsing)
- Conditional ALU instructions

• 66/80 Million Instructions Per Second (MIPS) with a
66/80 MHz clock at 3.3 V
• Object code compatible with the DSP56000 core
• Highly parallel instruction set
• Data Arithmetic Logic Unit (ALU)
- Fully pipelined 24 x 24-bit parallel multiplieraccumulator

Digital Signal Processors

2.1-10

Motorola Master Selection Guide

DSP5630G-24-Bit Digital Signal Processors (continued)
-

24-bit or 16-bit arithmetic support under software
control
• Program Control Unit (PCU)
- Position Independent Code (PIC) support
- Addressing modes optimized for DSP applications
(including immediate offsets)
- On-chip instruction cache controller
- On-chip memory-expandable hardware stack
- Nested hardware DO loops
- Fast auto-return interrupts
• Direct Memory Access (DMA)
- Six DMA channels supporting internal and external
accesses
- One-, two-, and three-dimensional transfers (including
circular buffering)
- End-of-block-transfer interrupts
Instruction
Cache

Switch
Mode

Program
RAM Size

disabled

disabled

4096 x 24-bit

enabled

disabled

3072 x 24-bit

disabled

enabled

2048 x 24-bit

enabled

enabled

1024 x 24-bit

- Triggering from interrupt lines and all peripherals
• Phase Lock Loop (PLL)
- Allows change of low power Divide Factor (DF)
without loss of lock
- Output clock with skew elimination
• Hardware debugging support
- On-Chip Emulation (OnCPM) module
- Joint Action Test Group (JTAG) Test Access Port
(TAP)
- Address tracing mode reflects internal Program RAM
accesses at the external port
On-Chip Memories
• Program RAM, Instruction Cache, X data RAM, and Y
data RAM size is programmable:

Instruction
Cache Size

XOata
RAM Size

VOata
RAM Size

0

2048 x 24-bit

2048 x 24-bit

1024 x 24-bit

2048 x 24-bit

2048 x 24-bit

0

3072 x 24-bit

3072 x 24-bit

1024 x 24-bit

3072 x 24-bit

3072 x 24-bit

• 192 x 24-bit bootstrap ROM

Reduced Power Dissipation

Off-Chip Memory Expansion

•
•
•
•

• Data memory expansion to two 256 K x 24-bit word
memory spaces
• Program memory expansion to one 256 K x 24-bit words
memory space
• External memory expansion port
• Chip Select Logic for glueless interface to SRAMs and
SSRAMs
• On-chip DRAM Controller for glueless interface to
DRAMs

Very low power CMOS design
Wait and Stop low power standby modes
Fully-static logic, operation frequency down to 0 Hz (DC)
Optimized power management circuitry (instructiondependent, peripheral-dependent, and modedependent)

Target Applications
The DSP56303 is intended for use in telecommunication
applications, such as multi-line voice/data/fax processing,
videoconferencing, audio applications, control, and general
digital signal processing.

On-Chip Peripherals
• Enhanced DSP56000-like 8-bit parallel Host Interface
(HI08) supports a variety of buses (e.g., ISA) and
provides glueless connection to a number of industry
standard microcomputers, microprocessors, and DSPs
• Two Enhanced Synchronous Serial Interfaces (ESSI),
each with one receiver and three transmitters (allows
six-channel home theater)
• Serial Communications Interface (SCI) with baud rate
generator
• Triple timer module
• Up to thirty-four programmable General Purpose
InpuVOutput (GPIO) pins, depending on which
peripherals are enabled

Product Documentation
The three documents listed in the following table are
required for a complete description of the DSP56303 and are
necessary to design properly with the part. Documentation is
available from one of the following locations (see back cover
for detailed information):
•
•
•
•

A local Motorola distributor
A Motorola semiconductor sales office
A Motorola Literature Distribution Center
The World Wide Web (WWW)
See the Additional Support section of the DSP56300

Family Manual for detailed information on the multiple support
options available to you.

Motorola Master Selection Guide

2.1-11

Digital Signal Processors

DSP5630o-24-Bit Digital Signal Processors (continued)
DSP56303 DOCUMENTATION
Name

Order Number

Description

DSP56300 Family Manual

Detailed description of the DSP56300 family processor core and
instruction set

DSP56300FMlAD

DSP56303 User's Manual

Detailed functional description of the DSP56303 memory configuration,
operation, and register programming

DSP56303UM/AD

DSP56303 Technical Data

DSP56303 features list and physical, electrical, timing, and package
specifications

DSP56303lD

Ordering Product
Consult a Motorola Semiconductor sales office or
authorized distributor to determine product availability and to
place an order.
ORDERING INFORMATION
Part

Supply
Voltage

Package Type

Pin
Count

Frequency
(MHz)

Order Number

144

66

DSP56303PV66

DSP56303

3V

Thin Quad Flat Pack (TQFP)

DSP56303

3V

Thin Quad Flat Pack (TQFP)

144

80

DSP56303PV80

DSP56303

3V

Plastic Ball Grid Array (PBGA)

196

66

DSP56303GC66

DSP56303

3V

Plastic Ball Grid Array (PBGA)

196

80

DSP56303GC80

DSP56600-16-Bit Digital Signal Processors
The DSP56600 core can execute one 24-bit instruction per
clock cycle using 16-bit data. The 60-MHz chip includes a
mixture of peripherals and memories optimized for
processing-intensive,
yet cost-effective, low power
consumption digital mobile communications applications. The
DSP56600 core includes the data Arithmetic and Logic Unit
(ALU), Address Generation Unit (AGU), program controller,
program patch detector, bus interface unit, On-Chip Emulation
(OnCETM)/JTAG port, and a Phase Lock Loop (PLL)-based
clock generator.
DSP56602 Features
The DSP56602 expansion area includes program and data
memories (ROM and RAM), a triple timer module, an 8-bit
Host Interface (HI08) port, and two 16-bit Synchronous Serial
Interface (S81) ports. The DSP56602 also provides from three
to thirty-four GPIO lines, depending on which user-enabled
peripherals are used, and three external dedicated interrupt
lines. The D8P56602 is designed specifically for low-power
digital handset cellular applications and can perform a wide
variety of fixed point digital signal processing algorithms.
Digital Signal Processing Core
• High-performance 16-bit DSP56600 family DSP engine
• Up to 60 Million Instructions Per Second (MIPS) at
2.7-3.3 V
• Fully pipelined 16 x 16-bit parallel multiply-accumulator

Digital Signal Processors

• Two 40-bit accumulators including extension bits
• 40-bit parallel barrel shifter
• Highly parallel instruction set with unique DSP addressing
modes
• Code-compatible with the DSP56300 core
• Position-independent code support
• Nested hardware DO loops
• Fast auto-return interrupts
• On-chip support for software patching and enhancements
• On-chip Phase Lock Loop (PLL) circuit
• Real-time trace capability via external address bus
• On-Chip Emulator (OnCE) module
• JTAG port
Memory
•
•
•
•
•
•
•
•
•

2.1-12

512 x 24 Program RAM
24 K x 24 Program ROM
4 K x 16 X-data RAM
6 K x 16 X-data ROM
4 K x 16 V-data RAM
6 K x 16 V-data ROM
Off-chip expansion of both program and data memories
Chip-select pin for direct SRAM interface
Interface to external SRAM memories without additional
logic

Motorola Master Selection Guide

DSP5660o-16-Bit Digital Signal Processors (continued)

ttt

...m.
Triple
Timer or
GPIO
pins

ill

1'16

,

t 3

t
Dedicated
GPIO
pins

•

'"

w

~I

~6

t6

~L..I-

Hosl
Interface
HI080r
GPIO
pins

TTl

551
Interface
or GPIO
pins

••

Memory Expansion Area
Program
ROM
24576x24

X Memory
RAM
4096 x 16

Y Memory
RAM
4096x16

Program
RAM
512 x 24

X Memory
ROM
6144x 16

YMemory
ROM
6144 x 16

Peripheral
Expansion Area

Peripheral Circuits

-

Network mode using frame sync and as many as
thirty-two time slots
- 8-bit, 12-bit, and 16-bit data word lengths
• Three programmable timers (or as many as three
additional GPIO pins)
• Three external interrupt/mode control lines
• One external reset pin for hardware reset

• Three dedicated General Purpose Input/Output (GPIO)
pins and as many as thirty-one additional GPIO pins
(user-selectable as peripherals or GPIO pins)
• Host Interface (HI08) support: one 8-bit parallel port (or
as many as sixteen additional GPIO pins)
- Direct interface to Motorola HCll, Hitachi H8, 8051
family, Thomson P6 family
- Minimal logic interface to standard ISA bus, Motorola
68K family, and Intel x86 microprocessor family
• Synchronous Serial Interface (SSI) support: two six-pin
ports (or twelve additional GPIO pins)
- Supports serial devices with one or more industrystandard codecs, other DSPs, microprocessors, and
Motorola SPI-compliant peripherals
- Independent transmitter and receiver sections and a
common SSI clock generator

Motorola Master Selection Guide

Energy Efficient Design
• Operating voltage range: 1.8 V to 3.3 V
• Very low power CMOS design
- < 0.85 mA/MIPS at 2.7 V
- < 0.55 mA/MIPS at 1.8 V
• Low-power Wait standby mode
• Ultra-low-power Stop standby mode
• Fully static, HCMOS design for operating frequencies
from 60 MHz down to DC
• Special power management circuitry

2.1-13

Digital Signal Processors

DSP56600--16-Bit Digital Signal Processors (continued)
Product Documentation

available from a local Motorola distributor, a Motorola
semiconductor sales office, a Motorola Literature Distribution
Center, or through the Motorola DSP home page on the
Internet (the source for the latest information).

The three documents listed in the table below are required
for a complete description of the DSP56602 and are
necessary to design properly with the part. Documentation is

DSP56602 DOCUMENTATION
Name

Order Number

Description

DSP56600 Family Manual

Detailed description of the 56600-family architecture, and 16-bit DSP
core processor and the instruction set

DSP56600FM'AD

DSP56602 User's Manual

Detailed description of memory, peripherals, and interfaces

DSP56602UM'AD

DSP56602 Technical Data Electrical and timing specifications, pin descriptions, and package
descriptions

For the Latest Information

drawings. These two services are available on demand 24
hours a day.

Refer to the back cover of this document for:
•
•
•
•

DSP56602/D

Motorola contact addresses
Motorola MFAX service
Motorola DSP Internet address
Motorola DSP Helpline

Ordering Information
The following table lists pertinent information needed to
place an order. Consult a Motorola Semiconductor sales office
or authorized distributor to determine availability and to order
parts.

The MFAX service and the DSP Internet connection
maintain the most current speCifications, documents, and

ORDERING INFORMATION
Supply
Voltage

Pin
Count

Frequency
(MHz)

Order Number

DSP56602

3.0 V

Plastic Thin Quad Flat Pack
(TQFP)

144

60

DSP56602PV60

DSP56602

3.0V

Plastic Ball Grid Array (PBGA)

196

60

DSP56602GC60

Part

Package Type

DSP56603 Features
Digital Signal Processing Core
• High-performance DSP56600 core
• Up to 60 Million Instructions Per Second (MIPS) at
2.7-3.3 V
• Fully pipelined 16 x 16-bit parallel multiply-accumulator
• Two 40-bit accumulators including extension bits
• 40-bit parallel barrel shifter
• Highly parallel instruction set with unique DSP addressing
modes
• Code-compatible with the DSP56300 core
• Position-independent code support
• Nested hardware DO loops
• Fast auto-return interrupts
• On-Chip support for software patching and enhancements
• On-chip Phase lock loop (Pll) circuit
• Real-time trace capability via external address bus
• On-Chip Emulator (OnCE) module and JTAG port

Memory
• Switch Mode memory allows reconfiguring program,
X-data, and V-data RAM sizes
- Switch Mode off
• 16 K x 24-bit program RAM

Digital Signal Processors

• 8 K x 16-bit X-data RAM
• 8 K x 16-bit V-data RAM
- Switch Mode on
• 11 K x 24-bit program RAM
• 10.5 K x 16-bit X-data RAM
• 10.5 K x 16-bit V-data RAM
• 3 K x 24-bit program ROM
• Off-chip expansion for both program fetch and program
data transfers
• No additional logic needed for interface to external SRAM
memories

Peripheral Circuits
• Three dedicated General Purpose InpuVOutput (GPIO)
pins and as many as thirty-one additional GPIO pins
(user-selectable as peripherals or GPIO pins)
• Host Interface (HI) support: one 8-bit parallel port (or as
many as sixteen additional GPIO pins)
- Direct interface to Motorola HC11, Hitachi H8, 8051
family, Thomson P6 family
- Minimal logic interface to standard ISA bus, Motorola
68K family, and Intel x86 microprocessor family.

2.1-14

Motorola Master Selection Guide

DSP5660o-16-Bit Digital Signal Processors (continued)

ttt ,

,

!

!3

'"

Triple
Timer or
GPIO
pins

".

~I~Ii>o
"t§

'

Clock
Generator
PLL

Program
RAM
16.5Kx24

, .\,

:.'

. r

I

'

: . '7

-

Program
Interrupt

, CLKOUT
PINIT/NMI
RESET-

I

'.'

¥DB
"XI!l&
POB: ..

....

H

,

J Con~I~,i

"-

"

..

'.:.'

"..

.

.'

Program
Decode

L.

~~~.J

L.. MODD/IRQD

~.

16

... ..
'

"

,

Address
4

External
Bus
Interface

Control
24

Core

'.

",

.'

Data
,".

:"."

'.

'.'..' .....
...... :.:.\

..:.,.

~~~J '

MODC/IRQC

,.

1"

,."

'v';

.1···.· .

.

,

-~"

Power
Management

'

'"

.

DataALU
16 x 16 + 40~40-bit MAC
Two 40-btt Accumulators
40-btt Barrel Shifter

~

.",'.

,.

\'.~

,-:,

-_.

", :; ~t.-'.

,:"0'-,,,,"

. :';

l

5

JTAG

i,l""'----'
OnCE"M

DE

MODBlIRQB
MODAIIRQA

• Synchronous Serial Interface (SSI) support: two 6-pin
ports (or twelve additional GPIO pins)
- Supports serial devices with one or more
industry-standard codecs, other DSPs,
microprocessors, and Motorola SPI-compliant
peripherals
- Independent transmitter and receiver sections and a
common SSI clock generator
- Network mode using frame sync and up to 32 time
slots
- 8-bit, 12-bit, and 16-bit data word lengths
• Three programmable timers (or as many as three
additional GPIO pins)

Motorola Master Selection Guide

..

"',,,..""

"

16-Btt

Program
Address

L.

'to:>'"

","

, DSP5660IJ

H --'-,I .

r~~-'

fB,

~"

i= .;.

"'",'~,,;.

'-:

;

.

,..".'

'

YMemory
RAM
8192x 16

fB,

·YAB

'

..

X Memory
RAM
8192x 16

fBI

!..

S

Internal
Data
Bus
Switch

Memory
Expansion
Area

Program
ROM
3072 x 24

Peripheral
Expansion Area

~'

Program
Patch
Detector

«
!;(

SSI
Interface
or GPIO
pins

t + Itt I t+
en

....J

!6!6

Host
Interlace
HI080r
GPIO
pins

Dedicated
GPIO
pins

If'
Address
Generation
Unit

16

• Three external interrupt/mode control lines
• One external reset pin for hardware reset

Energy Efficient Design
• Very low power CMOS design
- Operating voltage range: 1.8 V to 3.3 V
- < 0.85 rnA/MIPS at 2.7 V
- < 0.55 rnA/MIPS at 1.8 V
• Low power Wait for interrupt standby mode, and ultra low
power Stop standby mode
• Fully static, HCMOS design for operating frequencies
from 60 MHz down to DC
• Special power management circuitry

2.1-15

Digital Signal Processors

DSP5660o-16-Bit Digital Signal Processors (continued)
Product Documentation

available from a local Motorola distributor, a Motorola
semiconductor sales office, a Motorola Literature Distribution
Center, or through the Motorola DSP home page on the
Internet (the source for the latest information).

The three documents listed in the table below are required
for a complete description of the DSP56603 and are
necessary to properly design with the part. Documentation is

DSP56602 DOCUMENTATION
Name

Order Number

Description

DSP56600 Family Manual Detailed description of the 56600-family architecture, and 16-bit DSP
core processor and the instruction set

DSP56600FMlAD

DSP56603 User's Manual

DSP56603UMlAD

Detailed description of memory, peripherals, and interfaces

DSP56602 Technical Data Electrical and timing specifications, pin descriptions, and package
descriptions

For the Latest Information

drawings. These two services are available on demand 24
hours a day.

Refer to the back cover of this document for:
•
•
•
•

DSP56602/D

Motorola contact addresses
Motorola MFAX service
Motorola DSP Internet address
Motorola DSP Helpline

Ordering Information
The following table lists pertinent information needed to
place an order. Consult a Motorola Semiconductor sales office
or authorized distributor to determine availability and to order
parts.

The MFAX service and the DSP Internet connection
maintain the most current speCifications, documents, and

ORDERING INFORMATION
Part
DSP56603

Digital Signal Processors

Supply
Voltage
3.0 V

Package Type
Plastic Thin Quad Flat Pack
(TQFP)

2.1-16

Pin
Count

Frequency
(MHz)

Order Number

144

60

DSP56603PV60

Motorola Master Selection Guide

DSP96002-32-Bit Digital Signal Processors
The DSP96002 has full architecture compatibility with the
16-bit DSP56100 and 24-bit DSP56000 families. The
DSP96002 is the first in a family of 32-bit IEEE floating point
DSP devices. The DSP96002 has two identical memory
expansion ports simplifying network configurations for
multiprocessor and DSP96002 communications. These ports
interface to SRAM, DRAM (operating in their fast access
modes), video RAM, or directly to other processors with Host
Interface logic.
Although designed primarily for image processing, other
proven applications include communications, spectrum
analysis, instrumentation, speech processing, and pattern
recognition.

~

~
I~I~I~
~ ltu 4: iii
UJ
oQ
o

UJ en UJIa:
0 0 010

Benchmark
Real
FIR Filter with Data Shift
V=V·S+V
Lattice Filter with Data Shift
Cascaded fiR Biquad Filter
Sections (4 coetl.)
1024-point FFT and bit reversal
Complex
V=V·V+V
FIR Filter with Data Shift
1024-point FFT and bit reversal
Graphics/Image Processing
Divide (32-bit accuracy)
Square Root (32-bit accuracy)
Bezier Cubic Evaluation for
Font Compilation
[4x 4][4 x 4] = [4 x4]

Interrupt and
Mode Control
OnCETM

DSP96002 BENCHMARKS

0
cnelOO
w a a a

a::::i!:::i!:::i!

Instruction Cycles
1 per Tap
2
3 per Tap
4 per Section
12880
4
4 per Tap
20931

7
12
13

67

DSP96002 Features

14
Port A

• DSP96000 family architecture
- Full IEEE Standard 754 compatible for 32-bit (SP)
and 44-bit (SEP) arithmetic
- 20 MIPS, 50 ns instruction cycle at 40 MHz
- 60 Million Floating Point Operations Per Second
(MFLOPS) at 40 MHz
- Single cycle 32 x 32 -7 96-bit Multiply/Accumulate
(MAC)
- Ten 96-bit general purpose data registers
- Zero-overhead nested DO loops
- Two instruction-cycle fast interrupts
- Low-power Wait and Stop modes
- On-Chip Emulation port for unobtrusive, full-speed
debugging
- 4 K byte instruction cache
- Integer mode available
- Single precision mode available
- Timer/Event Counter
• DSP96002 peripherals
- Two 32-bit address and data host ports
- Dual channel DMA controller
• DSP96002 memories
- 1024 x 32 Program RAM
- 2 x 512 x 32 data RAM
- 2 x 512 x 32 data ROM (sine and cosine tables)

14
Control

Port S

Control

PortS

Host
Interface
and Control

3
PortA

Host
Interface
and Control

.~ .~
z z
o en

:fi>-]!'

PART NUMBERS
Part

Description

XC96002RC33

33 MHz in PGA

XC96002RC40

40 MHz in PGA

Motorola Master Selection Guide

2.1-17

Digital Signal Processors

DSP56ADC16-The Analog-To-Digital Converter
The DSP56ADC16 is a single-chip, linear Analog-toDigital (AID) converter. It is an ideal choice for highperformance digital audio systems, voice-bandwidth
communication, and control applications. It does not require
antialiasing filters and sample-and-hold circuitry because they
are an inherent part of the sigma-delta technology. The
DSP56ADC16 can be easily interfaced to the DSP56001 and
other host processors using its flexible serial interface.

• Maximum output sample rates:
- FIR filter-100 kHz
- Comb filter-400 kHz
• Maximum input sample rate is 6.4 MHz
• Maximum internal clock rate is 12.8 MHz
• DC stability is 10 bits
• Supply voltage is single +5 V (± 10%)
• Supply current is < 100 mA
• Linear-phase analog front end and internal digital filters
• Simple serial interface to host microprocessors
• Fully differential inputs

Key Features
•
•
•
•
•
•

16-bit output resolution at 100 kHz from FI R filter
12-bit output resolution at 400 kHz from Comb filter
96 dB dynamic range
90 dB signal-to-THD ratio
90 dB signal-to-noise ratio
In-band ripple: <0.001 dB

PART NUMBERS
Part
DSP56ADC16S

Description
16-bit in Ceramic DIP

DSP Development Tools
Application Development Systems
Every member of the Motorola Family of 16-, 24-, and
32-bit DSPs is supported by a mUlti-component Application
Development System (ADS), which acts as a tool for
designing, debugging, and evaluating real-time DSP target
system equipment. The ADS simplifies evaluation of the
user's prototype hardware/software product by making all of
the essential timing and I/O Circuitry easily accessible. Using
an IBM PCTM, Macintosh™ II, a Sun-4™, or Hewlett-Packard
Series 700 as a medium between the user and the DSP
hardware significantly reduces the overall complexity and cost
of development while increasing the capabilities of the
system. With the ADS, DSP programs can be executed in
real-time, single-instruction-traced or multiple-instructionstepped, with registers and/or memory block contents
displayed. The ADS is fully compatible with the CLAS
design-in software package for each product and may act as
an accelerator for testing DSP algorithms.
All Application Development Systems offer an On-Chip
Emulation (OnCETM) circuit for unobtrusive, processor speed
independent debugging. The ADS takes full advantage of this
circuit to allow the user non-intrusive control of the target.
General ADS Features
Software• Single/multiple stepping through DSP object programs
• Conditional/unconditional software and hardware
breakpoints
• Program patching using a single-line assembler/
disassembler
• Session and/or command logging for later reference
• Loading and saving of files to/from ADM memory
• Macro command definition and execution
• Display enable/disable of registers and memory
• Debug commands which support multiple DSP
development
• Hexadecimal/decimal/binary calculator

Digital Signal Processors

• Multiple inputloutput file access from DSP object programs
• On-line help screens for each command and register
Hardware• Full speed operation
• Multiple ADM support with programmable ADM
addressing
• Stand-alone operation of ADM after initial development
DSP56156ADS Features
• System commands from within ADS user interface
program
• 16 K words of configurable static RAM expandable to
64 Kwords
DSP56002ADS Features
• Host operating system commands from within ADS user
interface program
• 8 Kl32 K words of configurable RAM for DSP56002 code
development
• 96-pin euro-card connector for accessing all DSP56000/1
pins
• 1 K words of monitor ROM expandable to 4 K words
• Separate connectors for accessing serial or hostlDMA
ports
DSP96000ADS Features
• System commands from within ADS user interface
program
• 128 K words of configurable static RAM expandable to
512 Kwords
• 2 K words of EPROM with sockets expandable to 64 K
words
• Full support of multiple data memory maps
• Two sets of 96-pin connectors provide access to all
DSP96002 pins
• 2 K words of EPROM with sockets expandable to 16 K
words

2.1-18

Motorola Master Selection Guide

DSP Development Tools (continued)

Graphical User Interface

PART NUMBERS
Development
Systems
DSP56100ADSA'

For DSP Application Development
Systems and Simulators

Host Machine

A number of Motorola's DSP development systems and
simulators come with graphical user interface software to
ease working on applications based on our product families.

IBM PC

DSP56100ADSB

Macintosh II

DSP561 OOADSF •

Sun-4

DSP56100ADSH'

Hewlett-Packard Series 700

User Friendly

DSP96000ADSA •

IBM PC

DSP96000ADSB

Macintosh II

• GUI works native to three operation systems:
-SunOS
- Windows 3.1
-HPUX
• Multiple overlapping windows for the display of debugging
information, command input registers, memory, and
programs
• Pull down menus for ease of use:
- Dialog boxes for selecting options of complex
commands
- Tool bar will provide fast access to commonly
performed actions
- Keyboard accelerators will be defined for commonly
executed commands
- Help viewer will be provided for viewing pre-defined
help on selected topics

DSP96000ADSF •

Sun-4

DSP96000ADSH •

Hewlett-Packard Series 700

DSP56002ADSA •

IBM PC

DSP56002ADSB

Macintosh II

DSP56002ADSF •

Sun-4

DSP56002ADSH •

Hewlett-Packard Series 700

DSP56004ADSA •

IBM PC

DSP56004ADSB

Macintosh II

DSP56004ADSF •

Sun-4

DSP56004ADSH '

Hewlett-Packard Series 700

DSP56005ADSA •

IBM PC

DSP56005ADSB

Macintosh II

DSP56005ADSF '

Sun-4

DSP56005ADSH '

Hewlett-Packard Series 700

DSP56005ADPTR

Adapter Board

DSP56301ADSA'

IBM PC

DSP56301ADSF'

Sun-4

DSP56301ADSH'

Hewlett-Packard Series 700

DSP56002ADM

ADM Board for DSP56002

DSP56004ADM

ADM Board for DSP56004

DSP56156ADM

ADM Board for DSP56156

DSP56166ADM

ADM Board for DSP56166

DSP96000ADM

ADM Board for DSP96000

DSPPCHOST'

PC compatible host board and interface
software

DSPMACHOST

Macintosh II host board and interface
software

DSPSUN4HOST'

Sun-4 host board and interface software

DSPCOMMAND

16-, 24-, 32-bit Command Converter board
and software

DSP56002EVM

Evaluation board and software for
DSP56002

DSP56007EVM

Evaluation board and software for
DSP56007

DSP56009EVM

Evaluation board and software for
DSP56009

Debugging Capabilities for C Language and Assembly
• Assembly language symbolic or C Language source code
debugging capabilities

DSP Development Software
Design-In Software Packages
The SimulatorlMacro-Assembler/LinkerlLibrarian software
package is a development system support tool. The Simulator
program imitates the operation of the DSP on a clock-cycle by
clock-cycle basis and gives an accurate measurement of code
execution time. All on-chip peripheral operations, memory and
register updates, and exception processing activities may be
functionally simulated.
The full-featured Macro Cross Assembler translates one or
more source files containing instruction mnemonics,
operands, and assembler directives into a Common Object
File Format (COFF) file, which is directly loadable by the
Simulator. It supports the full instruction set, memory spaces,
and parallel transfer fields of the DSP.
The Linker relocates and links relocatable COFF object
modules from the Assembler to create an absolute load file,
which can be loaded directly into the Simulator. The Librarian
utility will merge separate, relocatable object modules into a
single file, allowing frequently used modules to be grouped for
convenient linking and storing.
The Assembler and Linker now provide support for
assembly language source-level debugging via the Simulator.
Global symbols, symbols local to sections, and even
underscore labels may be referenced with all scoping

'Supported by Graphical User Interface

Motorola Master Selection Guide

2.1-19

Digital Signal Processors

constructs intact. In addition, the assembler generates
information about included files and macros. The assembler
and linker also support numbered counters ranging from 0 to

PART NUMBERS

65535.

DSP56100 Family

PART NUMBERS
Simulator/Assembler/
LinkerlLibrary

Host Machine

DSP561 OOCLASA •

IBM PC

DSP56100CLASB

Macintosh II (consult factory)

DSP561 OOCLASF •
DSP56100CLASH •

Host Machine

GNU C Compiler

DSP561CCCA

IBM PC

DSP561CCCF

Sun-4

DSP561CCCH

Hewlett-Packard Series 700

DSP56000 Family
DSP56KCCA

Sun-4
Hewlett-Packard Series 700

IBM PC

DSP56KCCF

Sun-4

DSP56KCCH

Hewlett-Packard Series 700

DSP53000 Family

DSP56000CLASA •

IBM PC

DSP56000CLASB

Macintosh II (consult factory)

DSP563CCA

IBM PC

DSP56000CLASF •

Sun-4

DSP563CCF

Sun-4

DSP56000CLASH •

Hewlett-Packard Series 700

DSP563CCH

Hewlett-Packard Series 700

DSP96000 Family

DSP56300CLASA •

IBM PC

DSP56300CLASF •

Sun-4

DSP96KCCA

DSP56300CLASH •

Hewlett-Packard Series 700

DSP96KCCF

Sun-4

DSP96000CLASA'

IBM PC

DSP96KCCH

Hewlett-Packard Series 700

IBM PC

DSP96000CLASB

Macintosh II (consult factory)

DSP96000CLASF •

Sun-4

C Compiler Upgrades

DSP96000CLASH •

Hewlett-Packard Series 700

Registered users of the earlier versions of the Motorola
DSP C compiler can upgrade to the latest GNU C compiler for
$120. To order, contact a Motorola sales representative or
distributor. Have your registration number ready.

'Supported by Graphical User Interface

C Compiler Packages
A full ANSI C compliant compiler, based on GNU
technology, provides higher efficiency and implements more
than twenty major optimization techniques. It has improved
in-line assembly capability and an ANSI C preprocessor. The
package includes the C Compiler, a new COFF Assembler,
Linker, complete ANSI C Libraries, and a new C source level
debugger as well as expanded user's reference manual. The
software package is available for various host computers
listed.

Digital Signal Processors

PART NUMBERS
GNU C Compiler

Host Machine

DSP56000 Family
! DSP56KCCAJ

!IBMPC

DSP56KCCFJ

Sun-4

2.1-20

Motorola Master Selection Guide

The M68000 Family
.. . the Upward Compatible 8-/16-/32-Bit Microprocessor Family

In Brief ...
Page

An MPU For All Functions

Microprocessors ............................... 2.2-2
Embedded Controllers .......................... 2.2-5
Integrated Processors. . . . . . . . . . . . . . . . . . . . . . . . . .. 2.2-7
Coprocessors ............................... " 2.2-10
DMA Controllers . .. .. . . . .. .. .. . . .. . . .. .. . .. .... 2.2-10
Network Devices .............................. 2.2-10
Data Communication Devices ................... 2.2-12
General Purpose I/O " ......................... 2.2-12
Fiber Distributed Data Interface ................. 2.2-13
Support Software .. .. . .. .. . .. .. . .. . . .. . .. .. . ... 2.2-13

To designers of the most advanced microcomputer
systems, the Motorola M68000 Family of microprocessors
needs no introduction. Products based on its members have
become the standard for systems utilizing the UNIX
operating system and for CAD/CAM engineering
workstations. They are invading the next generation designs
of personal computers and color graphics systems, and they
find widespread implementation in multi-user/multi-tasking
applications and in small business systems. M68000 MPUs
are found in the leading products in fault-tolerant systems
requiring high performance and parallel processing, and
they are the preferred components for artificial intelligence
engines requiring large linear addressing capabilities.
Control applications include graphics, numerical controllers,
robotics, telecommunications switching and PBX voice/data
transmission.

Upward Compatibility
The M68000 MPU Family consists of a line of processors
based on a 32-bit flexible register set, a large linear address
space, a simple yet powerful instruction set and flexible
addressing modes. The intemal architecture of the 8-, 16-, and
32-bit MPU versions, and the common instruction set, provide
software compatibility and offer an easy upward migration path
for products requiring increasing levels of processing power.

A Host of Peripherals
A large selection of full-function peripheral chips
complements the processor family. Compatible LSI and VLSI
chips for memory management, data communications, DMA
control, network control, system interfacing, general VO and
graphics, all simplify system design and reduce design and
manufacturing cost while improving system performance.

Motorola Master Selection Guide

2.2-1

The M68000 Family

Microprocessors
The 6SK Family of Microprocessors has revolutionized virtually every segment of the electronic industry. They have set the
standard for performance while still maintaining binary software compatibility from generation to generation. The combination of
low cost and high performance (measured in $/system MIPS) makes every member of the Family a price performance leader. The
M68000 Family provides the widest range of price and performance with choices from 1.6 MIPS to over 100 MIPS.
Table 1.
68000

68020

68030

68040

68060

1.6

5.5

12

35

100

-

0.25

0.5

3.5

12

16M Byte

4G Byte

4G Byte

4G Byte

4G Byte

MIPS
MFLOPS
Address Range
Data Bus

16 bit

32 bit

32 bit

32 bit

32 bit

Clock Speed (MHz)

8-16

16-33

16-50

25-40

5CHl6

Instruction Cache

-

256 Byte

256 Byte

4K Byte

8K

Data Cache

-

-

256 Byte

4K Byte

8K
16 Byte R/W

Burst Mode

-

-

16 Byte R

16 Byte R/W

General Purpose Registers

16

16

16

16

16

Address Modes

14

18

18

18

18

On-ChipMMU

No

No

Yes

Yes'

Yes'

68881

68882

68882

On-Ghip

On-Ghip

Floating-Point Solution
'Separate InstruclionlData

r--

INTEGER UNIT
INSTRUCTION FETCH CONTROLLER
,...---~

IA
BRANCH
GENERATE
CACHE ~ INSTRUCTION
FETCH
~
EARLY
DECODE

rv-r----v

I

A

I

INSTRUCTION
ATC

INSTRUCTION
CACHE

1r

1r

I

{;
INSTRUCTION
BUFFER

V

I

I

B
U
S

DECODE

FLOATINGPOINT
EA
EA
UNIT
GENERATE
GENERATE
EA
EA
EA
FETCH
FETCH
FETCH
FP
INT
INT
EXECUTE
EXECUTE
EXECUTE
INSTRUCTION EXECUTION CONTROLLER

U

¢:

=:>

U

I

I

DATA
CACHE CONTROLLER

U

U

DATA
ATC

DATA
CACHE

INSTRUCTION
ADDRESS

A

V

DECODE

----u

INSTRUCTION
CACHE CONTROLLER

I

I

I

C
0
N
T
R
0
L
L
E
R

II

. :>
DATA
ADDRESS

..)

CONTROL
)

DATA AVAILABLE
WRITE-BACK

...

I
OPERAND END BUS

'---

Figure 1. MC68060 Block Diagram

The M68000 Family

2.2-2

Motorola Master Selection Guide

controller activity. Additionally, the integer unit is optimized for
high-level language environments. The MC68040 is
user-object--code compatible with previous members of the
M68000 Family and is specifically optimized to reduce the
execution time of compiler-generated code. The MC68040 is
implemented in Motorola's latest HCMOS technology,
providing an ideal balance between speed, power, and
physical device size.
Instruction execution is pipelined in both the integer unit
and FPU. Independent data and instruction MMUs control the
main caches and the address translation caches (ATCs). The
ATCs speed up logical-to-physical address translations by
storing recently used translations. The bus snooper circuit
ensures cache coherency in multi master and multiprocessing
applications. The MC68040 FPU is user-object--code
compatible with the MC68882 floating-point coprocessor.
The FPU has been optimized to execute the most commonly
used subset of the MC68882 instruction set, and includes
instruction
formats
for
singleand
additional
double-precision rounding of results.
The MMUs support multiprocessing, virtual memory
systems by translating logical addresses to physical
addresses using translation tables stored in memory. Each
MMU has two transparent translation registers available that
define a one-to-one mapping for address space segments
ranging in size from 16 Mbytes to 4 Gbytes each. The
instruction and data caches operate independently from the
rest of the machine, storing information for fast access by the
execution units. Each cache resides on its own internal
address bus and internal data bus, allowing simultaneous
access to both. The data cache provides writethrough or
copyback write modes that can be configured on a
page-by-page basis.
The MC68040 bus controller supports a high-speed,
nonmultiplexed, synchronous external bus interface, which
allows the following transfer sizes: byte, word (2 bytes), long
word (4 bytes), and line (16 bytes). Line accesses are
performed using burst transfers for both reads and writes to
provide high data transfer rates.

MC68060
Superscalar 32-Bit Microprocessor
The MC68060 is fully compatible with all previous
members of the M68000 family. The MC68060 features dual
on--chip caches, fully independent demand-paged memory
management units (MMUs) for both instructions and data,
dual integer execution pipelines, on--chip floating-point unit
(FPU) and a branch target cache. A high degree of instruction
execution parallelism is achieved through the use of a full
internal Harvard architecture, multiple internal buses,
independent execution units, and dual instruction issue within
the instruction controller. Power management is also a key
part of the MC68060 architecture. The MC68060 offers a
low-power rnode of operation that is accessed through the
LPSTOP instruction, allowing for full power-down capability.
The MC68060 design is fully static so that when circuits are
not in use, they do not draw power. Each unit can be disabled
so that power is used only when the unit is enabled and
executing an instruction.
Complete code compatibility with the M68000 family allows
the designer to draw on existing code and past experience to
bring products to market quickly. There is also a broad base
of established development tools, including real-time kernels,
operating systems, languages and applications, to assist in
product design. The functionality provided by the MC68060
makes it the ideal choice for a range of high-performance
computing applications as well as many portable applications
that require low power and high performance.

MC68040
Third-Generation 32-Bit
Microprocessor
The MC68040 is Motorola's third generation of M680ODcompatible, high-performance, 32-bit microprocessors. The
MC68040 is a virtual memory microprocessor employing
multiple, concurrent execution units and a highly integrated
architecture to provide very high performance in a monolithic
HCMOS device. On a single chip, the MC68040 integrates an
MC68030--compatible integer unit, an IEEE 754--compatible
floating-point unit (FPU), and fully independent instruction
and data demand-paged memory management units
(MMUs), including independent 4K-byte instruction and data
caches. A high degree of instruction execution parallelism is
achieved through the use of multiple independent execution
pipelines, multiple internal buses, and a full internal Harvard
architecture, including separate physical caches for both
instruction and data accesses. The MC68040 also directly
supports cache coherency in multimaster applications with
dedicated on--chip bus snooping logic.
The MC68040 is an enhanced, 32-bit, HCMOS
microprocessor that combines the integer unit processing
capabilities of the MC68030 microprocessor with independent
4K-byte data and instruction caches and an on--chip FPU.
The MC68040 maintains the 32-bit registers available with
the entire M68000 Family as well as the 32-bit address and
data paths, rich instruction set, and versatile addressing
modes. Instruction execution proceeds in parallel with
accesses to the internal caches, MMU operations, and bus

Motorola Master Selection Guide

MC68030
The Second Generation 32-Bit MPU
The 030 started with a high performance 020 core and
added many performance improvement features including
increased internal parallelism, dual on--chip caches with a
burst tillable mode, dual internal data and address buses,
improved bus interface, and on--chip paged memory
management unit.
Two independent 32-bit address buses and two 32-bit
data buses allow the CPU, caches, MMU, and the bus
controller to operate in parallel, so the 030 can, for example,
simultaneously access an instruction from the instruction
cache, data from the data cache and instruction/data from
ex1ernal memory.
Performance is further enhanced by on--chip instruction
and data caches. Separate 256-byte data and instruction
caches reduce the access time and increase CPU throughput
by providing data and instructions on-Chip.

2.2-3

The M68000 Family

MC68030 (continued)
Overall bus requirements are reduced and multiple
processors can run more efficiently thanks to increased
bandwidth of the 030 bus, achieved by the enhanced bus
controller allowing high speed fills of both data and instruction
caches.
The on-chip paged memory management unit translates
logical address to the corresponding physical address in 1/2
the time required by the 020 and MC68851 Paged Memory
Management Unit. Pipelining permits this translation to be
performed in parallel with other functions so that no translation
time is added to any bus cycle.

MC68HC001
Low Power HCMOS 8-/16-/32-Bit
Microprocessor
The MC68HC001 provides a functional extension of the
MC68HCOOO HCMOS 16-/32-bit microprocessor with the
addition of statically selectable 8- or 16-bit data bus
operation. The MC68HC001 is object-code compatible with
the MC68HCOOO, and code written for the MC68HC001 can
be migrated without modification to any member of the
M68000 Family. This is possible because the user
programming model is identical for all members of the M68000
Family and the instruction sets are proper subsets for the
complete architecture.

MC68020
The Original 32-Bit Performance
Standard
The MC68020, oh twenty, is the industry's leading 32-bit
microprocessor because of high performance, architecture,
ease of design-in, and long-range compatible growth path.
The 020 has a full 32-bit internal and 32-bit external,
regular, symmetrical architecture designed with the customer
in mind. It offers all the functionality of the other M68000
Family MPUs, and maintains software user-code
compatibility which controls the expense of your product
migration.
Programmers appreciate the large general purpose
register set, simple yet powerful instruction set and the many
flexible M68000 addressing modes. The unique on-chip
instruction cache helps provide burst-mode operation to 12.5
MIPS.
The 020 is the proven leader in high performance systems
in office automation, engineering workstations, fault tolerant
computers, parallel processors, telephone switching systems,
and intelligent controllers.

MC68000
The 16-Bit Foundations
As the first member of the M68000 family, the stateof-the-art technology and advance circuit design concepts
of the MC68000 16-bit MPU started a new trend in
microprocessor architecture. Its seventeen 32-bit data and
address registers permit rapid internal execution of its
powerful yet simple instruction set. It is designed for large
multiprocessing systems and realtime applications with
vectored interrupts, seven priority levels and a 16 megabyte
linear addressing space. It offers mainframe-like performance,
supporting high-level languages and sophisticated operating
systems.
The MC68000 MPU has been joined by more advanced
products with even greater capabilities, yet it satisfies a large
segment of the existing applications. It is extremely cost
competitive and it remains one of the major growth products
in the entire MPU line.

MC68010
A Virtual Memory Enhancement
The MC68010 offers the advantage of Virtual Memory. A
high-speed loop mode operation executes tight software
loops faster to enhance performance. Its instruction
continuation feature has made it the choice for fault-tolerant
and parallel processing systems. The MC68010 can support
a governing operating system which handles the supervisory
chores of any number of subordinate operating systems.

MC68008
An 8-Bit Compatible Competitor
With an 8-bit data bus and 32-bit internal architecture, the
MC68008 offers performance that competes with a number of
16-bit MPUs. It has the same register set, same instructions,
and the same functionality as the MC68000 with extensive
exception processing. Large modular programs can be
developed and executed efficiently because of the large,
1-megabit non-segmented, linear address space. It is the
choice for high performance, cost effective, 8-bit designs,
particularly those requiring a migration path to 16-bit or full
32-bit operation.

MC68HCOOO
A Micropower Alternative
HCMOS design gives the MC68HCOOO all the functions
and performance of its MC68000 predecessors . . . at
one-tenth of the operating power reqUirements. With a
maximum power dissipation of only 0.175 watts, the
MC68HCOOO is ideal for high-performance computer
peripherals, industrial controllers, instrumentation and
communications equipment.

The M68000 Family

2.2-4

Motorola Master Selection Guide

Embedded Controllers
The principle elements of this popular microprocessorfamily have now been redesigned specifically for embedded applications.
The new 68ECOxO family including the 68ECOOO, EC020, EC030 and EC040 MPUs are all optimized for cost-sensitive embedded
control designs. The 68ECOxO family offers the high performance of the 680xO family, yet streamlines the feature sets for
embedded applications. The 68ECOxO family completes the triad forming the M68000 family of compatible products: the 680xO
family of computer-class central processing units; the 68300 family of integrated processors; and now, the 68ECOxO family of
embedded microprocessors.

Table 2.
68ECOOO

68EC020

68EC030

68EC0401

68040V

68EC0601
LC060

100

LC040

MIPS
Address Range

2.5

6.5

10.7

44

44

16M Byte

16M Byte

4G Byte

4G Byte

4G Byte

46

16 bit

32 bit

32 bit

32 bit

32 bit

32 bit

8,10,12,16
MHz

16,25 MHz

25, 40, 50 MHz

20,25,33,40
MHz

25, 33, 40 MHz

50, 60 MHz
8K Byte

Data Bus
Clock Speeds

-

256 Byte

256 Byte

4K Byte

4K Byte

-

-

256 Byte

4K Byte

4K Byte

8K Byte

-

16 Bytes

16 Bytes

16 Bytes

16 Bytes

General Purpose
Registers

16

16

16

16

16

16

Address Modes

14

18

18

18

18

18

Instruction Cache
Data Cache
Burst Fill Caches

Floating Point Hardware

68881/68882

68881/68882

68881/68882

None

None

None

PLCC

PPGA, PQFP

PPGA,CQFP

PGA,CQFP

PGA,CQFP

PGA,CQFP

Packages

This impressive performance is a result of a six-level
pipelined integer unit, independent four-way set-associative
instruction and data caches, and a very high level of on-chip
parallelism. The EC040 also supports multi master and
multiprocessor systems with bus snooping.
By integrating all these features into the EC040, the
microprocessor is able to perform the vast majority of work
on-chip, limiting extemal memory accesses to allow for higher
system performance with less expensive DRAMs. The result
is virtual immunity to the effects of memory wait states.

MC68EC/LC060
32-Bit High-Performance
Embedded Controller
The 68EC060 is the newest addition to Motorola's
embedded microprocessor family. It is the performance leader
for top of the line embedded applications. The 68EC/LC060
offers 100 MIPS performance while maintaining complete
backward compatibility with the 680xO family. The 68LC060
offers a paged memory management unit while the 68EC060
has been optimized for embedded systems requiring lowercost performance.

MC68040V
Low-Power 32-Bit Embedded
Controller

MC68EC040
32-Bit High-Performance
Embedded Controller

The 68040V is a low-power version of the MC68LC040.
Using advanced static design techniques and 3.3 Volt supply,
this part offers all the performance and features of the LC040,
but requires much less power. The 040V instruction set is fully
040 compatible, but adds a low-power STOP instruction to
allow for software power-down of the processor to save
power.

The EC040 is capable of delivering 44 MIPS of sustained
performance with a system cost that is unattainable by
competing architectures. The LC040 offers all the features of
the EC040 plus adds a paged memory management unit
allowing more sophisticated operating systems features,
including better memory protection.

Motorola Master Selection Guide

2.2-5

The M68000 Family

Embedded Controllers (continued)

MC68EC030

MC68EC020

32-Bit Enhanced Embedded
Controller

32-Bit Embedded Controller
The 68EC020, with a complete 32-bit internal
implementation, has a 32-bit data bus and an on-chip instruction
cache to provide dramatically increased performance over 8and 16-bit microprocessors. In addition, upward migration to
the EC020 is made simple with dynamic bus sizing, allowing
8, 16 and 32-bit peripherals to communicate with the
microprocessor.
Other performance features include advanced bit
manipulation capabilities that provide multiple bit shift operations
in a single instruction cycle. This capability greatly simplifies
and accelerates the bit operations required in graphics
processing and optical recognition applications.

The MC68EC030 is a 32-bit embedded controller that
streamlines the functionality of an MC68030 for the
requirements of embedded control applications. The
MC68EC030 is optimized to maintain performance while
using cost-effective memory subsystems. The rich instruction
set and addressing mode capabilities of the MC68020,
MC68030, and MC68040 have been maintained, allowing a
clear migration path for M68000 systems. The MC68EC030
is object-code compatible with the MC68020, MC68030, and
earlier M68000 microprocessors. Burst-mode bus interface is
provided for efficient DRAM access.
The MC68EC030 has an on-chip data cache and on-chip
instruction cache with 256 bytes each. Dynamic bus sizing is
available for direct interfacing to 8-, 16-, and 32-Bit Devices.
The MC68EC030 includes 32-bit nonmultiplexed address
and data buses, sixteen 32-bit general-purpose data and
address registers, and two 32-bit supervisor stack pointers
and eight special-purpose control registers. The EC030
provides complete support for coprocessors with the M68000
coprocessor interface. There are two access control registers
that allow blocks to be defined for cacheability protection. The
pipelined architecture, along with increased parallelism,
allows internal caches accesses in parallel with bus transfers
and overlapped instruction execution. The enhanced bus
controller supports asynchronous bus cycles (three clocks
minimum), synchronous bus cycles (two clocks minimum),
and burst data transfers (one clock).

The M68000 Family

MC68ECOOO
Low-Powered HCMOS
Embedded Controller
The 68ECOOO is a low-power HCMOS derivative of the
68000 optimized for cost-effective embedded processing.
The ECOOO has a flexible data bus that can operate in either
8- or 16-bit modes and a 24-bit address bus that provides
16 Mbytes of memory addressing capability. Electrical
characteristics of the 68ECOOO have been optimized to
ensure easy access to low-cost memories.
The 68ECOOO represents the lowest cost entry pOint to any
32-bit architecture. Coupled with efficient support for
high-level languages and real-time operating systems, the
68ECOOO provides unparalleled compatible migration paths to
higher performance.

2.2-6

Motorola Master Selection Guide

Each member of the 68300 family contains a core
processor based on the 68000 family, a System Integration
Module (SIM), an on-chip bus and various peripheral
modules. The SIMs include support circuitry such as clock
generation, external chip selects, system protection, timers
and JTAG. The on-chip intermodule bus (1MB) on the
CPU32-based 68300s creates a standard interface over
which the CPU and each of the modules communicate. The
peripheral modules include specialized processors, system
controllers, traditional peripherals and memory. Because the
peripheral modules are independent from each other, they can
appear in multiple 68300 devices. With so many major
features incorporated into a single 68300 device, a system
designer can realize improved reliability along with significant
savings in design time, power consumption, cost, board
space, pin count and program development. In a 68300
device, the major functions and glue logic are all properly
connected, intemally timed with the same fast clock, fully
tested and conSistently documented.

Integrated Processors
Powerful solutions to cost-, space-, and power-sensitive
embedded applications are provided by the 68300 family of
integrated microprocessors and microcontrollers. The 68300
family combines two of Motorola's greatest strengths - the
32-bit microprocessor architecture of the 68000 family and a
proliferation of peripheral circuits offering a growing family of
integrated solutions.
The 68000 family is based on a proven, expandable
architecture that spans the performance range from 1 to over
29 MIPS. This architecture offers the industry's highest level
of compatibility for both hardware and software. Motorola's
single-chip microcomputers and microcontrollers provide the
industry's broadest selection of peripheral combinations,
insurance that one will fit the need of practically any
application. The 68300 family embraces both of these
concepts.
Table 3.
68302

68306

68330

68331

68332

68333

68334

68340

68307

68322

68328

Core Processor

68000

6BECOO

CPU32

CPU32

CPU32

CPU32

CPU32

CPU32

ECOOO

ECOOO

ECOOO

Speeds (MHz)

16

16,20

16,20

16,20

16,25

16

16

16

16

16,25

DMA

Yes

-

-

-

-

Yes

Yes

-

-

Serial
Processor

-

-

-

-

-

Yes

Yes

Yes

-

-

-

64K

Yes

-

-

Yes

Yes

Yes

Yes

-

Yes

Yes

1

-

-

-

2

2

Yes

Yes

-

2K

4K

1K

-

-

-

-

Time Processor

Unit
Flash EEPROM
Serial 110

-

-

Yes

-

-

limers

1

AID Converter

-

SRAM

1K

-

-

Yes

-

-

Yes

Yes

Yes

Yes

Yes

Yes

Yes

Yes

Yes

3.3 Valls
Available

-

-

-

-

-

-

-

Yes

Yes

Graphic
Processor

-

-

-

-

-

-

DRAM
Controller
Glue Logic
(SIM)

Motorola Master Selection Guide

-

2.2-7

-

Yes
1

2

Yes

No

Yes

Yes
Yes

Yes

No

The M68000 Family

Integrated Processors (continued)
package, the 68307 delivers cost effective performance to
handheld battery-powered applications.

MC68302
Integrated Multiprotocol Processor

MC68322

The MC68302 integrated multiprotocol processor (IMP) is
a very large-scale integration (VLSI) device incorporating the
main building blocks needed for the design of a wide variety
of controllers used in the communications industry. The IMP
is the first device to offer the benefits of a closely coupled,
industry-standard M68000/MC68008 microprocessor core
and a flexible communications architecture. The
three-channel communications device may be configured to
support a number of popular industry interfaces, including
those for the Integrated Services Digital Network (ISDN) basic
rate and terminal adaptor applications. Through a
combination of architectural and programmable features
concurrent operation of different protocols (HDLC/SDLCTM,
UART, BISYNC, DDCMpTM, or transparent modes) can easily
be achieved. Data concentrators, modems, line cards,
bridges, and gateways are examples of suitable applications
for this device.
The IMP is a Complementary Metal-Oxide Semiconductor
(CMOS) device consisting of an M68000/MC68008
microprocessor core, a system integration block (SIB), and a
Communications Processor (CP). By integrating the
microprocessor core with the serial ports (in the CP) and the
system peripherals (in the SIB), the IMP is capable of handling
complex tasks such as all ISDN basic rate (2B+D) access
tasks.

Integrated ECOOO Printer Processor
The 68322 is a high-performance integrated printer
processor that combines a 68ECOOO core, a RISC graphics
processor, a print engine video controller and numerous
system integration features on a single integrated circuit. It is
the first of Motorola's M68000 family designed specifically for
pinters. The 68322 provides a unique solution for new designs
as well as an excellent migration path for existing
M6800o--powered printers.

MC68328
Integrated ECOOO Processor
The 68328 processor provides key features that are
suitable for many portable applications. Modules like a
real-time clock, LCD controller, pulse-width modulator,
timers, master and slave serial peripheral interface, UART
and system integration give the engineer more flexibility and
resource to design efficient and innovative products.

MC68330
Integrated CPU32 Processor

MC68306

The 68330 is ideal for applications requiring 32-bit
microprocessor performance without the additional expense
inherent in 32-bit memory systems. The 68330 is the simplest
and lowest priced member of the CPU32-based 68300 family.
The 68330 allows the designer access to the high
performance of the CPU32 along with minimized external glue
logic, while allowing the greatest freedom in selecting needed
peripherals, ASICs or gate arrays.

Integrated 68ECOOO Processor
The 68306 integrated ECOOO processor inCludes many of
the features commonly found in 6800O-based designs. The
68306 includes a 68ECOOO core processor, a 68681 Dual
Universal Asynchronous Receiver Transmitter (DUART),
system integration functions, and a DRAM controller. The
on-Chip DRAM controller gives the 68306 the family's
simplest interface to DRAM-based deSigns. The DRAM
controller easily accommodates 64 Mbytes of memory. The
68306 saves time in the design cycle by providing valuable
68000 system components pre-packaged in one Chip.

MC68331
32-Bit Microcontroller
The 68331 is well suited to applications requiring simple
serial communications and general timing needs. The 68331
contains the CPU32, a SIM, a General Purpose Timer (GPT)
and a Queued Serial Module (QSM). The general purpose
timer is a simple yet flexible timer that provides four modes of
operation with multiple channels for some operations. The
QSM provides two modes of communication: an
asynchronous channel that provides up to 524-Kbits per
second transfer rate and a serial peripheral interface with
separate 16-word receive/transmit queues.

MC68307
Integrated ECOOO Processor
The 68307 is an integrated processor combining a static
ECOOO processor with multiple inter-chip bus interfaces. The
68307 is deSigned to provide optimal integration and
performance for applications such as digital cordless
telephones, portable measuring equipment, and POS
terminals. By providing 3.3 V, static operation in a small

The M68000 Family

2.2-8

Motorola Master Selection Guide

MC68332

MC68334

32-Bit Microcontroller

32-Bit Microcontroller

The 68332 is especially suited for high-performance timing
applications such as automotive engine control, precision
motor control and industrial robotics. The powerful Time
Processor Unit (TPU) distinguishes the 68332 providing
optimum performance in controlling time-related activity. It
drastically reduces the need for CPU intervention with its
dedicated execution unit, tri-level prioritized scheduler, data
storage RAM and dual time bases. In addition to the TPU and
CPU32, the 68332 features the Q8M, a 81M and 2-Kbytes of
standby static RAM.

The 68334 is a streamlined version of the 68332, taking
advantage of the powerful TPU. The 68334 includes the
CPU32 core processor, the TPU, a 81M, 1-Kbyte of 8RAM, a
1O-bit analog-to-riented timer for M68000 systems.
The parallel interfaces operate either in a unidirectional or
bidirectional mode, either 8- or 16-bit wide. The timer is 24
bits with full programmability and a 5-bit prescaler. The PI/T
has a complete M68000 bus interface and is fully compatible
with the MC68450 DMAC.

The MC68HC681 features two completely independent
full-duplex asynchronous receiver/transmitter channels that
interface directly to the M68000 microprocessor bus.
Receiver data registers are quadruple buffered and
transmitter data registers are double buffered for minimum
MPU intervention. Each has its own independently selectable
baud rate. Multifunction 6-bit input port and 8-bit output port,
a 16-bit programmable counter/timer, interrupt handling
capabilities, and a maximum one-megabyte per second
transfer rate make the DUART an extremely powerful device
for complex data communication applications. Full device
functionality with an M6800 bus interface is provided by the
MC68HC2681.

The M68000 Family

Parallel Interface/Timer, PI/T

MC68HC901
Multifunction Peripheral, MFP
The MFP provides basic microcomputer function
requirements as a single companion chip to the M68000
Family of Microprocessors. Features provided via a direct
M68000 system bus interface include a full-function,
single-channel
Universal
Serial
Asynchronous
Receiver/Transmitter (USART) for data communication, an
8-source interrupt controller, eight parallel 110 lines, and four
8-bit timers.

2.2-12

Motorola Master Selection Guide

MC68838

Fiber Distributed
Data Interface

Media Access Controller

Fiber Distributed Data Interface (FDDI) is defined as a dual
fiber-optic token ring LAN (Local Area Network) that can
support rates up to 100 Mbps. It can accommodate rings with
1,000 stations. Two kilometers between stations, and up to
200 kilometers in total length. This technology is driven by the
need to support high performance distributed computer
systems which are becoming faster and more powerful, thus
imposing a greater need for network speed and bandwidth.
Other uses for FDDI include backbone networks connecting
Ethernet, Token Bus, and Token Ring segments and back end
networks connecting high-speed peripherals. FDDI is an
American National Standards Institute (ANSI) standard.
Motorola's FDDI chip set includes the MC68836, MC68837,
MC68838, and MC68839.

MC68839

MC68836

FOOl System Interface

FOOl Clock Generator
The MC68836 FDDI Clock Generator (FCG) implements
part of the Physical Layer (PHY) functions of the FDDI
standard including clock recovery, data recovery, and NRZI
conversions. The FCG also does a five-bit parallel to serial
conversion during transmission, and a serial to five-bit parallel
conversion during reception. The FCG uses the five-bit
parallel interface to communicate with the MC68837 device.
The FCG directly connects to fiber optic modules through
differential driver/receiver pins. Features include full duplex
operations, 125 MHz clock recovery from incoming serial
NRZI data stream, and 125 MHz transmit clock generation.

MC68837

The FDDI System Interface (FSI) is a high performance
interface device which can easily connect to any bus including
high speed processors, little- and bi~ndian busses, and
multiplexed/non-multiplexed address data busses. Its
primary purpose is to interface the FDDI protocol devices to
the user system bus. FSI features include support for a ring
buffer structure, addressing flexibility, programmable
partitioned 8K bytes internal RAM for temporary data storage,
two 32-bit ports, the ability to sustain up to 250 I-ls bus
latencies, support for synchronous and asynchronous frames,
and the ability to chain multiple buffers per frame.

Support Software
M68KESW-PC1

Elasticity Buffer and Link Manager
The Elasticity Buffer and Link Manager (ELM) implements
the remaining of the PHY functions of the FDDI standard
including data framing, elasticity buffer, encoding, decoding,
smoothing, line state detection, and repeat filter. The ELM also
implements some Station Management (SMT) functions such
as the Connection Management (CMT), Physical Connection
Management (PCM), Physical Connection Insertion (PCI),
and Link Error Monitor (LEM).

Motorola Master Selection Guide

The Media Access Controller (MAC) implements the MAC
portion of the FDDI standard. The MAC protocol is the lower
sub-layer of the ISO OSI data link layer and provides for fair
and deterministic sharing of the physical medium, address
recognition, frame check sequence generation and
verification, frame insertion, frame repetition, frame removal,
token generation, and certain error recovery procedures.
Features on the MC68838 include independent receive and
transmit data paths and state machines, bridging support
including a bit order reversal option, a count and void frame
bridge stripping algorithm, and CRC appendage on a per
frame basis. The MAC also contains an interface to Content
Addressable Memory (CAM) for individual and multicast
address recognition.

2.2-13

This Intermetrics software package is for the 68K Family
(68000,68008, 68HC001, 68010, 68020, 68030, 68EC030,
68040, 683xx). The MC68KESW InterTools package includes
C compiler, assemblerllinker, run-time libraries, and one year
of support from Intermetrics.

M68040FPSP
This software provides 68040 floating point emulation of
unimplemented 68881/68882 functions. Contact factory for
license agreement.

The M68000 Family

The M68000 Family

2.2-14

Motorola Master Selection Guide

The M88000 RiSe Family

In Brief ...
Page
Architecture, Performance,
and Software Compatibility ..................... . 2.3-2
Microprocessors .............................. . 2.3-2
Cache/Memory Management Units .............. . 2.3-3

Motorola's 88000 Family comes from the only company
committed to long-term upward software compatibility
through such features as hardware interlocked and
protected pipelines. Our goal is to make sure each
generation of the 88000 RISC family delivers a high
performance level while maintaining software compatibility.

Motorola Master Selection Guide

2.3-1

The M88000 RiSe Family

Motorola's 88000 RiSe Microprocessors
... a performance architecture
A common register file provides data sharing and
synchronization control among the execution units through
register scoreboarding.
The MC88100 addresses a variety of applications
requiring high operational
speeds and efficient,
fast-execution architectures. All data manipulation
instructions are nondestructive register to register or register
with immediate operations, allowing both fast operand access
and operand reuse. IEEE 754 floating-point arithmetic is
supported in the processor. Instruction and data memory
space are accessed through separate memory ports, allowing
simultaneous access to dedicated memory areas. The 88000
Family includes the MC88200 CMMU (cache/memory
management unit), which adds high-speed memory caching,
two-level, demand-paged memory management, and
support for shared-memory multiprocessing. The 88000
Family also includes a full line of highly optimizing compilers,
operating systems, development boards, and development
tools.

Architecture, Performance, and
Software Compatibility
The 88000 RISC was designed from the start for
superscaler implementations. In fact, the design of the second
generation 88110 microprocessor is a unique superscaler
implementation called Symmetric Superscalar™. The
Symmetric Superscaler design allows you to execute multiple
instructions in a single clock cycle without any restrictions on
instruction ordering. So there are no wait states or
performance penalties because of out of order instructions.
Also, while other RISC microprocessors may be limited in
the instructions they can execute in a single clock cycle,
members of the 88000 are able to execute multiple
instructions per clock cycle, thus providing the performance
edge required for next generation system designs.

Performance Plus Software
Compatibility

MC88110RC
32-Bit RISC Microprocessor

Although high performance is recognized as a key feature
for systems design, software compatibility is also important.
Motorola's 88000 Family comes from the only company
committed to long term upward software compatibility through
such features as hardware interlocked and protected
pipelines. Our goal is to make sure each generation of the
88000 RISC family delivers a high performance level while
maintaining software compatibility. This gives the opportunity
for designing one of the industry's highest performance
systems, while leveraging your largest dollar investment in
new systems, your software.
Software compatibility is also promoted through standards
to provide an open systems environment benefitting system
companies, software developers, and end users because
88000 based systems from different vendors will run all of the
same software.

The MC88110 is the second implementation of the 88000
family of reduced instruction set computer (RISC)
microprocessors. The MC88110 is a SymmetriC Superscalar
machine capable of issuing and retiring two instructions per
clock without any special alignment, ordering, or type
restrictions on the instruction stream. Instructions are issued
to multiple execution units, execute in parallel, and can
complete out of order, with the machine automatically keeping
results in the correct program sequence. The Symmetric
Superscalar design allows sustained performance to
approach the peak performance capability.
The MC88110 uses dual instruction issue and simple
instructions with extremely rapid execution times to yield
maximum efficiency and throughput for 88000 systems.
Instructions either execute in one clock cycle, or effective one
clock cycle execution is achieved through internal pipe lining.
Ten independent execution units communicate with a general
register file and an extended register file through multiple
8D-bit internal buses. Each of the register files has sufficient
bandwidth to supply four operands and receive two results per
clock cycle. Each of the pipelined execution units, including
those that execute floating-point and data movement
instructions, can accept a new instruction and retire a previous
instruction on every clock cycle.
In a single chip implementation, the MC88110 integrates
the central processing unit, floating point unit, graphics
processing unit, virtual memory address translation,
instruction cache, and data cache. The MC88110 maintains
compatibility with MC88100 user application software.

Microprocessors
MC88100RC
32-Bit RISC Microprocessor
The MC881 00 is the first processor in the 88000 Family of
RISC (reduced instruction set computer) microprocessors.
Implemented with Motorola's HCMOS technOlogy, the
MC88100 incorporates 32-bit registers, data paths, and
addresses. In designing the MC881 00, Motorola has
incorporated a high degree of fine-grain parallelism; four
independent execution units maintain separate, fully
concurrent execution pipelines. Most instructions operate in
one machine cycle or effective concurrent execution can be
accomplished through internal pipelines in one machine cycle.

The M88000 RiSe Family

2.3--2

Motorola Master Selection Guide

maintained by MC88204 hardware. The block address
translation cache (BATC) is a 1D-entry cache, loaded by
software, containing translations for 512K-byte memory
blocks. The BATC translations are used for operating system
software or for other memory-resident instructions and data.
In addition, the MMU provides access control for the two
logical address spaces. The CMMU data cache is a 64K-byte,
four-way set-associative cache for instruction or data
storage. The cache incorporates memory-update policies
and
cache-coherency
mechanisms
that
support
multiprocessor applications. The MC88204 CMMU also
includes an MC8810O-compatible processor bus (P bus)
interface and memory bus (M bus) interface.
The MC88204 CMMU is completely software and pin-level
compatible with the MC88200 16K-byte CMMU. The
functionality of the MC88204 is identical to that of the
MC88200. With board layout constraints in mind, a central
processing unit (CPU) may use up to two MC88204 CMMUs
on the data P bus and up to two MC88204 CMMUs on the
instruction P bus to increase data cache and ATC sizes.

Cache/Memory
Management Units
MC88200RC
16-Kilobyte Cache/Memory
Management Unit (CMMU)
The MC88200 CMMU is a high-performance, HCMOS
VLSI device providing zero-wait-state memory management
and data caching. The MMU (memory management unit)
efficiently supports a demand-paged virtual memory
environment
with
two
logical
address
ranges
(user/supervisor) of 4 gigabytes each. Translated addresses
are provided by one of two ATCs (address translation caches),
providing address translation in one clock cycle for most
memory accesses. The PATC (page address translation
cache) is a 56-entry, fully associative cache containing
recently used translations for 4-kilobyte memory pages and
is maintained by MC88200 hardware. The BATC (block
address translation cache) is a lD-entry cache, loaded by
software, containing translations for 512-kilobyte memory
blocks. The BATC translations are used for operating system
software or for other memory-resident instructions and data.
In addition, the MMU provides access control for the two
logical address spaces. The CMMU data cache is a
16-kilobyte, four-way, set-associative cache for instruction
or data storage. The cache incorporates memory-update
policies and cache-coherency mechanisms that support
multiprocessor applications. The MC88200 CMMU also
includes an MC8810D-compatible P bus (processor bus)
interface and an M bus (memory bus) interface. A processor
may use two or more CMMUs for increased data cache and
ATC sizes.

MC88410
Secondary Cache Controller
The MC88410 is a highly integrated secondary cache
controller for the MC88110 microprocessor that reduces
memory latency and extends multiprocessing capability for
those seeking the highest level of system performance. Used
with the MCM6211 0 Fast Static RAM, it provides a functionally
complete secondary cache solution for both uniprocessor and
multiprocessor environments. The MC88410 provides tag,
control and buffering for 1/4, 1/2, and 1 Mbyte secondary
cache configurations, all in a single chip cache controller. The
MC88410 eliminates external logic between the processor
and the secondary cache, provides bus arbitration for the
MC88110, and requires no external programming.
The MC88410 and MCM62110 are optimized to provide
low latency memory access to the MC8811 0 processor. Initial
accesses incur only one wait state. Subsequent transactions
in a burst incur zero wait states. Data streaming to the
processor reduces the penalty on secondary cache misses.
The MC88410 expands the MC88110's system flexibility
by providing a choice of secondary cache line size, burst byte
ordering, and system clock frequency. The MC8841 0 extends
the MC88110 multiprocessing capability by significantly
reducing system bandwidth consumption. This increased
available bandwidth, along with the MC88410's hardware
enforced cache coherency protocol, enable the
implementation of dual bus systems and scalable shared-bus
multiprocessing systems.

MC88204RC
64K-8yte Cache/Memory
Management Unit (CMMU)
The MC88204 CMMU is a high-performance, HCMOS
VLSI device providing zero-wait-state memory management
and data caching. The memory management unit (MMU)
efficiently supports a demand-paged virtual memory
environment with two logical address ranges (user/
supervisor) of 4 Gbytes each. Translated addresses are
provided by one of two address translation caches (ATCs),
providing address translation in one clock cycle for most
memory accesses. The page address translation cache
(PATC) is a 56-entry, fully associative cache containing
recently used translations for 4K-byte memory pages and is

Motorola Master Selection Guide

2.3-3

The M88000 RiSe Family

The M88000 RiSe Family

2.3-4

Motorola Master Selection Guide

The PowerPCTM RISC Family
Microprocessors

In Brief ...
Page
PowerPCTM RISC Microprocessors. . . . . . . . . . . . . . .. 2.4-2
MPC601 RISC Microprocessor. . . . . . . . . . . . . . . . . .. 2.4-2
MPC602 RISC Microprocessor . . . . . . . . . . . . . . . . . .. 2.4-3
MPC603 RISC Microprocessor. . . . . . . . . . . . . . . . . .. 2.4-3
MPC603e RISC Microprocessor. . . . . . . . . . . . . . . . .. 2.4-6
MPC604 RISC Microprocessor . . . . . . . . . . . . . . . . . .. 2.4-9
MPC604e RISC Microprocessor. . . . . . . . . . . . . . . . .. 2.4-9
MPC620 RISC Microprocessor .................. 2.4-13
MPC105 PCI Bridge/Memory Controller .......... 2.4-15
MPC106 PCI Bridge/Memory Controller .......... 2.4-16

The PowerPC architecture is derived from the IBM
Performance Optimized with Enhanced RISC (POWER)
architecture. The PowerPC architecture shares all olthe
benefits of the POWER architecture but is optimized for
single-chip implementations. The architecture design
emphasizes parallel instruction execution and high
throughput and allows for exceptional floating-point
performance. The PowerPC architecture is powerful
today and is scalable from palmtops to mainframes.

Motorola Master Selection Guide

2.4-1

The PowerPC RISC Family Microprocessor

PowerPCTM RISC
Microprocessors

• A flexible architecture definition that allows certain
features to be performed in either hardware or with
assistance from implementation-specific software
depending on the needs of the processor design.
• User-level instructions for explicitly storing, flushing, and
invalidating data in the on-chip caches. The architecture
also defines special instructions (cache block touch
instructions) for speculatively loading data before it is
needed, potentially reducing the effect of memory latency.
• Definition of a memory model that allows weakly-ordered
memory accesses. This allows bus operations to be
reordered dynamically, which improves overall
performance and in particular reduces the effect of
memory latency on instruction throughput.
• Support for separate instruction and data caches
(Harvard architecture) and for unified caches.
• Support for both big- and little-end ian addressing modes.
• Support for 64-bit addressing. The architecture supports
both 32-bit or 64-bit implementations. This document
typically describes the architecture in terms of the 64-bit
implementations in those cases where the 32-bit subset
can be easily deduced.

The PowerPC Architecture™, developed jointly by
Motorola, IBM, and Apple, is based on the POWER
Architecture™ implemented by the RISC System/6000™
family of computers. The PowerPC architecture takes
advantage of recent technological advances in such areas as
process technology, compiler design, and RISC (reduced
instruction set computer) microprocessor design to provide
software compatibility across a diverse family of
implementations, primarily singlEH:hip microprocessors,
intended for a wide range of systems, including
battery-powered personal computers, embedded controllers,
high-end scientific and graphics workstations, and
multiprocessing, microprocessor-based mainframes.
To provide a single architecture for such a broad
assortment of processor environments, the PowerPC
architecture is both flexible and scalable.
The flexibility of the PowerPC architecture offers many
price/performance options. Designers can choose whether to
implement architecturally-defined features in hardware or in
software. For example, a processor designed for a high-end
workstation has greater need for the performance gained from
implementing
floating-point
normalization
and
denormalization in hardware than a battery-powered,
general-purpose computer might.
The PowerPC architecture is scalable to take advantage of
continuing technological advances - for example, the
continued miniaturization of transistors makes it more feasible
to implement more execution units and a richer set of
optimizing features without being constrained by the
architecture.
The PowerPC architecture defines the following features:
• Separate 32-entry register files for integer and
floating-point instructions. The general-purpose registers
(GPRs) hold source and target data for integer arithmetic
instructions, and the floating-point registers (FPRs) hold
source and target data for floating-point arithmetic
instructions.
• Instructions for loading and storing data between the
memory system and either the FPRs or GPRs.
• Uniform-length instructions to allow simplified instruction
pipe lining and parallel processing instruction dispatch
mechanisms.
• Nondestructive use of registers for arithmetic instructions
in which the second, third, and sometimes the fourth
operand, typically specify source registers for calculations
whose results are typically stored in the target register
specified by the first operand.
• A precise exception model (with the option of treating
floating-point exceptions imprecisely).
• Floating-point support that includes IEEE-754
floating-point operations.
• The ability to perform both single- and double-precision
floating-point operations.

The PowerPC RISC Family Microprocessor

MPC601 RISC
Microprocessor
The MPC601 is the first implementation of the PowerPC
architecture. The MPC601 implements the 32-bit portion of
the PowerPC architecture, which provides 32-bit effective
(logical) addresses, integer data types of 8, 16, and 32 bits,
and floating-point data types of 32 and 64 bits. For 64-bit
PowerPC implementations, the PowerPC architecture
provides 64-bit integer data types, 64-bit addressing, and
other features required to complete the 64-bit architecture.
The MPC601 is a superscalar processor capable of issuing
and retiring three instructions per clock, one to each of three
execution units. Instructions can complete out of order for
increased performance; however, the MPC601 makes
execution appear sequential.
The MPC601 integrates three execution units-an integer
unit (IU), a branch processing unit (BPU), and a floating-point
unit (FPU). The ability to execute three instructions in parallel
and the use of simple instructions with rapid execution times
yield high efficiency and throughput for MPC601-based
systems. Most integer instructions execute in one clock cycle.
The FPU is pipelined so a single-precision multiply-add
instruction can be issued every clock cycle.
The MPC601 includes an on-chip, 32-Kbyte, eight-way
set-associative, physically addressed, unified instruction and
data cache and an on-chip memory management unit (MMU).
The MMU contains a 256-entry, two-way set-associative,
unified translation look-aside buffer (UTLB) and provides
support for demand paged virtual memory address translation
and variable-sized block translation. Both the UTLB and the
cache use least recently used (LRU) replacement algorithms.

2.4-2

Motorola Master Selection Guide

The MPC601 has a 64-bit data bus and a 32-bit address
bus. The MPC601 interface protocol allows multiple masters
to compete for system resources through a central extemal
arbiter. Additionally, on-chip snooping logic maintains cache
coherency in multiprocessor applications. The MPC601
supports single-beat and burst data transfers for memory
accesses; it also supports both memory-mapped I/O and I/O
controller interface addressing.
The MPC601 uses an advanced, 3.6-volts (601) or 2.5
volts (601 v) CMOS process technology and maintains full
interface compatibility with TTL devices.

The MPC602 has a single bus interface used for
transferring both 32-bit addresses and either 32- or 64-bit
data. This bus is time-rnultiplexed. The MPC602 interface
protocol allows multiple masters to compete for system
resources through a central external arbiter. The MPC602
provides a three-state coherency protocol that supports the
modified, exclusive, and invalid (MEl) cache states. This
protocol is a compatible subset of the MESI
(modified/exclusive/sharedlinvalid) four-state protocol and
operates coherently in systems that contain four-state
caches.
The MPC602 uses an advanced, 3.3-V CMOS process
technology and maintains full interface compatibility with TTL
devices.

Block Diagram
Figure 1 provides a block diagram of the MPC601 that
illustrates how the execution units -IU, FPU, and BPUoperate independently and in parallel.

Block Diagram
The MPC602 block diagram in Figure 2 illustrates how the
execution units - IU, FPU, BPU, and LSU - operate
independently and in parallel.

MPC602 RISC
Microprocessor

MPC603 RISC
Microprocessor

The MPC602 is a low-cost, low-power implementation of
the PowerPC RISC architecture. The MPC602 implements
the 32-bit portion of the PowerPC architecture, which
provides 32-bit effective addresses, integer data types of 8,
16, and 32 bits, and floating-point data types of 32 and 64 bits.
Floating-point operations involving either 32- or 64-bit data
types in single-precision format are supported; however,
floating-point operations involving 64--bit data types in
double-precision format are not implemented in hardware
and are instead trapped for emulation in software.
The MPC602 has four execution units-an integer unit (IU),
a floating-point unit (FPU), a branch processing unit (BPU),
and a loadlstore unit (LSU). The ability to execute four
instructions in parallel and the use of simple instructions with
rapid execution times yield high efficiency and throughput for
MPC602--based systems. Most integer instructions execute
in one clock cycle. The FPU is pipelined such that typically
when the FPU pipeline is full, a single-precision instruction
can complete every clock cycle.
The MPC602 provides dynamic and static power-saving
modes. The three static modes nap, doze, and
sleep - progressively reduce the amount of power
dissipated by the processor.
The MPC602 provides independent on-chip, 4-Kbyte,
two-way set-associative, physically addressed caches for
instructions and data and on-chip instruction and data
memory management units (MMUs). The MPC602 MMUs
contain 32-entry, two-way set-associative, data and
instruction translation lookaside buffers (DTLB and ITLB). The
MPC602 provides an additional memory protection
mechanism not defined by the PowerPC architecture. The
602's protection-only mode can control whether instructions
can be fetched from 4-Kbyte instruction pages and whether
data can be written to 4-Kbyte data pages.

Motorola Master Selection Guide

The MPC603 is the first low-power implementation of the
PowerPC architecture. The MPC603 implements the 32-bit
portion of the PowerPC architecture, which provides 32--bit
effective (logical) addresses, integer data types of 8, 16, and
32 bits, and floating-point data types of 32 and 64 bits. For
64-bit PowerPC implementations, the PowerPC architecture
provides 64-bit integer data types, 64-bit addressing, and
other features required to complete the 64--bit architecture.
The MPC603 provides four software controllable
power-saving modes. Three olthe modes (the nap, doze, and
sleep modes) are static in nature, and progressively reduce
the amount of power dissipated by the processor. The fourth
is a dynamic power management mode that causes the
functional units in the MPC603 to automatically enter a
low-power mode when the functional units are idle without
affecting operational performance, software execution or any
external hardware.
The MPC603 is a superscalar processor capable of issuing
and retiring a maximum of three instructions per clock.
Instructions can execute out of order for increased
performance; however, the MPC603 makes completion
appear sequential.
The MPC603 integrates five execution units - an integer
unit (IU), a floating-point unit (FPU), a branch processing unit
(BPU), a load/store unit (LSU) and a system register unit
(SRU). The ability to execute five instructions in parallel and
the use of simple instructions with rapid execution times yield
high efficiency and throughput for MPC603--based systems.
Most integer instructions execute in one clock cycle. The FPU
is pipelined so a single-precision multiply-add instruction can
be issued every clock cycle.

2.4-3

The PowerPC RISC Family Microprocessor

,'

RTe

"(1NS'rRtlcTioN FETCH): .', "

INSTRUCTION UNIT

IRTCU I
I RTCL I

+

64-8IT DATA 8US (2 WORDS)
32-81T DATA BUS (1 WORD)

Figure 1. MPC601 Block Diagram

The PowerPC RiSe Family Microprocessor

2.4-4

Motorola Master Selection Guide

32 BIT

.I
I

•

32 BIT

SEQUENTIAL
FETCHER

l

~

3261T

BRANCH
PROCESSING
UNIT

EI]

INSTRUCTION
QUEUE

f--

a -32 BIT

DISPATCH UNIT

INSTRUCTION UNIT

3261T

!
INTEGER
UNIT

I:Z::::TI

-

~

~

GP
RENAME
REGISTERS

I

!

3261T

LOAD/STORE ~
UNIT

-

III
I

32 BIT

FPR FILE

~

FP
RENAME
REGISTERS

FLOATING
POINTUNI

cz::::::IJ
IFPSCRI

I

32 BIT

COMPLETION
UNIT

DMMU

~

IMMU

Is,,:~
I DTL6

POWER
TIME BASE
DISSIPATION
COUNTER!
CONTROL DECREMENTER
JTAG/COP
CLOCK
INTERFACE
MULTIPLIER

I

!

32 BIT
GPRFILE

32 BIT

I ARRAY

I TAGS I4-KBYTE
DCACHE

~~
I

IITL6

r-

PROCESSOR BUS INTERFACE

ARRAY

TAGS I4-KBYTE
I CACHE

~

I

TIME-MULTIPLEXED, 32-BIT ADDRESS BUS, 32/64-BIT DATA BUS

Figure 2. MPC602 Block Diagram

Motorola Master Selection Guide

2.4--5

The PowerPC RISC Family Microprocessor

The MPC603 provides independent on--chip, 8-Kbyte,
tw(}-way set-associative, physically addressed caches for
instructions and data and on-chip instruction and data
memory management units (MMUs). The MMUs contain
64-entry, tw(}-way set-associative, data and instruction
translation lookaside buffers (DTLB and ITLB) that provide
support for demand-paged virtual memory address
translation and variable-sized block translation.
The MPC603 has a selectable 32- or 64-bit data bus and
a 32-bit address bus. The MPC603 interface protocol allows
multiple masters to compete for system resources through a
central external arbiter. The MPC603 provides a three-state
coherency protocol that supports the Exclusive, Modified, and
Invalid cache states. This protocol is a compatible subset of
the MESI four-state protocol and operates coherently in
systems that contain four-state caches. The MPC603
supports single-beat and burst data transfers for memory
accesses; it also supports both memory-mapped I/O and I/O
controller interface addressing.
The MPC603 uses an advanced, 3.3-V CMOS process
technology and maintains full interface compatibility with TTL
devices.
Block Diagram
Figure 3 provides a block diagram of the MPC603 that
illustrates how the execution units -IU, FPU, BPU, LSU, and
SRU - operate independently and in parallel.
The MPC603 provides address translation and protection
facilities, including an ITLB, DTLB, and instruction and data
BAT arrays. Instruction fetching and issuing is handled in the
instruction unit. Translation of addresses for cache or external
memory accesses are handled by the MMUs.

MPC603e RISC
Microprocessor
The MPC603e is a low-power implementation of the
PowerPC RISC architecture. The MPC603e implements the
32-bit portion of the PowerPC architecture, which provides
32-bit effective addresses, integer data types of 8, 16, and 32
bits, and floating-point data types of 32 and 64 bits.
The MPC603e provides four software controllable
power-saving modes. Three ofthe modes (the nap, doze, and
sleep modes) are static in nature, and progressively reduce

The PowerPC RISC Family Microprocessor

the amount of power dissipated by the processor. The fourth
is a dynamic power management mode that causes the
functional units in the MPC603e to automatically enter a
low-power mode when the functional units are idle without
affecting operational performance, software execution, or any
external hardware.
The MPC603e is a superscalar processor capable of
issuing and retiring as many as three instructions per clock.
Instructions can execute out of order for increased
. performance; however, the MPC603e makes completion
appear sequential.
The MPC603e integrates five execution units - an integer
unit (IU), a floating-point unit (FPU), a branch processing unit
(BPU), a load/store unit (LSU), and a system register unit
(SRU). The ability to execute five instructions in parallel and
the use of simple instructions with rapid execution times yield
high efficiency and throughput for MPC603e-based systems.
Most integer instructions execute in one clock cycle. The FPU
is pipelined so a single-precision multiply-add instruction can
be issued every clock cycle.
The MPC603e provides independent on-chip, 16-Kbyte,
four-way set-associative, physically addressed caches for
instructions and data and on--chip instruction and data
memory management units (MMUs). The MMUs contain
64-entry, tw(}-way set-associative, data and instruction
translation lookaside buffers (DTLB and ITLB) that provide
support for demand-paged virtual memory address
translation and variable-sized block translation.
The MPC603e has a selectable 32- or 64-bit data bus and
a 32-bit address bus. The MPC603e interface protocol allows
multiple masters to compete for system resources through a
central extemal arbiter. The MPC603e provides a three-state
coherency protocol that supports the exclusive, modified, and
invalid cache states. This protocol is a compatible subset of
the MESI (modified/exclusive/shared/invalid) four-state
protocol and operates coherently in systems that contain
four-state caches. The MPC603e supports single-beat and
burst data transfers for memory accesses, and supports
memory-mapped I/O accesses.
The MPC603e uses an advanced CMOS process
technology and maintains full interface compatibility with TTL
devices. The MPC603e is implemented in both a 2.5-V
version (PID7V-603e) and a 3.3-V version (PID6-603e).
Block Diagram
Figure 4 provides a block diagram of the MPC603e that
illustrates how the execution units -IU, FPU, BPU, LSU, and
SRU - operate independently and in parallel.

2.4-6

Motorola Master Selection Guide

64-BIT
"-

~
SEQUENTIAL
FETCHER

BRANCH
PROCESSING
UNIT

64-BIT

"

~4-BIT

r--

I~: I
LR

INSTRUCTION
QUEUE

S
~~664-BIT

SYSTEM
REGISTER
UNIT

I

DISPATCH UNIT
INSTRUCTION UNIT
, ,64-BIT

+

!

INTEGER ~ f...I GPR FILE
UNIT
I GPRENAME
REGISTERS

64-BIT
"-

rr::TI
~

~

64-BIT
LOAD/STORE ~
UNIT

FPRENAM~I

REGISTERS

GJ

1

64-BIT

FPRFILE~

I"'""

y

FLOATINGPOINT UNIT

~

I FPSCR I

!

~
32-BIT

COMPLETION
UNIT

ISRS~§
I ARRAY

§
POWER
DISSIPATION
CONTROL
JTAG/COP
INTERFACE

r"S4-BIT

I DTLB

I

TIME BASE
COUNTER/

I~KBYT~r-

f t

32-BIT ADDRESS BUS

I
~§

IITLB

I
TAGS

TAGS DCACHE

CLOCK
MULTIPLIER
TOUCH LOAD BUFFER
COPYBACK BUFFER

:

IMMU

DMMU

ARRAY

8-KB~:tI CACHE

f

I
I

PROCESSOR BUS
INTERFACE

t

32-164-B1T DATA BUS

I

:

Figure 3. MPC603 Block Diagram

Motorola Master Selection Guide

2.4-7

The PowerPC RISC Family Microprocessor

64 BIT

I

~

I

SEQUENTIAL
FETCHER

64BIT

I

1 64BIT

BRANCH
PROCESSING UNIT

-

§13

INSTRUCTION
QUEUE

S
SYSTEM
REGISTER
UNIT

EI

~ 64BIT

r-

DISPATCH UNIT
INSTRUCTION UNIT

I
164 BIT

INTEGER
UNIT

l

I+- ....

l

64 BIT

GPRFILE .......
GPRENAME
REGISTERS

~

om=!

64 BIT

LOAD/STORE
~
UNIT

-

m

I

I

l

64 BIT

FPR FILE ~
FPRENAME
REGISTERS

I

FLOATINGPOINT UNIT

~

I FPSCR I
I

32 BIT
COMPLETION
UNIT

§
POWER
DISSIPATION
CONTROL
JTAGICOP
INTERFACE

IMMU

DMMU

I~~
DTLB I ARRAY
TIME BASE
COUNTER!
DECREMENTER
CLOCK
MULTIPLIER

64 BIT

~~
~

I
TAGS

TAGS 116-KBYTErDCACHE

TOUCH LOAD BUFFER

i

t

ARRAY

16-KBYTE~
I CACHE

i
PROCESSOR BUS
INTERFACE

COPYBACK BUFFER

32-BIT ADDRESS BUS
32-164-BIT DATA BUS

Figure 4. MPC603e Block Diagram

The PowerPC RISC Family Microprocessor

2.4-8

Motorola Master Selection Guide

MPC604 RISC
Microprocessor

MPC604e RISC
Microprocessor

The MPC604 is an implementation of the PowerPC family
of RISC microprocessors. The MPC604 implements the
PowerPC architecture as it is specified for 32-bit addressing,
which provides 32-bit effective (logical) addresses, integer
data types of 8, 16, and 32 bits, and floating-point data types
of 32 and 64 bits (single-precision and double-precision). For
64-bit PowerPC implementations, the PowerPC architecture
provides additional 64-bit integer data types, 64-bit
addressing, and related features.
The MPC604 is a superscalar processor capable of issuing
four instructions simultaneously. As many as six instructions
can finish execution in parallel. The MPC604 has six
execution units that can operate in parallel-floating-point
unit (FPU), branch processing unit (BPU), load/store unit
(LSU), two single-cycle integer units (SCI Us), and one
multiple-cycle integer unit (MCIU).
This parallel design, combined with the PowerPC
architecture's specification of uniform instructions that allows
for rapid execution times, yields high efficiency and
throughput. The MPC604's rename buffers, reservation
stations, dynamic branch prediction, and completion unit
increase instruction throughput, guarantee in--order
completion, and ensure a precise exception model. (Note that
the PowerPC architecture specification refers to all exceptions
as interrupts.)
The MPC604 has separate memory management units
(MMUs) and separate 16-Kbyte on-chip caches for
instructions and data. The MPC604 implements two
128-entry, two-way set (64-entry per set) associative
translation lookaside buffers (TLBs), one for instructions and
one for data, and provides support for demand-paged virtual
memory address translation and variable-sized block
translation. The TLBs and the cache use least-recently used
(LRU) replacement algorithms.
The MPC604 has a 64-bit external data bus and a 32-bit
address bus. The MPC604 interface protocol allows multiple
masters to compete for system resources through a central
external arbiter. Additionally, on-chip snooping logic
maintains data cache coherency for multiprocessor
applications. The MPC604 supports single-beat and burst
data transfers for memory accesses and memory-mapped
I/O accesses.
The MPC604 uses an advanced, 3.3--V CMOS process
technology and is fully compatible with TTL devices.

The MPC604e is an implementation of the PowerPC family
of RISC microprocessors. The MPC604e implements the
PowerPC architecture as it is specified for 32-bit addressing,
which provides 32-bit effective (logical) addresses, integer
data types of 8, 16, and 32 bits, and floating-point data types
of 32 and 64 bits (single-precision and double-precision). For
64-bit PowerPC implementations, the PowerPC architecture
provides additional 64-bit integer data types, 64-bit
addressing, and related features.
The MPC604e is a superscalar processor capable of
issuing four instructions simultaneously. As many as seven
instructions can finish execution in parallel. The MPC604e has
in
seven
execution
units
that
can
operate
parallel - floating-point unit (FPU), branch processing unit
(BPU), condition register unit (CRU), load/store unit (LSU), two
single-cycle integer units (SCIUs), and one multiple-cycle
integer unit (MCIU).
This parallel design, combined with the PowerPC
architecture's specification of uniform instructions that allows
for rapid execution times, yields high efficiency and
throughput. The MPC604e's rename buffers, reservation
stations, dynamic branch prediction, and completion unit
increase instruction throughput, guarantee in--order
completion, and ensure a precise exception model. (Note that
the PowerPC architecture specification refers to all exceptions
as interrupts.)
The MPC604e has separate memory management units
(MMUs) and separate 32-Kbyte on-chip caches for
instructions and data. The MPC604e implements two
128-entry, two-way set associative translation lookaside
buffers (TLBs), one for instructions and one for data, and
provides support for demand-paged virtual memory address
translation and variable-sized block translation. The TLBs
and the cache use least-recently used (LRU) replacement
algorithms.
The MPC604e has a 64-bit external data bus and a 32-bit
address bus. The MPC604e interface protocol allows multiple
masters to compete for system resources through a central
external arbiter. Additionally, on-chip snooping logic
maintains data cache coherency for multiprocessor
applications. The MPC604e supports single-beat and burst
data transfers for memory accesses and memory-mapped
I/O accesses.
The MPC604e uses an advanced, 2.5-V CMOS process
technology and is fully compatible with TTL devices.

Block Diagram
Figure 5 provides a block diagram showing features of the
MPC604. Note that this is a conceptual block diagram
intended to show the basic features rather than an attempt to
show how these features are physically implemented on the
chip.

Motorola Master Selection Guide

Block diagram
Figure 6 provides a block diagram of the MPC604e.

2.4--9

The PowerPC RISC Family Microprocessor

:;!

'"

~
:u
()

:D

Ui

o

"'3"
~

128 BIT

;;:
~.

~
~

TIME BASE
COUNTER/DECREMENTER
CLOCK
MULTIPLIER

INSTRUCTION
QUEUE (8 WORD)

r---r

""

.'w,.o...Jj
•

" .

JTAG/COP
INTERFACE

"TI

cD"
c
Cil
!"
i:

t'"
o

i"

!XI

g
~

C

Ji"

64 BIT

iil
3

COMPLETION
UNIT

64 BIT

16-ENTRY
REORDER BUFFER

;;:

~

(3

i»

;;:

'~"

(/)

'"~

0"

:::J

Gl
c

a:

'"

~

FINISH LOAD 132 BIT
QUEUE

~

32-BIT ADDRESS BUS
64-BIT DATA BUS

BUS INTERFACE
UNIT

I
iD

s::

128

i
I-

IMMU

(J)
CD

~
~

G>

c:

~

TIME BASE

INSTRUCTION
(8 WORD)

COUNTE~DECREMENTER

CLOCK
MULTIPLIER

~
1'~'T
~'<

JTAG/COP
INTERFACE

!!
ce
c

I

I:l

L:J

I~

.BHT

ia

!»
3i:
"II

'"t

I

n
(I)

0
01>
CD

RENAME
BUFFERS (8)

ED

~
•

0'

n

~

C

iii·

ce
iil

-i

::r

CD

"'C
0
CD
==

:u

(")

Jl

iii
()

"T1
CD

2.
-<
s::
o·

a

a
0

CD

(J)
(J)

Q

I

32 BIT

64 BIT

3

TAGS

COMPLETION UNIT

32-KBYTE
I CACHE

64 BIT
1S-ENTRY
REORDER BUFFER

DMMU

~

STORE QUEUE

~
32-8IT ADDRESS BUS

FINISH LOAD I 32 BIT
QUEUE

~

~
~

DBAT
ARRAY

TAGS

32-KBVTE
DCACHE

BUS INTERFACE
UNIT

New Features of the MPC604e
Features of the MPC604e that are not implemented in the
MPC604 are as follows:
• Additional special-purpose registers
- HID1 provides four read-<>nly PLL_CFG bits for
indicating the processorlbus clock ratio.
- Three additional registers support the performance
monitor-MMCR1 is a second control register that
includes bits to support the use of two additional
counter registers, PMC3 and PMC4.
• Instruction execution
- Separate units for branch and condition register (CR)
instructions. The BPU is now split into a CR logical
unit and a branch unit, which makes it possible for
branch instructions to execute and resolve before
preceding CR logical instructions. The MPC604e can
still only dispatch one CR logical or branch instruction
per cycle, but it can execute both branch and CR
logical instructions at the same time.
- Branch correction in decode stage. Branch correction
in the decode stage can now predict branches whose
target is taken from the count or link registers if no
updates of the count and link register are pending.
This saves at least one cycle on branch correction
when the mtspr instruction can be sufficiently
separated from the branch that uses the SPR as a
target address.
- Ability to disable the branch target address cache
(BTAC)-HIDO[30) has been defined to allow the
BTAC to be disabled. When HIDO[30) is set, the BTAC
contents are invalidated and the BTAC behaves as if it
were empty. New entries cannot be added until the
BTAC is enabled.
• Improvements to cache implementation
- 32-Kbyte split data and instruction caches. Like the
604, both caches are four-way set associative;
however, each cache has twice as many sets,
logically separated into 128 sets of odd lines and 128
sets of even lines.
- Data cache line-fill buffer forwarding. In the 604 only
the critical double word of a burst operation was made
available to the requesting unit at the time it was burst
into the line-fill buffer. Subsequent data was
unavailable until the cache block was filled. On the
MPC604e, subsequent data is also made available as
it arrives in the line-fill buffer.
- Additional cache copyback buffers. The MPC604e
implements three copyback write buffers (as opposed
to one in the 604). Having multiple copyback buffers
provides the ability for certain instructions to take fuller

The PowerPC RISC Family Microprocessor

advantage of the pipelined system bus to provide
more efficient handling of cache copyback, block
invalidate operations caused by the data cache block
flush (debf) instruction, and cache block clean
operations resulting from the data cache block store
(debst) instruction.
- Coherency support for instruction fetching. Instruction
fetching coherency is controlled by HIDO[23). In the
default mode, HIDO[23) is 0, GBL is not asserted for
instruction accesses, as is the case with the 604. If
the bit is set, and instruction translation is enabled
(MSR[IR) 1), the GBL signal is set to reflect the M bit
for this page or block. If instruction translation is
disabled (MSR[IR) 0), the GBL signal is asserted.
• System interface operation
- The MPC604e has the same pin configuration as the
MPC604; however, on the MPC604e VDD and AVDD
must be connected to 2.5 Vdc and OVDD must be
connected to 3.3 Vdc. The MPC604e uses split
voltage planes, and for replacement compatibility,
MPC604/MPC604e designs should provide both
2.5-V and 3.3-V planes and the ability to connect
those two planes together and disable the 2.5-V
plane for operation with an MPC604.
- Support for additional processor/bus clock ratios (5:2
and 4:1). Configuration of the processor/bus clock
ratios is displayed through a new MPC604e-specific
register, HID1.
- To support the changes in the clocking configuration,
different precharge timings for the ABB, DBB, ARTRY,
and SHD signals are implemented internally by the
processor. The precharge timings for ARTRY and
SHD can be disabled by setting HIDO[7).
- No-DRTRY mode. In addition to the normal and fast
L2 modes implemented on the 604, a no-DRTRY
mode is implemented on the MPC604e that improves
performance on read operations for systems that do
not use the DRTRY signal. No-DRTRY mode makes
read data available to the processor one bus clock
cycle sooner than in normal mode. In no-DRTRY
mode, the DRTRY signal is no longer sampled as part
of a qualified bus grant.
• Full hardware support for little-endian accesses.
Little-endian accesses take alignment exceptions for
only the same set of causes as big-endian accesses.
Accesses that cross a word boundary require two
accesses with the lower-addressed word accessed first.
• Additional enhancements to the performance monitor.

2.4-12

=

=

Motorola Master Selection Guide

MPC620 RISC

Microprocessor
The MPC620 is an implementation ofthe PowerPCTM family
of RISC microprocessors. The MPC620 implements the
PowerPC architecture as it is specified for 64-bit addressing,
which provides 64-bit effective (logical) addresses, integer
data types of 8, 16, 32, and 64 bits, and floating-point data
types of 32 and 64 bits (single-precision and
double-precision). The MPC620 is software compatible with
the 32-bit versions of the PowerPC microprocessor family.
The MPC620 is a superscalar processor capable of issuing
four instructions simultaneously. As many as six instructions
can finish execution in parallel. The MPC620 has six
execution units that can operate in parallel - floating-point
unit (FPU), branch processing unit (BPU), load/store unit
(LSU), two single-cycle integer units (SCI Us), and one
multiple-cycle integer unit (MCIU).
This parallel design, combined with the PowerPC
architecture's specification of uniform instructions that allows
for rapid execution times, yields high efficiency and
throughput. The MPC620's rename buffers, reservation
stations, dynamic branch prediction, and completion unit
increase instruction throughput, guarantee in-order
completion, and ensure a precise exception model.

Motorola Master Selection Guide

2.4-13

The MPC620 has separate memory management units
(MMUs) and separate 32-Kbyte on-chip caches for
instructions and data. The MPC620 implements a 128-entry,
two-way set-associative translation lookaside buffer (TLB)
for instructions and data, and provides support for
demand-paged virtual memory address translation and
variable-sized block translation. The TLB and the cache use
least-recently used (LRU) replacement algorithms.
The MPC620 has a 4Q-bit address bus, and can be
configured with either a 64- or 128-bit data bus. The MPC620
interface protocol allows multiple masters to compete for
system resources through a central external arbiter.
Additionally, on-chip snooping logic maintains data cache
coherency for multiprocessor applications. The MPC620
supports single-beat and burst data transfers for memory
accesses and memory-mapped I/O accesses.
The MPC620 uses an advanced, 3.3-V CMOS process
technology and is compatible with 3.3-V CMOS devices.

Block Diagram
Figure 7 provides a block diagram showing features of the
MPC620. Note that this is a conceptual block diagram
intended to show the basic features rather than an attempt to
show how these features are physically implemented on the
chip.

The PowerPC RISC Family Microprocessor

--l

;r

'"

"'lJ

~

'=0"

0

:IJ

iii
0

~

-n

~

~

.--_ _ _ _ _ _ _ _ _ _ _ _-+......,-1

;;::

o·

a
a

"3len

TIME BASE
COUNTER/DECREMENTER

Q

I

CLOCK
MULTIPLIER

:"'"I

s:

""0

I

(')

'"c

I\)

!D

0"

n

:0\"

C

iii'

ce

iii
3

t

I

RESERVATION
STATION (2 ENTRY)

.+

;;::

aiii"

I

M. ULTfPLE.;.
CYClEINTEGEfj
UNIT

a::::::J

INSTRUCTION
QUEItE
8 WORD)

JTAG/COP
INTERFACE

128 BIT

• I
RESERVATION
STATION (2 ENTRYI

I

I

.GJ

~.

c::

c:

'"

I

.---

rsLB1
~

IBAT
ARRAY

1UTLB

I

COMPLETION
UNIT
16 ENTRY
REORDER BUFFER

64 BIT

~

1

'----

128 BIT
,132-KBYTEI
TAGS 1 I CACHE 1

t

+

§

FINISH STORE COMPLETED
QUEUE STORE QUEUE
~ ~

~ ~

DMMU
1 ERAT

1-

LOAD QUEUE
64 BIT

~

fRBRAJy

64 BIT

H I
TAGS

IUTLBi
-

156 BIT

rp

__

Iif
CD

[]if[]

1

CAlCULAt~N'1

641128-BIT DATA BUS

o

~

i

40-BIT ADDRESS BUS

g

DISPATCH UNIT

1ERAT 1

RESERVATION
RESERVATION I
GPR FILE
STATION (3 ENTRYI
FPR FILE
STATION (2 ENTRYII
RENAME
RENAME
SlNGJ..EBUFFERS (8) 64 BIT LOAD/STORE 64 BIT BlUFFERS 8) 64 BIT FLOATING'CVCLEINTEGER
~ ~ . UNIT ~
:
~ 901NTUk'IT'
UNITSEA
fT
.64 BIT

•

;;::

:::J
G)

I MMU

im
t

J

;

CR
RENAMEBUFFERS
(16)

156 BIT

1~~1;f~~~64~B~IT~:jI~±:I:::6:4B~IT~~~~~~~GJ~'~'I~==t--L~64~B~IT ~~~:J.
:
~

~

I

(]I;©

"T1

10'
c:

iil

t
;;:

FETCHER I

+

"0

I\>

INSTRUCTION UNIT
. .
.
BRANCH PROCESSING UNIT

64 BIT

j

128BIT

~~

32-KBYTE
D CACHE

-I..

r

PREDECODE

I

r--~-"'-----'
BUS
L2
INTERFACE
CACHE _
UNIT
INTERFACE

I

I

write-back L2 cache. The L2 cache interface supports either
burst SRAMs or asynchronous SRAMs, and L2 data a
per-byte basis. The MPC1 05 features on--chip byte decoding
for L2 data write enables or can be configured to use external
logic for data write enable generation.
The PCI interface connects the processor and memory
buses to the PCI bus, to which I/O components are connected,
without the need for "glue" logic. This interface acts as both a
master and slave device.
The memory interface controls processor and PCI
interactions to main memory. It is capable of supporting a
variety of DRAM or SDRAM, and ROM or Flash ROM
configurations as main memory. The maximum supported
memory size is 1 Gbyte of DRAM or SDRAM, with 16 Mbytes
of ROM or 1 Mbyte of Flash ROM.
The MPC105 provides hardware support for four levels of
power reduction; the doze, nap, and sleep modes are invoked
by register programming, and the suspend mode is invoked by
assertion of an external signal. The design of the MPC105 is
fully static, allowing internal logic states to be preserved during
all power saving modes. The following sections describe the
programmable power modes provided by the MPC105.

MPC105 PCI
Bridge/Memory Controller
The MPC105 PCI bridge/memory controller (PCIB/MC)
provides a PowerPC reference platform--compliant bridge
between the PowerPC microprocessor family and the
peripheral component interconnect (PCI) bus. PCI support
allows system designers to rapidly design systems using
peripherals already designed for PCI and the other standard
interfaces available in the personal computer hardware
environment. The MPC105 integrates secondary cache
control and a high-performance memory controller that
supports DRAM, SDRAM, ROM, and Flash ROM. The
MPC105 uses an advanced, 3.3-V CMOS process
technology and is fully compatible with TTL devices.
The MPC1 05 provides an integrated high bandwidth, high
performance, TTL-compatible interface between a 60x
processor, a secondary (L2) cache or secondary 60x
processor, the PCI bus, and main memory.
The MPC105 supports a programmable interface to a
variety of PowerPC microprocessors operating at various bus
speeds. The 60x processor interface uses a subset of the 60x
bus protocol, which enables the interface between the
processor and MPC1 05 to be optimized for performance. The
MPC105's 60x interface allows for a variety of system
configurations by providing support for either a
direct-mapped, lookaside, L2 cache or a secondary 60x
processor. The L2 cache interface generates the arbitration
and support signals necessary to maintain a write-through or

Block Diagram
Figure 8 shows the MPC105 in a typical system
implementation. The major functional units within the MPC1 05
are also shown in Figure 1. Note that this is a conceptual block
diagram intended to show the basic features rather than an
attempt to show how these features are physically
implemented on the device.

I

60X PROCESSOR

60XDATA
60XADDRESS
60XCONTROL

r,.

I BUFFERS

MEMORY
(DRAM, SDRAM,
ROM, FLASH ROM

"

I

."

'

ADDRESS,

<
"',;'"",,' ,<':,":' ,;:

MEMORY
INTERFACE

~j 12CACHE ,'" CONTROL
<

"",

POWER
MANAGEMENT
SUPPORT

JTAG
INTERFACE

,'..... ,.,...

LCONTROL :l

, MPolW"

,'"
,

':J: INTERFACE"
60X
r,

t

~1

I

'

I

"

I

,"',';'

INTERFACE

r

,

'

INTERRUPT
DIRECTOR

'IINT:;~ACE

",,',,>.'

;J

12CACHE
OR
SECONDARY
60X
PROCESSOR

t,

~:'.:','>,

'

"".""
!

PCI ADDRESS/DATA
PCICONTROL

Figure 8. System Implementation and Block Diagram

Motorola Master Selection Guide

2,4--15

The PowerPC RISC Family Microprocessor

MPC106 PCI
Bridge/Memory Controller
The MPC10S provides a PowerPC common hardware
reference platform (CHRP) compliant bridge between the
PowerPC microprocessor family and the Peripheral
Component Interconnect (PCI) bus. PCI support allows
system designers to rapidly design systems using peripherals
already designed for PCI and the other standard interfaces
available in the personal computer hardware environment.
The MPC10S integrates secondary cache control and a
high-performance memory controller. The MPC10S uses an
advanced, 3.3-V CMOS process technology and is fully
compatible with TTL devices.
The MPC10S provides an integrated high-bandwidth,
high-performance, TTL-compatible interface between a SOx
processor, a secondary (L2) cache or secondary SOx
processor, the PCI bus, and main memory.
60x Processor Interface

The MPC10S supports a programmable interface to a
variety of PowerPC microprocessors operating at select bus
speeds. The SOx processor interface of the MPC10S uses a
subset of the SOx bus protocol, supporting single-beat and
burst data transfers. The address bus is 32 bits wide and the
data bus is 64 bits wide. The address and data buses are
decoupled to support pipelined transactions. PCI bus
accesses to system memory space are passed to the 60x
processor bus for snooping purposes. Two signals on the
MPC10S, LBCLAIM, and DBGLB, are provided for an optional
local bus slave. The local bus slave must be capable of
generating AACK and TA signals to interact with the SOx
processor(s). Depending on the system implementation, the
processor(s) may operate at the PCI bus clock rate, or at two
or three times the PCI bus clock rate. The bus is synchronous,
with all timing relative to the rising edge of the bus clock.
L2 Cache/Multiple Processor Interface

The MPC10S provides support for the following
configurations of SOx processors and L2 cache:
• A single SOx processor with no L2 cache
• A single SOx processor plus a direct-mapped, lookaside,
L2 cache
• A single SOx processor plus an external L2 cache
controller or integrated L2 cache module such as the
Motorola MPC2604GA integrated L2 lookaside cache
• Two SOx processors with no L2 cache
• Two SOx processors plus an external L2 cache controller
or integrated L2 cache module such as the Motorola
MPC2S04GA integrated L2lookaside cache

The PowerPC RISC Family Microprocessor

The internal L2 cache controller generates the arbitration
and support signals necessary to maintain a write-through or
write-back L2 cache. The internal L2 cache controller
supports either asynchronous SRAMs, pipelined burst
SRAMs, or synchronous burst SRAMs, using byte parity for
data error detection. When a second SOx processor is used,
three signals of the L2 interface (BR1, BG1, and DBG1)
change their functions to allow for arbitration between the SOx
processors. All SOx interface signals of the MPC106, except
the bus request, bus grant, and data bus grant signals, are
shared by the SOx processors. When an external L2 controller
(or integrated L2 cache module) is used, three signals of the
L2 interface (BRL2, BGL2, and DBGL2) change their functions
to allow the MPC10S to arbitrate between the external cache
and the SOx processor(s).
Memory Interface

The memory interface controls processor and PCI
interactions to main memory and is capable of supporting a
variety of DRAM, or extended data-out (EDO) DRAM and
ROM or Flash ROM configurations as main memory. The
maximum supported memory size is 1 Gbyte of DRAM or EDO
DRAM, with 1S Mbytes of ROM or Flash ROM. The memory
controller of the MPC1 OS supports the various memory sizes
through software initialization of on-chip configuration
registers. Parity or ECC is provided for error detection.
PCllnterface

The MPC106's PCI interface is compliant with the PCI
Local Bus Specification, Revision 2.1, and follows the
guidelines in the PCI System Design Guide, Revision 1.0for
host bridge architecture. The PCI interface connects the
processor and memory buses to the PCI bus, to which I/O
components are connected. The PCI bus uses a 32-bit
multiplexed address/data bus, plus various control and error
signals.
Figure 9 shows the major functional units within the
MPC10S. Note that this is a conceptual block diagram
intended to show the basic features rather than an attempt to
show how these features are physically implemented on the
device.

2.4-16

Motorola Master Selection Guide

L2CACHE
INTERFACE

MEMORY
INTERFACE

L2

60x PROCESSOR
INTERFACE

" MEMORY

60x BUS v

~OWER MANAGEMENTj....

I
I

TARGET

1

J ERROR/INTERRUPT
CONTROL

I

I I

MASTER
CONFIGURATION
REGISTERS

PCIINTERFACE

PCI BUS

v

Figure 9. MPC106 Block Diagram

Motorola Master Selection Guide

2.4-17

The PowerPC RISC Family Microprocessor

The PowerPC RISC Family Microprocessor

2.4-18

Motorola Master Selection Guide

Single-Chip
Microcontrollers (CSIC)

In Brief ...
Page

Motorola offers the most comprehensive selection of
high-performance single-chip control systems available
from a single source. Microcontroller device families range
from industry-standard 8-bit controllers to state-of-the-art
16- and 32-bit modular controllers. Within the price and
performance categories of each family, there are a variety of
on-chip capabilities to match specific applications.
Motorola device families are structured so that upward
migration need not involve complete code development.
The M68HC11 Family is upward code compatible with
M6800 and M6801 software, while the M68HC16 family is
source-code compatible with the M68HC11 family.
Motorola's newest 8-bit MCU product line, the M68HC08
family, is fully upward object code compatible with the
M68HC05 and M6805 families. In addition, M68300 and
M68HC16 devices share standard internal modules and
bus configurations.

Motorola Master Selection Guide

M68HC05 CSIC Family ......................... 2.5-2
M68HC08 Family .............................. 2.5-13
Development Tools ........................... 2.5-14
On-line Help ... . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2.5-23

2.5-1

Single-Chip Microcontrollers (CSIC)

M68HC05 CSIC Family
It all started with the 68HC05 Family, and Motorola's CSIC
(Customer-Specified Integrated Circuits) approach to
microcontroller design. Today, customers can select from over
70 mask ROM 68HC05 devices and over 30 one-time
programmable (OTP) 68HC705 devices - and that number
is growing all the time, as Motorola continues to develop
derivatives of the 68HC05 based on customer demand.
With so many standard 68HC05 microcontrollers from
which to choose, most customers will find the right device for
an application among these existing devices. For some
high-volume applications, however, a customer may opt for
Motorola to develop a new derivative to meet an application's
precise requirements. The result is a new microcontroller
which can then be added to the selection of standard devices.

family of microcontrollers with a variety of ROM sizes and
special features such as Serial InpuVOutput Port (SlOP) to
control display drivers and communicate with other
peripherals. Other options include AID input and on-chip
EEPROM for non-volatile data storage. Low-voltage and
high-speed versions are also available.
The flagship 68HC(7)08XL36 OTP and ROM versions are
the first two devices in the 68HC08 Family and are intended
for general purpose uses.

Low-Voltage Microcontrollers
The 68HC05 Family has been capable of 3.0 V operation
since 1980 and includes some 2.2 V selections. Recently,
Motorola announced several 68HC05 microcontrollers
capable of 1.8 Vdc and 500 kHz operation. This new
low-voltage capability affords a greater than threefold power
savings over 3.0 V versions of the same chips, a significant
design consideration for any portable electronic application.
The new devices are collectively designated 68HCL05 and
include the following versions: 68HCL05C4, C8, C12, J1A,
KO, P1, and P4. They are designed to provide lower-power
control technology to accommodate trends in portable
applications toward compactness, lightweight design, and
extended battery life.

M68HC05 Industry Solutions
Motorola's 68HC05 and 68HC08 Families consist of a
variety of microcontroller designs to meet the requirements of
a broad range of applications. The 68HC05 Family, already
over 100 devices strong, offers a wide range of standard
products from which to choose, while the flagship 68HC08
offers a large library of modules from which derivatives can be
developed.

68HC05 General-Purpose
M icrocontrollers

Automotive
68HC05 B-Family. EEPROM memory in these devices
makes it possible to store information that must be retained
after the power is removed. Applications include electric seat
control (storage of seat positions) and audio systems (storage
of radio stations).
68HC05 C- and D-Families. These general-purpose
microcontrollers are used for cruise control, ignition systems,
and in-car entertainment systems.
68HC05 J-, K-, and P-Families. With their low pin count
and low cost, these devices are ideal for automotive
applications such as car alarms, power windows, keyless
entry, and air bags.
68HC05 v- and X-Families. Both these groups contain
integrated automotive multiplex interfaces that allow them to
talk to other electronic modules within a vehicle. The V series
adds an on-chip voltage regulator.

68HC05 C-Family. These flexible, general-purpose
devices feature a wide variety of memory options capable of
handling complex programs. On-chip SCI provides
asynchronous communications, with software-selectable
baud rates from 75 Hz to 131 kHz. The high-speed,
synchronous 4-wire serial system SPI is ideal for driving
off-chip displays and peripherals.
All C-Family devices include a powerful 16-bit
free-running programmable counter in conjunction with input
capture and output compare functions for simultaneous input
waveform measurement and output waveform generation. A
watchdog timer guards against runaway software in noisy
environments.
The high-packing density of Motorola's HCMOS process
allows standard devices to run at bus frequencies up to
2.1 MHz. Motorola also offers high-speed versions which run
at frequencies up to 4.2 MHz from an 8.4 MHz crystal or
external clock. Low-voltage versions are available for
applications requiring extremely low power consumption to
extend battery life or minimize heat dissipation.
68HC05 J-Family. This 2D-pin family provides a low-cost,
low pin count, 8-bit upgrade for existing 4-bit applications. It
combines a powerful 68HC05 CPU with a flexible, 15-stage
multifunction timer and real-time interrupt capability.
68HC05 K-Family. Our lowest-cost family offers a 16-pin
count and is appropriate for logic replacement.
68HC05 P-Family. Born out of the CSIC design concept,
this family offers an extremely cost-competitive 28-lead

Single-Chip Microcontroliers (CSIC)

Computer
68HC05 BD-Family. These devices are ideal for computer
monitor applications. They include a horizontal and vertical
sync processor as well as 16 channels of pulse-width
modulation.
68HC05 C-Family. These are general purpose devices for
keyboard and monitor control.
68HC05 J-, P-, and E-Families. These low-cost, low pin
count devices are appropriate for applications like a cordless
PC mouse and trackball.

2.5--2

Motorola Master Selection Guide

68HC05 E-Family. Like the 68HC05 8-Series devices,
E-Series devices are ideal for number storage and keyboard
interrupt applications.
68HC05 F-Family. These devices - except for the F5,
which features an integrated DTMF receiver - include an
on-chip Dual-Tone Multi-Frequency Generator (DTMG) for
digital transmission and reception, as well as an LED drive for
user information. These features make the F-Family suitable
for a number of telecommunications applications, including
auto dialing, number storage, and display control.
68HC05 J- and P-Families. These low pin count,
low-cost
microcontrollers
have
a
variety
of
telecommunications uses, with features ranging from
EEPROM to multifunction timers.
68HC05 L-Family. With its large LCD driving capability
and low power consumption, this series is well-suited to
applications in hand-held communication equipment. The
on-chip tone generator and display functions can be used in
pager systems to alert users to incoming messages.

Consumer
68HC05 C- and D-Families. The multiple communication
lines (I/O ports, SCI and SPI) and free-running timer in this
group of devices make it possible to execute several tasks in
parallel. These features are used in consumer products like
CD players, automotive entertainment systems, and remote
controls.
68HC05 J-, K-, and P-Families. The free-running timer
in these cost-effective microcontrollers allows multitasking in
applications such as washing machines, oven controls, and
remote controls.
68HC05 L-Family. These low-power, small-footprint
devices can drive large LCD displays, making them ideal for
hand-held consumer products like portable CD players.

Industrial
68HC05 B-Family. On-chip features include EEPROM;
8-channel, 8-bit AID converter; and Pulse Length Modulated
outputs. Typical industrial applications include Programmable
Logic Controllers (PLC) and data acquisition systems.
68HC05 C- and D-Families. These general-purpose
devices can be used in applications such as process control
systems where multiple I/O lines and LED outputs are
required.
68HC05 J- and P-Families. These devices are popular in
low-cost industrial applications such as smoke detectors,
security devices, thermostats, and furnace ignition systems.
68HC05 L-Family. Multi-port controllers with LCD driver,
16-bit timer and watchdog timer on board. Excellent for
display panels requiring tone output and low power
consumption such as thermostats and alarms.
68HC705MC4. This device is intended for use in industrial
motor control and power supply applications.
68HC05 X-Family. These devices have Controlled Area
Network (CAN) controllers with 4K thru 32K ROM for
integrated messaging on factory automation, sensor, and
switch applications.

Television and Video
68HC05 B-Family. These devices are ideal for EEPROM
storage, with 256 bytes of EEPROM to store TV or satellite
channel frequencies and preset volume or brightness levels.
Features include Analog-ta-Digital (AID) conversion and
PWM.
68HC05 C- and D-Families. With up to 32K of user ROM,
these devices can be used in the television and video market
as general-purpose microcontrollers.
68HC05 CC-Family. Evolved from the T-Series,
CC-Series devices feature closed-caption Data Slicer (DSL)
and enhanced OSD features for decoding and displaying
closed captions.
68HC05CO. This device has no on-chip user ROM, but is
capable of addressing up to 64K of external memory, making
it ideal for applications that require large amounts of operating
code, like televisions. The 12C bus module and 4 MHz internal
bus speed also allow interconnection with standard TV
peripherals.
68HC05 K- and RC-Families. These devices are used in
remote control applications.
68HC05 T-Family. All T-Family devices have On Screen
Display (OSD) modules that can overlay graphical images
onto television screens. They also contain D/A converters that
can drive analog outputs like volume control, and AID
converters that can be used to automatically adjust the fine
tuning. Some members of the T-Series have 12C interfaces
that can communicate with industry-standard TV peripherals.

Telecommunications
68HC05 B-Family. These devices can store
user-programmable telephone numbers in 256 bytes of
non-volatile EEPROM memory. They can also communicate
with analog inputs like battery life in hand-held equipment,
using the AID module. The D/A module can be used to control
analog outputs such as telephone volume and line cards.
68HC05 C-Family. This group of microcontrollers has
proven useful as a general-purpose device for
communications applications.

Motorola Master Selection Guide

2.5-3

Single·Chip Microcontrollers (CSIC)

«

a:

USER ROM -1248 BYTES

w

I-

~

<.!l

w
z
0
;::
()
w
a:
is
~

a:

USER RAM - 64 BYTES

«

Ii:
~

tt)

ROM)
OSO
(128 Char
EPROM)

4K

176

MC68HCOSXI6

15K

352

255

MC68HC05X32

32K

528

255

1&-bit:
(tiC,10C)
MFT, RTI

Open Drain PWM Outputs
5 V Only

40 OIP- P
42S01P-B

Open Drain PWM Outputs
KBI (8 pms)
5 V Only

56S0IP-B

va

r/

12 V Open Drain
lines
(up to 22)
4 row aSD buffer
Timer output compare functions
do not have output pins

56S01P-B

24ifo

r/

KBI (8 pins)
SAE J1850 Serial Mux Interface
5 V Operation Only

44PLCC-FN

16 Vo

r/

CAN (Controller Area Network)
KBI (16 pins)

28S0IC-OW

16-bit:
(2IC,20C)

SCI+

8ch
(&-bit)

2 ch
(&-bit)

32 Vo

r/

CAN (Controller Area Network)
KBI (8 pins)
EEPROM Write Protec1
On--Chip Charge Pump

640FP-FU

16-bit:

SCI+

8ch
(&-bit)

2ch
(&-bit)

32 Vo

r/

CAN (Controller Area Network)
KBI (8 pins)
EEPROM WrHe Protec1
On--Chip Charge Pump

640FP-FU

(2IC,20C)

Single-Chip Microcontrollers (CSIC)

4001P-P
42S0IP-B

40 Va

(1IC,2DC)
MFT, RTI
MC68HC05X4

5 V Only

2.5-8

Motorola Master Selection Guide

ONE-TIME PROGRAMMABLE (OTP) I EMULATOR MCUs
All 68HC70S products have a standard operating voltage range from 3 V to S.S V unless noted in Comments.
All 68HC70S products have a standard operating temperature range from 0 - 70°C.
Contact a Motorola Sales Office for availability of extended temperature versions.

Table 2. One-Time Programmable (OTP)/Emulator MCUs
Motorola
Part
Number

EPROM
(ByI2s)

RAM
(ByI2s)

MC68HC705B5

6K

t76

MC68HC705Bt6

t5K

352

EEPROM
(Bytes)

255

Display
Drive

Timer

Serial

AID

PWM

110

COP

16-bit:
(2IC,20C)

SCI+

Bch
(B--bH)

2ch
(B-bit)

24 Va
Bi
20

01

Programmable Pulldowns
(t6 pins)
EPROM Write Protect

16-bit:
(2IC,20C)

SCI+

Bch
(B-bH)

2ch
(B-bit)

32 Vo
20

01

On-Chip Charge Pump
EEPROM Write Protect

t6-blt:
(2IC,2OC)

SCI+

Bch
(B-bH)

2ch
(B-bit)

32 i/o

01

16ch
(B-bit)

24 ito

01

Horizontal and Vertical Sync
Signal Processor

42SDIP-B
"42 CeiWip - K
4ODlP-P
"40 Cerdip -S

24 i/o
7i

01

Mask Option Register PuUups
(B pins)
KBI (8 pins)

4001P-P
44 PLCC-FN
42S01P-B
44QFP-FB

Comments

Packages

56 SDIP-8
52 PLCC- FN
52 PLCC- FN
*52 Cerquad-FS

64QFP- FU
XC68HC705B32

32K

52B

255

MC68HC705BD3

7,75K

256

MFT, RTI

12C

MC68HC705C4A

4K

t76

tB-bH:
(tIC,tOC)

SPI
SCI

On-Chip Charge Pump

EEPROM Wnte Protect

1 High Current Pin (20 rnA sink)

52PLCC-FN
5BSDIP-B
64 QFP- FU

EPROM SscurHy
MC68HC705CBA

BK

304

t6-bH:
(tlC,tOC)

24 Vo
7i

SPI
SCI

01

Mask Option Pullups (8 pins)
KBI (8 pins)
1 High Current Pin (20 rnA sink)
High Speed Option (HSC705CBA)
Superset of ROM CSA with more

RAM
EPROM Security

4001P-P
44 PLCC-FN
'40 Cerdip-S
42S0IP-B
44 QFP-FB
"44 Cerquad - FS

MC68HC705C9A

t6K

352

t6-bH:
(tIC,tOC)

SPI
SCI

31110

01

Mask Option Pullups (8 pins)
KBI (8 pins)
1 High Current Pin (20 rnA sink)
EPROM Security

4001P-P
*40 Cerdip - S
"44 Cerquad-FS
44PLCC-FN
42S01P-B
44QFP-FB

MC68HC705CJ4

4K

224

tB-bft:
(tIC,tOC)
MFT

SPI
SCI
12C

29 Va
3i

01

8 High Current Pins (10 rnA sink)
12C (Slave Only)

44QFP-FB

XC68HC70509

t6K

352

t6-bH:
(tIC,tOC)

SCI

311/0

01

8 High Current Pins (25 rnA sink)
30 kHz PWM

4001P-P
"44 Cerquad-FS
44PLCC-FN

MC68HC705Et

4K

36B

MFT, RTC
RTI

20 i/o

01

32 kHz PLL Clock Synthesizer

"2BCerdip-S
280IP-P
28SOIC-OW

XC68HC705F6

4K

320

t6-blt:
(tIC,tOC)

SPI

26 Vo
4i

OTMF Generator
8 High Current Pins (10 rnA sink)
KBI (6 pins)

42SDIP-B
"42CeiWip-K
64QFP-FU
"64COFP-FZ

MC6BHC705FB

BK

320

16-bit:
(tiC, tOC)
t6-bH:
auto

BPI

50 Vo

01

DTMF Generator
KBI (Bpins)
8 High CUlTent Pins (10 rnA sink)
Manchester Encoder/Decoder

64QFP-FU
"64COFP-FZ

40 i/o
8i

01

32 kHz PLL

56S0IP-B
"56 CeiWip - K
64QFP-FU
"64COFP-FZ

48 Vo
t61
40

01

KBI (Bpins)
Dual IRQ
Dual Oscillators, selectable Clock

BOQFP-FU
"BOCOFP-FZ

KBI (4 pins), EPROM SecurHy
Feature
4 High Current Pins (8 rnA sink)
Mask Option Pulldowns (14 pins)

2001P-P
2OSOIC-OW
'20 Cerdip-S

5ch
(6-bit)

20

MC6BHC705Gt

t2K

t76

t6-bH:
(tiC, tOC)
ATC

SPI

4 ch
(B-bit)

MC68HC705G4

32K

t024

t6-bH:
(tIC,tOC)
B-bH:
EVentCntr

Dual
SPI

Bch
(B-bit)

MC68HC705JtA

t,2K

64

MFT, ATI

14 i/o

01

MCSBHC705J2

2K

tt2

MFT, ATI

14 i/o

01

MC6BHC705Kt

0,5K

32

MFT, ATI

10 i/o

01

Motorola Master Selection Guide

4ch
(B-bH)

2.5-9

2001P-P
20S0IC-OW
"20 Cerdip-S
4 High Current Pins (B rnA sink)
PEP (64 bits)
Progammable Pulldowns (to pins)
Low Voltage Reset Mask Option

t6DIP-P
t6S0IC-OW
"t6 Cerdip-S

Single-Chip Microcontrollers (CSIC)

Table 2. One-Time Programmable {OTP)/Emulator MCUs (continued)
Motorola
Part

EPROM
(Bytes)

RAM
(Bytes)

XC68HC705L 1

6K

128

16-bit:
(2IC,20C)

MC68HC70516

8K

256

16-bit:
(1IC,10C)
Rn
1Hl":
(1IC,10C)

SlOP

16-bit:

SlOP

Number

MC68HC705L16

16K

EEPROM
(Bytes)

512

llmer

Serial

AID

PWM

6ch
(lHlit)

(1IC,10C)
RTI
B-bit:
(1IC,10C)

Display
Drive

I/O

64 Segment
LCD:
(314 x 12116)

171/0
15i
20

156 Segment

LCD:
(1-4 x 27-39)

14 i/o
10i
150

156 Segment
LCD:
(1-4 x 27-39)

16 Vo
8i
150

'"
'"
'"

MC68HC705P6

4.5K

176

16-bit:
(1IC,10C)

SlOP

4ch
(lHlit)

20Vo
11

MC68HC705P9

2K

128

16-bit:
(1IC,10C)

SlOP

4ch
(lHlit)

20 Vo
li

3.75K

192

&-bit
TImer
(7-bit
prescaler)

4ch
(lHlit)

32i1o

MC68HC705SR3

MC68HC705T10

12K

320

16-bit:
(1IC,10C)
RTC

12C

MC68HC705T16

24K

320

16-bit:
(1IC,20C)
lHlitPAC

12C

16-bit:
(lIC,lOC)
MFT, ATI

SPI

XC68HC705V8

12K

512

XC68HC705X4

4K

176

128

8ch
(6-bil)
1 ch
(14-1>it)

OSD
(64 Char
EPROM)

20 Vo
4i

2ch

9ch
(7-bit)
1 ch
(14-1>it)

OSD
(128 Char
EPROM)

40 Vo

8ch
(lHlit)

Comments

1 ch
(6-bit)

16-b":
(lIC,lOC)
MFT, ATI

Packages

56 SDIP-B
64 QFP- FU
'64CQFP-FZ
'56 Cersdip - K
KBI (8 pins), Dual Oscillators

8 High Current Pins (10 rnA sink)
Programmable Pullups (24 pins)
Open Drain (31 pins)
KBI (8 pins), Dual Oscillators
8 High Current Pins (10 rnA sink)
Programmable Pullups (24 pins)
Open Drain (31 pins)

SO QFP- FU
'SOCQFP-FZ

SO QFP- FU
'SOCQFP-FZ

28DIP-P
28SOIC-DW
'28 Cerdip-S
28DIP-P
28SOIC-DW
'28 Cerdip-S
Programmable Pull ups (24 pins)

KBI (8 pins), LED drive (8 pins)
LVR

1 ch
(1Hl")

(&-1>")

COP

40DIP-P
'40 Cerdip-S
42SDlP-B
44QFP-FB

Open Drain PWM Outputs
KBI (8 pins)
5 V Only

56SDlP-B
*56 Cersdip - K

'"

12 V Open Drain 1/0 Lines
(Up to 22)
4 Row OSD Buffer
Timer output compare functions
do not have output pins

56SDIP-B
*56 Cersdip - K

22i1o

t/

LVR, On Chip Charge Pump,
MDLC (Message Datalink
Control)
5 V Regulator, KBI (16 pins)

56 SDIP-B
68PLCC-FN
68CLCC-FS
56 Cersdlp - K

16 Vo

'"

CAN (Controller Area Network)
KBI (16 pins)

28S0IC-DW

"Windowed packages available only in sample quantities.

Definitions
CAN
CCTV
COP
DTMF
EBI
IC
12C
IDE
Vo

-

i
KBI
LCD
LVI
LVPI
LVR
MDLC
MFT

-

Controller Area Network
Closed Caption Television
Computer Operating Properly (Watch Dog TImer)
Dual-Tone Multi-Frequency
External Bus Interface
Input Captura
Inter-lntegrated Circuit
Integrated Device Electronics (IBM PC/AT Type)
Bidirectional Input and Output Port Pins
Input Only Port Pins
Key Board Interrupt
LIquid Crystal Display
Low Voltage Interrupt
Low Voltage Program Inhibit
Low Voltage Reset
Message Data Link Controller (J1850)
Multi Function Timer
Output Only Port Pins

SinglB-Chip Microcontrollers (CSIC)

Package Definitions
OC
OSD
PEEP
PEP
PIO
PLL
PWM
ATC
ATI
SCI
SCI+
SIO
SlOP
SPI
VFD
VREG
WDOG

-

Output Compare
On--8creen Display
Personality EEPROM
Personality EPROM
Parallel Input Output (IBM PC/AT Type)
Phase-Lock Loop
Pulse-Width Modulation
Real-Time Clock
Real-Time Interrupt
Serial Communications Interface (asynchronous)
Serial Communications Interface (async. and sync.)
Seriallnput Output (IBM PC/AT Type)
Simpla Serial VO Port
Serial Peripheral Interface
Vacuum Fluorescent Display
Voltage Regulator
Watch Dog Timer

2.5-10

B
DW
FA
FB
FE
FN
FS
FT
FU
FZ
K
L
P

S

-

Shrink DIP (70 mil spacing)
Small Outline (Wide-Body SOIC)
7 x 7 mm Quad Flat Pack (QFP)
10 x 10 mm Quad Flat Pack (QFP)
CQFP (windowed) - Samples Only
Plastic Quad (PLCC)
CLCC (windowed) - Samples Only
28 x 28 mm Quad Flat Pack (QFP)
14 x 14 mm Quad Flat Pack (QFP)
CQFP (windowed) - Samples Only
Cersdip (windowed) - Samples Only
Ceramic Sidebraze
Dual-in-Line Plastic
Cerdip (windowed) - Samples Only

Motorola Master Selection Guide

MCU NEW PRODUCTS
All 68HCOS and 68HC70S products have a standard operating voltage range from 3 V to 5.S V unless noted in Comments.
All 68HC05 and 68HC705 products have a standard operating temperature range from 0 to 70'C.
Contact a Motorola Sales Office for availability of the following MCUs:

Table 3. MCU New Products
Motorola

ROMI
EPROM
(Byles)

RAM
(Bylas)

EEPROM
(Byles)

68HC05B32

32K

528

256

68HC05CO

0

512

Pari
Number

Display
Timer

Serial

AID

PWM

IS-bn:
(2IC,20C)

SCI+

8ch
(8-bn)

2ch
(8-bil)

16-bit:
(1IC,IOC)
MFT

SCI+

Drive

VO

COP

321/0

V

18 ito

V

Comments

52 PLCC - FN
56 SDIP- B
64QFP-FU

Mux or Non-Mux ESI (16-blt)

40DIP-P
*40 Cerdip - S
44 PLCC- FN
*44 CerquadFS

3 Chrp Selects, KBt (8 pms)
Programmable PuUups (8 pins)
1 High Current Pin (20 rnA sink)

68HC705D32A

68HC705E5

68HC70SJP7

32K

352

IS-b.:
(1IC,IOC)

SCI

5K

384

MFT, RTI

12c

6K

224

l6-bit:
(1IC,IOC)
MFT, ATI

SlOP

+fi4-bit
PEP

68HC805K3

64

920
16PEEP

5ch
(6-brt)

See
Com-

31 i/o

V

8 High CUlTent Pins (24 rnA sink)
30 kHz PWM, KBI (8 pins)

40 DIP-P
Cerdip - S
44 PLCC-FN
*44 Cerquad -FS
~40

20 i/o

V

32 kHz PLL Clock Synthesizer

28DIP-P
*28 Cerdip - S
28S0IC-DW

14 i/o

V

Two voltage comparators used with
timer to create 4 channel AID, KBI (4
pins), Programmable Pulldowns (14
pins), 6 Hi Current Pms (10 rnA sink),
EPROM security feature, LVI

20DIP-P
20S0IC-DW

10ilo

V

KB1 (4 pins), Programmable
Pulldowns (10 pins), 4 High Current
Pins (8 mA sink), On-Chip Charge
Pump, 1.8 V EE Read

16DIP-P
16S0IC-DW

ments

MFT, RTI

Packages

On-Chip Charge Pump
EEPROM Write Protect

68HC705MC4

3,5K

176

l&. .bIt:
(2lCor
IIC,IOC)
MFT, RTI

SCI

6ch
(6-bil)

2 hi sp
(8-bn
24 kHz
Max)

22 ilo

V

One 8-bit High Current Port
(10 rnA Source Pin, 20 rnA MaX/Port)
Low EMI Pinout
1 High Sink Current Pin (10 mAl
Commutation Mux for PWM

28DIP-P
*28 Cerdip - S
28S0IC-DW

68HC05MC4

3.5K

176

16-bit:
(21Cor
lIC,IOC)
MFT, RTI

SCI

6ch
(8-bil)

2hi sp
(8-brt
24 kHz
Max)

22 ilo

V

One 8 bit High Current Port
(10 rnA Source Pin, 20 rnA Max/Port)
Low EMI Pinout
1 High Sink Current Pin (10 rnA)
Commutation Mux for PWM

28 DIP-P
28S0IC-DW

68HC70SP6A

4K

176

16-bit:
(1IC,10C)

SlOP

4ch
(8-blt)

20i/o

V

KBI (8 Pins)
2 High Current Pins (15 rnA sink)

28DIP-P
28S0IC-DW

68HCOSP9A

2K

128

16-bit:
(1IC,IOC)

SlOP

4 ch
(8-blt)

20i/o

V

KBI (8 pins)
2 High Current Pins (15 rnA sink)

28DIP-P
28S0IC-DW

16-bit:
(IIC,10C)

SlOP

4ch
(8-brt)

201/0

V

KBI (8 Pins), LVR
2 High Current Pins
Pullups (8 pins), clock out option

28DIP-P
28S01e-DW

68HC05PI8

192

8K
+ 128

68HC705RC16

16K

350

Infrared
Timer

12i/o

V

Mask Option Pullups (12 pms)
KBI (12 pins)

28DIP-P
"28 Cerdip- S
28SOIC-DW

68HC705RC17

16K

350

Infrared
Timer

12 ilo

V

Mask Option Pullups (12 pins)
KBI (12 pins)
Phase-Locked Loop (PLL)

28DIP-P
*28 Cerdip - S
28SOIC-DW

68HC05RC17

16K

350

Infrared
TImer

12 ilo

V

Mask Option Pullups (12 pins)
KBI (12 pins)
Phase-Locked Loop (PLL)

28DIP-P
28 SOIC-DW

68HC05SR3

3.75K

192

8-bitTimer
(7-bit
prasca/er)

68HC05V7

10K

384

128

16-bit:
(1IC,IOC)
MFT, RTI

SPI

8ch
(8-blt)

lch
(6-bil)

22110
16i

V

MDLe (Message Datalink Control)
5 V Power Regulator
KBI (16 pins)
LVR

68HC705X32

32K

528

255

16--b11:
(21C,20C)

SCI+

8ch
(8-bit)

2 ch
(8-blt)

32i10

V

CAN (Controller Area Network)

64 QFP- FU

68HC08X136

36K

lK

4 ch 16-bit:
(IC,OC,or
PWM)

SCI
SPI

431/0

V

8 MHz Internal Bus (5 V)
Direct Memory Access Module (3 ch)
Programmable PLL, LVIILVR
KBI (8 pins),
Programmable Pullups (8 pms)

56 SDIP-B
64QFP-FU

Motorola Master Selection Guide

32 Vo

4ch
(8-bit)

2.5-11

Mask Option Pullups (24 pins)
KBI (8 pins), LED Drive (8 pins), LVR

40DIP-P
42 SDIP- B
44QFP-FB
56 SDIP-8
68 PLCC- FN

Single-Chip Microcontrollers (CSIC)

Table 3. MCU New Products
Motorola
Number

ROMI
EPROM
(Bytes)

RAM
(Bytes)

68HC708XL36

36K

lK

Part

EEPROM
(Bytes)

Timer

Serial

4 ch 16-bi1:
(lC,OC,or
PWM)

SCI
SPI

AID

PWM

Display
Drive

VO
43i1o

COP

Comments

Packages

"

8 MHz Intemal Bus (5 V)
Direct Memory Access Module (3 ch)
Programmable PlL, LVVLVR

56 SDIP-B
'56 Cerdip--K
64QFP-FU
'64CQFP-FE

KBi (8 pins),

Programmable Pullups (8 pins)

Single·Chip Microcontrollers (CSIC)

2.5-12

Motorola Master Selection Guide

M68HC08 Family
The M68HC08 Family offers a unique combination of
high-speed, low-power, enhanced processing performance
for cost-sensitive 8-bit applications. Full upward object code
compatibility with the world's leading 8-bit microcontroller
allows current M68HC05 users to leverage their resource and
time investment. M68HC08 modular design utilizes a growing
library of on-chip peripherals. The flagship 68HC(7)08X36
OTP and ROM versions for general purpose use are the first
two devices in the family.

ROM/EPROM

SERIAL
PERIPHERAL
INTERFACE

RAM

SERIAL
COMMUNICATIONS
INTERFACE

Features

• Architecturally Enhanced 8-Bit CPU
• 8 MHz bus speed yields 125 ns minimum instruction
cycle
• 16-bit stack with stack painter operations and
addressing modes
• 16-bit index register
• 78 new instructions including advanced looping control
• Eight new addressing modes
• Fully upward object code compatible with the M68HC05
and M6805 families
• Direct Memory Access Module
• Memory-ta-memory transfer
• Peripheral-to-memory and memory-ta-peripheral
transfer
• Timing Interface Module
• Four independently programmable channels
• Input capture, output compare, buffered, and unbuffered
PWM configurations
• Interface Modules
• Serial Communications Interface (UART)
• Serial Peripheral Interface
• System Interface Module
• System Control Modules
• Low Voltage Inhibit, PLL, COP, and System
Integration Module
• Clock Generator Module
• Generates two different clock signals from a
user-selected source

Motorola Master Selection Guide

2.5-13

TIMING
INTERFACE
MODULE

CPU08

~

CLOCK
GENERATOR
MODULE

~

SYSTEM
CONTROL
MODULE

DIRECT
MEMORY
ACCESS
MODULE

Figure 3. Block Diagram of Typical M68HC08 MCU

Single-Chip Microcontroliers (CSIC)

M68HC05 Microcontroller
Development Tools
Motorola now offers two fully modular development system
choices: the new Motorola Modular Evaluation System
(MMEVS) and our popular, high-performance Motorola
Modular Development System (MMDS). You can now build a
customized MMEVS or MMDS to emulate the MCU in your
target design in four simple steps. First, order the MMEVS or
MMDS system platform (M68MMPFB0508 or M68MMDS05).
Second, select and order the emulation module (EM) that
contains circuitry specific to emulating the particular HC05/08
MCU in your target application. Third, complete the system by
ordering target cable accessories to connect the MMEVS or
MMDS to your target MCU socket. Finally, select the
appropriate parallel programmer to program your prototype
devices.

Choosing Between the MMEVS
and MMDS
Build an economical MMEVS system to perform traditional
debugging activities such as executing code in run or step
mode; setting breakpoints; monitoring or modifying CPU
registers, memory and application variables; and creating log
or script files to record test results or automate the testing

process. Or, create an MMDS system to add
high-performance, advanced emulation features such as
real-time, dual-ported memory and a real-time bus state
analyzer with an 8K trace buffer. In addition, the MMDS
includes a built-in power supply and is fully enclosed in a
metal case. Both the MMEVS and MMDS include a
host-based Integrated Development Environment (IDE)
comprised of an editor, assembler, and hardware debugger.

Modular Architecture Benefits
The MMEVS replaces Motorola's older-slyle EVS and
EVM development tool products. A proper subset of the
MMDS architecture, the new MMEVS is fully compatible with
all EM products supported by the MMDS. The MMEVS
extends the emulation performance beyond that of the EVS
and EVM by supporting full, real-time, non-intrusive,
in-circuit emulation for the new high-speed devices
(68HSC05) in the HC05 Family and the new HC08
architecture. The MMEVS also extends emulation support to
all low-voltage HC05/HC08 derivatives. The common
hardware, firmware, and software design of the MMEVS and
MMDS also provide greater flexibilily in mixing and matching
Motorola hardware tools with the ever-increasing variety of C
compilers, assemblers, and integrated development
environment product offerings from Motorola's third party
developer companies.

CONFIGURATION AND ORDER INFORMATION FOR MMDS/MMEVS
Table 4. Configuration and Order Information for MMDSIMMEVS
In-Circuit Target Cable

Devices

Platform

Emulation
Modules

68HC05AI6
68HC705A24

M68MMPFB0508 Q8
M68MMDS05

M68EM05A24

68HC05B4IB6/B8/B16/B32
68HC705B5/BI6IB32

M68MMPFB0508 QB
M68MMDS05

M68EM05B32

68HC05BD3IBD5
68HC705BD3IBD5

M68MMPFB0508 QB
M68MMDS05

M68EM05BD3

68HC05BS8
68HC705BS8

M68MMPFB0508 QB
M68MMDS05

M68EM05BS8

68HC05CO

M68MMPFB0508 QB
M68MMDS05

M68EM05CO

Package TYpe

Low Noise
Flexcable

Target Head
Adapter

56SDIP-B

M68CBL05B

M68TB05A24B56

56SDIP-B

M68CBL05B

M68TB05B32B56

640FP-FU

M68CBL05C

M68TC05B32FU64

52 PLCC-FN

M68CBL05C

M68TC05B32FN52

40DIP-P

M68CBL05B

M68TB05BD3P40

42SDIP-B

M68CBL05B

M68TB05BD3842

440FP-FB

M68CBL05C

M68TC05BS8FB44

52PLCC-FN

M68CBL05B

M68TB05BS8FN52

40DIP-P

M68CBL05B

M68TB05COP40

42SDIP-B

M68CBL05B

M68TB05C0842

44 PLCC-FN

M68CBL05

M68TC05COFN44

44 QFP-FB

M68CBL05C

M68TC05COFB44

Surface Mount
Adapter

M68TOS064SAGlt
M68TOP064SAIt

M68TOS044SAGlt
M68TQP044SAMOlt

M68TOS044SAGlt
M68TQP044SAMOlt

68HC05C5
68HC705C5

Refer to the Configuration and Order Information for Other Motorola Development Tools Section to select a development tool for
the 68HC05C5/68HC705C5.

68HC05C4/C4A1C8A1CI2A
68HC705C4A1705C8A

M68MMPFB0508 QB
M68MMDS05

Single-Chip Microcontrollers (CSIC)

M68EM05C9

4ODIP-P

M68CBL05B

M68TB05C9P40

44 PLCC-FN

M68CBL05C

M68TC05C4FN44

440FP-FB

M68CBL05C

M68TC05C9FB44

42SDIP-B

M68CBL05B

M68TB05C9842

2.5-t4

M68TOS044SAGlt
M68TQP044SAMOlt

Motorola Master Selection Guide

Table 4. Configuration and Order Information for MMDSIMMEVS (continued)
In-Circuit Target Cable
Devices
68HC05C9/C9A
68HC705C91705C9A

Platform
M68MMPFB0508 Q8
M68MMDS05

Emulation
Modules
M68EM05C9

Package Type

Low Noise

Target Head

Flexcable

Adapter

40DIP-P

M68CBL05B

M68TB05C9P40

44 PLCC- FN

M68CBL05C

M68TC05C9FN44

42 SDIP-B

M68CBL05B

M68TB05C9B42

440FP- FB

M68CBL05C

M68TC05C9FB44

Surface Mount
Adapter

M68TOS044SAGIt
M68TOP044SAMOlt

68HC05CCV
68HC705CCV

Refer to the Configuration and Order Information for Other Motorola Development Tools Section to select a development tool for
the 68HC05CCV/68HC705CCV.

68HC05CJ4
68HC705CJ4

M68MMPFB0508 Q8
M68MMDS05

M68EM05CJ4

68HC05D9/D24/D32
68HC705D9/D32A

M68MMPFB0508 Q8
M68MMDS05

M68HC05D32EM

68HC05E6
68HC705E6

68HC05F4
68HC705F4

68HC05F6
68HC705F6

M68MMPFB0508 OR
M68MMDS05

M68MMPFB0508 Q8
M68MMDS05

M68MMPFB0508 OR
M68MMDS05

M68EM05E6

M68EM05F4

M68EM05F6

440FP- FB

M68CBL05C

M68TC05CJ4FB44

M68TOS044SAGIt
M68TOP044SAMOft

40 DIP- P

M68CBL05B

M68TB05C9P40

44 PLCC- FN

M68CBL05C

M68TC05C9FN44

440FP- FB

M68CBL05C

M68TC05C9FB44

M68TOS044SAGIt
M68TOP044SAMOlt

28S0IC-DW

M68CBL05C

M68TC05E6P28

M68DIP28S0lC

440FP-FB

M68CBL05C

M68TC05E6FB44

M68TOS044SAGlt
M68TOP044SAMOIt

M68TC05E6P28

28 DIP-P

M68CBL05C

28S0IC-DW

M68CBL05C

M68TC05E6P28

M68DIP28S0lC

440FP- FB

M68CBL05C

M68TC05E6FB44

M68TOS044SAGI
M68TOP044SAMOlt

42 SDIP-B

M68CBL05B

M68TB05F6B42

440FP-FB

M68CBL05C

M68TC05F6FB44

M68TOS044SAGIt
M68TOP044SAMOIt

640FP- FU

M68CBL05C

M68TC05F6FU64

M68TOS064SAGIt
M68TOP064SAMOIt

68HC05F8
68HC705F8

Refer to the Configuration and Order Information for Other Motorola Development Tools Section to select a development tool for
the 68HC05F8/68HC705F8.

68HC05G1
68HC705G1

M68MMPFB0508 OR
M68MMDS05

M68EM05G1

68HC05G3
68HC705G4

M68MMPFB0508 Q8
M68MMDS05

M68EM05G4

68HC05J1
68HC705J2

M68MMPFB0508 QB
M68MMDS05

M68HCOSJPEM

68HCOSJ1A
68HC705J1A

M68MMPFB0508 QB
M68MMDS05

M68EM05J1A

68HC05J3
68HC705J3

M68MMPFB0508 OR
M68MMDS05

M68EM05J3

68HC05KO/K1/K3
68HC705K1

M68MMPFB0508 Q8
M68MMDS05

M68EM05K3

68HC05L1
68HC705L1

M68MMPFB0508 OR
M68MMDS05

M68EM05L1

68HC05L2
68HC70SL2

M68MMPFB0508 Q8
M68MMDS05

M68HC05L2EM

68HC05L51L16
68HC705L5/L 16

M68MMPFB0508 OR
M68MMDS05

M68EML05L16

68HC05L7/L9/L 11
68HC705L10
68HC05M4

Refer to the Configuration and Order Information for Other Motorola Development Tools Section to select a development tool for
the 68HC05L7/L9, 68HC05L 10, 68HC05L 11, or 68HC05M4.

68HC05MC4
68HC705MC4

M68MMPFB0508 QB
M68MMDS05

Motorola Master Selection Guide

M68EM05MC4

56 SDIP- B

M68CBL05B

M68TB05G 1B56

640FP- FU

M68CBL05C

M68TC05G1 FU64

M68TOS064SAGlt
M68TOP064SAMOlt

800FP- FU

M68CBL05E

M68TE05G4FU80

M68TOS080SBGIt
M68TOP080SBMOIt

M68TA05J2P20

20 DIP-P

M68CBL05A

20S0IC-DW

M68CBL05A

M68TA05J2P20

20 DIP-P

M68CBL05A

M68TA05J2P20

20S0IC-DW

M68CBL05A

M68TA05J2P20

M68DIP20S0lC

20 DIP-P

M68CBL05A

M68TA05J2P20

M68DIP20S0lC

20S0IC-DW

M68CBL05A

M68TA05J2P20

M68DIP20S0lC

16 DIP-P

M68CBL05A

M68TA05K1 P16

16S0IC-DW

M68CBL05A

M68TA05K1 P16

M68DIP16S0lC

56 SDIP- B

M68CBL05B

M68TB05L1B56

M68TOS064SAGIt

640FP-FU

M68CBL05C

M68TC05L 1FU64

M68TOP064SAMOIt

42 SDIP- B

42-SDIP ribbon cable assembly included with M68HC05L2EM.

800FP-FU

M68CBL05E

28 DIP- P
28S0IC-DW

2.5--15

M68CBL05A
M68CBL05A

M68TE05L16FU80

M68TA05MC4P28'
M68TA05MC4P28'

M68TOS080SBGIt
M68TOP080SBMOIt

M68DIP28S0lC

Single-Chip Microcontroliers (CSIC)

Table 4. Configuration and Order Information for MMDSIMMEVS (continued)
In-Clrcult Target Cable

Devices
68HC05P3

Platform
M68MMPFB0508 QB
M68MMDS05

Emulation
Modules
M68EM05P3

Low Noise

Target Head

Package Type

Flexcable

Adapter

28 DIP-P

M68CBL05A

M68TA05X4P28

28S0IC-DW

M68CBL05A

M68TA05X4P28

28 DIP-P

M68CBL05A

M68TA05P8P28

28S0IC-DW

M68CBL05A

M68TA05P8P28

28 DIP-P

M68CBL05A

M68TA05P9P28

Surface Mount
Adapter

M68DIP28S0lC

68HC05P8

M68MMPFB0508

M68HCOSJPEM

68HC05P11P4IP6IP7/P9
68HC7OSP6I705P9

M68MMPFB0508 QB
M68MMDS05

M68HC05P9EM
(Included with
MMDS)

28S0IC-DW

M68CBL05A

M68TA05P9P28

M68DIP28S0lC

68HC05P18
68HC805P18

M68MMPFB0508 QB
M68MMDS05

M68EM05P18'

28 DIP- P
28S0IC-DW

M68CBL05A
M68CBL05A

M68TA05P9P28
M68TA05P9P28

M68DIP28S0lC

68HC05RC16
68HC705RC16

M68MMPFB0508 QB
M68MMDS05

M68EM05RC16

28 DIP-P

M68CBL05A

M68TA05RC16P28

28S0IC-DW

M68CBL05A

68HC05SC111SC211SC24/
SC27

CONTACT
SALES OFFICE

M68TA05RC16P28

M68DIP28S0lC

M68DIP28S0lC

ISO Adapter Included w/EM.

die/card
40 DIP-P

M68CBL05B

M68TB05SR3P40

440FP-FB

M68CBL05C

M68TC05SR3FB44

42SDIP-B

M68CBL05B

M68TB05SR3842

68HC05SR3
68HC705SR3

M68MMPFB0508 QB
M68MMDS05

68HC05T11T2

Refer to the Configuration and Order Information for Other Motorola Development Tools Section to select a development tool for
the 68HC05T11T2.

68HC05Tl0
68HC705Tl0

M68MMPFB0508 QB
M68MMDS05

M68EM05T7

56 SDIP-B

M68CBL05B

M68TB05T7B56

68HC05V7
68HC705V8

M68MMPFB0508 QB
M68MMDS05

M68EM05V8

56SDIP-B

M68CBL05B

M68TB05V8B56

68HC05V12
68HC705V12

M68MMPFB0508 QB
M68MMDS05

M68EM05VI2'

68 PLCC- FN

M68CBL05C

M68TC05VI2FN68'

68HC05X4
68HC705X4

M68MMPFB0508 QB
M68MMDS05

M68EM05X4

M68TA05X4P28

68HC05XI61X32
68HC705X32

M68MMPFBOS08 OR
M68MMDS05

68HC08XL36
68HC708XL36

M68MMPFB0508 QB
M68MMDS05

M68EM05SR3

M68TOS044SAGIt
M68TQP044SAMOlt

28DIP-P

M68CBL05A

28S0IC-DW

M68CBL05A

M68TA05X4P28

M68DIP28S0lC

M68EML05X32

640FP- FU

M68CBLOSE

M68TE05X32FU64

M68TOS064SAGtt
M68TOP064SAIt

M68EML08XL36

640FP-FU
56SDIP-B

M68CBL05C
M68CBL05B

M68TC08XL36FU6
4'
M68TB08XL36B56'

M68TOS064SAGlt
M68TOP064SAMOIt

• Development tools that are scheduled for availability during 1096.
t To support more than one OFP target system, separate purchase of additional TOPACKs is required. Contact your Motorola representative for details.
Each OFP target head includes one TOSOCKETwith guides (M68TOSOxxSyGl) and one TOPACK disposable surface mount adapter {M68TOPOxxSyi (1.2 mm lead
length) or M68TOPOxxSyMOI (1.6 mm lead length)). Order additional TOSOCKETs and TOPACKs using part numbers referenced in the Surface Mount Adapters
column to support multiple target systems. Contact your Motorola representative for details.

Single-Chip Microcontroliers (CSIC)

2.5-16

Motorola Master Selection Guide

CONFIGURATION AND ORDER INFORMATION FOR OTHER MOTOROLA
DEVELOPMENT TOOLS (EVM/EVSnCS)
Table 5. Configuration and Order Information for Other Motorola Development Tools (EVM/EVSIICS)
In-Circult Target Cable
Devices
68HC05C5
68HC705C5

68HC05CCV
6BHC705CCV

68HCOSJ1A
6BHC705J1A

Target Head

Flexcable

Adapter

Surface Mount
Adapter

Development Tool

Package Type

M68HC05C5EVS

40 DIP-P

Not Available

For DIP package user must
supply a ribbon cable assembly
to interface to user's larget
system.

44 PLCC-P

Nol Available

For PLCC package, user has
the option to order
44PLCC05M, which is the
old-

RNI
~.

HAl

OSM

r--

CPU32

ClOCK

TEST

8", Ci5~
'" ~~ CIlO
~:lj

00
XCIl

....

"- "-"- "- CIl

.Ig]

CONIROl
PORTO

'" CIldo
-I~
~~ 0
9:
o

'" '"

-I~", ~fil

~ff "- "- ~~ :::;;:::;;

~I

-I----

I---

XTAL
EXTAL

__ k - -

a u.1---iRffi -iRO'i ~ Ii: I---MODCK i5 ft I:==:
CLKOUT
~
0

XFC
VDDSVN
TSC
TSTME
OLOT

'---

...J

~f--

~f---

TSTMEfTSC
FREEZE/QUOT

TRO

0(;;

00 00
CIlCll

II~I~

r-

BERR

r:s

CIl~ ~

s

I~I~ I~

Figure 8. MC68332 Block Diagram

Motorola Master Selection Guide

2.6-19

Single-Ghip Microcontrollers (AMCU)

VFPE16K - - - - - - - - - - - - - - - - - - - - - - - ,
TPUCHANl5-TPUCHANO

+----------------...,

~C~ :::::::::::::::::::::::~::~~-l

VSTBY

CHIP
SELECTS

~D-----------~
TXD/QS7
PCS3!QS6
PCS2tQS5
PCS11QS4
PCSQlSStQS3
SCKlQS2
MOSl/QSl
MISO/QSO

f--------.

CSBOOT

1+-----. B~SO
1-----. BGlCSM
1+-----. BGACKlCSE
FC2
FCl
FCO

OSM

512
BYTES
SRAM

3.5
KBYTES
SRAM

TPU

f-----

16KBYTES
FLASH
EEPROM

ADDR23/CS10IECLK
ADDR221CS9IPC6
ADDR21/CSBlPC5
ADDR20/CS7/PC4
ADDR19/CS6IPC3
FC2ICS5IPC2
FC1/PCl
FCO/CS3!PCO

ADDRI18:11j/PAI7:0j
ADDRI23:0j
ADDRll0:3j/PBI7:0j

L-_ _ _ _~ ADDRI2:0j

SIZ1/PE7
SIZOIPE6
ASJPE5

DS/PE4
RMC/PE3
AVEClPE2
DSACKl/PEl
DSACKOIPEO
1MB
DATA[15:8jIPGI7:0j
AN7/PADA7
AN6/PADAS
AN5IPADAS
AN4!PADA4
AN3/PADA3
AN2IPADA2
ANl/PADAl
ANOIPADAO
VRH ---------i~
VRL ----==-----~
PADB7
AOC
PADB6
PADB6
PADB4
PADB3
PADB2
PADBl
PADBO

DATAI7:0VPHI7:0j

~------.wW

~------.RES8
~------.H&T

~--------BER~SCENB

IRQ7IPF7
IR06/PF6
IRQ5IPF5
IR04/PF4
IRQ3/PF3
IRQ2IPF2
IRQ1/PFl
MODCLKlPFO

48
BYTES
FLASH
EEPROM

~------. C~OUT

f--------_

VDDA --------..1
VSSA ---------i~

~--------

1+------------1+-------------

VFPE48K ---------~

XTAL
EXT&
XFC
VDDSYN

1+------ TSC

BKPT/DSCLK
IFETCH/DSI
IPIPE/OSO

I----~.

FREEZE/QUOT

Figure 9. MC68F333 Block Diagram

Single·Chip Microcontrollers (AMCU)

2.6-20

Motorola Master Selection Guide

Table 8. M68300 Family Modular Microcontrollers
Part
Number

ROM

SRAM

EEPROM

Timer

I/O

Serial

ADC

Integration
Module

Package

Comments

MC68331

-

-

-

GPT

43

QSM

-

SIM

132-FC,
132-FD
144-FM,
144-FV

MC68332

-

2K

-

TPU

47

QSM

-

SIM

132-FC,
132-FD
144-FM,
144-FV

12 Chip Selects,
Synthesized Clock

PC68F333

-

4K

16K Flash,
48KFlash
Emulator

TPU

96

QSM

8Ch,
lo-Bit

SCIM

16o-FT,
16o-FM

9 Chip Selects,
Synthesized Clock

XC68334

-

lK

-

TPU

47

-

8Ch,
lo-Bit

SIM

132-FC,
132-FD

12 Chip Selects, Synthesized
Clock, Single Chip or
Expanded Mode

12 Chip Selects,
Synthesized Clock

Definitions for Tables 9 and 10
Package Definitions

General Definitions
ADC

AID
CPU16
CPU32
D/A
DMA
GPT
IC

IIC
MCCI
PLL
OC

POO
PWM
QSM
RPSCIM
RTC
RTI
SCI
SCIM
SIM
SPI
TPU
UART
WDOG

Analog to Digital Converter Module
Analog to Digital Converter
16 bit Central Processing Unit
32 bit Central Processing Unit
Digital to Analog Converter
Direct Memory Access
General-Purpose Timer
Input Capture
Inter-lntegrated Circuit
Multi-Channel Communication Interface
Phase Lock Loop
Output Capture
Preferred Order Quantity Multiple
Pulse Width Modulation
Queued Serial Module
Reduced Pin Count SCIM
Real-Time Clock
Real-Time Interrupt
Serial Communication Interface
Single Chip Integration Module
System Integration Module
Serial Peripheral Interface
Time Processing Unit
Universal Asynchronous ReceiverfTransmitter
Watch Dog Timer

Motorola Master Selection Guide

2.6-21

FB
FC
FD
FE
FM

FN
FS

FT
FU
FV

L

P

PB
PU
PV

S

TH

10xl0 mm Quad Flat Pack (QFP)
Fine Pitch Plastic Quad Flat Pack (PQFP)
Plastic Quad Flat Pack in Molded Carrier Ring
Ceramic Quad Flat Pack (CQFP)
Molded Carrier Flat Pack (CQFP)
Plastic Leaded Chip Carrier (PLCC)
Windowed Cerquad (Ceramic LCC)
28x28 mm Quad Flat Pack (QFP)
14x14 mm Quad Flat Pack (QFP)
20x20 mm Quad Flat Pack (QFP)
Ceramic
Dual-in-Line Plastic
Thin Quad Flat Pack (TQFP) 1Oxl 0 mm
Thin Quad Flat Pack (TQFP) 14x14 mm
Thin Quad Flat Pack (TQFP) 20x20mm
Cerdip (windowed or non-windowed)
16x16 mm Quad Flat Pack (QFP)

Single-Chip Microcontrollers (AMCU)

Microcontroller
Development Tools
M68HC05 Family
The M68HC05 Family is supported by a variety of
development tools including Evaluation Modules (EVM) and
Evaluation Systems (EVS). Both provide an economical
means of designing, debugging, and evaluating M68HC05
microcontrollers in a target system environment.
Many new M68HC05 CSIC devices are supported by an
MCU-specific EVS. The EVS is a two-board system
consisting of a 68HC05 Platform Board (PFB) and an
Emulator Module (EM) which contains the emulating
microcontroller, and control circuits.
The M68HC05 Family is also supported by the Compact
Development System (CDS) for 8-bit microcontrollers
(M68CDS8HC05), a powerful, portable, full-featured
emulator for debugging hardware and software operations.
The CDS8HC05 features high-speed, non-invasive,
in-circuit emulation with real-time trace, and a powerful bus
state analyzer. Commands are entered from an MS-DOS®
host computer.
The Motorola Modular Development System for the
M68HC05 Family, MMDS05, allows the use of Emulation
Modules (EM) that are compatible with the existing EVS
product line. The MMDS05 provides an upgrade for
CDS8HC05 customers. The MMDS05 has all of the features
of the CDS8HC05, and includes a notable enhancement. A
dual-port RAM "memory window" allows a user to to modify
memory while a program is running at full speed. An internal
power supply and totally shielded enclosure assure
compliance with FCC and EC92 regulations. The
development software provided with the MMDS05 is an
enhancementofthe EVM05/EVM11 front end - it provides an
integrated development environment with true Source Level
Debug (SLD).

M68HC11 Family
The M68HC11 Family is supported by a variety of
economical development tools. These include Evaluation
Boards (EVB), Evaluation Modules (EVM), and Evaluation
Systems (EVS).
An EVB allows a user to debug code under the BUFFALO
(Bit User Fast Friendly Aid to Logical Operations)
monitor/debugging program contained in the microcontroller
ROM. The EVB emulates only the single-chip mode of
operation and has no EPROM programmer. The EVBU, a
"universal" version of the EVB, includes a wire-wrap area for
custom interfacing.
EVM are low-cost tools for designing, debugging, and
evaluating M68HC11 devices in a target system. An EVM
provides essential microcontroller signals and timing, and
on-board monitor/debugging firmware contains extensive
commands for controlling I/O and debug operations.

Single-Chip Microcontrollers (AMCU)

An EVS is a two-board system consisting of a 68HC11
Platform Board (PFB) and an Emulator Module (EM). The EM
contains control circuits and a 68HC11 MCU for the part or
series of parts being emulated. An EVS provides expanded,
multiplexed, special test, and single-chip mode emulation, a
dual 64 kbyte memory map with 64 kbytes of emUlation RAM,
and an RS-232 port.
In addition, the Intermetrics Whitesmiths 68HC11 C
Compiler/Assembler (M68S11CCAB) and 68HC11 Simulator
Debugger (M68S11SIMAB) are now available through
Motorola.

Modular Microcontroller
Families
In-circuit debuggers for modular microcontroller families
(M681CD32 and M681CD16) are economical development
and debugging environments. ICD make use of the
non-intrusive Background Debug Mode (BDM) interface, and
provide sophisticated software debugging functions. The ICD
consist of debugger and assembler development software, a
small interconnect board, and target system cable. The
IASM32 and IASM16 assemblers provide a single
development environment that includes an editor and
cross-assembler programs. ICD source-level debugger
software uses easy-to-read screen windows to display
register information for the CPU, the instruction pointer,
breakpoints, program memory, and data memory.
The MC68331 and MC68332 are supported by evaluation
kits (EVK). These multi-board systems include a common
platform board, a Business Card Computer (BCC) that
contains the MCU being emulated, and the CPU32BUG
debug monitor program. The EVK is a cost-effective system
for designing, debugging, and evaluating target system
software and hardware. The MC68340 is supported by an
evaluation system (EVS) similar to the EVK with the addition
of a development interface board for a comprehensive
development environment.
The M68HC16Z1 Evaluation Board (EVB) is an
inexpensive tool for designing, debugging, and evaluating the
MC68HC16Z1.
Features include background-mode
an
integrated
assembly/editing/emulation
operation,
environment, and logic analyzer pod connectors.
Modular evaluation boards (MEVB) for each modular
family member are under development. The MEVB system is
a multi-board evaluation system that consists of a common
platform board (PFB) and interchangeable MCU personality
boards (MPB). The MEVB system provides an economical
development environment for downloading and debugging
software generated with IASM16 and IASM32.
Motorola also sells the Intermetrics Whitesmiths 68HC16
C Compiler/Assembler (M68S16CCAB) and 68HC16
Simulator Debugger (M68S16SIMAB) for the M68HC16
Family. In addition, the Intermetrics InterTools™ 683XX C
Compiler/Assembler (M68S32CCAB) and 683XX ROM
Monitor Debugger (M68S32ROMAB) for the M68300 Family
are now available through Motorola.

2.6-22

Motorola Master Selection Guide

Table g. Development Tools
Devices

Evaluation
Modules'

Programmer
Boards

Evaluation
SystemslKits

M6800 Development Tools
MC6801

M68701EVM

MC6801U4

M68701EVM

MC68701

M68701EVM

MC68701U4

M68701EVM

MC6803

M68701EVM

MC6803U4

M68701EVM

M68HC05 Development Tools
MC68HC05B4/B6/B8/B16
MC68HC705B5
MC68HC705B 16

M68HC05X16EVS
M68HC05X16EVS
M68HC05X16EVS

52PLCCU: 52 Pin PLCC Target Cable

MC68HC05C5
XC68HC705C5

M68HC05C5EVS
M68HC05C5EVS

44 PLCC05M: 44 Pin PLCC Target Cable

MC68HC05C4/C4NC8/C9/C12

M68HC05C9EVS

44 PLCC05M: 44 Pin PLCC Target Cable

XC68HC05C4
MC68HC705C8
XC68HC705C

M68HC05C9EVS

M68HC05BPGMR
M68HC05BPGMR

Use M68HC05X16PGMR for 64 QFP

M68HC05PGMR-2
44 PLCC05M: 44 Pin PLCC Target Cable

MC68HC05D9/D24
XC68HC05D32
MC68HC705D9

M68HC05D32EVS
M68HC05D32EVS

MC68HC05E1
MC68HC705E1

M68HC05E1EVS
M68HC05E1 EVS

XC68HC05F2
XC68HC05F6

M68HC05F6EVM

XC68HC05F8
XC68HC705F8

M68HC05F8EVM
M68HC05F8EVM

MC68HC05G1

M68HC05G 1EVM

MC68HC705G 1

M68HC05G1 EVM

XC68HC05G9

M68HC05G9EVM

XC68HC705G9

M68HC05G9EVM

XC68HC05G10
XC68HC705G10

M68HC05G10EVM
M68HC05G10EVM

XC68HC05H2

M68HC05H2EVS

M68HC05PGMR-2

42 SDIP Target Cable Included

M68HC705F8PGMR

M68HC705G1 PGMR

M68HC705G9PGMR

XC68HC0518

M68HC0518EVS

XC68HC70518

M68HC0518EVS

M68HC705L4PGMR

MC68HC05J1
MC68HC705J2

M68HC05P8EVS
M68HC05P8EVS

M68HC705J2PGMR

XC68HC05J3

M68HC05J3EVS

XC68HC705J3

M68HC05J3EVS

M68HC705J2PGMR

XC68HC05KO/K1

M68HC705KIGANG**

M68HC705KICS In-Circuit Simulator

XC68HC705K1

Use M68HC705KICS

M68HC705KICS In-Circuit Simulator

• EVSs and EVMs include an Integrated Development Environment (IDE) which contains an editor, assembler and hardware debugger.
• EVSs and EVMs do not include target cables or OTP/EPROM programming capability unless noted in comment section .
•• Development tools that are scheduled for availability during 1Q94.

Motorola Master Selection Guide

2.6-23

Single·Chip Microcontroliers (AMCU)

Table 9. Development Tools (continued)
Evaluation
Modules·

Devices

Programmer
Boards

Evaluation
SystemsIKlts

M68HC05 Development Tools (continued)
XC68HC05L1
XC68HC705L 1

56 SDIP Target Cable Included

M68HC05L1EVM
M68HC05L1EVM

XC68HC05L2

M68HC05L2EVS

XC68HC705l2

M68HC05L2EVS

XC68HC05L4

M68HC05L4EVS

XC68HC705L4

M68HC05L4EVS

MC68HC05L5
MC68HC705L5

M68HC05L5EVS

MC68HC05L7/L9

M68HC05L9EVM2

M68HC05L5EVS

MC68HC05L10

M68HC05L1OEVM

XC68HC05L11

M68HC05L11 EVM

XC68HC05M4

M68HC05M4EVM

XC68HC05P3

M68HC05P3EVS

MC68HC05P1/P4IP61P71P9

M68HC05P9EVS

XC68HC705P9

M68HC05P9EVS

MC68HC05P8

M68HC05P8EVS

XC68HC05SC11/SC21/SC241SC27

M68HC05SCEVS

MC68HC05T1

M68HC05T2EVS

M68HC705L2PGMR
M68SDIP64: 64 Pin SDIP Target Cable
M68HC705L4PGMR
800FPUKIT: 80 Pin QFP Target Cable
M68HC705L5PGMR

XMDS05 Hi-Performance In-Circuit
Emulator
M68HC705P9PGMR

68HC705P6 is required for P6 EVS
Capability

ISO Adaptor Included with M68HC05SCEVS

XC68HC05T2IT3
XC68HC05T4

M68HC05T4EVM

MC68HC05T71T1 0

M68HC05T7EVM

XC68HC705T10

M68HC05T7EVM

M68HC705T1 OPGMR

XC68HC05T12
XC68HC705T12

M68HC05T12EVM
M68HC05T12EVM

M68HC705T12PGMR

XC68HC05X4

M68HC05X4EVS

XC68HC705X4

M68HC05X4EVS

XC68HC05X16

M68HC05X16EVS

MC68HC705X16

M68HC05X16EVS

M68HC705X16PGMR

M68HC11EVB
M68HC11 EVB2
M68HC11 EVBU

M68HC11EVM

M68HC11EVM

M68HC11D3EVS

MC68HC711D3

M68HC711 D3EVB

M68HC11EVM

M68HC11D3EVS

MC68HC11 EO/E1/E2/E9

M68HC11EVB
M68HC11 EVBU

M68HC11EVM

M68HC705X4PGMR
68 PLCCU: 68 Pin PLCC Target Cable

M68HC11 Development Tools
MC68HC11 AO/A1/A8

MC68HC11D0/D3

MC68HC711E9

M68HC11 EVBU

M68HC11EVM

MC68HC811ABlE2

M68HC11EVB
M68HC11 EVBU

M68HC11EVM

• EVSs and EVMs include an Integrated Development Environment (IDE) which contains an editor, assembler and hardware debugger.
• EVSs and EVMs do not include target cables or OTP/EPROM programming capability unless noted In comment section .
•• Development tools that are scheduled for availability during 1094.

Single-Chip Microcontroliers (AMCU)

2.6-24

Motorola Master Selection Guide

Table 9. Development Tools (continued)
Evaluation
Modules'

Devices

Programmer
Boards

Evaluation
Systems/Kits

M68HC11 Development Tools (continued)

MC68HC11F1

M68HC11 F1 EVS

MC68HC11 G5/G7
MC68HC7llG5

M68HC11G7EVS

MC68HC11KA4

M68HCll KA4EVS

MC68HC11 KO/K1/K4
MC68HC711K4

M68HCll K4EVS

MC68HCll LO/L1/L6
MC68HC7l1 L6

M68HCll L6EVS

MC68HC11M2
MC68HC7llM2

M68HCll KMNPEVS

MC68HCllN4
MC68HC711N4

M68HCll KMNPEVS

MC68HCllP2
MC68HC7llP2

M68HC11 KMNPEVS

M68HC16 Development Tools

MC68HC16Yl

MG8MEVB16Yl

MC68HC16Z1

M68MEVB16Zl

MC68HC16Z2

M68MEVB16Zl

M68300 Development Tools

MC68331

M68MEVB333

M68331EVK

MC68332

M68MEVB16Zl

M68332EVS/M68332EVK

MC68F333

M68MEVB333

MC6805R2IR3
• EVSs and EVMs include an Integrated Development Environment (IDE) which contains an editor, assembler and hardware debugger.
• EVSs and EVMs do not include target cables or OTPIEPROM programming capability unless noted in comment section .
.. Development tools that are scheduled for availability during 1Q94.

Motorola Master Selection Guide

2.6-25

Single-Chip Microcontroliers (AMCU)

Fuzzy Logic
Fuzzy logic replaces conventional programming
techniques with a simpler approach to control algorithms.
Fuzzy logic uses a series of case statements to create
sophisticated features that do not require additional memory
or excessive processing time.
Motorola's portfolio of fuzzy logic products is geared for
every level of user. The fuzzy logic educational kit (part
number FLEDKTOO) includes everything needed to leam how
to use fuzzy logic with M68HCOS and M68HC11 microcontrollers.

• Runs a software simulation of the inference engine
and displays a two-dimensional plot of the control
surface
• Generates real-time code for the standard M68HC05
or M68HC11 microcontroller families which can be
downloaded to an evaluation module (EVM) for
in-circuit emulation
• Demonstration-version of Aptronix's Fuzzy Inference
Development Environment (FIDE) software
• Features powerful, time-saving debug functions to
help determine the correct membership functions and
rules for any application
• Demonstrates easy-ta-use graphical interface for
designing and debugging integrated systems
Aptronix's Fuzzy Inference Development Environment
(FIDE'M) is a powerful software tool that allows users to easily
edit, simulate, debug, and tune the membership functions and
rules of a fuzzy logic application. FIDE offers graphical and
natural language editing of source files. The user-friendly
debug
tools
allow
time
domain
simulations,
three-dimensional surface displays of input-to-output
relationships, and linkage of fuzzy and non-fuzzy modules.
FIDE also generates assembler code that implements fuzzy
logic on Motorola microcontrollers.

• An easy-ta-follow PC-based tutorial
• Explains fuzzy logic fundamentals, basic concepts
and terminology
• Methodology section teaches a five-step sequence or
principles and procedures for designing a fuzzy logic
system. These include defining the control system,
writing rules and membership functions, tuning and
debugging and optimizing the design.
• Advanced topics section covers areas such as
stability, adaptability, ambiguity, noise, alpha-cuts and
contribution weights
• A Knowledge Base Generator (KBG)
• Uses natural language inputs to generate a
knowledge base (rules and membership functions)
• Inference Engines for the M68HC11 and M68HC05
families implement the fuzzy logic in software ready to
embed in your Motorola microcontroller application

On-Line Help
• Press releases and updates concerning new and
phase-out products
• Contests, promotions and seminars
• Electronic mail service

Microcontroller Electronic
Bulletin Board
Freeware Data Service provides a direct line to the latest
information and software for Motorola microcontrollers. The
Freeware bulletin board provides access to:
• Development Software for PC and Macintosh
Computers
• Cross Assemblers
• Small C Compiler for 68HC11
• EVM and EVB Monitor/Debugger Object Code
• Development software
• Floating Point Routines
• Fast Fourier Transform Routines
• 16--Bit Math Packages
• Utility Programs
• User Group Library Routines and User-Donated
Programs
• Kermit File Transfer Program
• Terminal Emulation Program
• Masked ROM information
• MCU literature listings
• Updates/Erratas to existing literature

Single-Chip Microcontrollers (AMCU)

How to Access Freeware
You can access Freeware from anywhere in the world. To
log on, you'll need the following equipment:
1. 2400/1200/300 baud modem
2. Terminal, MS-DOS personal computer or Macintosh
computer
3. Telephone line
This equipment will allow the user to read files and post
questions. However, with a file transfer program such as
XMODEM, YMODEM or Kermit, all information can be
downloaded to your terminal or PC.

2.6-26

To log on:
1. Dial (512) 891-FREE (891-3733). Be sure to set the
character format to 8 data, no parity, 1 stop bit.
2. Follow directions from the system.
3. Read log-on messages, then follow the directions on the
screen display. A log-on session is limited to 120 minutes.

Motorola Master Selection Guide

Third-Party Support
Development support for Motorola microcontrollers is available from a variety of independent suppliers.

Third-Party Development Tools
Table 10. Software Products
M68HC05
Family

M68HC11
Family

M68HC16
Family

M68300
Family

Simulators
Byte Craft Ltd.

Avocet Systems, Inc.

P&E Microcomputer Systems, Inc.

Nohau Corp.

PseudoCorp.

P&E Microcomputer Systems, Inc.

P&E Microcomputer Systems, Inc.

Software Environments Ltd.

Avocet Systems, Inc.

TECi

Assemblers
2500AO Software, Inc.

2500AD Software, Inc.

2500AD Software, Inc.

American Arium

Archimedes Software, Inc.

Byte Craft Ltd.

Eyring Systems Software Division

Byte Craft Ltd.

Avocet Systems, Inc.

Eris Systems, Inc.

Introl Corp.

Computer Systems Consultants,
Inc.

Computer Systems Consultants, Inc

Introl Corp.

Micro Dialects, Inc.

Eris Systems, Inc.

Eris Systems, Inc.

Micro Dialects, Inc.

Microtec Research, Inc.

Introl Corp.

Introl Corp.

P&E Microcomputer Systems, Inc.

Oasys, Inc.

Lloyd I/O, Inc.

Lloyd I/O, Inc.

LOGISOFT

LOGISOFT

Micro Dialects, Inc.

Micro Dialects, Inc.

Onset Computer Corp.
P&E Microcomputer Systems, Inc.
PseudoCorp.
TECi

Symbolic Debuggers
2500AD Software, Inc.

2500AD Software, Inc.

Byte Craft Ltd.

Microtec Research, Inc.

Byte Craft Ltd.

Integrated Systems, Inc.

Eyring Systems Software Division

P&E Microcomputer Systems, Inc.

P&E Microcomputer Systems, Inc.

JMI Software Consultants, Inc.

TECi

TECi

Wytec Company

Compilers
American Arium

2500AD Software, Inc.

Byte Craft Ltd.

Eyring Systems Software Division

Byte Craft Ltd.

Archimedes Software, Inc.

Intermelrics Microsystems Software,
Inc.

Forth, Inc.

Avocet Systems, Inc.

Introl Corp.

Integrated Systems, Inc.

Forth, Inc.

Software Environments Ltd.

Intermetrics Microsystems Software,
Inc.

Motorola Master Selection Guide

Intermetrics Microsystems Software,
Inc.

Introl Corp.

Introl Corp.

Laboratory Microsystems Inc.

Laboratory Microsystems Inc.

Microtec Research, Inc.

New Micros, Inc.

Microware Systems Corp.

Software Environments Ltd.

RAVEN Computer Systems

SYNGEN Industrial Control

Sierra Systems

2.6-27

Single-Chip Microcontrollers (AMCU)

Table 10. Software Products (continued)
M68HC05

Family

M68HC11

M68HC16

M68300

Family

Family

Family

Source Level Oebuggers
Byte Craft Ltd.

Huntsville Microsystems, Inc.

Huntsville Microsystems, Inc.

Embedded Support Tools Corp.

Yokogawa Digital Computer Corp.

Intermetrics Microsystems Software,
Inc.

Intermetrics Microsystems Software,
lne.

Eyring Systams Software Division

Introl Corp.

Introl Corp.

GreenSpring Computers, Inc.

Yokogawa DigHal Computer Corp.

Yokogawa Digital Computer Corp.

Huntsville Microsystems, Inc.
Integrated Systems, Inc.
Interrnetncs Microsystems Software,
Inc.

IntrolCorp.
Microtec Research,lnc.
Sierra Systems
Yokogawa DigHaI Computer Corp.

Real-TIme Executives
Accelerated Technology, Inc.

A. T. Barrett & Associates

Accelerated Technology, Inc.

A. T. Barrett & Associates

U S Software Corporation

A. T. Barrett & Associates

U S Software Corporation

Eyring Systems Software Division
GreenSpring Computers, Inc.
Integrated Systems, Inc.
JMI Software Consultants, Inc.
Microware Systems Corp.
Ready Systems
U S Software Corporation

Other
PsuedoCorp

Logic Automation Inc.

Momentum Data Systems, Inc.

Avocet Systems, Inc.

LOGISOFT

U S Software Corporation

CARDlools Systems Corp.

PsuedoCorp

Eyring Systems Software Division

U S Software Corporation

GreenSpring Computers, Inc.
Integrated Systems, Inc.
JMI Software Consultants, Inc.
Logic Automation Inc.
Microware Systems Corp.
U S Software Corporation

Single-Chip Microcontrollers (AMCU)

2,6-28

Motorola Master Selection Guide

Table 11. Hardware Products
M68HC05 Family

M68HC11 Family

M68HC16 Family

M68300 Family

Logic Analyzers
American Arium

Hewlett-Packard

Hewlett-Packard

Tektronix, Inc.

Hewlett-Packard

Step Engineering
Tektronix, Inc.

Emulators
American Arium

Advance Electronic Diagnostics, Inc.

Embedded Support Tools Corp.

Advance Electronic Diagnostics, Inc.

Orion Instruments, Inc.

American Arium

Huntsville Microsystems, Inc.

Embedded Support Tools Corp.

Pentiea Systems Inc.

Huntsville Mierosyslems, Inc.

Nohau Corp.

Hewlett-Packard

Sophia Systems & Technology

MetaLink Corp.

Pentica Systems, Inc.

Huntsville Microsystems, Inc.

TECi

NohauCorp.

Yokogawa Digital Computer Corp.

Microtek International

Thorson Engineering Co.

Orion Instruments, Inc.

Trace Technology Ltd.

Pentica Systems Inc.

Pentica Systems Inc.

Yokogawa Digital Computer Corp.

Sophia Systems & Technology

Yokogawa Digital Computer Corp.

Applied Microsystems

NohauCorp.

TECi
Thorson Engineering Co.
Wytec Company
Yokogawa Dig"a1 Computer Corp.

Evaluation Boards
Elan Digital Systems

Elan Digital Systems

New Micros, Inc.

GreenSpring Computers, Inc.
New Mieros, Inc.

Mosaic Industries, Inc.
New Micros, Inc.

Other
3M Electronic Products Division

3M Electronic Products Division

AMP Inc.

Emulation Technology, Inc

AMP Inc.

AMP Inc.

P&E Microcomputer Systems, Inc.

Pantica Systems Inc.

EEToois Co.

Elan Digital Systems

Elan Digital Systems

Emulation Technology, Inc.

Pentica Systems Inc.

Pentica Systems Inc.

TECi

SYNGEN Industrial Control

Motorola Master Selection Guide

2.6-29

Single-Chip Microcontrollers (AMCU)

Table 12. Contact List
Company

Phone

3M Electronic Products Division

(512) 984-3441

2500AD Software, Inc.

(719) 395-8683

A. T. Barrett & Associates

(713) 728-9688

Accelerated Technology, Inc.

(205) 450-0707

Advance Electronic Diagnostics, Inc.

(602) 861-9359

American Arium

(714) 731-1661

AMP Inc.

(800) 52AMP52

Applied Microsystems

(800) 426-3925

Archimedes Software, Inc.

(415) 567-4010

Avocet Systems, Inc.

(800) 448-8500

Byte Craft Ltd.

(519) 888-6911

CARDtools Systems Corp.

(408) 559-4240

Computer Systems Consultants, Inc

(404) 483-4570

EEToolsCo.

(716) 348-6973

Elan Digital Systems

(4489) 579799

Embedded Support Tools Corp.

(617) 828-5588

Emulation Technology, Inc.

(408) 982-0660

Eris Systems, Inc.

(612) 374-2967

Eyring Systems Software Division

(801) 375-2434

Forth, Inc.

(213) 372-8493

GreenSpring Computers, Inc.

(415) 327-1200

Hewlett-Packard

(800) 447-3282

Huntsville Microsystems, Inc.

(205) 881-6005

Integrated Systems, Inc.

(408) 980--1500

Intermetrics Microsystems Software, Inc.

(617) 661-0072

Introl Corp.

(414) 327-7171

JMI Software Consultants, Inc.

(215) 628-D840

Laboratory Microsystems Inc.

(310) 306-7412

Lloyd I/O, Inc.

(503)222-0702

Logic Automation Inc.

(503) 690--6900

LOGISOFT

(408) n3-8465

MetaLink Corp.

(602) 926-0797

Micro Dialects, Inc.

(513) 271-9100

Microtec Research, Inc.

(408) 980--1300

Microtek International

(503) 645-7333

Microware Systems Corp.

(515) 224-1929

Momentum Data Systems, Inc.

(714) 5n-6894

Mosaic Industries, Inc.

(415) 790-1255

New Micros, Inc.

(214) 339-2204

Nohau Corp.

(408) 866-1820

Oasys, Inc.

(617) 862-2002

Single-Chip Microcontrollers (AMCU)

2.6-30

Motorola Master Selection Guide

Table 12. Contact List (continued)
Company

Phone

Onset Computer Corp.

(508) 563-9000

Orion Instruments, Inc.

(800) 729-7700

P&E Microcomputer Systems, Inc.

(617) 944-7585

Pentica Systems Inc.

(617) 275-4419

PseudoCorp.

(804) 873-1947

RAVEN Computer Systems

(612) 636-0365

Ready Systems

(800) 228-1249

Sierra Systems

(510)339-8200

Software Environments Ltd.

(714) 588-9685

Sophia Systems & Technology

(800) 824-9294

Step Engineering

(408) 733-7837

SYNGEN Industrial Control

(403) 986-1203

TECi

(802) 525-3458

Tektronix, Inc.

(503) 629-1773

Thorson Engineering Co.

(206) 334-4214

Trace Technology Ltd.

0234266455

U S Software Corporation

(503) 641-8446

Wytec Company

(708) 894-1440

Yokogawa Digital Computer Corp.

(415) 570-7050

Motorola Master Selection Guide

2.6-31

Single·Chip Microcontrollers (AMCU)

Single-Chip Microcontrollers (AMCU)

2.6--32

Motorola Master Selection Guide

LONWORKS™NEURON

Ie Products

In Brief ...
Motorola's
NEURON®
CHIP
processors
are
sophisticated VLSI devices that make it possible to
implement low-<:ost Local Operating Network applications.
The unique combination of hardware and firmware provides
all the key functions necessary to process inputs from
sensors and control devices intelligenlly, and propagate
control information across a variety of network media.
Used in conjunction with the LONBulLDER™ Developer's
Workbench or the NODEBulLDER™ Development Tool, the
NEURON CHIPS make available to a system designer an
object-oriented, high-level environment providing for the
easy implementation of distributed sense and control
networks, flexible reconfiguration capability after network
installation, and management of LONTALKTM protocol
messages on the network.
Applications include distributed sense and control
systems, instrumentation, machine automation, processor
control, diagnostic equipment, environmental monitoring
and control, power distribution and control, production
control, lighting control, building automation and control,
security systems, data collection/acquisition, robotics, home
automation, consumer electronics, and automotive
electronics.

Motorola Master Selection Guide

NEURON CHIPS ..................................
LONWORKS Technology Overview and Architecture ..
LONBulLDER Developer's Workbench ..............
LONWORKS Support Tools ........................
LONWORKS Literature ............................

2.7-1

LONWORKS

Page
2.7-2
2.7-5
2.7-6
2.7-7
2.7-9

Products

NEURON CHIPS
Motorola's NEURON CHIPS, the MC143120 and MC143150,
are the brains behind LONWORKS technology. These VLSI
devices are specifically designed for distributed systems
where sensing, processing, control, and communication are
paramount. With LONWORKS development tools and software,
they form a complete system solution that provides easy
development of Local Operating Networks.
The power of both chips lies in their three respective
on-board CPUs, high-speed serial communications ports (up
to 1.25 MBps), and LONTALK communications protocol which
is based on the OSI reference model. The difference between
the two integrated circuits lies in the type and size of memory
configuration; the MC143120 is targeted for cost-sensitive
designs with small application programs running in internal
EEPROM; the MC143150 is for larger systems with expanded
memory requirements.

('-

MC143120 Features

The MC143120B1DW/MC143120E2DW is a complete
system-on-a-chip that integrates 10K ROM, 1K12K RAM,
and 51212K bytes EEPROM. The ROM is used for storing
LONTALK protocol, operating system, and 24 I/O models that
can be accessed by the application program. An additional 10
I/O models are loaded into EEPROM if needed. Application
program data is stored in RAM or the internal EEPROM. The
application program and system configuration data reside in
the MC143120's internal EEPROM. The MC143120 is
available in a 32-pin SOG package.

"-

MAC
PROCESSOR

en
en

=>

CD
(J)
(J)

w

NETWORK
PROCESSOR

0::
Cl
Cl

<

=>

.....
NETWORK
COMM.
PORT

CP4
CP3

I~

.....

'"

;5
~

CP2
CPl
CPO

!:::
CD
cO

!:::

APPLICATIONS
1/0

CD

';i>.

.....

GENERAL
APPLICATION
PROCESSOR

110

1010

PARALLEL
PORT
100

SERIAL
PORT

RAM
1K!2KBYTES

l~

2TIMERI
COUNTERS
EEPROM
512/2K BYTES
CLKl

~-

ROM
10K BYTES

"'-

"'-

CLOCKING
AND
CONTROL

~

.....

CLK2
SERVICE
RESET

Figure 1. MC143120 (32-Lead SOG)

LONWORKS

Products

2.7-2

Motorola Master Selection Guide

NEURON CHIPS (continued)
MC143150 Features

Shared Strengths
Of the three processors on-board each NEURON CHIP, two
(MAC and Network processors) implement a communication
subsystem, enabling the automatic transfer of information
from node to node. The remaining processor handles the
application program. The NEURON IC supports a maximum
clock rate of 10 MHz.
Both NEURON CHIPS have eleven I/O pins (10.0 - 10.10)
to provide flexible interfacing to external hardware and access
to two internal timers/counters. 10.4 - 10.7 have optional
pull-up resistors. Pins 10.0 - 10.3 have high current sink
capability (20 rnA @ 0.8 V) while the others have a standard
sink capability of 1.4 rnA @ 0.4 V. Alii/a pins have TTL-level
inputs with hysteresis.

The MC143150 contains an additional1K of on-{;hip RAM
(2K total) but no on-board ROM. An external memory
interface allows the system designer to use 42K of the
available 64K of address space for application program
storage. The remaining address space is reserved for
LONTALK communications protocol, operation system, and up
to 34 I/O models which are supplied with the LON BUILDER
Developer's Workbench or NODEBulLDER Development Tool.
The protocol and application code can be located in external
ROM, EEPROM, NVRAM, or battery-backup static RAM. The
MC143150 is available in a 64-pin PQFP package.

Table 1. Neuron Integrated Circuits
Integrated Circuits
Motorola
Part No.

Description

LeadsPackage

Samples

Production

Document#

MC143120DW

NEURON IC 1K RAM!512 EEPROM/10K ROM, 10 MHz, 1.2 ~m

32-S0G

Phase Out

Phase Out

MC143120B1DW

NEURON IC 1K RAM/512 EEPROMI10K ROM, 10 MHz, 0.8 ~m

32-S0G

Now

Now

BR1134/D
DL159/D

MC143150FU

NEURON Ie 2K RAM/512 EEPROM, 10 MHz, 1.2 ~m

64-POFP

PhaseOut

PhaseOut

MC143150FU1

NEURON IC 2K RAMl512 EEPROM, 5 MHz, 1.2 ~m

64-PQFP

Now

Now

MC143150B1FU

NEURON IC 2K RAM/512 EEPROM, 10 MHz, 0.8 j.LIY1

64-POFP

PhaseOut

Phase Out

MC143150B1 FU1

NEURON IC 2K RAM/512 EEPROM, 10 MHz, 0.8 ~m

64-S0G

1Q95

Now

MC143120E2DW

NEURON IC 2K RAM/2K EEPROM, 10 MHz, 0.71 ~m

32-S0G

4Q95

3Q96

Motorola Master Selection Guide

2.7-3

LONWORKS Products

r'-

"-

MAC
PROCESSOR
C/)

C/)

:::>

 I I
I Transceiver I I

t

I
I
I

1

N!;URONCHl!'
Transceiver

l

LONWORKS Node 4

Sense/Control
Device

Sense/Control
Device

NaiRONCHip
Transceiver

t

1
I/O CirCUITry
N!;1lRON CHIP
Transceiver

t

I I
I I
I I

I/O Circuitry

NaJ1loN CHip
Transceiver

I

I

I

Network Transmission Medium
Node 6

LONWORKS

Sense/Control
Device

I/O Circuitr~

LONWORKS Node 3

I I
I I
I I

I/O Circuitry

t

LONWORKS Node 5

Node 2

Sense/Control
Device

Sense/Control
Device

I I
I I
I I

t
I/O Circuit~

NEVRON CHlf>
Transceiver

LONWORKS

Router

I
I
I

I
I
I
I

Transceiver

I

NEuRot; Q!!!p

1

NEuRot; CHIP

I

Transceiver

I

1
Network Transmission Medium

Figure 4. The MC143150 or MC143120 in a LONWORKS Network

Motorola Master Selection Guide

2.7-5

LONWORKS Products

LON BUILDER

Developer's Workbench(1)

Thanks to Echelon's LONBulLDER and NODEBulLDER tools, as
well as Motorola's extensive technical support network, both
system and device manufacturers can now develop control
networks quickly and inexpensively. These tools provide
developers with everything needed to begin building
LONWoRKs-based products immediately. The NODEBulLDER
Development Tool is used to design individual LONWORKS
products while the LON BUILDER Developer's Workbench
features the tools required to develop systems consisting of
multiple LONWORKS nodes. Best of all, technical support for
LONWORKS technology is available worldwide through
Motorola's 30 LONWORKS design centers.
LONBulLDER Developer's Workbench combines three
development tools - a multi-node development system, a
network manager, and a protocol analyzer - into an
integrated hardware and software development environment.
This development system provides the tools to create
software applications and prototype hardware on a network
ranging from two to hundreds of nodes. The network manager

installs and configures nodes during development, making
them easy to connect, define, and build. The protocol analyzer
monitors the network and interprets its activity.
The LONBulLDER Developer's Workbench includes a PC
interlace cards, two LONWORKS transceivers, an expandable
development station with two NEURON CHIP emulator cards,
DOS-based software for compiling, loading, integrating and
testing LONWORKS applications, and software for monitoring
and controlling a LONWORKS application.
The LONWORKS NODEBulLDER Development Tool is used to
design LONWORKS nodes. The NODEBulLDER tool does not
include the system integration and test tools incorporated into
the LON BUILDER Developer's Workbench, but does include all
the tools required to compile, load, and test code for a
LONWORKS node. NODEBulLDER includes Windows-based
software, a PC interlace card, a prototype LONWORKS node,
and two LONWORKS transceivers that are used to develop and
test LONWORKS nodes.

Table 2. Lon Builder Firmware Supported
LonBullder Software Version

3150
Firmware Supported

3120
Firmware Supported

3120 E1/E2
Firmware Supported

Other
Firmware Supported

3.01

3-7

3,4

6

LTM-10

3.0

3-6

3,4

6

2.2

2-4

2,3, (4) 1

Not Supported

2.1

2,3

2,3

Not Supported

Notes:
1. Version 4 support available through a patch on EChelon's Website.

Table 3. NodeBuilder Firmware Supported
Node Builder
Software Version

3150
Firmware Supported

3120
Firmware Supported

3120 E11E2
Firmware Supported

Other
Firmware Supported

1.0

3-6

3,4

6

LTM-10

1.5

3-6

3,4

6

LTM-10

(1) Motorola supports these tools, but they should be purchased through Echelon Corporation (1-800--258-4566).

LONWORKS Products

2.7-6

Motorola Master Selection Guide

LONWORKS

Support Tools

=

Motorola's LONBulLOER support tools offer the user a quick
and flexible means to demonstrate or test a LONWORKS based
product which was developed and debugged on the
LONBulLDER Developer's Workbench. The family of tools
consist of NEURON CHIP based development boards, I/O
application boards, a Differential Direct Connect Transceiver
Board (for the LONBulLDER Developer's Workbench), and a

ECHELON

M143204EVK
DIFFERENTIAL
DIRECT
CONNECT
TRANSCEIVER
RJ45

MC143150 ~

~~~

DODD
0000
0000
0000

GIZMO 4
M143207EVK
1/0 INTERFACE
BOARD
',I

D

E:::::J

0

DC!]
•

IiI'
GIZMO 3
M143206EVK
1/0 INTERFACE
BOARD

0

NEURON CHIP
EVALUATION BOARD

RJ45

MC143150

~l~",,:~
MEMORY

NEURON CHIP
EVALUATION BOARD

GIZMO 5
M143208EVK
1/0 TEST
BOARD

Figure 5. Evaluation and 110 Interface Boards

Motorola Master Selection Guide

2.7-7

i

l

",
I

LONWoRKS Products

Ii
I

• A library of application functions are available from
Motorola.
• An inexpensive means of demonstrating LONWORKS
based products.
This document covers a brief detail on each of the boards.
For further information, contact Motorola's LONWORKS
applications support team in Austin, Texas at 512-934-8713
or FAX 512-934-7991.

NEURON CHIP Test/Programming Board. The unique
advantages that these tools offer are:
• The boards all have RJ45 connectors allowing ease of
connectivity.
• The NEURON CHIP boards contain a 5 volt regulator
allowing for a wider range of power supply voltages.
• A common 2 x 10 connector for interface to the NEURON
CHIP 1/0 pins.

Motorola Support Tools for LONWORKS
Motorola
Part No.

Description

M143120EVK

143120 NEURON IC Custom Node Development Board with Socket, Supports all
MC143120 NEURON Chips

M143120B1EVBU

MC143120B1DW NEURON IC Custom Node Development Board

M143150EVK

MC143150FU NEURON IC Custom Node Development Board

M143150B1EVBU

MC143150B1FU NEURON IC Custom Node Development Board

M143204EVK

Direct Connect Transceiver Board

M143206EVK

NEURON IC I/O Interface Board (Gizmo 3)

M143207EVK

NEURON IC I/O Interface Board (Gizmo 4)

M143208EVK

NEURON IC I/O Interface Test Board (Gizmo 5)

M143213EVK5

NEURON IC RF Radio with EIA-232 Interface (US Version)

M143213EVK6

NEURON IC RF Radio with EIA-232 Interface (European Version)

M143214EVK5

NEURON IC RF Radio with I/O Interface (US Version)

M143214EVK6

NEURON IC RF Radio with I/O Interface (European Version)

M143215EVK5

RF Radio for Router Interface (US Version)

M143215EVK6

RF Radio for Router Interface (European Version)

M143221EVK

EIA-232 EVBU Interface Board

M143222EVK

Intelligent Neuron IC Cards (5 Cards, to be used with M143223EVK Card Reader)

M143223EVK

NEURON IC Card Reader Board (to be used with M143222EVK Cards)

M143226EVK

Intelligent NEURON IC Kit with UART Port

M143232EVK

ADPCM Voice Application Kit

LoNWORKS Products

2.7-8

Production

Document#
BR1139

Motorola Master Selection Guide

LONWORKS
Motorola
Document No.

Literature

Echelon
No.

Description
LONWORKS Technology Device Data
NEURON CHIP Product Overview
LONWORKS Support Tools

DL159/D

BR1134/D
BR1139/D

Current versions (Q2I96) of the following Engineering Bulletins and Application Notes are incorporated into Motorola
publication DL 159/0, LON WORKS Technology Device Data, Revision 1.
AN781AID
AN1208/D

Revised Data Interface Standards
Parallel 110 Interface to the NEURON CHIP
Interfacing DACs and ADCs to the NEURON IC
Setback Thermostat Design Using the NEURON IC
Fuzzy Logic and the NEURON CHIP
MC683XX to NEURON CHIP Parallel 110 Interface
Interfacing the PSD3XX to the MC143150
Low-Cost PC Interface to LONWORKS Based Nodes
Programming the MC143120 NEURON CHIP
MIP Guidelines and Design Issues
LONWORKS Distributed Node Crane Demonstration
Installation of Neuron Chip-Based Products
LONWORKS Software Review

AN1211/D
AN1216/D
AN1225/D
AN1247/D
AN1248/D

AN1250/D
AN1251/D

AN12521D
AN12661D
AN1276/D
AN1278/D

EB146/D
EB147/D
EB1481D
EB149/D
EB151/D
EB153/D
EB155/D
EB157/D
EB161/D
EB167/D
EB168/D
EB169/D
EB172/D
EB173/D
EB174/D
EB176/D
EB177/D
EB178/D
EB179/D

005--0003--01 A
005--0006-01B
005-0001-{)1B
005--0011-{)1A
005--0004-{)1A
005--0014-{)1B
005--0019-{)1B
005--0016-01B
005--0017-{)1B
005--0043--01 A
005--0008-{)1C
005--0032-{)1C
005--0024-01 A
005--0027-{)1F
005-0023--01 A
005--0025--01B
005-{)035--01A
005--0046-01 A
005--006G-01A

NEURON CHIP Quadrature Input Function Interface
LONWORKS Installation Overview
Enhanced Media Access Control with Echelon's LONTALK Protocol
Optimizing LONTALK Response Time
Scanning a Keypad with the NEURON CHIP
Driving a Seven-Segment Display with the NEURON CHIP
Analog-to--Digital Conversion with the NEURON CHIP
Creating Applications with the LON BUILDER Multi-Function 110 Kit
LONTALK Protocol
A Hybrid System for Fast Synchronized Response
EIA-232C Serial Interfacing with the NEURON CHIP
LONWORKS 78 kbps Self-Healing Ring Architecture
LONWORKS Custom Node Development
The SNVT Master List and Programmer's Guide
Junction Box and Wiring Guidelines for Twisted Pair LONWORKS Networks
File Transfer
LONWORKS Power Line SCADA Systems
Developing a Network Driver for the PC LonTalk Adapter
Determinism in Industrial Computer Control Networks

For the latest versions of the Echelon Engineering Bulletins listed above, download from Echelon's LonLink bulletin board.
The following documents can be ordered from Echelon Corporation.
078-{)001-{)1A
078-{)002-{)1
078-{)140-{)1

Lon Builder User's Guide
NEURON C Programmer's Guide
NEURON C Reference Guide

Contact Motorola or Echelon (415-855-7400) for additional documentation.

Motorola Master Selection Guide

2.7-9

LONWORKS Products

On-Line Services

Internet Address

Motorola Microcontroller Technology Group Web Server

http://freeware.aus.sps.mot.com/MDADlhome.html
(address: 129.38.232.2)

Motorola Freeware
512-891-FREE (3733)

http://freeware.aus.sps.mot.com

Motorola FAX Request Service (MFAX)
602-244-6609

RMFAXO.email.sps.mot.com

Motorola's Design-NET

hUp:llmotserv.indirect.com

Echelon's Lon Link
415-856-7538

telnet lonlink.echelon.com
(address: 198.93.128.100)
ftp lonworks.echelon.com
(address: 198.93.128.1)
world wide page:
http://www.lonworks.echelon.com

LONWORKS Products

2.7-10

Motorola Master Selection Guide

Memory Products

In Brief ...
Page

Motorola's memory product portfolio has been expanded
to support a broad range of engineering applications.
Included in this portfolio are asynchronous devices with
access times of 6 ns at 256K-bit density, 6 ns at 5 V 1
Megabit density, 8 ns at 3.3 V 1 Megabit density, as well as
synchronous FSRAMs with access times as fast as 6 ns and
8.5ns.
Motorola's Fast Static RAM Division goal is simple:
speed. All of our SRAMs are designed to provide the highest
performance, cost efficient solutions available.
The Dynamic Memory Products Division utilizes
alliances as a vehicle for global customer support in the
DRAM and Flash memory markets. The product portfolio
consists of high-density DRAMs, standard and custom
memory modules, and low power Flash memory.

Motorola Master Selection Guide

Fast Static RAMs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . ..
Introduction .................................
Application Specific Static RAMs . . . . . . . . . . . . . ..
Fast Static RAM Modules ....... . . . . . . . . . . . . ..
Flash Memory .................................
Dynamic RAMs ................................
Introduction .................................
Dynamic RAMs (HeMOS) ....................
DRAM Modules .............................

2.8-1

2.8-2
2.8-2
2.8-2
2.8-6
2.8-7
2.8-7
2.8-7
2.8-8
2.8-9

Memory Products

Fast Static RAMs
Introduction
Motorola is designing the fastest, most technologically
advanced fast SRAMs. From 0.8 to·0.5 ~m with access times
as fast as 5 V 6 ns 256K, 6 ns 1M, 13 ns 4M, and 8 ns
3.3 V 1M; these devices are progressively smaller, faster, and
lower cost. These SRAMs are designed to provide the highest
performance, cost efficient solutions available. Selected fast
SRAMs are also available on 2M and 8M memory modules.

Memory Products

2.!!-2

Application
specific memories are designed for
high-performance microprocessors that require more
specialization from memory cache than is available from
standard devices. Products include those for use with digital
signal processors as well as a variety of popular
microprocessors.

Motorola Master Selection Guide

SYNCHRONOUS
BurstRAMsTM
Organi-

Description

zatlon

Vee

4M

256Kx 18

3.3V
3.3V

128K x 36

3.3 V

Pin
Count

Access Time

Pro-

Packaging

(ns Mox)

ductlon

MCM69P819

100
119

(fO)TOFP
(ZP) PBGA

3.5/3.8/4/4.5

1097

For selVers, switches, and workstations. Samples now.

MCM69F819

100
119

(fO)TOFP
(ZP) PBGA

7.5/8/8.5

1097

For servers, switches, and workstations. Samples now.

Motorola
Part Number

Comments

MCM69P737

100
119

(fO)TOFP
(ZP) PBGA

3.5/3.8/414.5

1097

For servers, switches, and workstations. Samples now.

MCM69F737

100
119

(fO)TOFP
(ZP)PBGA

7.5/818.5

1097

For servers, switches, and workstations. Samples now.

3.3V

MCM63P733

100

(fQ)TQFP

414.5/5

2097

133 MHz pipelined BurstRAM, for servers.

2M

64K

x 32

3.3V

MCM63P631

100

(fO)TOFP

4.5171819

1097

100 MHz pipelined BurstRAM, for desktop PCs and
communications applications.

1M

64K.18

3.3V

MCM69F618A

100

(fQ)TQFP

8.5/9110112

Now

Flow-through BurstRAM. 3.3 V only.

MCM69P618A

100

(Ta)TOFP

4.5/5/617

Now

Plpelined BurstRAM, 3.3 V only.

MCM67B618A

52

(FN) PlCC

8.519110112

Now

Flow--through BurstRAM for Pentium1M, MIPS.

MCM67C618A

52

(FN) PlCC

517

Now

Pipelined BurstRAM for Pentium.

MCM67M61BA

52

(FN) PlCC

9110112

Now

Flow-through BurstRAM for PowerPCTM.

MCM69F536A

100

(TO) TOFP

8.5/9110112

Now

Flow-through BurstRAM, 3.3 V only.

MCM69F536B

100

(Ta)TQFP

8.519110112

Now

Flow-through BurstRAM. 5 V tolerant I/Os.

MCM69P536A

100

(Ta)TQFP

4.5/5/617

Now

Pipelined BurstRAM, 3.3 V only.

MCM69P536B

100

(fQ) TQFP

4.5/5/617

Now

Pipelined BurstRAM, 5 V tolerant VOS.

128Kx32

5V

32K.36

3.3V

Tag RAMs
Tag RAMs

64Kx 18

3.3V

MCM69T618

100
119

(fO)TQFP
(ZP) PBGA

5/617

Now
Now

100 MHz Datarrag RAM. For MIPS R5000, PentIum Pro and
graphics accelerators applications.

16Kx 16

3.3V

MPC27T416

80

(fO)TOFP

9110112

Now

Cache tag RAM for PowerPC. 14 tag bits, 2 status bits.

16Kx 15

3.3V

MPC27T415

80

(TO) TOFP

9110112

Now

Cache tag RAM for PowerPC. 12 tag bits, 3 status bits. Drop in
replacement for IDT71216.

16K.54

3.3V

MCM69C432

100

(fO)TaFP

160ns
Match Time

2097

Content addressable memory for communication applications.
16K connections.

4Kx64

3.3V

MCM69C232

100

(fO)TOFP

160 ns
Match Time

1097

Content addressable memory for communication applications.
4K connections.

MPC2605

357

(ZP)PBGA

75/66 MHz

2097

Integrated L2 cache for PowerPC processors.One component for
256KB, two for 512KB, and four for 1MB l2 cache solution.
Samples 2Q97.

MPC2604GA

357

(ZP) PBGA

66 MHz

Now

Integrated L2 cache for PowerPC processors. Two components for
256KB, four for 512KB l2 cache solution.

CAMs
CAMs

Integrated Cache Solutions
Integrated
Cache
Solutions

32Kx72

3.3V

32Kx36

5V

Separate and Dual 110 Devices
4M

512K x 9

5V

MCM670909

86

(ZP)PBGA

5/6

Now

General synchronous separate 1/0 with write pass through.
3.3 V output levels.

1M

128Kx9

5V

MCM67Q709

86

(ZP) PBGA

5/6

Now

General synchronous separate I/O with write pass through.
3.3 V output levels.

5V

MCM670709A

86

(ZP)PBGA

5/6

Now

General synChronous separate I/O with write pass through.
3.3 V output levels. Replaces the MCM670709.

256K.4

5V

MCM670904

36

400 (WJ) SOJ

5

Now

Graphics; general RiSe. Register to register. Revolutionary pinout.
3.3 V output levels. Write pass through. Separate va.

32K x 36

3.3V

MCM690536

176

(TO) TOFP

8/10

3097

Dual address, separate VO. Samples 3097. NetRAMTM .

MCM690536

176

(Ta)TOFP

8110

3097

Dual address, dual 110. Samples 3097. NetRAM.

MCM690618

100 '

(Ta)TOFP

8/10

3097

Dual address, separate 1/0. Samples 3097. Net RAM.

MCM69D618

100

(fO)TOFP

8/10

3097

Dual address, dual I/O. Samples 3Q97. NetRAM.

54Kx 18

Line Buffer

3.3V

8Kx8

5V

MCM62X308

28

300 (J) SOJ

15/17

Now

Line buffer for processing digital data.

16Kx 16

5V

MCM62990A

52

(FN)PlCC

15/20125

Now

For telecom switches and buffers.

Motorola Master Selection Guide

2.8-3

Memory Products

SYNCHRONOUS
Late Write RAMs
Organi-

Description

zatian

Vee

4M

256K x 18

3.3V

128Kx36

256Kx 18

3.3V

128Kx 36

1M

64Kx 18

32K x 36

Memory Products

3.3V

Motorola
Part Number

Pin

Pro-

Count

Packaging

Cycle Time
(ns Max)

duellon

MCM69R818

119

(ZP) PBGA

7/8

Now

Not recommended for new desIgns. Suggest MCM69R818A.

MCM69R819

119

(ZP) PBGA

7/8

Now

Not recommended for new designs. Suggest MCM69R819A.

MCM69R820

119

(ZP) PBGA

7/8

Now

Not recommended for new designs. Suggest MCM69R820A.

MCM69L818

119

(ZP)PBGA

8/9

Now

Not recommended for new designs. Suggest MCM69L818A.

MCM69L819

119

(ZP) PBGA

8/9

Now

Not recommended for new designs. Suggest MCM69L819A.

MCM69L820

119

(ZP)PBGA

8/9

Now

Not recommended for new designs. Suggest MCM69L820A.

MCM69R736

119

(ZP) PBGA

7/8

Now

Not recommended for new designs. Suggest MCM69R736A.

MCM69R737

119

(ZP) PBGA

7/8

Now

Not recommended for new designs. Suggest MCM69R737A.

MCM69R738

119

(ZP) PBGA

7/8

Now

Not recommended for new designs. Suggest MCM69R738A.

MCM69L736

119

(ZP) PBGA

819

Now

Not recommended for new designs. Suggest MCM69L736A.

MCM69L737

119

(ZP) PBGA

8/9

Now

Not recommended for new designs. Suggest MCM69L737A.

MCM69L738

119

(ZP) PBGA

8/9

Now

Not recommended for new designs. Suggest MCM69L738A.

MCM69R818A

119

(ZP) PBGA

5/617/8

1097

late write interface. Reglster/Register. HSTL I/Os. Samples now.

MCM69R819A

119

(ZP) PBGA

5/61718

1097

Late write interface. Register/Register. LVTTL I/Os. Samples now.

MCM69R820A

119

(ZP)PBGA

5/6fT/8

1097

Late write interface. Register/Register. 2.5 V 1I0s. Samples now.

MCM69R821A

119

(ZP)PBGA

515.5

1097

Late write interface. Register/Register. HSTL 1I0s. Dual clock.
Samples now.

MCM69L818A

119

(ZP) PBGA

6171819

1097

late write mterface. Register/Latch. HSTL I/Os. Samples now.

MCM69L819A

119

(ZP) PBGA

6171819

1097

Late write Interface. RegisterlLatch LvrTL I/Os. Samples now.

MCM69L820A

119

(ZP) PBGA

6171819

1097

Late write interface. Register/Latch 2.5 V 1/0s. Samples now.

MCM69R736A

119

(ZP) PBGA

5/61718

1097

Late write interface. Register/Register. HSTL 1I0s. Samples now.

MCM69R737A

119

(ZP) PBGA

5/61718

1097

Late write interface. Register/Register. LVTTL 1/0s. Samples now.

MCM69R738A

119

(ZP) PBGA

5/61718

1097

Late write interface. Register/Register. 2.5 V 1105. Samples now.

MCM69R739A

119

(ZP) PBGA

5/5.5

1097

Late write Interface. Register/Register. HSTL I/Os. Dual clock.
Samples now.

MCM69L736A

119

(ZP) PBGA

Late write interface. Register/Latch. HSTL 1I0s. Samples now.

119

(ZP) PBGA

617/819
6fT/8I9

1097

MCM69L737A

1097

Late write interface. Register/Latch. LVTTL 1I0s. Samples now.

MCM69L738A

119

(ZP) PBGA

6171819

1097

Late write interface. RegisterlLatch. 2.5 V 1/05. Samples now.

MCM69R618

119

(ZP) PBGA

5161718

1097

Late wrIte interface. RegisterfRegister. HSTL lias. Samples now.

MCM69R619

119

(ZP) PBGA

5161718

1097

Late write interface. Register/Register. LVTTL I/0s. Samples now.

MCM69R620

119

(ZP) PBGA

5/61718

1097

Late write interface. Register/Register. 2.5 V I/Os. Samples now.

MCM69R621

119

(ZP) PBGA

5/5.5

1097

Late write interface. Register/Register. HSTL VOs. Dual clock.
Samples now.

MCM69L618

119

(ZP) PBGA

6fT/8I9

1097

Late write interface. Register/Latch HSTL 1/0s. Samples now.

MCM69L619

119

(ZP) PBGA

617/819

1097

Late write intertace. Register/Latch LVTIL I/Os. Samples now.

MCM69L620

119

(ZP) PBGA

6171819

1097

Late write interface. RegisterlLatch 2.5 V lias. Samples now.

MCM69R536

119

(ZP) PBGA

5161718

1097

Late write interface. Register/Register. HSTlIIOs. Samples now.

MCM69R537

119

(ZP) PBGA

5161718

1097

Late write interface. RegisterlRegist6r. LVTTL I/Os .. Samples now.

MCM69R538

119

(ZP) PBGA

5161718

1097

Late write Interface. Register/Register. 2.5 V I/0s. Samples now.

MCM69R539

119

(ZP) PBGA

515.5

1097

Late write interface. Register/Register. HSTL I/Os. Dual clock.
Samples now.

MCM69L536

119

(ZP) PBGA

6171819

1097

Late write interface. Registerllatch HSTL 1/05. Samples now.

MCM69L537

119

(ZP) PBGA

6171819

1097

Late wnte interface. Reglster/Latch LVrrL 1I0s. Samples now.

MCM69L538

119

(ZP) PBGA

6171819

1097

Late write interface. Register/latch 2.5 V I/0s. Samples now.

2.8-4

Comments

Motorola Master Selection Guide

ASYNCHRONOUS
Density

Organlzation

Vee

4M

512K x 8

5V

MCM6246

5V

256Kx 16
1Mx4

Motorola

Part Number

Pin
Count

Packaging
Package width In mils

Access Time
(ns Max)

Production

36

400 (WJ) SOJ

20/25/35

Now

Outpul enable. Revolutionary Pinout.

MCM6246A

36

400(WJ)SOJ

15120/25/35

1097

Replaces MCM6246.

3.3V

MCM6946

36
44

400 (WJ)SOJ
TSOP

8110/12115

3097

For Telecom, Storage and Computing Applications.

3.3V

MCM6943

44
44

400 (YJ) SOJ
TSOP

8110/12115

3097

For Telecom, Storage and Computing Applications.
Samples 2Q97.

5V

MCM6249

32

400 (WJ) SOJ

20/25/35

Now

Output enable. RevolulJonary pinout.

5V

MCM6249A

32

400 (WJ) SOJ

15/20/25/35

1097

Replaces MCM6249.

MCM6949

32

400 (WJ)SOJ
TSOP

8110/12115

3097

For Telecom, Storage and Computing Applications.
Samples 2097.

3.3V

44
1M

64K x 18

5V

64K x 16

3.3V

128K x 8

256K x 4

256K

Comments

Samples 2Q97.

MCM67A618A

52

(FN) PLCC

10/12115

Now

General asynchronous, latched address and data.

MCM6323

44

400 (YJ) SOJ

12115

Now

Revolutlonal)' pInout.

5V

MCM62268

32

300 (J). 400 (WJ) SOJ

15/17/20125

Now

Evolutionary pinout.

5V

MCM622688

32

300 (EJ). 400 (XJ) SOJ

15/17120125

Now

EvolutIonary pinout

5V

MCM67268

32

400 (WJ) SOJ

8110112

Now

Revolutionary pinout.

5V

MCM6726C

32

400 (WJ) SOJ

6f7

Now

Not recommended for new designs. Suggest
MCM6726D.

5V

Revolutionary pinout.

MCM6726D

32

400 (WJ) SOJ

7.5/8110/12

1097

3.3V

MCM6926

32

400 (WJ) SOJ

8110112115

Now

Revolutionary pmout.

3.3V

MCM6926A

32

400 (WJ) SOJ

8110/12115

2097

Revolutionary pInout. Will replace MCM6926.
Evolutionary pinout.

5V

MCM62298

28

300 (J). 400 (WJ) SOJ

15/17/20125

Now

5V

MCM622988

28

300 (EJ). 400 (XJ) SOJ

15/17/20125

Now

EvolutIOnary pInout.

5V

MCM67298

32

400 (WJ)SOJ

8110112

Now

Not recommended for new deSigns. Suggest
MCM6729D.

5V

MCM6729C

32

400 (WJ) SOJ

617

Now

Revolutionary pinout.

5V

MCM6729D

32

400 (WJ)SOJ

7.5/8/10/12

4096

Revolutionary pinout. Will replace MCM6729B.

3.3V

MCM6929

32

400 (WJ) SOJ

8110/12115

Now

Revolutionary pinout.
Revolutionary pinout. Will replace MCM6929.

3.3V

MCM6929A

32

400 (WJ) SOJ

8110/12/15

2097

1Mx1

5V

MCM62278

28

300 (J). 400 (WJ) SOJ

15/17/20/25

Now

For Telecom and IC Tester applications.

16Kx 16

5V

MCM62996

52

(FN) PLCC

15/20/25

Now

ChOice of 5 V or 3.3 V power supplies for output buffers.
For wide bus applications.

5V

MCM62995A

52

(FN) PLCC

15/20125

Now

DSP96000 and RISC applications. Latched address
Inputs.
DeSigned for DSP56001 applications. Replaces 38K x 8s.

DSPRAMTM

8Kx 24

5V

MCM56824A

52

(FN) PLCC

20125/35

Now

256K

32Kx8

5V

MCM62068A

28

300 (EJ) SOJ

12115/20125

Now

Replaces MCM6206D.

5V

MCM67068

28

300 (J) SOJ

8/10

Now

Evolutionary pinout.

64Kx4

5V

MCM67068R

32

300 (J) SOJ

6f7/8

Now

Revolutionary pinout.

5V

MCM6709B

28

300 (J) SOJ

8110

Now

Evolutionary pinout.

5V

MCM67098R

2B

300 (J) SOJ

6f718

Now

Revolutionary pmout.

Motorola Master Selection Guide

2.8-5

Memory Products

FAST STATIC RAM MODULES
(Contact Fast Static RAM Marketing for Custom Fast SRAM Modules)
PowerPC Processor Applications
Motorola

Description

ChipSet

Functionality

C8che Size

Bus Speed
(Max)

duction

PowerPC Cache
Modules with 16K x 15
CacheTag

PowerPC CHRP
Platforms

Row-Thr.ough Burst

512KB cache

66 MHz

Now

PowerPC Cache
Modules with 16K x 15
CacheTag

PowerPC CHRP

Platforms

ProPackaging
178 Pin Card Edge OIMM (00)

Part Number
MPC2105A

Aow-Through Burst

1MB

66 MHz

Now

Pipelined Burst

256KBCache

Up to 66 MHz

1097

Pipelined Burst

512KB Cache

Up to 66 MHz

1097

Functionality

cache Size

Bus Speed
(Max)

Producllon

256KB Cache

66 MHz

1097

160 Pin card Edge OIMM (OG)

MCM64PE32

512KB cache

66 MHz

1097

160 Pin card Edge OIMM (OG)

MCM64PE64

MPC2106A
178 Pin Card Edge OIMM (OG)

MPC2104P
MPC2105P

Pentium Processor Applications
DescrIption

Organization

Coast Modules

32Kx64

Piped Burst

64Kx64

Piped BuISt
8 Bit TAG

Motorola
Packaging

Part Number

8 Bit TAG

Networking and Buffer Applications Asynchronous Modules
Accessllme
Description
Standard
Asynchronous FSRAM
Modules

Organization

(Max)

Production

Packaging

Comments

Motorola
Part Number

lMx32

20/25 ns

1097

72 Pin SIMM (00)

Uses eight 1M x 4 SRAMs

MCM321024

512Kx32

20125 ns

1097

72 Pin SIMM (SG)

Uses four 512K x 8 SRAMs

MCM32515

lMxS

15ns

1097

72 Pin SIMM (SG)

Uses eight 1M x 1 SRAMs

MCM8Al0SG

Networking and Buffer Applications Synchronous Modules
Description

Organization

Standard Synchronous
FSRAM Modules

64Kx72
512KB

Motorola

Access Time
(Max)

Production

Packaging

9/10112 ns

Now

168 Pin OIMM (OG)

Comments

Part Number

Flow-through synchronous BurstRAM

MCM72F60G

12SKx32
1 MB

9/10112 ns

Now

168 Pin OIMM (00)

Flow-through synchronous BurstRAM

MCM72F70G

512Kx72
2MB

8110/12 ns

Now

168 Pin OIMM (OG)

Row-through synchronous BurstRAM

MCM72F80G

1Mx72

8110112 ns

Now

168 Pin OIMM (OG)

Flow-through synchronous BurstRAM

MCM72F90G

2Mx72
8MB

8110112 ns

Now

168 Pin OIMM (OG)

Row-through synchronous BurstAAM

MCM72Fl00G

4Mx72
16MB

8110112 ns

Now

168 Pin OIMM (OG)

Flow-through synchronous BurstRAM

MCM72F110G

4MB

Memory Products

2.8-6

Motorola Master Selection Guide

~

Flash Memory

iii

Flash memory is the most cost-effective non-volatile semiconductor memory. Flash possesses a distinct advantage over traditional non-volatile memories in that it can be easily
programmed while remaining in the system.
Motorola's Dynamic Memory Products Division will offer a portfolio of low power flash memory devices. Please contact your Motorola sales representative for more information.

a

iw
I-

'c:" Dynamic RAMs
c:

'"

Introduction
DRAMs offer the lowest cost per bit of any memory. Because of this, they are popular for a wide range of applications, particularly in the computing and communication
environments. Motorola's Dynamic Memory Products include DRAM components and memory modules. The 16 MByte DRAM component is offered in various organizations
and sur1ace mount packaging. Motorola's DRAM memory modules include densities up to 32 MByte in both standard and custom configurations. All devices are fabricated
using HCMOS technology.

N

t

s:

~

-<"U
aCo

~

:s::
CD

DYNAMIC RAMS (HCMOS) (Contact DRAM Marketing)

~

"0

8.c

a

Byte Density

Organization

4MB

4Mxl

Motorola
Part Number

Pins

Package Options

MCM44100C

20/26

300S0J(N)

MCM4L4100C

20/26

MCM54100A

16MB

4Mx4

'"

t

ig>

lec

g:

FPMor
EDO

Refresh
lK

5

FPM

300S0J(N)

110/100

NOW

5

FPM

lK

20126

300 SOJ(N). 300 TSOP(T)

60170

120{100

NOW

5

1K

MCM5L4100A

20/26

300 SOJ(N). 300 TSOP(T)

60170

120/100

NOW

5

FPM
FPM

MCM54100A-C

300 SOJ{N). 300 TSet;&
300 SOJ(NI. 300 TS P(T)

NOW

5

FPM

70/80

100{85
70/60

NOW

3.3

FPM

1K
1K

MCM5L4100A-V

20126
20126
20126

70/60

NOW

3.3

FPM

lK

20/26

300 SOJ(N), 300 TSOP(TI
300S0J(N)

70180

MCM44400C

60/70

FPM

20/26

300SOJ(N)

60/70

NOW
NOW

5

MCM4L4400C

5

FPM

lK
lK

MCM54400A

20126

300 SOJ(N). 300 TSOP(T)

60/70

110/100
110/100
1201100

NOW

5

FPM

lK

MCMSL4400A

20/26

300 SOJ(N). 300 TSOP(T)

60/70

1201100

NOW

5

FPM

1K

MCM544OOA-G

20126

5

FPM

20126

100185
100

NOW

MCM5L400A-C
MCM54400A-V

300 SOJ(N) B~A
300 SOJ(N). 300 TS
).

NOW

5

FPM

1K
lK

20126

300 SOJ(N), 300 TSOP(T)

70180

70/60

NOW

3.3

FPM

lK

MCM5L44OOA-Y

300 SOJ(N). 300 TSOP(T)

70180

70160

NOW

3.3

FPM

1K

300 SOJ(J). 300 TSOP(T)

60/70

120/105

NOW

5

FPM

2K

MCM417400

20126
24/26
24126

3OOS0J(J)

60/70

110/100

NOW

5

FPM

2K

MCM417400B

24/26

300 SOJ(J). 300 TSOP(T)

60/70

110/100

4Q96

5

FPM

2K

MCM417405B

24/26

300 SOJ(J). 300 TSOP(T)

60/70

5

EDO

2K

24126

300 SOJ(J). 300 TSOP(T)

50/60/70

110/100
100/80/70

4Q96

MCM516400B

Call Mktg

5

FPM

4K

MCM51740QB

24126

2K

24/26
24/26
24/26
24126

NOW
4Q96

5

MCM517400C

300 SOJ{J). 3OOTSOP(T)
300 SOJ(J). 300 TSOP(T)

MCM317400C

MCM517405CV

:s::

Volt

NOW

MCM517405C

i.,

Production

1101100

MCM517400CV

1M x 16

Operating
Current
(mAMax)

60170
60170

MCM54100A-V
lMx4

Access Time
(ns Max)

A SEO/flU T

PH

s~nU T

~SI:iOI0~

1301110{95

1K

60/70

110195

5

FPM
FPM

300 SOJ(J). 300 TSOP(T)

60/70

4Q96

3.3

FPM

2K

300 SOJ(J). 300 TSOP(T)

4096

5

EDO

2K

300 SOJ(J). 300 TSOP(T)

60/70
60/70

75/65
110/95
75/65

4Q96

3.3

60/70

180/170

1097

5

EDO
FPM

2K
lK

lQ97
lQ97

5

FPM
EDO

lK
lK

2K

MCM218160B
MCM218160B

42

400S0J(J)

44/50

400 TSOP(T)

60/70

180/170

MCM218165B

42

400 SOJ(J)

60!70

170/160

MCM218165B

44/50

400 TSOP(T)

60/70

170/160

400 SOJ(J)

60/70

185/155

5

EDO
FPM

lK

42

lQ97
TBD

5

MCM518160B
MCM518160B

44150

400 TSOP(T)

60/70

185/155

NOW

5

FPM

lK

5

lK

MCM518165B

42

400S0J(J)

60/70

185/155

TBD

5

EDO

lK

MCM518165B

44150

400 TSOP(T)

60/70

185/155

NOW

5

EDO

lK

60/70

175/145
175/145

TBD

3.3

EDO

lK

NOW

3.3

EDO

lK

MCM518165BV

42

400S0J(J)

MCM518165BV

44/50

400 TSOPfr)

-

60/70

!

~-

s:

*.,
2-

s:

~

~

g

DRAM MODULES (Contact DRAM Marketing for Custom DRAM Modules) (See Notes 1 and 2)
Byte
Density IOrganization
1 MB
4MB

I
I

1Mx8
4Mx8

g

Gl

4Mx9

c:

~
1Mx32

'"

I

8MB

I

16MB I

32MB I

2Mx32

4Mx32

8Mx32

s:

~
o

-<-c

8.

G

Motorola
Part Number
MCM81430
MCM84000
MCM84C430
MCM84CT430
MCM94000
MCM94C430
MCM94CT430

Pins

Package Options

Access
Time

30
30
30
30
30

(S)
(AS)

60170
60170

(S)

60170
60170

30
30

(S)
(S)
(oo),(D)
(ADG), (AD)
(ADG), (AD), (ASN)
(ADG), (ADN)
(S),(SG)
(ASN)
(SH)
(SH) , (SHG)
(SH), (SHG)
(ADG)
(ADG), (ASN)
(ADG)
(S),(SG)
(ASN)
(SH)
(SH), (SHG)
(S), (SG)
(ASH), (ASHG)
(BSN)
(ASH), (ASHG)
(ADG)
(BDG), (BSN)
(ADG)
(BDG)
(ASH), (ASHG)
(BSN)
(ASH), (ASHG)
(BSN)
(S), (00)
(S),(SG)

MCM32100
MA321BT08T

72
72

MB321BT08T
MB321BT18T
MCM32B116
MB321BJ08T
MCM32BT116

72
72
72
72

MCM32130

72

MCM32Tl00
MA322BT08T

72
72

MB322BT08T
MB322BT18T

72
72

MCM32B216
MB322BJ08T
MCM32BT216

72
72
72

MCM32230
MCM32T200

72

MCM32C400
MB324CJOOT
MCM32CT400

72
72
72

MCM32CT420
MB324CTOOT

72
72

MCM32CT423
MB324CT10T

72

72

72

MB328CJOOT

72
72
72

MCM32CT800
MB328CTOOT

72
72

MCM32C800

4MB

I

1Mx36

MCM361D4

72

8 MB

I

2Mx36

MCM36204

72

(S)
(AS)

60170

Production

Nn'';,.,i

I

Operating
Current
(mA Max)

L" ~

NOW

I

m f> f .! "FPM

NOW

~

FPM

1060I900

FPM

u
u

FPM

u

FPM
FPM

u
u
u
u
u
u
u
u
u
u
u
u
u
u
u
u

NOW

9601800

NOW
NOW
NOW

370/310
370/310
350/290

TBD
TBD

1370/310
3601300
370/310

60170

NOW

60170

NQ\o/L,:~ "'-'~~
NOW: ;~ "~/800

374/314
374/314
3521292
374/314

NOW
NOW
TBD

'iDt:·

j

5
5
5

FPM

3.3

EDO
EDO

5
5

FPM
EDO

5

.,,;;6;

t,'5"

FPM
'FPM
FPM

5

FPM

5
3.3

EDO
EDO
FPM

5

~~,

G)

c

c:
Cll

Access
Time

MA724GJ40T
MB724GJ40T

72
72
72
168
168
168
168
168
168
168
168
168
168
168
168
168
168
168
168
168
168
168
168
168
168
168

(ASH),
(AS),
(AS),
(SH),
(SH),

(ASH G)
(ASG)
(ASG)
(SHG)
(SHG)

(AOG)
(OG)
(AOG)
(ADG)
(ADG)

60/70
60170
60170
60/70
60/70
60170
60170
60/70
60170
60170

(ADG)
(OG)
(ADG)
(ADG)
(AOG)
(ADG)

60/70

(ADG)

60170

(ADG)
(AOG)
(ADG)
(ADG)

60170

(ADG)

60170

(ADG)
(ADG)

60170

60/70
60170
60170
60/70
60170

60170
60170
60170

Production
NPH.

Operating
Current
(mAMax)

~\'SE'( )~r

4096

990/855

NOW

1384/1184

NOW
NOW

fi ~~E'1~' "'i5

740/620

5
5
5
5

700/580

3.3

NOW
NOW

8361704

4096
4096
4096

748/628

5
5
5

4096
4096
4096

1760/1520

828/700

NOW
4096
4096
4096

4096
4096

8281700
740/620

8361704

708/588

=

=

Refresh

Compo
Pkg.

·FPM

U

Parity

2K

SOJ

FPM
FPM

U
U

EGG for Parity
Parity

U

EGG for Parity

SOJ
SOJ
SOJ

U
U

ECC

2K
2K
2K
lK

SOJ

lK
2K
2K

SOJ
SOJ
SOJ

FPM
FPM

U
U
B
B

EDO
FPM
EDO

B
U

EDO
FPM
EDO
FPM

U
B
B

EOO
EDO
FPM

U

U
U
U

ECC
EGG
EGG
Non-Parity
Non-Parity
Non-Parity
Non-Parity
Non-Parity
Non-Parity
Non-Parity
Non-Parity
Non-Parity
Non-Parity
Non-Parity
Non-Parity

EDO

5

FPM
EDO
FPM

U
U
U

990/835

5

FPM

U

EGG
EGG

1980/1710

5
5

FPM
EDO
FPM

U
U
U

EGG
EGG
EGG

EDO
FPM

U
B
B

EGG
EGG
EGG

5
5
3.3

1760/1520

120011040
1200/1040
980/820

3.3

1980/1710

(ADG)

60170

4096

1350/1170

(ADG)
(ADG)
(AOG)

60170

4096
4096
4096

1350/1170

Package suffixes are enclosed by () in package column
AD/ADG DIMM/Gold Pad DIMM (Board Revision)
AS and ASN/ASG Tin Pad SIMM (Board Revision)/Gold Pad SIMM (Board Revision)
ASH/ASHG Low Profile SIMM/Low Profile Gold Pad SIMM
BDG Board Revision DIMM Gold Lead

=

Parity, Non-Parity,
ECC, or ECC Pin
for Parity

U
U

3.3
3.3

5
5

2060/1770

206011770

NOTES:

1.

5
3.3

748/628

60170

60170

5
5

(B)uffered or
(U)nbuffered

NOW
1008/873
5
FPM
Nb'!\rX 1fftl~~0~ '\~[9 FPM
N@W' fu '~'22ff/roeO &,'!Y'
FPM
1100/950
NOW
5
FPM
NOW
1120/970
5
FPM

4096
4096
4096

60170

Volt

FPM
or
EDO

2.

EOO

Non-Parity

OOJ
TSOP
TSOP
TSOP
TSOP
TSOP
TSOP
TSOP
TSOP
TSOP
TSOP
TSOP
TSOP
TSOP
TSOP
TSOP
TSOP
TSOP
TSOP
TSOP
TSOP
SOJ
SOJ

BSN/BSG Board Revision SIMM Tin Lead I Board Revision SIMM Gold Lead
D/OG Duallnline Memory Module (OIMM)/Duallnline Gold Pad Module
S/SG Single Inline Memeory Module/Gold Pad SIMM
SH/SHG Short Height SIMM/Short Height Gold Pad SIMM
Please consult factory before ordering a gold module package type.

=
=

=

=

Non-Parity
Non-Parity

1K
lK
lK
lK
lK
lK
lK
lK
lK
lK
lK
2K
2K
2K
2K
lK
lK
2K
2K
2K
2K
2K
2K

=

s:

ORDERING INFORMATION

~

a

iii"

s:

COMPONENT AND FIRST GENERATION MODULE PART NOMENCLATURE

ifC

MCM

[

g

G)

c:

Fab Indicator
2 = Available for sale worldwide
3 = Available for sale worldwide (16M only)
4 = Not available for sale in USA
5 = Available for sale worldwide

~

X

XXXXX

~

Motorola Memory Prefix

r I1L'~~_,"""~M_
X

X

XX

X

16M: R = Tape and Reel, 1M & 4M: R2 = Tape and Reel)

Speed (60 = 60 ns, 70 = 70 ns, 80 = 80 ns, 10 = 100 ns)

Temperature Range (Blank = 0 to 70°C,
C =- 40 to + 85°C)
Package
' - - - - - - - - - - - P a r t Number

NEXT GENERATION MODULE PART NOMENCLATURE
II>

!

X

Y

~

X= Device Status - - - - - - - - - - - - M = Motorola Qualified Device
E = ESCP Product
V = Memory Producll'fpe
S = SCM Product
A=FPMDRAM
C = Single Customer Product (SCP)
B=EDODRAM

zz= DataWldlh
32 =32 bits
36 =36 bits
64= 64 bits
72=72bits

Z

Z

A

D

T

D1

D2

P

F

TI I I T III I

g

' - - - - - - - - - - - - Spd = Speed (ns)
60
70

PI = Plaling
N = lin/lead
G=Gold

=

' - - - - - - - - - - P = PWB Type/Revlslon

A = Address Density - - - - - - - - - - - - - - - - '
1,2,4,8= 1M, 2M, 4M, 8M
5=16M
D = Die Revision - - - - - - - - - '
3=32M
A= First Rev
B=BRev
T=Package

F

D1
o = Commercial, Unbuffered, 5 V module
1 = Commercial, Unbuffered, 3.3 V module
4 = Commercial, Buffered, 5 V module
5 = Commercial, Buffered, 3.3 V module

!
-----

~---

---------------

=Fab Indicator

A=NoRev
B=RevB

G=LGS
U = Tohoku
T=TSBITSC
V=VIS
DD

-<"'C

Spd

T

' - - - - - - - - - - - - - - - - S Edge Connactor
S=SIMM
D = DIMMISO-DIMM

-------------------'

J=SOJ
T=TSOP

~

PI

S

=Data Characters
I

D2
o = x4 DRAM-based, Square refresh
8 = x16 DRAM-basad, Square refresh

Memory Products

2.8-12

Motorola Master Selection Guide

Logic: Standard, Special
and Programmable

In Brief ...
Page
Motorola Logic Families: Which Is Best for You? .... 3.1-1
Motorola Programmable Arrays (MPA) ............ 3.1-5
Selection by Function
Logic Functions ............................ 3.1-12
Device Index .................................. 3.1-40
Ordering Information . . . . . . . . . . . . . . . . . . . . . . . . . .. 3.1-50
Case Outlines. . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . .. 3.1-54
Packaging Information ......................... 3.1-85
Surface Mount ............................. 3.1-85
Pin Conversion Tables ....................... 3.1-85
Tape and Reel .............................. 3.1-86

This selector guide is a quick reference to Motorola's vast
offering of standard logic integrated circuits. In TTL, popular
due to its ease of use, low cost, medium-to-high speed
operation and good output drive capability, Motorola offers
both LS and FAST. Motorola's CMOS portfolio includes
MC14000B standard CMOS series devices, High-Speed
CMOS consisting of a full line of products that are pinoutcompatible with many LSTTL and MC14000B standard
CMOS logic devices which offers designers a solution to the
long-standing combined barrier - high speed and low
power. Motorola's Emitter Coupled Logic (MECL) is a
non-saturated form of digital logic which eliminates
transistor storage time permitting very high speed operation.
Motorola offers five versions of MECL: MECL 10K, MECL
1OH, MECL III, and the recently introduced families ECLinPS
(ECL in picoseconds) and ECLinPS Lite. Also included are
timing solution products such as clock drivers, clock
generators and programmable delay chips, high
performance and communications products such as VCO's,
prescalers, and synthesizers, and a wide variety of
translators, low-voltage bus interface and serial data
transmission devices. Field programmable logic and in
particular, field programmable arrays, have become the
solution of choice for logic design implementation in
applications where time to market is a critical product
development factor. In addition, reconfigurable arrays have
been used to enhance Customer product flexibility in ways
that no other technology can match.
A New Product Calendar is printed quarter1y that reflects
any recent device releases and the approximate dates new
devices are expected to be released. This New Product
Calendar, BR13321D, can be ordered from your nearest
Motorola Sales Office, the Motorola Literature Distribution
Center, the Motorola fax response system Mfax™ (602)
244-6609, or from Motorola Semiconductor's World Wide Web
site at:
http://www.mot.comlSPS

Motorola Master Selection Guide

3.0-1

Logic: Standard, Special and Programmable

Logic: Standard, Special and Programmable

3.0-2

Motorola Master Selection Guide

Motorola Logic Families, Which Is Best for You?
By Gary Tharalson, Motorola, Chandler, AZ

Introduction

By selecting the family whose parameters most closely fit
your needs, you can save many future headaches. Obviously,
before selecting a specific device, a detailed review of the
vendor's data sheet specifications is recommended.

When a logic designer is faced with developing a new
product requiring performance significantly different from the
past, it might be well to examine various logic family
alternatives. Selecting a logic family for a new design from
today's rapidly changing semiconductor technologies can be
a perilous task. With the many choices available, it is easy to
under-kill or over-kill an application with inadequate or
excessive capabilities.

Family Comparison
Table 1. compares some typical characteristics of several
popular logic families available in the market today. The
following sections provide brief explanations of the various
parameters.

Table 1. Logic Family Comparison
Logic Families

Typical Commercial
Parameters

CMOS

TTUABT

(0° to +70°C)

ECL

LS

ALS

ABT

FAST

MG

HC

FACT

lVC

lCX

10KH

lOOK

EClinPS3

E-llte

9
33
6

7
45
3

2.7
200
3

3
125
2

25
4
100

8
45
4

5
160
2

3.3
200
3.7

3.5
200
3.6

I
330
1

0.75
400
0.70

0.33
1000
0.50

0.22
2800
0.25

5

1.2

0.005

12.5

0.003

0.0001

lE-D4

25

50

25

73

5

1.0

12.5

0.000
6
0.04

0.003

1.2

0.6

0.8

0.6

0.3

25

50

25

73

+4.5
to 5.5

+4.5
to 5.5

+4.5
to 5.5

+4.5
to 5.5

+3
tol8

+2
t06

+2
t06

+1.2
103.6

+2
to 3.6

-4.5
to
-5.5

-4.2
to-4.8

-4.210
-5.5

-4.5
to-5.5

8

8

32164

20

I

4

24

24

24

500
Load

500
Load

500
Load

500
Load

N/A
N/A

N/A
NlA

N/A
N/A

NlA
NlA

NlA
N/A

N/A
NlA

N/A
NlA

YES
NO

YES
YES

N/A
N/A

N/A
N/A

N/A
N/A

NlA
N/A

22
10

22
10

22
10

22
10

30
30

30
30

30
30

30
30

30
30

28
31

41
31

28141
31/31

33
33

YES
YES
NO
NO
NO

YES
YES
YES
YES
NO

YES
YES
NO
YES
NO

YES
YES
YES
YES
NO

YES
YES
NO
NO
YES

YES
YES
NO
YES
YES

YES
YES
YES
YES
YES

NO
YES
NO
YES
YES

NO
YES
NO
YES
YES

YES
NO
YES
NO
NO

YES
NO
NO
NO
NO

NO
NO
YES
NO
NO

NO
YES
NO
NO
NO

Functional Device TYpes

190

210

50

110

125

103

80

35

272

64

44

48

40

Relative 1-25 Price/Gate

0.9

1

1.6

1

0.9

0.9

1.4

1.8

1.8

2

10

25

32

Speed
OR Gate Prop Delay (tPlH) ns
o Flip-Flop Toggle Rate
MHz
Output Edge Rate
ns
Power Consumption (Per Gate)
Quiescent

mW

Operating (I MHz)

mW

Supply Voltage

Output Drive

V

rnA

5VToierant
Inputs
Outputs
DC Noise Margin1
High Input
Low Input

%
%

Packaglng4
DIP
SO
LCC
SSOP
TSSOP

NOTES:
1. Typical noise margin expressed as a percentage 01 typical output voltage
swing.
2. Announced plans lor Motorola offering.

3. ECLinPS is Available in both 10KH and lOOK compatible versions.
4. A "YES" may not include all devices within a lamily.

VENDORS REFERENCED (DATA BOOK):
LS
Motorola Low power Schottky TTL (Ol 121)
ALS
Texas Instruments Advanced low power Schottky TTL
(SOAOOOIB)
ABT
Philips Semiconductor (IC23)
FAST
Motorola Advanced Schottky TTL (OL 121)
Motorola 14000 Series Metal Gate CMOS (OlI31)
MG
HC
Motorola High-Speed Silicon Gate CMOS (OL 129)

FACT
lCX
lVC
10KH
lOOK
ECLinPS
E-Lite

Motorola Advanced CMOS (OL 138)
Motorola low-Voltage CMOS (BRI339)
Philips Low-VoHage CMOS (IC24)
Motorola 10KH Series ECl (OLI22)
National lOOK Series ECl (FlOOK)
Motorola Advanced ECl (OL 140)
Motorola (ECLinPS Lite) Advanced ECL (OL 140)

ECLinPS and ECLinPS Lite are trademarks of Motorola, Inc.
FAST and FACT are trademarks of National Semiconductor Corp.

Motorola Master Selection Guide

3.1-1

Logic: Standard, Special and Programmable

Logic Families

CMOS

Although there are many family technologies available,
they can be divided into roughly three broad categories:
Transistor-Transistor Logic (TTL), Complementary MetalOxide Semiconductor logic (CMOS), and Emitter-Coupled
Logic (ECL). TTL and ECL are bipolar technologies differing
in implementation techniques, while CMOS (an MOS
technology) differs in fundamental transistor structure and
operation.

Complementary Metal-Oxide Semiconductor (CMOS)
field-effect transistors differ from bipolar both in structure and
operation. The primary advantages of CMOS are its low power
dissipation and small physical geometry. Advances in design
and fabrication have brought CMOS devices into the same
speed and output drive capability as TTL. Again,
enhancements have resulted in the evolvement of additional
classifications: MG (Metal-Gate CMOS), HC (High-speed
silicon-gate CMOS), and FACT'M (Advanced CMOS).
The most recent evolution in CMOS logic has been in
reducing supply voltage without sacrificing performance. The
new LCX family is one outgrowth of this trend. This family
results from the joint efforts of a triumvirate of companies
including Motorola, National, and Toshiba. Although each
company has done its own design and fabrication, they have
mutually agreed to provide identical performance
specifications. In addition to the 3V operating voltage, LCX
inputs and outputs are tolerant of interfacing with 5V devices.

TTL
The designation "bipolar" essentially refers to the bas.ic
component utilized to build this family of integrated circuits,
the bipolar transistor. By employing a bipolar transistor in a
logic function's output driver as well as the input buffer, it
results in a Transistor-to-Transistor (TTL) direct connection.
Older technologies were interconnected via passive
components such as resistors or diodes.
Since the original TTL design, several enhancements have
been employed to reduce power and increase speed.
Common to these has been the use of Schottky diodes which,
ironically, no longer result in strictly TTL connections.
Consequently, the two names, Schottky and TTL, are used in
combination: LS (Low power Schottky), ALS (Advanced Low
power Schottky), and FAST'M (Advanced Schottky) TTL.

TTL

TYPICAL OF ALL OUTPUTS
----- 2000 Volts
• 8-Pin PDIP and SOIC; 2o-Pin PLCC Packages
• Commercial (0 to +70°C) and Industrial (-40 to +85°C)

PSUFFIX
PLASTIC PACKAGE
CASE 626-05

B-Lead Pinouts
(Top View)

8~
1

o SUFFIX
PLASTIC SOIC PACKAGE
CASE 751-G5

FN SUFFIX
PlCC PACKAGE
CASE 775-02

2D-Lead Pinout
(Top View)
NC

18

17

NC

NC CEO

16

15

14

PIN NAMES

NC

13

NC

VCC

12

NC

NC

11

NC

DATA

2

10

Vss

NC

3

9

NC

ClK NC RESETI NC
OE

Motorola Master Selection Guide

Pins

Function

DATA
ClK
RESETIOE
CE
VSS
CEO
VPP
VCC
NC

Data 110
Clock
Reset Input and Output Enable
Chip Enable Input
Ground
Chip Enable Output
Programming Voltage Supply
+4.5 to 6.0V Power Supply
Not Connected

CE

3.1-11

logic: Standard, Special and Programmable

Selection by Function
In order to better serve our customers, we have made some modifications to the Selection by Function portion of the Logic
Selector Guide. For easy selection of Logic's newer, more complex functions, as well as standard family functions, refer to the
subject index below. Within the Selection by Function tables on the next 23 pages, you will find functions sorted by these broad
subjects, and then broken down alphabetically into more precise functions.

Logic Functions
AMPLIFIER ................................
ARITHMETIC OPERATORS .................
BOUNCE ELIMINATOR .....................
BUFFERS .................................
BUFFERS,3-STATE ........................
BUS INTERFACE ...........................
CBM ......................................
CLOCK DISTRIBUTION CHiPS ..............
CLOCK DRiVERS ..........................
COAX CABLE DRiVERS ....................
COMPARATORS ...........................
CONVERTERS .............................
COUNTERS ...............................
DECODER/DEMULTiPLEXERS ..............
DETECTORS ..............................
DISPLAY DECODE DRiVERS ................
DiViDERS .................................
DRiVER ...................................
EDACs ....................................
ENCODERS ...............................
ENCODER/DECODERS .....................
EXPANDERS ..............................
FIELD PROGRAMMABLE GATE ARRAyS .....
FLiP-FLOPS ...............................
GATES, AND/NAND ........................
GATES, COMPLEX .........................
GATES, EXCLUSIVE OR/EXCLUSIVE NOR ...
GATES, NOR ..............................

Logic: Standard, Special and Programmable

3.1-13
3.1-13
3.1-13
3.1-13
3.1-13
3.1-14
3.1-16
3.1-16
3.1-16
3.1-17
3.1-17
3.1-18
3.1-18
3.1-20
3.1-21
3.1-21
3.1-21
3.1-21
3.1-21
3.1-21
3.1-22
3.1-22
3.1-22
3.1-22
3.1-25
3.1-26
3.1-27
3.1-28

3.1-12

GATES, OR ................................
INDUSTRIAL CONTROL UNIT ...............
INVERTERS ...............................
INVERTER/BUFFERS, 2-STATE .............
LATCHES .................................
MEMORY SUPPORT .......................
MISCELLANEOUS .........................
MULTIPLEXER/DATA SELECTORS ...........
MULTIVIBRATORS .........................
OSCiLLATORS .............................
OSCILLATORITIMERS ......................
PARITY CHECKERS ........................
PHASE-LOCKED LOOP ....................
PRESCALERS .............................
PROGRAMMABLE DELAY CHiPS ............
PROMs ...................................
RAMs .....................................
RECEiVERS ...............................
REGiSTERS ...............................
REGISTER FILES ..........................
SCHMITT TRIGGERS .......................
SCSI BUS TERMINATORS ..................
SERIAL EPROMs ..........................
SHIFT REGiSTERS .........................
SyNTHESiZERS ...........................
TRANSCEiVERS ...........................
TRANSLATORS ............................

3.1-28
3.1-29
3.1-29
3.1-29
3.1-30
3.1-31
3.1-31
3.1-31
3.1-33
3.1-33
3.1-34
3.1-34
3.1-34
3.1-34
3.1-35
3.1-35
3.1-35
3.1-35
3.1-36
3.1-36
3.1-36
3.1-36
3.1-36
3.1-36
3.1-38
3.1-38
3.1-38
VCO ...................................... 3.1-39

Motorola Master Selection Guide

Selection by Function

I
I

Description

Device(s)

Tech.

AMPLIFIER

Pins

I

Fiber Optic Post Amplifier

IDIP I SM
D

16

MC10SX1125

ARITHMETIC OPERATORS

4-Bit Arithmetic Logic Unit

TTL

MC74F181

N

MC74F381

-

24

TTL

20

N

DW

TTL

MC74F382

-

20

N

DW

SN74LS181

DW

TTL

SN54LS181

24

N,J

DW

4-Bit Arithmetic Logic UniVFunction Generator

ECL

MC10H181

-

24

P,L,
PW,
LW

FN

ECL

MC10181

-

24

P,L

4-Bit Binary Full Adder With Fast Carry

TTL

MC74F283

-

16

N

D

TTL

SN54LS83A

SN74LS83A

14

N,J

D

SN74LS283

D

TTL

SN54LS283

16

N,J

4-Bit Full Adder

CMOS

MC14008B

-

16

P,L

D

9's Complementer

CMOS

MC14561B

-

14

P

D

BCD Rate Multiplier

CMOS

MC14527B

-

16

P

DW

Carry Lookahead Generator

TTL

MC74F182

-

16

N

D

Dual 2-Bit Adder/Subtractor

ECL

MC10H180

-

16

P,L

FN

ECL

MC10180

-

16

P,L

Look Ahead Carry Block

ECL

MC10H179

-

16

P,L

FN

NBCDAdder

CMOS

MC14560B

-

16

P,L

D

Triple Serial Adder (Negative Logic)

CMOS

MC14038B

-

16

L

16

P,L

BOUNCE ELIMINATOR

I

Hex Contact Bounce Eliminator

ICMOS IMC14490

DW

BUFFERS

-

1:2 Differential Fanout Buffer

ECL

MC100LVEL11

8

D

2:8 Differential Fanout Buffer

ECL

MC100LVE310

MC100E310

28

FN

Dual 1:3 Fanout Buffer

ECL

MC100LVEL13

MC100EL13

20

Expandable Buffer

DTL

MC832

Low Voltage Dual 1:4, 1:5 Differential Fanout Buffer, ECL/PECL
Compatible

ECL

MC100LVE210

Low-Voltage CMOS 16-Bit Buffer, 3-State, Inverting With
5V Tolerant Inputs and Outputs

CMOS

MC74LCX16240A

Low-Voltage CMOS 16-Bit Buffer, 3-State, Non-Inverting With
5V Tolerant Inputs and Outputs

CMOS

Low-Voltage CMOS Octal Buffer, 3-State, Non-Inverting With
5V Tolerant Inputs and Outputs

MC100E210

14

DW
P,L

28

FN

-

20

DW,M,
DT

MC74LCX16244

-

20

DW,M,
DT

CMOS

MC74LCX244

-

20

DW,M,
DT

Low-Voltage CMOS Octal Buffer, 3-State, Inverting With 5V
Tolerant Inputs and Outputs

CMOS

MC74LCX240

-

20

DW,M,
DT

Low-Voltage CMOS Octal Buffer Flow Through Pinout, 3-State,
Non-Inverting With 5V Tolerant Inputs and Outputs

CMOS

MC74LCX541

-

20

DW,M,
DT

Low-Voltage CMOS Octal Buffer Flow Through Pinout, 3-State,
Inverting With 5V Tolerant Inputs and Outputs

CMOS

MC74LCX540

-

20

DW,M,
DT

Low-Voltage CMOS Quad Buffer, 3-State, Inverting With 5V
Tolerant Inputs and Outputs

CMOS

MC74LCX125

-

20

DW,M,
DT

Low-Voltage Quiet CMOS Octal Buffer

CMOS

MC74LVQ541

-

20

D,M,
SD,DT

Low-Voltage Quiet CMOS Octal Buffer, 3-State, Non-Inverting

CMOS

MC74LVQ244

-

20

DW,M,
SD,DT

BUFFERS, !!-STATE

Motorola Master Selection Guide

3.1-13

Logic: Standard, Special and Programmable

Selection by Function

I

Description

Tech.

Device(s)

Pins

I DIP I SM

BUFFERS,3-STATE

Low-Voltage Quiet CMOS Octal Buffer, 3-State, Inverting

CMOS

MC74LVQ240

-

20

DW,M,
SD,DT

Low-Voltage Quiet CMOS Quad Buffer, 3-State, Non-Inverting

CMOS

MC74LVQ125

-

14

D,M,
SD,DT

-

24

N

DW

24

N

DW

BUS INTERFACE

lo-Bit Buffer/Line Driver (Inverting), With 3-State Outputs

TTL

MC74F828

lo-Bit Buffer/Line Driver (Non-Inverting), With 3-State Outputs

TTL

MC74F827

3-Bit Registered Bus Transceiver, 25(.1 Cutoff Outputs

ECL

MC10E336

MC100E336

28

3-Bit Scannable Registered Bus Transceiver

ECl

MC10E337

MC100E337

28

FN

32-Bit to 32116/8-Bit Dynamic READ/WRITE Bus Sizer

CMOS

MC68150*33

-

68

FN

CMOS

MC68150*40

-

68

9-Bit Bus Interface, NINV, 3 State Outputs

TTL

MC74F823

24

Bus Driver

ECL

MC10128

Dual Bus Driver/Receiver With 4-t0-1 Output Multiplexer (25(.1)

ECL

MC10H332

-

Hex 3-State Inverting Buffer With Common Enables

CMOS

MC54HC366

Hex 3-Stale Inverting Buffer With Separate 2-Bit and 4-Bit
Sections

CMOS

MC74HC368

Hex 3-State Non-Inverting Buffer With Common Enables

CMOS

MC54HC365

Hex 3-State Non-Inverting Buffer With Separate 2-Bit and 4-Bit
Sections

CMOS

MC54HC367

FN

FN
N

16

l

20

P,L

16

N,J

16

N

MC74HC365

16

N,J

MC74HC367

16

N,J

MC74HC366

-

DW
FN

DT

Hex Buffer 4/2-Bitllnverting With 3-State Outputs

TTL

SN54LS368A

SN74LS368A

16

N,J

D

Hex Buffer 4/2-BitlNon-lnverting W~h 3-State Outputs

TTL

SN54lS367A

SN74LS367A

16

N,J

D

Hex Buffer Driver, 4+2-Bit, Inverting, With 3-State Outputs

TTL

MC74F368

16

N

D

Hex Buffer Gated Enable Inverting With 3-State Outputs

TTL

SN54LS366A

SN74lS366A

16

N,J

D

Hex Buffer Gated Enable Non-Inverting With 3-State Outputs

TTL

SN54LS365A

SN74lS365A

16

N,J

D

Hex Buffer/Driver Gated Enable Inverting, With 3-State Outputs

TTL

MC74F366

16

N

D

Hex Buffer/Driver Gated Enable Non-Inverting, With 3-State
Outputs

TTL

MC74F365

-

16

N

D

Hex Buffer/Driver, 4+2-Bit, Non-Inverting, With 3-State Outputs

TTL

MC74F367

-

16

N

D

Hex With 3-Stale Outputs Buffer (Non-Inverting)

CMOS

MC14503B

-

16

P,l

D

Octal 3-State Non-Inverting Bus Transceiver With lSTTL
Compatible Inputs

CMOS

MC54HCT245A

MC74HCT245A

20

N,J

DW,
SD,DT

Octal Bidirectional Transceiver With 3-State Inputs/Outputs

CMOS

MC74AC245

N

DW

MC74ACT245

20

N

DW

CMOS

MC74AC620

20

N

DW

CMOS

MC74ACT620

20

N

DW

CMOS

MC74AC623

20

N

DW

CMOS

MC74ACT623

20

N

DW

CMOS

MC74AC640

-

20

CMOS

20

N

DW

CMOS

MC74ACT640

-

20

N

DW

CMOS

MC74AC643

-

20

N

DW

CMOS

MC74ACT643

-

20

N

DW

TTL

MC74F245

-

20

N

DW

TTL

MC74F657A

-

24

N

DW

Octal Bidirectional Transceiver With 3-State Outputs

Octal Bidirectional Transceiver With 8-Bit Parity Generator
Checker, With 3-State Outputs

-

TTL

MC74F657B

-

24

N

DW

Octal Bidirectional Transceiver, With 3-State Inputs/Outputs

TTL

MC74F1245

-

20

N

DW

Octal Buffer With 3-State Outputs

(81 LS95)

TTL

SN54LS795

SN74LS795

20

N,J

DW

(81 LS96)

TTL

SN54LS796

SN74LS796

20

N,J

DW

(81 LS97)

TTL

SN54LS797

SN74LS797

20

N,J

DW

(81 LS98)

TTL

SN54lS798

SN74LS798

20

N,J

DW

Logic: Standard, Special and Programmable

3.1-14

Motorola Master Selection Guide

Selection by Function
Description

Device(s)

BUS INTERFACE

Octal Buffer/Line Driver With 3-State Outputs

TTL

SN54LS244

20

N,J

DW

TTL

MC74F240

-

20

N

DW

TTL

MC74F241

20

N

DW

TTL

MC74F244

-

20

N

DW

TTL

SN54LS240

SN74LS240

20

N,J

DW

TTL

SN54LS241

SN74LS241

20

N,J

DW

TTL

SN54LS540

SN74LS540

20

N,J

DW

TTL

SN54LS541

SN74LS541

20

N,J

DW

CMOS

MC74AC241

-

20

N

DW

CMOS

MC74AC244

-

20

N

DW

CMOS

MC74ACT244

20

N

DW

CMOS

MC74AC540

20

N

DW

CMOS

MC74ACT540

-

20

N

DW

CMOS

MC74AC541

-

20

N

DW

CMOS

MC74ACT541

-

20

N

DW

CMOS

MC74AC240

-

20

N

DW

CMOS

MC74ACT240

20

N

DW

CMOS

MC74ACT241

-

20

N

DW

TTL

SN54LS245

SN74LS245

20

N,J

DW

TTL

SN54LS623

SN74LS623

20

N,J

DW

Octal Bus Transceiver, With 3-State Outputs

TTL

MC74F623

20

N

DW

Octal Bus Transceiver/Inverting With 3-State Outputs

TTL

SN54LS640

20

N,J

DW

TTL

MC74F620

20

N

DW

TTL

MC74F640

20

N

DW

Octal Bus Transceiver/Non-Inverting With 3-State Outputs

TTL

SN54LS645

20

N,J

DW

Octal Bus Transceiver/Register With 3-State Outputs
Non-Inverting

CMOS

MC74AC652

-

24

N

DW

CMOS

MC74ACT652

-

24

N

DW

Octal Registered Transceiver Inverting, With 3-State Outputs

TTL

MC74F544

-

24

N

DW

Octal Registered Transceiver Non-Inverting, With 3-State Outputs

TTL

MC74F543

-

24

N

DW

Octal Transceiver/Register With 3-8tate Outputs Non-Inverting

CMOS

MC74AC646

24

N

DW

CMOS

MC74ACT646

24

N

DW

CMOS

MC74AC648

-

24

N

DW

CMOS

MC74ACT648

24

N

DW

Octal Transceiver/Register, With 3-State Outputs

TTL

MC74F646

-

24

N

DW

Octal With 3-State Non-Inverting Buffer/Line Driver/Line Receiver

CMOS

MC54HC241A

MC74HC241A

20

N,J

DW

Octal With 3-8tate Non-Inverting Buffer/Line Driver/Line Receiver
With LSTTL Compatible Inputs

CMOS

MC54HCT241A

MC74HCT241A

20

N,J

DW

CMOS

MC54HCT244A

MC74HCT244A

20

N,J

DW,
SD,DT

Octal With 3-State Outputs Inverting Buffer/Line Driver/Line
Receiver

CMOS

MC54HC240A

MC74HC240A

20

N,J

DW,
DT

CMOS

MC54HC540A

MC74HC540A

20

N,J

DW

Octal With 3-8tate Outputs Inverting Buffer/Line Driver/Line
Receiver With LSTTL Compatible Inputs

CMOS

MC74HCT240A

-

20

N

DW,
SD,DT

Octal Bus Transceiver

Octal Transceiver/Register With 3-State Outputs Inverting

SN74LS244

SN74LS640

SN74LS645

Octal With 3-State Outputs Inverting Bus Transceiver

CMOS

MC54HC640A

MC74HC640A

20

N,J

DW

Octal With 3-State Outputs Non-Inverting Buffer/Line Driver/Line
Receiver

CMOS

MC54HC541A

MC74HC541A

20

N,J

DW

Octal With 3-State Outputs Non-Inverting Buffer/Line Driver/Line
Receiver With LSTTL Compatible Inputs

CMOS

MC74HCT541A

-

20

N

DW

Motorola Master Selection Guide

3.1-15

Logic: Standard, Special and Programmable

Selection by Function

I

Description

Pins

I DIP I

MC74HC244A

20

N,J

DW,
SD,DT

Devlce(s)

Tech.

SM

BUS INTERFACE

Octal With 3-State Outputs Non-Inverting Buffer/Une Driver/line
Receiver

CMOS

MC54HC244A

Octal With 3-State Outputs Non-Inverting Bus Transceiver

CMOS

MC54HC245A

MC74HC245A

20

N,J

DW

Octal With 3-State Outputs Non-Inverting Bus Transceiver & D
Flip-Flop

CMOS

MC54HC646

MC74HC646

24

N,J

DW

Quad Buffers With 3-State Outputs

TTL

SN54lS125A

SN74LS125A

Quad 3-State Non-Inverting Buffers

CMOS

MC74HC125A

CMOS
CMOS

Quad Buffer With 3-State Outputs

14

N,J

D

-

14

N

D

MC74HC126A

-

14

N

D

MC74AC125

-

14

N

D

CMOS

MC74ACT125

-

14

N

D

CMOS

MC74AC126

-

14

N

D

CMOS

MC74ACT126

-

14

N

D

TTL

MC74F125

14

N

D

TTL

MC74F126

14

N

D

-

TTL

SN54lS126A

14

N,J

D

Quad Bus Driver

ECl

MC10192

-

16

P,l

FN

Quad Bus Driver/Receiver With 2-to-l Output Multiplexer (25(.1)

ECl

MC10H330

-

24

P,l

FN

Quad Bus Driver/Receiver With Transmit & Receiver Latches
(250)

ECl

MC10H334

-

20

P,L

FN
D

SN74lS126A

Quad Bus Transceiver/Inverting With 3-State Outputs

TTL

SN54lS242

SN74lS242

14

N,J

Quad Bus Transceiver/Non-Inverting With 3-State Outputs

TTL

SN54lS243

SN74lS243

14

N,J

D

Quad Bus Transceivers With 3-State Outputs

TTL

MC74F242

-

14

N

D
D

TTL

MC74F243

N

CMOS

MC74HC242

-

14

Quad With 3-State Outputs Inverting Bus Transceiver

14

N

Triple 3-lnput Bus Driver With Enable (250)

ECl

MC10H423

-

16

P,l

FN

Triple 4-3-3 Input Bus Driver (25(.1)

ECl

MC10H123

-

16

P,l

FN

ECl

MC10123

-

16

P,l

FN

ISXlG

I MC68194

CBM

ICBM - Carrier Band Modem

52

*FJ

CLOCK DISTRIBUTION CHIPS

1:4 Clock Distribution Chip

ECl

MC10EL15

MC100EL15

16

D

1:5 Clock Distribution Chip

ECl

MC100lVEL14

MC100EL14

20

DW

MC100E211

1:6 Differential Clock Distribution Chip

ECl

MC10E211

28

FN

low Voltage 1:12 Clock Distribution Chip

SXlG

MPC948

-

32

FA

low Voltage 1:9 Clock Distribution Chip

SXLG

MPC947

-

32

FA

low Voltage 1:9 ECUPECl Clock Distribution Chip

ECl

MC100lVElll

-

28

FN

CLOCK DRIVERS

1:2 Differential Clock Driver

ECl

MC10EL11

1:6 PCI Clock Generator/Fanout Buffer

CMOS

MPC903

-

CMOS

MPC904

CMOS

MPC905

-

1:9 Differential Clock Driver With low Skew, Enable, Vbb

ECl

MC10Elll

1:9 Differential ECLlPECl RAM Bus Clock Buffer

ECl

MC10E411

t :9 TTUTTl Clock Distribution Chip

ECl

MC10H645

-

3.315.0V Fully Integrated Pll Clock Driver

CMOS

MPC974

-

52

FA

50 MHz low Skew CMOS Pll Clock Driver With IlP Power Down

CMOS

MC88920

-

20

DW

66 MHz low Skew CMOS Pll Clock Driver With IlP
Power-DownlPower-Up Feature

CMOS

MC88921

-

20

DW

logic: Standard, Special and Programmable

3.1-16

MC100EL11

MC100Elll

-

8

D

16

D

16

D

16

D

28

FN

28

FN

28

FN

Motorola Master Selection Guide

Selection by Function

I

Tech.

Description

Devlce(s)

Pins

I DIP I

SM

CLOCK DRIVERS

68030/040 PECUTTl Clock Driver

ECl

MC10H640

MC100H640

28

FN

ECl

MC10H642

MC100H642

28

FN

ECl

MC10H644

MC100H644

20

Clock Driver Quad D-Type Flip-Flop w/ Matched Propagation
Delays

TTL

MC74F1803

-

TTL

MC74F803

CMOS Pll Clock Driver Programmable Frequency, low Skew,
High Fan-Out

CMOS

MC88PL117

Dual 3.3V Pll Clock Generator

CMOS

MPC980

Dual Supply ECUTTl 1:8 Clock Driver

ECl

MC10H643

High Frequency Pll Clock Generator

ECl

MC12429

ECl

MC12439

low Skew CMOS Clock Driver

CMOS

MC88913

14

N

low Skew CMOS Clock Driver With Reset

CMOS

MC88914

14

N

low Skew CMOS Pll 68060 Clock Driver

CMOS

MC88lV926

low Skew CMOS Pll Clock Driver

CMOS

MC88915*55

-

CMOS

MC88915*70

low Skew CMOS Pll Clock Driver With Processor Reset

CMOS

MC88916*70

CMOS
low Skew CMOS Pll Clock Driver

160 MHz Version

CMOS

133 MHz Version

FN

14

N

-

14

N

-

52

D
D
FN

52

FA

28

FN

-

28

FN

-

28

MC100H643

FN
D
D

20

DW

28

FN

28

FN

20

DW

MC88916*80

-

20

DW

MC88915T*160

-

28

FN

CMOS

MC88915T*133

28

FN

100 MHz Version

CMOS

MC88915T*100

28

FN

70 MHz Version

CMOS

MC88915T*70

-

28

FN

55 MHz Version

CMOS

MC88915T*55

-

28

FN

low Voltage 1: 10 CMOS Clock Driver

CMOS

MPC946

32

FA

low Voltage 1: 15 Differential +1/2 ECUPECl Clock Driver

ECl

MC100lVE222

-

52

FA

low Voltage 1: 15 PECl to CMOS Clock Driver

CMOS

MPC949

-

52

FA

low Voltage 1:9 Differential ECUHSTl to HSTl Clock Driver

CMOS

MPC911

28

FN

low Voltage PECl Pll Clock Driver

CMOS

MPC992

-

32

FA

low Voltage Pll Clock Driver

CMOS

MPC930

MPC931

32

FA

low Voltage Pll Clock Driver

CMOS

MPC950

MPC951

32

FA

low Voltage Pll Clock Driver

CMOS

MPC956

32

FA

low Voltage Pll Clock Driver

CMOS

MPC970

52

FA

low Voltage Wide Fanout Pll Clock Driver

CMOS

MPC952

-

32

FA

Multiple Output Clock Synthesizer

CMOS

MPC9159-410

-

28

DW

-

PECUTTl to TTL 1 : 8 Clock Distribution Chip

ECl

MC10H646

MC100H646

28

FN

Single Supply PECUTTl 1:9 Clock Distribution Chip

ECl

MC10H641

MC100H641

28

FN

+2, +4/6 Clock Generation Chip (3.3V)

ECl

MC100lVEl38

MC100El38

20

DW

+214, +4/6 Clock Generation Chip

ECl

MC100lVEl39

MC100El39

20

DW

+2,4,8 Differential Clock Driver

ECl

MC10El34

MC100El34

16

D

COAX CABLE DRIVERS

Fibre Channel Coaxial Cable Driver and loop Resiliency Circuit

MC10SX1189

300 MBills lED Driver for FDDI and Fibre Channel

MC10SX1130

COMPARATORS

4-Bit Magnitude Comparator

Motorola Master Selection Guide

TTL

MC74F85

CMOS

MC74HC85

TTL

SN54lS85

CMOS

MC145858

3.1-17

-

-

SN74lS85

-

16

N

D

16

N

DT

16

N,J

D

16

P,l

D

logic: Standard, Special and Programmable

Selection by Function

I

Description

Tech.

Device(s)

Pins

IDIP I SM

COMPARATORS

5-Bit Magnitude Comparator
8-Bit Equality Comparator
8-Bit Identny Comparator

ECl

MC10H166

ECl

MC10166

CMOS

MC54HC688

CMOS

MC74ACT521

TTL
TTL
TTL
TTL

MC74F521

9-Bit Magnitude Comparator

MC74HC688

-

-

16

P,l

16

P,l

FN
FN

20

N,J

OW

20

N
N

OW

SN54lS682

SN74lS682

20

N,J

OW

SN54lS684

SN74lS684

20

N,J

OW

SN54lS688

SN74lS688

20

N,J

OW

ECl

MC10E166

MC100E166

28

Dual Analog Comparator With latch

ECl

MC10E1651

Dual Analog Comparator With Latch (HH>erf MC1651)

ECl

MC10E1652

4-Bit Parallel to Serial Converter

ECl

MC10E446

MC100E446

28

4-Bit Serial to Parallel Converter

ECl

MC10E445

MC100E445

28

Dual AID Converter

ECl

MC1650

-

16

l

ECl

MC1651

-

16

l

12-Bit Binary Counter

CMOS

MC14040B

12-8tage Binary Ripple Counter

CMOS

MC54HC4040A

8-Bit Magnitude Comparator

-

-

20

FN

16,20

l

FN

16,20

l

FN

CONVERTERS

FN
FN

COUNTERS

-

16

P,l

0

MC74HC4040A

16

N,J

D,DT

CMOS

MC74AC4040

-

16

N

D

14-Bit Binary Counter

CMOS

MC14020B

-

16

P,l

D

14-Bit Binary Counter and Oscillator

CMOS

MC14060B

-

16

P,l

D

14-Stage Binary Ripple Counter

CMOS

MC74HC4020A

-

16

N

D,DT

-

16

N

D

16

N,J

DT

14-Stage Binary Ripple Counter With Oscillator

CMOS

MC74AC4020

CMOS

MC54HC4060

MC74HC4060
MC74HC4060A

16

N,J

D,DT

-

16

P

DW

CMOS

MC54HC4060A

3-Digit BCD Counter

CMOS

MC14553B

4-Bit BCD Decade Counter, Asynchronous Reset

TTL
TTL
TTL
TTL
TTL
TTL

SN54lS160A

SN74lS160A

16

N,J

D

SN54lS162A

SN74lS162A

16

N,J

D

20

N

DW

20

N

DW
D

4-Bit Bidirectional Binary Counter, With 3-State Outputs
4-Bit Bidirectional Decade Counter, With 3-8tate Outputs
4-Bit Binary Counter

MC74F569
MC74F568

-

-

SN54lS93

SN74lS93

14

N,J

SN54lS293

SN74lS293

14

N,J

D

-

16

P,l

FN

ECl

MC10H16

CMOS

MC14161B

-

16

P

0

CMOS

MC14163B

-

16

P

D

TTL
TTL
TTL
TTL
TTL
TTL
TTL

SN54lS161A

SN74lS161A

16

N,J

D

SN54lS163A

SN74lS163A

16

N,J

D

SN54lS569A

SN74lS569A

20

N,J

DW

SN54lS196

SN74lS196

14

N,J

D

SN54lS197

SN74lS197

14

N,J

D

-

16

N

D

16

N

D

5 Cascaded BCD Counters

CMOS

MC14534B

-

24

P.l

DW

6--Bit Universal Counter, (lookahead Carry)

ECl

MC10E136

7-8tage Ripple Counter

CMOS

MC14024B

-

14

P,l

D

8-Bit Bidirectional Binary Counter

TTL

MC74F269

-

24

N

DW

4-Bit Binary Counter, Synchronous Presettable
4-Bit Binary Counter, Synchronous Reset
4-Bit Up/Down Counter With 3-8tate Outputs
4-8tage Presettable Ripple Counters
4-8tage Synchronous Bidirectional Counter

logic: Standard, Special and Programmable

3.1-18

MC74F168
MC74F169

MC100E136

28

FN

Motorola Master Selection Guide

Selection by Function

I

Description

Tech.

Device(s)

Pins

I DIP I SM

COUNTERS

TTL

MC74F579

-

20

N

TTL

MC74F779

-

16

N

ECL

MC10E137

MC100E137

28

8-8it Synchronous Binary Up Counter

ECL

MC10E016

MC100E016

28

BCD Decade Counter, Synchronous Presettable

TTL

MC74F160A

-

16

N

D

TTL

MC74F162A

-

16

N

D

BCD Decade Synchronous Bidirectional Counter

TTL

SN54lS168

16

N,J

D

Bi-Quinary Counter

ECl

MC10138

16

P,L

FN

Binary Counter

ECl

MC10154

16

P,l

ECl

MC10178

-

16

P,L

FN

TTL

MC74F161A

-

16

N

D

TTL

MC74F163A

16

N

D

ECl

MC12014

-

16

P,l

8-Bit Bidirectional Binary Counter, With 3-State Outputs
8-Bit Ripple Counter

Binary Counter, Synchronous Presettable, 4-Bit
Counter Control logic
Decade Counter

SN74lS168

OW

0
FN
FN

TTL

SN54lS90

SN74lS90

14

N,J

D

TTL

SN54lS290

SN74lS290

14

N,J

D

CMOS

MC14017B

16

P,l

D

CMOS

MC74HC4017

16

N

D

-

Divide By 12 Counter

TTL

SN54LS92

SN74lS92

14

N,J

D

Dual 4-Stage Binary Counter

TTL

SN54LS393

SN74lS393

16

N,J

D

Dual 4-Stage Binary Ripple Counter

CMOS

MC54HC393

MC74HC393

14

N,J

D

Dual 4-Stage Binary Ripple Counter W +2, +5 Sections

CMOS

MC54HC390

MC74HC390

16

N,J

D

Dual BCD Up Counter

CMOS

MC14518B

16

P,l

DW

Dual Binary Up Counter

CMOS

MC14520B

16

P,l

DW

Dual Decade Counter

TTL

SN54lS390

SN74lS390

16

N,J

D

TTL

SN54LS490

SN74LS490

16

N,J

D
D

-

-

Industrial Time Base Generator

CMOS

MC14566B

Modulo 16 Binary Synchronous Bidirectional Counter

TTL

SN54lS169

16

P

16

N,J

Octal Counter

CMOS

MC14022B

D

16

P,l

D

Phase Comparator and Programmable Counter

CMOS

MC14568B

Presettable 4-Bit BCD Down Counter

CMOS

MC14522B

16

P,L

D

16

P

DW

Presettable 4-Bit Binary Down Counter

CMOS

MC14526B

Presettable 4-Bit Binary Up/Down Counter

TTL

SN54lS191

SN74lS191

16

P,L

DW

16

N,J

TTL

SN54lS193

SN74lS193

D

16

N,J

Presettable BCD Up/Down Counter

CMOS

MC14510B

D

16

P

Presettable BCD/Decade Up/Down Counter

TTL

SN54lS190

D

SN74lS190

16

N,J

TTL

SN54lS192

D

SN74lS192

16

N,J

Presettable Binary Up/Down Counter

CMOS

MC14516B

D

16

P,l

D

Presettable Binary/BCD Up/Down Counter

CMOS

MC14029B

Presettable Counter

CMOS

MC54HC160

MC74HC160

16

P,L

D

16

N,J

CMOS

MC54HC161A

MC74HC161A

D

16

N,J

D

CMOS

MC54HCT161A

CMOS

MC54HC162

MC74HCT161A

16

N,J

D

MC74HC162

16

N,J

CMOS

D

MC54HC163A

MC74HC163

16

N,J

D
D

SN74LS169

-

-

-

CMOS

MC54HCT163A

MC74HCT163A

16

N,J

Presettable Divide-by-N Counter

CMOS

MC14018B

-

16

P

D

Programmable Dual Binary/BCD Counter

CMOS

MC14569B

-

16

P,l

DW

Motorola Master Selection Guide

3.1-19

Logic: Standard, Special and Programmable

Selection by Function

I

Description

Device(s)

Tech.

Pins

I DIP I 8M

COUNTERS
ECl

MC4016

-

16

P,l

ECl

MC4018

-

16

P,l

ECl

MC4316

-

16

P,l

Synchronous 4-BH Up/Down Counter

TTL

SN54lS669

16

N,J

Synchronous Presettable Binary Counter

CMOS

MC74AC161

16

N

CMOS

MC74ACT161

16

N

CMOS

MC74AC163

16

N

CMOS

MC74ACT163

16

N

CMOS

MC74AC160

16

N

CMOS

MC74ACT160

-

16

N

CMOS

MC74AC162

-

16

N

0
0

-

16

N

D

16

P,l

Programmable Modulo-N Counters (N=D-9)

Synchronous Presettable Binary Counter
Synchronous Presettable Binary-Coded-Decimal Decade Counter

SN74lS669

CMOS

MC74ACT162

Universal Decade Counter

ECl

MC10137

Universal Hexadecimal Counter

ECl

MC10H136

ECl

MC10136

CMOS

MC74ACl90

1-01-10 Decoder

CMOS

MC74HC42
SN54lS42

SN74lS42

1-01-10 Decoder/Driver Open-Gollector

SN54lS145

SN74LS145

1-01-10 Decoder, With 3-State Outputs

TTL
TTL
TTL

MC74F537

1-01-16 Decoder/Demultiplexer

CMOS

MC54HCl54

1-01-16 Decoder/Demultiplexer With Address Latch

CMOS

MC74HC4514

1-01-4 Decoder, With 3-State Outputs
1-01-8 Decoder, With 3-State Outputs

TTL
TTL

1-01-8 DecoderlDemultiplexer

Up/Down Counter With Preset and Ripple Clock

0
0
0
0
0
0

16

P,l

FN

-

16

P,l

FN

16

N

0

-

16

N

D

16

N,J

16

N,J

0
0

20

N

OW

24

N,J

OW

-

24

N

OW

MC74F539

-

20

N

DW

MC74F538

-

20

N

DW

CMOS

MC74AC138

-

16

N

D

CMOS

MC74ACT138

-

16

N

D

TTL

MC74Fl38

-

16

N

D

CMOS

MC54HC138A

MC74HC138A

16

N,J

0

CMOS

MC74HCT138A

-

16

N

D,DT

16

N,J

D

16

N

16

N

0
0

16

N,J

D

24

P,l

OW

24

P,l

DW

16

N,J

D

DECODERnlEMULnPLEXERS

1-01-8 Decoder/Demultiplexer With Address Latch

TTL

SN54lS138

CMOS

MC74HC137

CMOS

MC74HC237

3-Line to !!-Line Decoders/Demultiplexers With Address Latches

TTL

SN54lS137

4-Bit Transparent Latchl4-to-16 Line Decoder (High)

CMOS

MC14514B

MC74HC154

SN74lS138

-

SN74lS137

-

4-Bit Transparent Latch/4-to-16 Line Decoder (low)

CMOS

MC14515B

B-Bit Addressable Latchl1-o1-8 Decoder

CMOS

MC54HC259

BCD-to-Decimal Decoder/Binary-lo-Octal Decoder

CMOS

MC14028B

-

16

P,l

0

Binary to 1-4 Decoder (Low)

ECl

MC10171

16

P,l

FN

Binary to 1-8 Decoder, (High)

ECl

MC10H162

16

P,l

FN

ECl

MC10162

16

P,l

FN

ECl

MC10H161

-

16

P,l

FN

ECl

MC10161

-

16

P,l

FN

TTL
TTL

SN54lS155

SN74lS155

16

N,J

0

SN54lS156

SN74lS156

16

N,J

0

Binary to 1-8 Decoder, (low)
Dual 1-01-4 Decoder
Dual 1-01-4 Decoder Open-Collector

logic: Standard, Special and Programmable

3.1-20

MC74HC259

Motorola Master Selection Guide

Selection by Function
Description

Device(s)

DECODER/DEMULTIPLEXERS

Dual 1-01-4 Decoder/Demultiplexer

Dual 1-01-4 Decoder/Demultiplexer
Dual Binary to 1-4 Decoder (High)

CMOS

MC74AC139

CMOS

MC74ACT139

TTL

MC74F139

CMOS

MC54HC139A

TTL

SN54lS139

ECl

MC10H172

-

16

N

D

16

N

D

16

N

D

MC74HC139A

16

N,J

D

SN74lS139

16

N,J

D

-

16

P,l

FN

ECl

MC10172

-

16

P,l

FN

Dual Binary to 1-4 Decoder (low)

ECl

MC10H171

-

16

P,l

FN

Dual Binary to 1-01-4 Decoder (Active High Outputs)

CMOS

MC14555B

P

D

CMOS

MC14556B

-

16

Dual Binary to 1-01-4 Decoder (Active low Outputs)

16

P

low-Voltage CMOS 1-01-8 Decoder/Demultiplexer With 5V
Tolerant Inputs and Outputs

CMOS

MC74lCX138

-

16

D,DT

low-Voltage Quiet CMOS 1-01-8 Decoder/Demultiplexer

CMOS

MC74lVQ138

-

16

D,M,
SD,DT

Analog Mixer

ECl

MC12002

-

14

P,l

Phase-Frequency Detector

ECl

MC4044

14

P,l

ECl

MC4344

14

P,l

ECl

MC12040

-

14

P,l

ECl

MCH12140

MCK12140

8

TTL

SN54lS48

SN74lS48

16

N,J

D

CMOS

MC14558B

16

P,l

D

TTL
TTL
TTL
TTL

SN54lS47

SN74lS47

16

N,J

D

SN54lS247

SN74lS247

16

N,J

D

SN54lS248

SN74lS248

16

N,J

D

SN54lS249

SN74lS249

16

N,J

D

CMOS

MC74HC4511

16

N

D

BCD-ta-Seven Segment Latch/Decoder/Driver

CMOS

MC14511B

-

16

P,l

D,DW

BCD-to-Seven Segment Latch/Decoder/Driver lor Liquid Crystals

CMOS

MC14543B

-

16

P,l

D

BCO-ta-Seven Segment latch/Decoder/Driver With Ripple
Blanking

CMOS

MC14544B

-

18

P,l

CMOS

MC14513B

P

CMOS

MC14547B

-

18

High Current BCO-ta-Seven Segment Decoder/Driver

16

P,l

ECl

MC10El32

ECl

MC100lVEl32

ECl

MC10El33

ECl

MC100lVEl33

D

DETECTORS

D
FN
D

DISPLAY DECODE DRIVERS

BCO-to-Seven Segment Decoder
BCO-to-Seven Segment Decoder/Driver

BCO-ta-Seven Segment latch/Decoder/Display Driver

-

DW

DIVIDERS

+2 Divider
+4 Divider

MC100El32

MC100El33

-

8

D

8

D

8

D

8

D

DRIVER

Coaxial Cable Driver

MC10EL89

300MBiVs lED Driver lor FDDI and Fibre Channel

MC10SXl130

Error Detection-Correction Circuit (IBM Code)

MC10163

Error Detection-Correction Circuit (Motorola Code)

MC10193

ENCODERS

IO-Line to 4-Line Priority Encoder

SN54lS147

8-Bit Priority Encoder

MC14532B

Motorola Master Selection Guide

3.1-21

logic: Standard, Special and Programmable

Selection by Function
Description

Devlce(s)

Tech.

Pins

I DIP I SM

ENCODERS

8-lnput Priority Encoder

8-lnput Priority Encoder (Glilchless)
8-Line to 3-Line Priority Encoder

Decimal-ta-BCD Encoder

TIL

SN54lS348

ECl

MC10H165

ECl

MC10165

TIL
TIL
TIL
TIL

SN54lS848

CMOS

MC74HC147

ENCODERIDECODERS

ICMI Encoder/Decoder

MC74F148

SN74lS348

SN74lS848

-

16

N,J

D

16

P,l

FN

16

P,l

FN

16

N,J

D

16

N

D

SN54lS148

SN74lS148

16

N,J

D

SN54lS748

SN74lS748

16

N,J

D

16

N

D

-

IMC100SX1230

28

FN

EXPANDERS

Dual 4-lput Expander

HTl

MC669

Expandable Dual 4-lnput Gate (Active Pullup)

HTl

MC660

Expandable Dual 4-lnput Gate (Passive Pullup)

HTl

MC661

Expandable Dual 4-lnput Line Driver

HTl

MC662

Expandable Dual Power Gate

DTl

MC844

DTl

MC944

-

CMOS

MPA1064

-

160,
224

DH,
KE

-

229

HV

14

P,l

14

P,l

14

P,l

14

P,l

14

P,l

14

P,l

FIELD PROGRAMMABLE GATE ARRAY

14,200-Gate Programmable Array With Up to 160 User II0s
22,000-Gate Programmable Array With Up to 200 User II0s

CMOS

MPA1100

3,500-Gate Programmable Array With Up to 80 User 1I0s

CMOS

MPA1016

8,000-Gate Programmable Array With Up to 120 User 1/05

CMOS

MPA1036

84

FN

84,
160,
181

FN,
DH,
HI

FN

FLIP-FLOPS

3-Bit Differential Flip-Flop

ECl

MC10E431

MC100E431

28

4-Bit D Flip-Flop Individual Clock, Reset Differential Output

ECl

MC10E131

MC100E131

28

4-Bit D Flip-Flop With Enable

SN54lS379

SN74lS379

16

N,J

4-Bit D-Type Register With With 3-State Outputs

TIL
TIL

SN54lS173A

SN74lS173A

16

N,J

5-Bit Differential Register

ECl

MC10E452

MC100E452

28

FN

6-Bit 2:1 Mux-Register With Common Clock, Asynchronous
Master Reset Single Ended

ECl

MC10E167

MC100E167

28

FN

6-Bit D Register With Common Clock, Asynchronous Master
Reset, Differential Outputs

ECl

MC10E151

MC100E151

28

FN

6-Bit D Register, With Differential Inputs, (Data & Clock) , VBB,
Common Reset

ECl

MC10E451

MC100E451

28

FN

6-Bit Parallel D Register With Enable

CMOS

MC74AC378

CMOS

MC74ACT378

9-Bit Hold Register, 700MHz, With Asynchronous Master Reset

ECl

MC10E143

Clocked Flip-Flop

DTl

MC845

Clocked Flip-Flop

DTl

MC945

D Flip-Flop With Set & Reset

ECl

MC10El31

MC100El31

8

Differential Clock D Flip-Flop

ECl

MC10El51

MC100El51

8

D

ECl

MC100lVEl51

8

D

Differential Data & Clock D Flip-Flop

ECl

MC10El52

Dual D Flip-Flop

CMOS

MC74AC74

CMOS

MC74ACT74

CMOS

MC14013B

logic: Standard, Special and Programmable

3.1-22

MC100E143

-

MC100EL52

-

FN

16

N

16

N

D

D
D
FN

28
14

P,l

14

P,l
D

8
14

D

D
N

D

14

N

D

14

P,l

D

Motorola Master Selection Guide

Selection by Function

I

Description

Device(s)

Tech.

Pins

I DIP I

SM

FLIP-FLOPS

MC74HC74A

Dual D Flip-Rop With Set and Reset

CMOS

MC54HC74A

Dual D Flip-Flop With Set and Reset With lSTIl Compatible
Inputs

CMOS

MC74HCT74A

Dual D-Type Positive Edge-Triggered Flip-Flop

TIL

MC74F74

TIL

SN54lS74A

SN74lS74A

Dual Differential Data and Clock D Flip-Flop With Set and Reset

ECl

MC100lVEL29

MC100EL29

20

Dual J-K Negative Edge-Triggered Flip-Flop

TIL

SN54lS112A

SN74lS112A

16

N,J

D

TIL

SN54LS113A

SN74lS113A

14

N,J

D

TIL

SN54lS114A

SN74lS114A

14

N,J

D

Dual J-K Positive Edge-Triggered Flip-Flop

TIL

SN54lS109A

SN74lS109A

16

N,J

D

Dual J-K Flip-Flop

HTL

MC663

14

P,l

TIL

SN54lS107A

14

N,J

DTl

MC952

-

14

P,l

Dual J-K Flip-Flop (Separate Clock and SD, No CD)

DTl

MC953

P,l

CMOS

MC74AC112

16

N

D

CMOS

MC74ACT112

-

14

Dual J-K Flip-Flop Negative Edge Trigger

16

N

D

CMOS

MC74AC113

-

14

N

D

CMOS

MC74ACTt13

-

14

N

D

Dual J-K Flip-Flop With Set and Clear

TIL

SN54LS76A

16

N,J

D

Dual J-K Flip-Flop With Set and Reset

CMOS

MC74HC112

16

N

D,DT

Dual J-K Flip-Flop

CMOS

MC14027B

16

P,l

D

Dual J-K Flip-Flop With Reset

CMOS

MC74HC73

14

N

D
D

Dual J-K Flip-Flop (Common Clock and CD Separate SD)

Dual J-K Flip-Flop Negative Edge Trigger

14

N,J

D,DT

-

14

N

D

-

14

N

D

16

N,J

SN74LS107A

SN74LS76A

D
DW

D

CMOS

MC74HC107

-

14

N

Dual J-K Flip-Flop With Set and Reset

CMOS

MC74HC76

-

16

N

D

Dual J-K Master-8lave Flip-Flop

ECl

MC10135

-

16

P,l

FN

ECl

MC10H135

P,l

FN

TIL

MC74F112

-

16

Dual J-K Negative Edge-Triggered Flip-Flop

16

N

D

TIL

SN54lS73A

14

N,J

D

CMOS

MC74AC109

-

16

N

D

Dual J-K Positive Edge-Triggered Flip-Flop With Set & Clear

SN74lS73A

CMOS

MC74ACTt09

-

16

N

D

Dual J-K Flip-Flop With Set and Reset

CMOS

MC74HC109

16

N

D

Dual J-K Positive Edge-Triggered Flip-Flop

TIL

MC74Fl09

-

16

N

D

Dual Type-D Master-8lave Flip-Flop

ECL

MC10131

-

16

P,l

FN

ECl

MC10H131

-

16

P,l

FN

Hex D Flip-Flop

TIL

SN54LS174

16

N,J

D

CMOS

MCI4174B

16

P,l

D

TIL

SN54LS378

16

N,J

D

16

N

D

16

N

D

16

N

D

Hex D Flip-Flop With Enable

SN74lS174

SN74LS378

CMOS

MC74AC174

TIL

MC74F174

CMOS

MC74ACT174

-

CMOS

MC54HC174A

MC74HC174A

16

N,J

D

CMOS

MC74HCT174A

16

N

D

ECl

MC10H176

ECl

MC10176

ECl

MC10H186

ECl

MC10186

High Speed Dual D Master-8lave Flip-Flop

ECl

MC10231

-

J-K Flip-Flop

ECl

MC10El35

Hex D Flip-Flop With Master Reset

Hex D Flip-Flop With Common Clock & Reset
Hex D Master-Slave Flip-Flop
Hex D Master-Slave Flip-Flop With Reset

Motorola Master Selection Guide

3.1-23

MC100El35

16

P,l

FN

16

P,l

FN

16

P,l

FN

16

P,L

FN

16

P,l

FN

8

D

logic: Standard, Special and Programmable

Selection by Function
Description

Device(s)

Tech.

Pins

I I
DIP

SM

FLIP-FLOPS

Low-Voltage CMOS Octal D-Type Flip-Flop With Set and Reset,
3-State, Non-Inverting With 5V Tolerant Inputs

CMOS

MC74LCX74

-

14

D,DT

Low-Voltage CMOS 16-Bit D-Type Flip-Flop, 3-State,
Non-Inverting With 5V Tolerant Inputs and Outputs

CMOS

MC74LCX16374

-

20

DW,M,
DT

Low-Voltage CMOS Octal D-Type Flip-Flop, 3-State,
Non-Inverting With 5V Tolerant Inputs and Outputs

CMOS

MC74LCX374

-

20

DW,M,
DT

Low-Voltage CMOS Octal D-Type Flip-Flop Flow Through Pinout,
3-State, Non-Inverting With 5V Tolerant Inputs and Outputs

CMOS

MC74LCX574

-

20

DW,M,
DT

Low Voltage D Flip-Flop With Set & Reset

ECL

MC100LVEL31

D

CMOS

MC74LVQ374

-

8

Low-Voltage Quiet CMOS Octal D-Type Flip-Flop

20

DW,M,
SD,DT

Low-Voltage Quiet CMOS Octal D-Type Flip-Flop Flow Through
Pinout

CMOS

MC74LVQ574

-

20

DW,M,
SD,DT

Master-8lave Flip-Flop

ECL

MC1670

-

16

L

Master-8lave R-S Flip-Flop

HTL

MC664

-

14

P,L

Octal 3-State Inverting D Flip-Flop

CMOS

MC54HC534A

MC74HC534A

20

N,J

DW

Octal 3-State Non-Inverting D Flip-Flop With LSTIL Compatible
Inputs

CMOS

MC54HCT374A

MC74HCT374A

20

N,J

DW

Octal D Flip Flop, With 3-State Outputs

TIL

MC74F374

-

20

N

DW

Octal D Flip-Flop

CMOS

MC74AC273

20

N

DW

CMOS

MC74ACT273

-

20

N

DW

Octal D Flip-Flop With 3-State Outputs/Broadside Pinout, F374

TIL

MC74F574

-

20

N

DW

Octal D Flip-Flop With Clear

TIL

SN54LS273

20

N,J

DW

Octal D Flip-Flop With Clock Enable

CMOS

MC74AC377

-

20

N

DW

-

20

N

DW

20

N,J

DW,
DT

20

N

DW
DW

CMOS

MC74ACT377

Octal D Flip-Flop With Common Clock & Reset

CMOS

MC54HC273A

Octal D Flip-Flop With Common Clock and Reset With LSTIL
Compatible Inputs

CMOS

MC74HCT273A

Octal D Flip-Flop With Enable

TIL

MC74F377

Octal D Flip-Flop With Enable! Non-Inverting

TIL

SN54LS377

Octal D Type Flip-Flop With 3-State Outputs

CMOS

MC74AC374

CMOS
TIL
TIL

SN54LS374

CMOS

MC74AC534

CMOS

MC74ACT534

CMOS

MC74AC564

CMOS

MC74ACT564

CMOS

MC74AC574

CMOS

MC74ACT574

Octal With 3-State Outputs Inverting D Flip-Flop

CMOS

MC74HC564A

Octal With 3-State Outputs Non-Inverting D Flip-Flop

CMOS

MC54HC374A

CMOS
CMOS

Octal D-Type Latch With 3-State Outputs

Octal With 3-State Outputs Non-Inverting D Flip-Flop With LSTIL
Compatible Inputs
Quad D Flip-Flop

Logic: Standard, Special and Programmable

MC74HC273A

-

20

N

20

N,J

DW

20

N

DW

MC74ACT374

-

20

N

DW

MC74F534

-

20

N

DW

20

N,J

DW

20

N

DW

20

N

DW

20

N

DW

20

N

DW

20

N

DW

20

N

DW

20

N

DW

MC74HC374A

20

N,J

DW,
SD,DT

MC54HC574A

MC74HC574A

20

N,J

DW

MC54HCT574A

MC74HCT574A

20

N,J

DW

-

16

N

D

16

N

D

16

N

D

CMOS

MC74AC175

CMOS

MC74ACT175

TIL

MC74F175

3.1-24

SN74LS273

SN74LS377

SN74LS374

-

-

Motorola Master Selection Guide

Selection by Function

I

Description

Device(s)

Tech.

Pins

I DIP I

SM

FLIP-FLOPS

Quad D Flip-Flop
Quad D Flip-Flop With Common Clock & Reset

TTL

SN54lS175

CMOS

MC14175B

CMOS

MC54HC175

CMOS

MC54HC175A

16

N,J

D

16

P,l

D

MC74HC175

16

N,J

D

MC74HC175A

16

N,J

D,SD

SN74lS175

-

Quad D-Type Register With 3-State Outputs

CMOS

MC14076B

P,l

D

TTL

MC74F379

-

16

Quad Parallel Register With Enable

16

N

D

Quad With 3-State Outputs D Flip-Flop Wnh Common Clock &
Reset

CMOS

MC74HC173

-

16

N

D

Triple D Flip-Flop With Set and Reset

ECl

MC100lVEl30

MC100El30

20

DW

GATES, ANDINAND

13-lnput NAND Gate
8-lnput NAND Gate

Dual4-lnput AND Gate

Dual4-lnput NAND Buffer
Dual 4-lnput NAND Gate

CMOS

MC74HC133

TTL

SN54lS133

CMOS

MC74HC30

TTL

SN54lS30

CMOS

MC14068B

TTL

MC74F21

SN74lS133

SN74lS30

-

16

N

16

N,J

D

14

N

D

14

N,J

D

14

P

D

14

N

D
D

D

TTL

SN54lS21

14

N,J

CMOS

MC14082B

-

14

P,l

D

TTL

MC74F40

-

14

N

D

TTL

SN54lS40

14

N,J

D

CMOS

MC74AC20

14

N

D

14

N

D

14

N

D

14

N

D

SN74lS21

SN74lS40

-

CMOS

MC74ACT20

TTL

MC74F20

CMOS

MC74HC20

TTL

SN54lS20

SN74lS20

14

N,J

D

TTL

SN54lS22

SN74lS22

14

N,J

D

CMOS

MC14012B

-

14

P,l

D

Dual 4-lnput NAND Gate (Unbuffered)

CMOS

MC14012UB

14

P,l

D

Expandable NAND Gate

DTl

MC830

14

P,l

16

P,l

Hex AND Gate

ECl

MC10197

low-Voltage CMOS Quad 2-lnput AND Gate, 5V-Tolerant Inputs

CMOS

MC74lCX08

low-Voltage CMOS Quad 2-lnput NAND Gate, 5V-Tolerant
Inputs

CMOS

MC74lCXOO

-

low-Voltage Quiet CMOS Quad 2-lnput NAND Gate

CMOS

MC74lVQOO

Quad 2-lnput AND Gate

CMOS
CMOS

Quad 2-lnput AND Gate With lSTTl-Compatible Inputs
Quad 2-lnput NAND Buffer

Motorola Master Selection Guide

FN

14

D,DT

14

D,DT

-

14

D,M,
DT,SD

MC74AC08

-

14

N

D

MC74ACT08

-

14

N

D

TTL

MC74F08

-

14

N

D

CMOS

MC54HC08A

MC74HC08A

14

N,J

D,DT
D

TTL

SN54lS08

SN74lS08

14

N,J

TTL

SN54lS09

SN74lS09

14

N,J

D

ECl

MC10H104

16

P,l

FN
FN

ECl

MC10104

-

16

P,l

CMOS

MCl4081B

-

14

P,l

D

CMOS

MC54HCT08A

MC74HCT08A

14

N,J

D

-

TTL

MC74F37

14

N

D

TTL

SN54lS26

SN74lS26

14

N,J

D

TTL

SN54lS37

SN74lS37

14

N,J

D

3.1-25

logic: Standard, Special and Programmable

Selection by Function
Description

Tech.

Device(s)

Pins

I DIP I SM

GATES, ANDINAND

Quad 2-lnput NAND Buffer Open-Collector

TIL

MC74F38

Quad 2-lnput NAND Buffer Open-Collector

TIL

SN54lS38

SN74lS38

14

N

D

14

N,J

D

DTl

MC846

-

14

P,l

DTl

MC849

-

14

P,l

DTl

MC946

-

14

P,l

CMOS

MC74ACOO

-

14

N

D

CMOS

MC74ACTOO

-

14

N

D

TTL

MC74FOO

-

14

N

D

CMOS

MC54HCOOA

MC74HCOOA

14

N,J

D,DT

TIL

SN54lS00

SN74lS00

14

N,J

D

TIL

SN54lS01

SN74lS01

14

N,J

D

TIL

SN54lS03

SN74lS03

14

N,J

D

CMOS

MC14011B

14

P,l

D

Quad 2-lnput NAND Gate (Unbuffered)

CMOS

MC14011UB

-

14

P,l

D

Quad 2-lnput NAND Gate With lSTIl-Compatible Inputs

CMOS

MC54HCTOOA

MC74HCTOOA

14

N,J

D

Quad 2-lnput NAND Gate With Open-Drain Outputs

CMOS

MC74HC03A

14

N

D,DT

Triple 3-lnput AND Gate

CMOS

MC74AC11

-

14

N

D

CMOS

MC74ACT11

14

N

D

TIL

MC74F11

-

14

N

D

CMOS

MC74HC11

-

14

N

D

TIL

SN54lS11

SN74lS11

14

N,J

D

TIL

SN54lS15

SN74lS15

14

N,J

D

CMOS

MC14073B

14

P,l

D

CMOS

MC74AC10

14

N

D

Quad 2-lnput NAND Gate

CMOS

MC74ACT10

-

14

N

D

TIL

MC74F10

-

14

N

D

CMOS

MC74HC10

-

14

N

D

TIL

SN54lS10

SN74lS10

14

N,J

D

TTL

SN54lS12

SN74lS12

14

N,J

D

CMOS

MC14023B

14

P,l

D

CMOS

MC14023UB

14

P,l

D

2-lnput AND/NAND Gate

ECl

MC10ElO4

MC100ElO4

8

D

2-lnput Differential AND/NAND Gate

ECl

MC10ElO5

MC100ElO5

8

D

2-lnput XORINOR Gate

ECl

MC10ElO7

MC100ElO7

8

2-Wide, 2-lnpuV2-Wide, 3-lnput AND-NOR Gate

CMOS

MC74HC51

2-Wide, 2-lnpuV2-Wide, 3-lnput AND-OR Gate

CMOS

MC74HC58

2-Wide, 4-lnput AND/OR Invert Gate

TIL

SN54lS55

SN74lS55

3-2-2--3-lnput AND/OR Invert Gate

TIL

SN54lS54

SN74LS54

4-2--3-2 Input AND-0R-lnvert Gate

TIL

MC74F64

4-Bit AND/OR Selector

CMOS

MC14519B

4-lnput ORINOR Gate

ECl

MC10ElO1

ECl

MC100lVElO1

4-Wide 4-3-3-3 Input OR-AND Gate

ECl

MC10H119

4-Wide 4-3-3-3 Input OR-AND Gate

ECl

MC10119

4-Wide OR-AND/OR-AND-Invert Gate

ECl

MC10H121

4-Wide OR-AND/OR-AND-Invert Gate

ECl

MC10121

Triple 3-lnput NAND Gate

Triple 3-lnput NAND Gate (Unbuffered)

-

GATES, COMPLEX

logic: Standard, Special and Programmable

3.1-26

-

14

-

D
N

D

14

N

D

14

N,J

D

14

N,J

D

-

14

N

D

-

16

P

D

MC100ElO1

-

-

8

D

8

D

16

P,l

FN

16

P,l

FN

16

P,l

FN

16

P,l

FN

Motorola Master Selection Guide

Selection by Function
Description

Tech.

Device(s)

Pins

IDIP I SM

GATES, COMPLEX

IJ.-Input NOR/OR Gate

CMOS

MC74HC4078

Dual 2 Wide 2-lnput/3-lnput AND/OR Invert Gate

TTL

SN54lS51

Dual 2-Wide 2-3-lnput OR-AND/OR-AND-Invert Gate

ECl

MC10117

ECl
Dual 2-Wide 2-lnput, 2-Wide 3-lnput AND-OR-Invert Gate

TTL

Dual 2-Wide 3-lnput OR-AND Gate

-

14

N

14

N,J

D

-

16

P,l

FN

MC10H117

-

16

P,l

FN

MC74F51

-

14

N

D

ECl

MC10H118

16

P,l

FN

ECl

MC10118

-

16

P,l

FN

ECl

MC10H109

-

16

P,l

FN

ECl

MC10109

16

P,l

FN

ECl

MC10H209

16

P,l

FN

Dual 4-lnput NAND, 2-lnput NOR/OR, 8-lnput AND/NAND Gate
(Unbuffered)

CMOS

MC14501UB

-

16

P

D

Dual 4-lnput OR/NOR Gate

ECl

MC1660

-

16

l

Dual 5-lnput Majority logic Gate

CMOS

MC14530B

16

P

Dual Expandable AND OR Invert Gate (Unbuffered)

CMOS

MC14506UB

-

16

l

-

16

P

16

P

Dual 4-5 Input OR/NOR Gate

SN74lS51

Hex NAND/NORlinvert Gate (Unbuffered)

CMOS

MC14572UB

High Speed Dual 3-lnput 3-Output OR/NOR Gate

ECl

MC10212

Quad 4-lnput OR/NOR Gate

ECl

MC10E101

MC100E101

28

Quad Differential AND/NAND Gate

ECl

MC10E404

MC100E404

28

Quad OR/NOR Gate

ECl

MC10H101

ECl

MC10101

Quint 2-lnput AND/NAND Gate

ECl

MC10E104

MC100E104

28

Quint 2-lnput XOR/XNOR Gate

ECl

MC10E107

MC100E107

28

Triple 2-3-2 Input OR/NOR Gate

ECl

MC10H105

ECl

MC10105

ECl

-

D

D
D
FN
FN

16

P,l

FN

16

P,l

FN
FN
FN

16

P,l

FN

16

P,l

FN

MC10H107

-

16

P,l

FN

ECl

MC10107

-

16

P,l

FN

low-Voltage CMOS Quad 2-lnput Exclusive OR Gate With 5V
Tolerant Inputs

CMOS

MC74lCX86

-

14

Quad 2-lnput Exclusive NOR Gate

CMOS

MC74AC810

N

DW

MC74ACT810

14

N

DW

CMOS

MC74HC7266

14

N

D

CMOS

MC74HC7266A

-

14

CMOS

14

N

D,DT

14

N,J

D

14

P,l

D

14

N

D

14

N

D

14

N

D

14

N,J

D

Triple 2-lnput Exclusive OR/Exclusive NOR Gate

GATES, EXCLUSIVE OR/EXCLUSIVE NOR

TTL

SN54lS266

Quad Exclusive NOR Gate

CMOS

MC14077B

Quad 2-lnput Exclusive OR Gate

CMOS

MC74AC86

Quad Exclusive OR Gate

Triple 2-lnput Exclusive-OR Gate

Motorola Master Selection Guide

SN74lS266

-

CMOS

MC74ACT86

TTL

MC74F86

CMOS

MC54HC86

MC74HC86

CMOS

MC54HC86A

MC74HC86A

TTL
TTL
TTL

SN74lS136

ECL

MC10H113

-

D,M
SD,DT

14

N,J

D,DT

14

N,J

D
D

SN54lS386

SN74LS386

14

N,J

SN54lS86

SN74lS86

14

N,J

D

-

16

P,L

FN

ECL

MC10113

-

16

P,l

FN

CMOS

MC14070B

-

14

P,L

D

ECl

MC1672

-

16

l

3.1-27

logic: Standard, Special and Programmable

Selection by Function
Description

Tech.

Devlce{s)

Pins

I DIP I 8M

GATES, NOR

B-Input NOR Gate

CMOS

MC14078B

Dual 3-lnput 3-Output NOR Gate

ECl

MC10111

Dual 3-lnput NOR Gate + Inverter (Unbuffered)

CMOS

MC14000UB

Dual 3-lnput, 3-Output NOR Gate

ECl

MC10H211

-

14

P

0

16

P,l

FN

-

14

P,l

0

16

P,l

FN

16

P,l

FN

14

N

0

14

P,l

14

P,l

0
0

14

N,J

Dual 3-lnput, 3-Output NOR Gate

ECl

MC10211

Dual 4-lnput NOR Gate

CMOS

MC74HC4002

CMOS

MC14002B

-

Dual 4-lnput NOR Gate (Unbuffered)

CMOS

MC14002UB

-

Dual 5-lnput NOR Gate

TTL

SN54lS260

low-Voltage CMOS Quad 2-lnput NOR Gate, 5V-Tolerant Inputs

CMOS

MC74lCX02

Quad 2-lnput NOR Buffer

TTL
TTL

SN54lS28

SN74lS28

14

N,J

0

SN54lS33

SN74lS33

14

N,J

CMOS

MC74AC02

14

N

0
0

CMOS

TTL

Quad 2-lnput NOR Gate

SN74lS260

-

14

0
D,DT

MC74ACT02

-

14

N

MC74F02

-

14

N

0
0
D,DT

CMOS

MC54HC02A

MC74HC02A

14

N,J

TTL

SN54lS02

SN74lS02

14

N,J

0

ECl

MC10H102

-

16

P,l

FN

ECl

MC10102

-

16

P,l

FN

ECl

MC1662

-

16

l

CMOS

MC14001B

-

14

P,l

Quad 2-lnput NOR Gate (Unbuffered)

CMOS

MC14001UB

14

P,l

0
0

Quad 2-lnput NOR Gate With strobe

ECl

MC10H100

-

16

P,l

FN

ECl

MC10100

-

16

P,l

FN

CMOS

MC54HC27

MC74HC27

14

N,J

0

TTL

SN54lS27

SN74lS27

14

N,J

CMOS

MC14025B

-

14

P,l

0
0

Triple 3-lnput NOR Gate (Unbuffered)

CMOS

MC14025UB

-

14

P,l

0

Triple 4-3-3 Input NOR Gate

ECl

MC10H106

16

P,l

FN

ECl

MC10106

-

16

P,l

FN

ECl

MC10110

-

16

P,l

FN

ECl

MC10H210

16

P,l

FN

ECl

MC10210

16

P,l

FN

Dual 4-lnput OR Gate

CMOS

MCl4072B

14

P

low-Voltage CMOS Quad 2-lnput OR Gate, 5V-Tolerant Inputs

CMOS

MC74lCX32

-

low-Voltage Quiet CMOS Quad 2-lnput OR Gate, 5V-Tolerant
Inputs

CMOS

MC74lVQ32

Quad 2-lnput OR Gate

CMOS

MC74AC32

CMOS

MC74ACT32

TTL

MC74F32

CMOS

MC54HC32A

MC74HC32A

CMOS

MC54HCT32A

MC74HCT32A

TTL

SN54lS32

SN74lS32

ECl

MC10H103

Triple 3-lnput NOR Gate

GATES, OR

Dual 3-Input 3-Output OR Gate

logic: Standard, Special and Programmable

ECl

MC10103

CMOS

MC14071B

3.1-28

0

14

D,DT

-

14

D,M
SD,DT

-

14

N

14

N

-

14

N

0

14

N,J

D,DT

14

N,J

0

14

N,J

0

16

P,l

FN

16

P,l

FN

14

P,l

0

-

0
0

Motorola Master Selection Guide

Selection by Function

I

Description

Tech.

Device(s)

Pins

I DIP I SM

GATES, OR
Triple 3-lnput OR Gate

MC74HC4075
MC14075B

INDUSTRIAL CONTROL UNIT

IIndustrial Control Unit

ICMOS IMC14500B

16

P

DW

INVERTERS
Hex Inverter

Hex Inverter (Without Input Diodes)

DTl

MC836

-

14

P,l

DTl

MC837

-

14

P,l

DTl

MC936

-

14

P,L

DTl

MC937

-

14

P,l

DTl

MC840

-

14

P,l

INVERTER/BUFFERS,2-STATE
9-Bit Buffer

ECl

MC10E122

MC100E122

28

Driver

ECl

MC10El12

MC100EL12

8

FN

Dual Complementary Pair Plus Inverter (Unbuffered)

CMOS

MC14007UB

-

14

P

D

Hex Buffer With Enable

ECl

MC10H188

-

16

P,l

FN

ECl

MC10188

-

16

P,l

FN

Hex Buffer/Non-Inverting

CMOS

MC14050B

-

16

P,l

D

Hex Inverter

CMOS

MC74AC04

-

14

N

D

-

14

N

D

14

N

D

D

CMOS

MC74ACT04

TTL

MC74F04

CMOS

MC54HC04A

MC74HC04A

14

N,J

D,SD,
DT

TTL

SN54lS04

SN74lS04

14

N,J

D

TTL

SN54lS05

SN74lS05

14

N,J

D

Hex Inverter Gate (Unbuffered)

CMOS

MC14069UB

-

14

P,l

D

Hex Inverter With Enable

ECl

MC10H189

P,l

FN

MC10189

-

16

ECl

16

P,l

FN

Hex Inverter With lSTTl Compatible Inputs

CMOS

MC74HCT04A

-

14

N

D,DT

Hex Inverter With open Drain Outputs

CMOS

MC74AC05

N

D

MC74ACT05

-

14

CMOS

14

N

D

Hex Inverter With Strobe (Active Pullup)

HTl

MC677

P,l

HTl

MC678

-

14

Hex Inverter With Strobe (Without Output Resistors)

14

P,l

Hex Inverter/Buffer

ECl

MC10195

-

16

P,l

FN

CMOS

MC14049B

-

16

P

D

Hex Inverter/Buffer (Unbuffered)

CMOS

MC14049UB

-

16

P,l

D

Hex Inverting Buffer/logic-level Down Converter

CMOS

MC54HC4049

MC74HC4049

16

N,J

D

Hex Non-Inverting Buffer/logic-level Down Converter

CMOS

MC54HC4050

MC74HC4050

16

N,J

D

Hex Unbuffered Inverter

CMOS

MC74HCU04

14

N

D

low-Voltage CMOS Hex Inverter, With 5V-Tolerant Inputs

CMOS

MC74lCX04

-

14

D,DT

low-Voltage Quiet CMOS Hex Inverter

CMOS

MC74lVQ04

-

14

D,M,
SD,DT

Quad 2-lnput Gate (Active Pullup)

HTl

MC672

-

14

P,l

Quad 2-Input Gate (Passive Pullup)

HTl

MC668

-

14

P,l

Quad Driver

ECl

MC10El12

Strobed Hex Inverter/Buffer

CMOS

MC14502B

Triple 3-Input Gate (Active Pullup)

HTl

Triple 3-lnput Gate (Passive Pullup)

HTl

Motorola Master Selection Guide

3.1-29

-

MC100El12

FN

28
16

P,l

MC671

-

14

P,l

MC670

-

14

P,l

DW

logic: Standard, Special and Programmable

Selection by Function

I

Description

Tech.

Devlce(s)

Pins

I DIP I SM

LATCHES

3-Bit 4:1 Mux-Latch (Integrated E156 & E171)

ECl

MC10E256

MC100E256

28

FN

3-Bit 4:1 Mux-Latch, With Common Enable, Asynchronous Master
Reset, Differential Output

ECl

MC10E156

MC100E156

28

FN

4-Bit 0 latch

TIL

SN54lS75

SN74lS75

16

N,J

0

TIL

SN54lS77

SN74lS77

14

N,J

0

TIL

SN54lS375

SN74lS375

16

N,J

5-Bit 2:1 Mux-latch, With Common Enable, Asynchronous Master
Reset Differential Output

ECl

MC10E154

MC100E154

28

FN

6-Bit 2:1 Mux-latch, With Common Enable, Asynchronous Master
Reset Single Ended

ECl

MC10E155

MC100E155

28

FN

6-Bit 0 Latch

ECl

MC10E150

MC100E150

28

6-Bit Addressable latch

CMOS

MC74AC259

CMOS

0

FN

16

N

0

MC74ACT259

-

16

N

0

TIL

MC74F259

-

16

N

0

TIL

SN54lS259

16

N,J

0

CMOS

MC14099B

16

P

OW

18

P

18

P,l

SN74lS259

CMOS

MC14599B

-

6-Bit Bus Compatible Addressable latch

CMOS

MC14598B

-

9-Bit Latch, With Parity

ECl

MC10E175

Dual Latch

ECl

MC10H130

-

16

P,l

Dual 2-Bit Transparent Latch

CMOS

MC74HC75

-

16

N

0

Dual 4-Bit Addressable Latch

CMOS

MC74AC256

-

16

N

OW

-

CMOS

MC74ACT256

TIL

MC74F256

TIL

SN54lS256

CMOS

MC14508B

Dual Latch

ECl

MC10130

low-Voltage CMOS Octal Transparent Latch, 3-State,
Non-Inverting With 5V Tolerant Inputs and Outputs

CMOS

MC74lCX373

low-Voltage CMOS 16-Bit Transparent Latch, 3-State,
Non-Inverting With 5V Tolerant Inputs and Outputs

CMOS

MC74lCX16373

low-Voltage CMOS Octal Transparent Latch Flow Through
Pinout, 3-State, Non-Inverting With 5V Tolerant Inputs and
Outputs

CMOS

low-Voltage Quiet CMOS Octal Transparent Latch

MC100E175

28

FN
FN

16

N

OW

16

N

0

16

N,J

0

24

P,l

OW

-

16

P,l

-

20

DW,M,

-

48

DT
DT

MC74lCX573

-

20

DW,M,
SD,DT

CMOS

MC74lVQ373

-

20

DW,M,
SD,DT

low-Voltage Quiet CMOS Octal Transparent latch Flow Through
Pinout

CMOS

MC74lVQ573

-

20

DW,M,
SD,DT

Octal 3-State Non-Inverting Transparent Latch With lSTIl
Compatible Inputs

CMOS

MC54HCT373A

MC74HCT373A

20

N,J

OW,
SD,DT

-

20

N

OW

20

N

OW

20

N

OW

20

N

OW

20

N

OW

20

N

OW

20

N,J

DW

Dual 4-Bit Latch

Octal 0 Latch Wrth 3-State Outputs

Octal Transparent Latch With 3-State Outputs

logiC: Standard, Special and Programmable

-

FN

CMOS

MC74AC563

CMOS

MC74ACT563

CMOS

MC74AC573

CMOS

MC74ACT573

CMOS

MC74AC373

-

CMOS

MC74ACT373

-

TIL

SN54lS373

TIL

MC74F373

-

20

N

OW

TIL

MC74F533

-

20

N

OW

CMOS

MC74AC533

20

N

OW

CMOS

MC74ACT533

-

20

N

DW

3.1-30

SN74lS373

Motorola Master Selection Guide

Selection by Function

I

Description

Tech.

Device(s)

Pins

I I
DIP

SM

LATCHES

Octal With 3-State Outputs Inverting Transparent Latch

CMOS

MC54HC533A

MC74HC533A

20

N,J

DW

CMOS

MC54HC563A

MC74HC563A

20

N,J

DW,DT

CMOS

MC54HC373A

MC74HC373A

20

N,J

DW,DT

CMOS

MC54HC573A

MC74HC573A

20

N,J

DW

Octal With 3-State Outputs Non-Inverting Transparent Latch With
LSTTL Compatible Inputs

CMOS

MC74HCT573A

-

20

N

DW

Quad Latch

ECl

MC10133

P,L

FN

MC10153

16

P,L

FN

ECL

MC10168

-

16

ECl

16

P

Quad NAND R-S Latch

CMOS

MC14044B

-

16

P

D

Quad NOR R-S Latch

CMOS

MC14043B

-

16

P,L

D

Quad SeVReset Latch

TTL

SN54LS279

16

N,J

D

Quad Transparent Latch

CMOS

MC14042B

16

P,L

D

Octal With 3-State Outputs Non-Inverting Transparent Latch

Quint Latch

ECL

MC10H175

-

16

P,L

FN

MC10175

-

16

P,L

FN

IECl

MISCELLANEOUS

IData Separator

-

ECL
MEMORY SUPPORT

I4-Bit ECL-TTL load Reducing DRAM Driver

SN74LS279

IECl

IMC10H660
IMC10E197

IMC100H660

28

FN

28

FN

MULTIPLEXERIDATA SELECTORS

l-d-8 Decoder/Demultiplexer
16-Channel Analog Multiplexer/Demultiplexer

CMOS

MC74AC151

N

MC74ACT151

-

16

CMOS

16

N

D

CMOS

MC14067B

-

24

P

DW

D

16: 1 Multiplexer

ECL

MC10E164

MC100E164

28

FN

2-Bit 8:1 Multiplexer

ECl

MC10E163

MC100E163

28

FN

2:1 Multiplexer

ECl

MC10El58

MC100El58

8

D

3-Bit 4:1 Multiplexer, With Split Select Differential Output

ECl

MC10E171

MC100E171

28

FN

4:1 Differential Multiplexer

ECl

MC10EL57

MC100EL57

16

D

5-8it 2:1 Multiplexer, With Differential Output

ECl

MC10E158

MC100E158

28

FN

8-Channel Analog Multiplexer/Demultiplexer With Address latch

CMOS

MC54HC4351

MC74HC4351

20

N,J

DW

8-Channel Analog Multiplexer/Demultiplexer

CMOS

MC54HC4051

MC74HC4051

16

N,J

D,DW
,DT

CMOS

MC14051B

-

16

P,L

D

8-Channel Data Selector

CMOS

MC14512B

-

16

P,l

D

B-Input Data SelectorlMultiplexer

CMOS

MC74HC151

-

16

N

D

B-Input Data Selector/Multiplexer With 3-State Outputs

CMOS

MC54HC251

16

N,J

D

B-Input Multiplexer

TTL

MC74F151

16

N

D

TTL

SN54lS151

SN74LS151

16

N,J

D

SN74LS251

16

N,J

D

16

N

D

16

N

D

16

N

D

20

N,J

DW
FN

B-Input Multiplexer With 3-State Outputs

TTL

SN54lS251

TTL

MC74F251

CMOS

MC74AC251

CMOS

MC74ACT251

8-lnput Data Selector/Multiplexer With Data and Address latchs
and With 3-State Outputs

CMOS

MC54HC354

B-Line Multiplexer

ECl

MC10HI64

ECl

MC10164

CMOS

Analog Multiplexer/Demultiplexer With Injection Current Effect
Control, Automotive Customized

Motorola Master Selection Guide

3.1-31

MC74HC251

MC74HC354

-

16

P,l

-

16

P,l

FN

MC74HC4851A

MC74HC4852A

16

N

MC74HC4853A

-

D,DW,
DT

Logic: Standard, Special and Programmable

Selection by Function

I

Description

Device(s)

Tech.

Pins

I DIP I SM

MULTlPLEXERlDATA SELECTORS

Dual 4-Channel Analog Data Selector

CMOS

MC14529B

Dual4-Channel Analog Multiplexer/Demultiplexer

CMOS

MC74HC4052

CMOS

MC14052B

Dual4-Channel Data Selector/Multiplexer

CMOS

MC14539B

Dual 4-lnput Data Selector/Multiplexer

CMOS

MC74HC153

Dual 4-lnput Data Selector/Multiplexer With 3-State Outputs

CMOS

MC74HC253

Dual 4-lnput Multiplexer

CMOS
CMOS

Dual 4-lnput Multiplexer With 3-State Outputs

Dual 4-to-l Multiplexer

-

16

P

0

16

N

D,DW

-

16

P,l

16

P

0
0

16

N

16

N

MC74AC153

-

16

N

MC74ACT153

-

16

N

0
0
0
0

CMOS

MC74AC352

-

16

N

OW

CMOS

MC74ACT352

-

16

N

OW

TTL

MC74F153

-

16

N

TTL

MC74F352

-

16

N

-

TTL

SN54lS153

SN74lS153

16

N,J

TTL

SN54lS352

SN74lS352

16

N,J

0
0
0
0

CMOS

MC74AC253

-

16

N

OW

CMOS

MC74ACT253

-

16

N

OW

CMOS

MC74AC353

-

16

N

CMOS

MC74ACT353

16

N

TTL

SN54lS253

SN74lS253

16

N,J

TTL

SN54lS353

SN74lS353

16

N,J

TTL

MC74F253

16

N

TTL

MC74F353

-

0
0
0
0
0

16

N

0

ECl

MC10H174

-

16

P,l

FN

16

P,l

-

-

ECl

MC10174

Dual Differential 2:1 Multiplexer (3.3V)

ECl

MC100lVEL56

Dual Multiplexer With Latch

ECl

MC10134

-

16

P,l

FN

Dual Multiplexer With Latch and Common Reset

ECl

MC10132

-

16

P,l

FN

low Voltage 16:1 Multiplexer

ECl

MC100lVEI64

-

32

Quad 2-lnput Multiplexer With Latch

ECl

MC10H173

-

16

P,l

FN

Quad 2-Channel Analog MultiplexerlDemultiplexer

CMOS

MC14551B

-

16

P

0

Quad 2-lnput Data Selector/Multiplexer

CMOS

MC54HCI58

16

N,J

0

CMOS

MC74HC158A

-

16

N,J

D,DT

Quad 2-lnput Data Selector/Multiplexer With 3-State Outputs

CMOS

MC74HC257

-

16

N

Quad 2-lnput Data Selector/Multiplexer With lSTTl Compatible
Inputs

CMOS

MC74HCT157A

-

16

N

0
0

Quad 2-lnput Data Selectors/Multiplexers

CMOS

MC54HC157A

Quad 2-lnput Multiplexer

TTL

MC74F157A

TTL

MC74F158A

TTL

SN54lS157

MC100EL56

MC74HC158

MC74HC157A

20

FN
OW

FA

16

N,J

D,DT

16

N

0

16

N

SN74lS157

16

N,J

SN74lS158

-

16

N,J

0
0
0

16

P,l

FN

MC10158

-

16

P,l

FN

CMOS

MC74AC258

-

16

N

OW

CMOS

MC74ACT258

-

16

N

OW

CMOS

MC74ACT257

-

16

N

CMOS

MC74AC257

-

16

N

0
0

Quad 2-lnput Multiplexer With 3-State Outputs

TTL

SN54lS257B

SN74lS257B

16

N,J

Quad 2-lnput Multiplexer With Storage

TTL

SN54lS298

SN74lS298

16

N,J

TTL

SN54lS158

Quad 2-lnput Multiplexer (Inverting)

ECl

MC10159

Quad 2-lnput Multiplexer (Non-Inverting)

ECl

Quad 2-lnput Multiplexer Inverting With 3-State Outputs
Quad 2-lnput Multiplexer Non-Inverting With 3-State Outputs

logic: Standard, Special and Programmable

3.1-32

0
0

Motorola Master Selection Guide

Selection by Function

I

Description

Tech.

Device(s)

Pins

I DIP I SM

MULTIPLEXER/DATA SELECTORS

CMOS

MC74AC158

-

16

N

CMOS

MC74ACT158

16

N

0
0

Quad 2-lnput Multiplexer, Inverting Output

ECl

MC10H159

-

Quad 2-lnput Multiplexer, Inverting, With 3-State Outputs

TIL

SN54lS258B

Quad 2-lnput Multiplexer, Non-Inverting

CMOS

MC74AC157

CMOS
Quad 2-lnput Multiplexer, Non-Inverting Output
Quad 2-lnput Multiplexer, With 3-State Outputs
Quad 2-lnput Multiplexer/latch

ECl

Quad 2-Port Register

TIL
TIL
TIL
TIL

SN54lS399

Quad 2:1 Mux, Individual-Select

ECl

MC10E157

Quad Analog SwnchiMultiplexer

CMOS

MC14016B

-

14

P,l

0

CMOS

MC14066B

-

14

P,l

CMOS

MC54HC4016

MC74HC4016

14

N,J

0
0

MC74HC4066

Quad 2-lnput Multiplexer, Inverting

Quad Analog SwitchlMultiplexerlDemultiplexer

16

P,l

FN

16

N,J

0

-

16

N

MC74ACT157

-

16

N

0
0

ECl

MC10H158

-

16

P,l

FN

TIL
TIL

MC74F257A

-

16

N

MC74F258A

16

N

0
0

MC10173

-

16

P,l

FN

MC74F398

-

20

N

OW

MC74F399
SN54lS398

CMOS

MC54HC4066

Quad Analog SwnchlMultiplexer/Demultiplexer With Separate
Analog/Digital Power Supplies

CMOS

MC74HC4316

Triple 2-Channel Analog Multiplexer/Demultiplexer

CMOS

MC54HC4053

CMOS

MC14053B

Triple 2-Channel Analog Multiplexer/Demultiplexer With Address
Latch

CMOS

MC54HC4353

SN74LS258B

-

16

N

0

20

N,J

OW

SN74lS399

16

N,J

MC1OOE157

28

SN74lS398

MC74HC4053

MC74HC4353

0
FN

14

N,J

D,DT

16

N

0

16

N,J

D,DW

16

P,l

0

20

N,J

OW

Triple 2:1 Multiplexer

ECl

MC1OOEL59

-

20

OW

Triple 2:1 Multiplexer (3.3V)

ECl

MC1OOlVEL59

-

20

OW

Triple Dilferential2:1 Multiplexer

ECl

MC100E457

-

28

FN

ECl

MC10E457

-

28

FN

-

20

P

FN

20

P

FN

14

P,l

MULTlVIBRATORS

130MHz Voltage Controlled Multivibrator

ECl

MC12101

200 MHz Voltage Controlled Multivibrator

ECl

MC12100

Dual Monostable Multivibrator

HTl

MC667

CMOS

MC14528B

16

P,l

Dual Monstable Multivibrators With Schmitt Trigger Inputs

TIL

SN54lS221

SN74lS221

16

N,J

Dual Precision Monostable Multivibrator Retriggerable, Resettable)

CMOS

MC54HC4538A

MC74HC4538A

16

N,J

0
0
0

Dual Precision Monostable Multivibrator

CMOS

MC14538B

-

16

P,l

D,DW

Dual Voltage-Controlled Multivibrator

ECl

MC4024

-

14

P,l

Monostable Multivibrator

DTl

MC951

-

14

P,l

ECl

MC10198

TIL
TIL

SN54lS122
SN54lS123

ECl

MC1658

Retriggerable Monostable Multivibrators
Voltage Controlled Multivibrator

-

16

P,l

FN

SN74LS122

14

N,J

SN74lS123

14

N,J

0
0

-

16

P,l

D,FN

D

OSCILLATORS

7-stage Binary Ripple Counter

CMOS

MC74HC4024

-

14

N

Crystal Oscillator

ECl

MC12061

-

16

P,l

Dual Voltage-Controlled Multivibrator

ECl

MC4324

-

14

P,l

low Power Voltage Controlled Oscillator

ECl

MC12148

-

8

Motorola Master Selection Guide

3.1-'33

D,SD

logic: Standard, Special and Programmable

Selection by Function
Description

Device(s)

Tech.

OSCILLATORS

IVoltage Controlled Oscillator

Pins

IMCl648

14

I DIP I SM
I P,l I D,FN

OSCILLATORITIMERS

24-Stage Frequency Divider

CMOS

MC14521B

-

16

P,l

Programmable Oscillator Timer

CMOS

MC14541B

-

14

P,l

D

Programmable Timer

CMOS

MC14536B

-

16

P,l

DW

Quad Precision Timer/Driver

CMOS

MC14415

-

16

P,l

DW

ECl

MC10H160

-

16

P,l

FN

ECl

MC10160

16

P,l

FN

12-Bit Parity Generator/Checker, Register-Shiftable, Dill Output

ECl

MC10E160

12-Bit Parity Tree

CMOS

MC14531B

-

16

P

D

9 + 2-Bit Parity Generator-Checker

ECl

MC10170

-

16

P,l

FN

9-Bit Odd/Even Parity Generator/Checker

CMOS

MC74HC280
SN54lS280

9-Bit Parity Generator/Checker

TTL
TTL

Error Detection and Correction Circuit

ECl

MC10E193

D

PARITY CHECKERS

12-Bit Parity Generator/Checker

PHASE-LOCKED LOOP

IPhase-locked loop

MC74F280

MC100E160

SN74lS280

MC100E193

ICMOS IMC14046B

28

FN

14

N

D

14

N,J

D

14

N

D

28

FN

16

I P,l I DW

PRESCALERS

-

8
8

P

D

8

P

D

MC12026B

-

8

P

D

ECl

MC12083

-

8

P

D

1.1 GHz +2/4/8 low Power Prescaler With Stand-By Mode

ECl

MC12093

-

8

P

D,SD

1.1 GHz +256 Prescaler

ECl

MC12074

8

P

D

1.1 GHz +32/33, +64/65 Dual Modulus Prescaler

ECl

MC12028A

8

P

D

1.1 GHz +32/33, +64/65 Dual Modulus Prescaler

ECl

MC12028B

-

8

P

D

1.1 GHz +64 Prescaler

ECl

MC12073

-

8

P

D

1.1 GHz +64/65, +128/129 Dual Modulus Prescaler

ECl

MC12022A

-

8

P

D

ECl

MC12022B

-

8

P

D

ECl

MC12022SLA

-

8

P

D

ECl

MC12022SlB

8

P

D

ECl

MC12022TSA

8

P

D

ECl

MC12022TSB

8

P

D

1.1 GHz +64/65, +1281129 Dual Modulus Prescaler With Stand-By
Mode

ECl

MC12036A

8

P

D

ECl

MC12036B

-

1.1 GHz +64/65, +128/129 low Voltage Dual Modulus Prescaler

ECl

MC12022lVA

ECl

MC12022lVB

ECl

MC12022TVA

-

ECl

MC12022TVB

1.1 GHz +64/65, +128/129 Super low Power Dual Modulus
Prescaler

ECl

1.1 GHz +64165, +128/129 Super low Power Dual Modulus
Prescaler With Stand-By Mode

1.1 GHz + 10/20/40/80 Prescaler

ECl

MC12080

1.1 GHz + 1261128, +2541256 low Power Dual Modulus Prescaler

ECl

MC12058

1.lGHz +127/128, +2551256 low Power Dual Modulus Prescaler

ECl

MC12038A

1.1 GHz +8/9, +16/17 Dual Modulus Prescaler

ECl

MC12026A

ECl
1.1 GHz +2 low Power Prescaler With Stand-By Mode

P

D
D,SD

8

8

P

D

-

8

P

D

-

8

P

D

8

P

D

-

8

P

MC12052A

-

8

D,SD

ECl

MC12053A

-

8

D,SD

1.3GHz +64 Prescaler

ECl

MC12075

-

8

1.3GHz +64/256 Prescaler

ECl

MC12066

logic: Standard, Special and Programmable

3.1--34

-

8

P

D

D
D

Motorola Master Selection Guide

Selection by Function

I

Description

Tech.

Devlce(s)

Pins

I DIP I

8M

8

P

D

8

P

D

8

P

D

8

P

D

PRESCALERS

ECl

MC12076

ECl

MC12078

ECl

MC12034A

ECl

MC12034B

-

ECl

MC12033A

-

8

P

D

ECl

MC12033B

8

P

D

ECl

MC12032A

-

8

P

D

ECl

MC12032B

-

8

P

D

ECl

MC12031A

P

D

MC12031B

-

8

ECl

8

P

2.0GHz +64/65, +1281129 Super low Power Dual Modulus
Prescaler

ECl

MC12054A

-

8

D,SD

2.5GHz +2, +4 low Power Prescaler With Satnd-By Mode

ECl

MC12095

D,SD

ECl

MC12098

-

8

2.5GHz +8192 Prescaler
2.8GHz +64/128/256 Prescaler

ECl

MC12079

-

8

P

ECl

MC12089

-

8

P

D

225MHz +20/21 Dual Modulus Prescaler

ECl

MC12019

-

8

P,l

D

225MHz +32/33 Dual Modulus Prescaler

ECl

MC12015

-

8

P,l

D

225MHz +40/41 Dual Modulus Prescaler

ECl

MC12016

-

8

P,l

D

225MHz +64 Prescaler

ECl

MC12023

-

8

P

D

225MHz +64/65 Dual Modulus Prescaler

ECl

MC12017

-

8

P,l

D

1.3GHz +256 Prescaler
2.0GHz +32133, +64165 Dual Modulus Prescaler
2.0GHz +32/33, +64/65 low Voltage Dual Modulus Prescaler
2.0GHz +64/65, + 1281129 Dual Modulus Prescaler
2.0GHz +64/65, +1281129 low Voltage Dual Modulus Prescaler

8

D

D
D

480MHz +5/6 Dual Modulus Prescaler

ECl

MC12009

-

16

P,l

520MHz +128/129 Dual Modulus Prescaler

ECl

MC12018

-

8

P,l

D

520MHz +64/65 Dual Modulus Prescaler

ECl

MC12025

8

P

D

550MHz +10/11 Dual Modulus Prescaler

ECl

MC12013

16

P,l

550MHz +8/9 Dual Modulus Prescaler

ECl

MC12011

16

P,l

750MHz +2 UHF Prescaler

ECl

MC12090

-

16

P,l

PROGRAMMABLE DELAY CHIPS

Programmable Delay Chip (Dig 80ps Anal. 1.6 Pslmv)

MC10E196

Programmable Delay Chip (Digitally Selectable 20ps Res)

MC10E195

1024-Bit Programmable Read Only Memory

MCM10149*25

32 X B-Bit Programmable Read Only Memory

MCM10139

1024 X 1-Bit Random Access Memory

MCM10146

256 X 1-Bit Random Access Memory

MCM10152

RECEIVERS

Differential Receiver

ECl

MC10El16

ECl

MC100lVE116

High Speed Triple line Receiver

ECl

MC10216

MC100El16

-

D

8
16

D
P,l

low-Voltage Quad Differential line Receiver

ECl

MC100lVEl17

ECl

MC10129

Quad line Receiver

ECl

MC10Hl15

ECl

MC10115

ECl

MC1692

ECl

MC10El16

MC100El16

28

FN

ECl

MC10E416

MC100E416

28

FN

Motorola Master Selection Guide

3.1-35

-

-

20

FN

Quad Bus Receiver

Quint Differential line Receiver

MC100El17

8

DW

16

l

16

P,l

FN

16

P,l

FN

16

l

logic: S1andard, Special and Programmable

Selection by Function
Description

Tech.

Pins

I DIP I

SM

-

16

P,l

D,FN

16

P,l

FN

16

P,l

FN

-

Devlce(s)

RECEIVERS

Triple line Receiver

ECl

MC10Hl16

ECl

MC10114

Eel

MC10116

REGISTERS

4 X 4 Multiport Register

MC14580B

Hex Parallel D Register With Enable

MC74F378

REGISTER FILES

16 X 4-Bit Register File (RAM)

ECl

MC10H145

16

P,l

FN

4 X 4 Register File Open Collector

SN54lS170

SN74lS170

16

N,J

D

4 X 4 Register File With 3-State Outputs

TTL
TTL

SN54lS670

SN74lS670

16

N,J

D

64-Bit Register File (RAM)

ECl

MCM10145

-

16

l

8 X 2 Multiport Register File (RAM)

ECl

MCM10143

-

24

l

TTL
TTL

MC74F13

-

14

N

D

14

N,J

D

Dual Schmitt Trigger

CMOS

MC14583B

-

16

P

D

Hex Inverter Schmitt Trigger

CMOS

MC74AC14

-

14

N

D

14

N

D

14

N

D

14

N,J

D

14

P,l

D

SCHMITT TRIGGERS

Dual 4-lnput NAND Schmitt Trigger

Hex Schmitt Trigger
Hex Schmitt Trigger Inverter

SN54lS13

SN74lS13

CMOS

MC74ACT14

TTL
TTL

MC74F14
SN54lS14

CMOS

MC14106B

CMOS

MC14584B

14

P,l

D

CMOS

MC54HC14A

MC74HC14A

14

N,J

D,DT

SN74lS14

-

-

CMOS

MC54HCT14A

MC74HCT14A

14

N,J

D

Quad 2-lnput NAND Gate With Schmitt Trigger Inputs

CMOS

MC54HC132A

MC74HC132A

14

N,J

D

Quad 2-lnput NAND Schmitt Trigger

CMOS

MC74AC132

N

D

MC74ACT132

-

14

CMOS

14

N

D

TTL

MC74F132

14

N

D

CMOS

MC14093B

-

14

P,l

D

TTL

SN54lS132

14

N,J

D

9-Bit Switchable Active SCSI-2 Bus Term (1100) with Volt Reg

CMOS

MCCS142237

-

Quad 2-lnput Schmitt Trigger NAND Gate

SN74lS132

SCSI BUS TERMINATORS

16,20

DW,
DT

9-Bit Switchable SCSI Bus Term (1100: Active)

CMOS

MCCS142234

-

16

D

9-Bit Switchable SCSI Bus Term (2200 & 3300: Passive)

CMOS

MCCS142233

-

20

FN

18-Bit Active SCSI Bus Terminator
('Also Available in 32-Pin QFP Package)

CMOS

MCCS142235

-

24,32

DW,*F
A

18-Bit Switchable Active SCSI-2 Bus Term (1100) with Volt Reg

CMOS

MCCS142236

-

28

DW

18-Bit Swilchable Active SCSI-2 Bus Term (1100) with Volt Reg
Plus Inverted Disconnect

CMOS

MCCS142238

-

28

DW

-

16

P,l

14

P,l

14

P,l

SERIAL EPROMs

Serial EPROM for MPA1016: 8-Pin DIP and SOIC; 2D-Pin PlCC

MPA1765

Serial EPROM for MPA1036: 8-Pin DIP and SOIC; 2D-Pin PlCC

MPA17128

SHIFT REGISTERS

l-t0-64-Bit Variable length Shift Register

CMOS

MC14557B

128-8it Static Shift Register

CMOS

MC14562B

18-Bit Static Shift Register

CMOS

MC14006B

3-Bit Scannable Registered Address Driver, ECl

ECl

MC10E212

logic: Standard, Special and Programmable

3.1-36

MC100E212

28

DW
D
FN

Motorola Master Selection Guide

Selection by Function

I

Description

Tech.

Device(s)

Pins

I DIP I

SM

SHIFT REGISTERS

4-Bit Bidirectional Universal Shift Register

4-Bit Shift Register

CMOS

MC74AC194

CMOS

MC74ACT194

TTL

MC74F194

CMOS

MC74HC194

TTL

SN54LS194A

TTL

MC74F195

-

16

N

D

-

16

N

D

-

16

N

D

16

N

SN74LS194A

-

16

N,J

D

16

N

D
D

TTL

SN54LS95B

14

N,J

CMOS

MC14035B

-

16

P,L

D

4-Bit Shift Register With 3-State Outputs

TTL

SN74LS395

16

N,J

D

4-Bit Shifter With 3-State

CMOS

MC74AC350

-

16

N

D

CMOS

MC74ACT350

-

16

N

D

4-Bit Shifter, With 3-State Outputs

TTL

MC74F350

-

16

N

D

4-Bit Univarsal Shift Register

CMOS

MC74HC195

-

16

N

ECL

MC10H141

-

16

P,L

FN

ECL

MC10141

16

P,L

FN

CMOS

MC14194B

-

16

P,L

D

B-Bit Bidirectional Universal Shift Register With parallel I/O

CMOS

MC74HC299

-

20

N

DW

B-Bit Parallel-lo-Serial Shift Register

TTL

SN54LS165

SN74LS165

16

N,J

B-Bit Scannable Register

ECL

MC10E241

MC100E241

28

B-Bit Serial In-Serial Out Shift Register

TTL

MC74F164

14

N

D

B-Bit Serial or Parallel-Input/Serial-Output Shift Register

CMOS

MC54HC165

MC74HC165

16

N,J

D

B-Bit Serial or Parallel-Input/Serial-Output Shift Register
With 3-State Outputs

CMOS

MC54HC589

MC74HC589

16

N,J

D

CMOS

MC54HC589A

MC74HC589A

16

N,J

D,SD
DT

B-Bit Serial or Parallel-Input/Serial-Output Shift Register With
Input latch

CMOS

MC54HC597

MC74HC597

16

N,J

D

CMOS

MC54HC597A

MC74HC597A

16

N,J

D,DT

B-Bit Seriai-ln/Parallel-Out Shift Register

TTL

SN54LSl64

SN74LS164

14

N,J

D

B-Bit Seriai-lnputIParaliel-Output Shift Register

CMOS

MC54HCl64

MC74HC164

14

N,J

D

CMOS

MC54HC164A

MC74HC164A

14

N,J

D,DT

CMOS

MC54HC595A

MC74HC595A

16

N,J

D,DT

B-Bit Serial-Input/Serial or Parallel-Output Shift Register With
latched 3-State Outputs
B-Bit Shift Register
B-Bit Shift Registers With Sign Extend
B-Bit Shift/Storage Register With 3-State Outputs
B-Bit Static Shift Register
B-Input Shift/Storage Register W/Synchronous Reset and
Common I/O Pins

SN74LS95B

-

D
FN

ECL

MC10E141

MC100E141

28

TTL

SN54LS166

SN74LS166

16

N,J

FN
D

TTL

SN54LS322A

SN74LS322A

20

N,J

DW

TTL

SN54LS299

SN74LS299

20

N,J

DW

TTL

SN54LS323

SN74LS323

20

N,J

DW

CMOS

MCl4014B

-

16

P,L

D

CMOS

MCl4021B

-

16

P,L

D

TTL

MC74F323

-

20

N

DW

CMOS

MC74AC299

N

DW

MC74ACT299

-

20

CMOS

20

N

DW

B-Input Universal Shift/Storage Register With Syn Reset/Common
Parallel I/O Pins: With 3-State Outputs

CMOS

MC74AC323

-

20

N

DW

CMOS

MC74ACT323

20

N

DW

B-Input Universal Shift/Storage Register, W/Common Parallel I/O
Pins

TTL

MC74F299

-

20

N

DW

8-Stage Shift/Store Register With 3-State Outputs

CMOS

MC14094B

16

P,L

9-Bit Shift Register, 700MHz, With Asynchronous Master Reset

ECL

MC10E142

Dual 5-Bit Shift Register

CMOS

MCl4015B

B-Input Universal Shift/Storage Register With Common Parallel I/O
Pins: With 3-State Outputs

Motorola Master Selection Guide

3.1-37

MC100E142

-

28
16

D
FN

P,L

D

Logic: Standard, Special and Programmable

Selection by Function
Description

Device(s)

Tech.

I I
DIP

SM

16

P

DW

16

P,l

DW

16

P,l

DW

16

N,J

D

Pins

SHIFT REGISTERS

-

Dual 64-Bit Static Shift Register

CMOS

MC14517B

Successive Approximation Register

CMOS

MC14549B

CMOS

MC14559B

TIL

SN54lS195A

1.1 GHz Serial Input Synthesizer With +64/65, + 128/129 Prescaler

ECl

MC12202

-

16,20

D,M,

125-1000MHz Frequency Synthesizer With Parallel Programming
Interface

ECl

MC12181

-

16

DT
DT

2.0GHz Serial Input Synthesizer With +64/65, + 128/129 Prescaler

ECl

MC12206

D,DT

ECl

MC12210

16,20

D,DT

2.7GHz Frequency Synthesizer

ECl

MC12179

-

16,20

2.5GHz Serial Input Synthesizer With +32133, +64/65 Prescaler

8

D

25Q Octal Bidirectional Transceiver w/3-State Inputs and Outputs

ECl

MC74F2245

-

20

OW,SO

4-Bit Differential ECl BuslTTl Bus Transceiver

ECl

MC10H680

ECLlTIl Inverting Bidirectional Transceivers With latch (4-Bit)

ECl

MC10804

ECLlTIl Inverting Bidirectional Transceivers With latch (5-Bit)

ECl

MC10805

Hex ECLlTIl Transceiver With latches

ECl

MC10H681

low-Voltage CMOS 16-Bit latching Transceiver, 3-State,
Non-Inverting With 5V Tolerant Inputs and Outputs

CMOS

MC74lCX16543A

low-Voltage CMOS 16-Bit Transceiver, 3-State, Non-Inverting
With 5V Tolerant Inputs and Outputs

CMOS

low-Voltage CMOS Octal Transceiver, 3-State, Non-Inverting
With 5V Tolerant Inputs and Outputs

Universal 4-Bit Shift Register

SN74lS195A

SYNTHESIZERS

TRANSCEIVERS

MC100H680

MC100H681

28

FN

16

l

20

l

28

FN

-

56

DT

MC74lCX16245

-

48

DT

CMOS

MC74lCX245

-

20

M,DW,
DT

low-Voltage Quiet CMOS Octal Transceiver, 3-State,
Non-Inverting

CMOS

MC74lVQ245

-

20

M,DW,
SD,DT

low-Voltage CMOS Octal Transceiver/Registered Transceiver
With 5V Tolerant Inputs and Outputs

CMOS

MC74lCX646

-

24

DW,
SD,DT

low-Voltage Quiet CMOS Octal Transceiver/Registered
Transceiver

CMOS

MC74lVQ646

-

24

DW,
SD,DT

low-Voltage Quiet CMOS Octal Transceiver/Registered
Transceiver

CMOS

MC74lVQ652

-

24

DW,
SD,DT

Octal Bus Transceiverllnverting With Open Collector

TIL

SN54lS642

SN74lS642

20

N,J

DW

Octal Bus Transceiver/Non-Inverting W~h Open Collector

TIL

SN54lS641

SN74lS641

20

N,J

DW

Quad Futurebus Backplane Transceiver, With 3-State Outputs and
Open Collector

TIL

MC74F3893A

9-Bit ECUTTl Translator

ECl

MC10H601

9-Bit latch ECLlTIl Translator

ECl

MC10H603

9-Bit latch TIUECl Translator

ECl

9-Bit TIUECl Translator

-

20

FN

MC100H601

28

FN

MC100H603

28

FN

MC10H602

MC100H602

28

FN

ECl

MC10H600

MC100H600

28

FN

Differential ECLlTIl Translator

ECl

MC10ElT25

MC100ElT25

8

D

Differential PECLlTIl Translator

ECl

MC10ElT21

MC100ElT21

8

D

Dual Differential PECLlTIl Translator

ECl

MC100ElT23

-

8

D

Dual lVTIUlVCMOS to Differential PECl Translator

ECl

MC100lVElT22

-

8

D

Dual TIUDifferential PECl Translator

ECl

MC10ElT22

ECLlTIl Translator (Single P.S. @+ 5.0V)

ECl

MC10H350

-

16

P,l

Hex ECUMST Translator

ECl

MC10191

-

16

P,l

Hex TIL OR CMOS/CMOS Hex level Shifter

CMOS

MC14504B

16

P,l

D

Quad CMOS/ECl Translator (Single P.S. @+ 5.0V)

ECl

MC10H352

-

20

P,l

FN

TRANSLATORS

logic: Standard, Special and Programmable

3.1--38

MC100ElT22

8

D
FN

Motorola Master Selection Guide

Selection by Function

I

Description

Device(s)

Tech.

Pins

I DIP I SM

TRANSLATORS

Quad MECUTTl Translator

-

16

P,l

FN

16

P,l

FN

16

P

16

P,l

FN

16

P,l

FN

16

P,l

FN

20

P,l

FN

ECl

MC10H125

ECl

MC10125

Quad MST/ECl Translator

ECl

MC10190

Quad TTUECl Translator (ECl Strobe)

ECl

MC10H424

Quad TTUMECl Translator

ECl

MC10124

Quad TTUMECl Translator, With TTL Strobe Input

ECl

MC10H124

Quad TTUNMOS-to-PECl Translator (Single P.S. @+ 5.0V)

ECl

MC10H351

Registered Hex ECUTTl Translator

ECl

MC10H605

MC100H605

28

FN

Registered Hex PECUTTl Translator

ECl

MC10H607

MC100H607

28

FN

Registered Hex TTUECl Translator

ECl

MC10H604

MC100H604

28

FN

Registered Hex TTUPECl Translator

ECl

MC10H606

MC100H606

28

Triple MECUNMOS Translator

ECl

MC10177

Triple ECl to PECl Translator

ECl

MC100lVEl90

Triple PECl to lVPECl Translator

ECl

MC100lVEl92

-

20

DW

Triple PECl to ECl Translator

ECl

MC100lVEl91

-

20

DW

MC100El90

16

FN
l
DW

20

TTUDifferential ECl Translator

ECl

MC10ElT24

MC100ElT24

8

D

TTUDifferential PECl Translator

ECl

MC10ElT20

MC100ElT20

8

D

TTL to Differential PECUDifferential PECl to TTL Translator

ECl

MC10ElT28

MC100ElT28

8

D

veo

Phase-locked-Loop With VCO

CMOS

MC74HC4046A

low Power Voltage Controlled Oscillator Buffer

CMOS

MC12147

low Power Voltage Controlled Oscillator Buffer

CMOS

MC12149

Motorola Master Selection Guide

3.1-39

-

16

N

D

8

D,SD

8

D,SD

logic: Standard, Special and Programmable

Device Index
MC100E016

3.1-19

MC100EL07

3.1-26

MC100LVE210

3.1-13

MC100E101

3.1-27

MC100EL11

3.1-16

MC100LVE222

3.1-17

MC100E104

3.1-27

MC100EL12

3.1-29

MC100LVE310

3.1-13

MC100E107

3.1-27

MC100EL13

3.1-13

MC100LVEL01

3.1-26

MC100E111

3.1-16

MC100EL14

3.1-16

MC100LVEL 11

3.1-13

MC100E112

3.1-29

MC100EL15

3.1-16

MC100LVEL13

3.1-13

MC100E116

3.1-35

MC100EL16

3.1-35

MC100LVEL14

3.1-16

MC100E122

3.1-29

MC100EL17

3.1-35

MC100LVEL16

3.1-35

MC100E131

3.1-22

MC100EL29

3.1-23

MC100LVEL17

3.1-35

MC100E136

3.1-18

MC100EL30

3.1-25

MC100LVEL29

3.1-23

MC100E137

3.1-19

MC100EL31

3.1-22

MC100LVEL30

3.1-25

MC100E141

3.1-37

MC100EL32

3.1-21

MC100LVEL31

3.1-24

MC100E142

3.1-37

MC100EL33

3.1-21

MC100LVEL32

3.1-21

MC100E143

3.1-22

MC100EL34

3.1-17

MC100LVEL33

3.1-21

MC100E150

3.1-30

MC100EL35

3.1-23

MC100LVEL38

3.1-17

MC100E151

3.1-22

MC100EL38

3.1-17

MC100LVEL39

3.1-17

MC100E154

3.1-30

MC100EL39

3.1-17

MC100LVEL51

3.1-22

MC100E155

3.1-30

MC100EL51

3.1-22

MC100LVEL56

3.1-32

MC100E156

3.1-30

MC100EL52

3.1-22

MC100LVEL59

3.1-33

MC100E157

3.1-33

MC100EL56

3.1-32

MC100LVEL90

3.1-39

MC100E158

3.1-31

MC100EL57

3.1-31

MC100LVEL91

3.1-39

MC100E160

3.1-34

MC100EL58

3.1-31

MC100LVEL92

3.1-39

MC100E163

3.1-31

MC100EL59

3.1-33

MC100LVELT22

3.1-38

MC100E164

3.1-31

MC100EL90

3.1-39

MC100SX1230

3.1-22

MC100E166

3.1-18

MC100ELT20

3.1-39

MC10100

3.1-28

MC100E167

3.1-22

MC100ELT21

3.1-38

MC10101

3.1-27

MC100E171

3.1-31

MC100ELT22

3.1-38

MC10102

3.1-28

MC100E175

3.1-30

MC100ELT23

3.1-38

MC10103

3.1-28

MC100E193

3.1-34

MC100ELT24

3.1-39

MC10104

3.1-25

MC100E195

3.1-35

MC100ELT25

3.1-38

MC10105

3.1-27

MC100E196

3.1-35

MC100ELT28

3.1-39

MC10106

3.1-28

MC100E210

3.1-13

MC100H600

3.1-38

MC10107

3.1-27

MC100E211

3.1-16

MC100H601

3.1-38

MC10109

3.1-27

MC100E212

3.1-36

MC100H602

3.1-38

MC10110

3.1-28

MC100E241

3.1-37

MC100H603

3.1-38

MC10111

3.1-28

MC100E256

3.1-30

MC100H604

3.1-39

MC10113

3.1-27

MC100E310

3.1-13

MC100H605

3.1-39

MC10114

3.1-36

MC100E336

3.1-14

MC100H606

3.1-39

MC10115

3.1-35

MC100E337

3.1-14

MC100H607

3.1-39

MC10116

3.1-36

MC100E404

3.1-27

MC100H640

3.1-17

MC10117

3.1-27

MC100E416

3.1-35

MC100H641

3.1-17

MC10118

3.1-27

MC100E431

3.1-22

MC100H642

3.1-17

MC10119

3.1-26

MC100E445

3.1-18

MC100H643

3.1-17

MC10121

3.1-26

MC100E446

3.1-18

MC100H644

3.1-17

MC10123

3.1-16

MC100E451

3.1-22

MC100H646

3.1-17

MC10124

3.1-39

MC100E452

3.1-22

MC100H660

3.1-31

MC10125

3.1-39

MC100E457

3.1-33

MC100H680

3.1-38

MC10128

3.1-14

MC100EL01

3.1-26

MC100H681

3.1-38

MC10129

3.1-35

MC100EL04

3.1-26

MC100LVE111

3.1-16

MC10130

3.1-30

MC100EL05

3.1-26

MC100LVE164

3.1-32

MC10131

3.1-23

Logic: Standard, Special and Programmable

3.1-40

Motorola Master Selection Guide

Device Index
MC10132

3.1-32

MC10E104

3.1-27

MC10EL11

3.1-16

MC10133

3.1-31

MC10E107

3.1-27

MC10EL12

3.1-29

MC10134

3.1-32

MC10E111

3.1-16

MC10EL15

3.1-16

MC10135

3.1-23

MC10E112

3.1-29

MC10EL16

3.1-35

MC10136

3.1-20

MC10E116

3.1-35

MC10EL31

3.1-22

MC10137

3.1-20

MC10E122

3.1-29

MC10EL32

3.1-21

MC10138

3.1-19

MC10E131

3.1-22

MC10EL33

3.1-21

MC10141

3.1-37

MC10E136

3.1-18

MC10EL34

3.1-17

MC10153

3.1-31

MC10E137

3.1-19

MC10EL35

3.1-23

MC10154

3.1-19

MC10E141

3.1-37

MC10EL51

3.1-22

MC10158

3.1-32

MC10E142

3.1-37

MC10EL52

3.1-22

MC10159

3.1-32

MC10E143

3.1-22

MC10EL57

3.1-31

MC1016

3.1-e

MC10E150

3.1-30

MC10EL58

3.1-31

MC10160

3.1-34

MC10E151

3.1-22

MC10EL89

3.1-21

MC10161

3.1-20

MC10E154

3.1-30

MC10ELT20

3.1-39

MC10162

3.1-20

MC10E155

3.1-30

MC10ELT21

3.1-38

MC10163

3.1-21

MC10E156

3.1-30

MC10ELT22

3.1-38

MC10164

3.1-31

MC10E157

3.1-33

MC10ELT24

3.1-39

MC10165

3.1-22

MC10E158

3.1-31

MC10ELT25

3.1-38

MC10166

3.1-18

MC10E160

3.1-34

MC10ELT28

3.1-39

MC10168

3.1-31

MC10E163

3.1-31

MC10H100

3.1-28

MC10170

3.1-34

MC10E164

3.1-31

MC10H101

3.1-27

MC10171

3.1-20

MC10E1651

3.1-18

MC10H102

3.1-28

MC10172

3.1-21

MC10E1652

3.1-18

MC10H103

3.1-28

MC10173

3.1-33

MC10E166

3.1-18

MC10H104

3.1-25

MC10174

3.1-32

MC10E167

3.1-22

MC10H105

3.1-27

MC10175

3.1-31

MC10E171

3.1-31

MC10H106

3.1-28

MC10176

3.1-23

MC10E175

3.1-30

MC10H107

3.1-27

MC10177

3.1-39

MC10E193

3.1-34

MC10H109

3.1-27

MC10178

3.1-19

MC10E195

3.1-35

MC10H113

3.1-27

MC10180

3.1-13

MC10E196

3.1-35

MC10H115

3.1-35

MC10181

3.1-13

MC10E197

3.1-31

MC10H116

3.1-36

MC10186

3.1-23

MC10E211

3.1-16

MC10H117

3.1-27

MC10188

3.1-29

MC10E212

3.1-36

MC10H118

3.1-27

MC10189

3.1-29

MC10E241

3.1-37

MC10H119

3.1-26

MC10190

3.1-39

MC10E256

3.1-30

MC10H121

3.1-26

MC10191

3.1-38

MC1OE336

3.1-14

MC10H123

3.1-16

MC10192

3.1-16

MC10E337

3.1-14

MC10H124

3.1-39

MC10193

3.1-21

MC10E404

3.1-27

MC10H125

3.1-39

MC10195

3.1-29

MC10E411

3.1-16

MC10H130

3.1-30

MC10197

3.1-25

MC10E416

3.1-35

MC10H131

3.1-23

MC10198

3.1-33

MC10E431

3.1-22

MC10H135

3.1-23

MC10210

3.1-28

MC10E445

3.1-18

MC10H136

3.1-20

MC10211

3.1-28

MC10E446

3.1-18

MC10H141

3.1-37

MC10212

3.1-27

MC10E451

3.1-22

MC10H145

3.1-36

MC10216

3.1-35

MC10E452

3.1-22

MC10H158

3.1-33

MC10231

3.1-23

MC10E457

3.1-33

MC10H159

3.1-33

MC10804

3.1-38

MC10EL01

3.1-26

MC10H16

3.1-18

MC10805

3.1-38

MC10EL04

3.1-26

MC10H160

3.1-34

MC10E016

3.1-19

MC10EL05

3.1-26

MC10H161

3.1-20

MC10E101

3.1-27

MC10EL07

3.1-26

MC10H162

3.1-20

(

Motorola Master Selection Guide

3.1-41

Logic: Standard, Special and Programmable

Device Index
MC10H164

3.1-31

MC12014

3.1-19

MC12095

3.1-35

MC10H165

3.1-22

MC12015

3.1-35

MC12098

3.1-35

MC10H166

3.1-18

MC12016

3.1-35

MC12100

3.1-33

MC10H171

3.1-21

MC12017

3.1-35

MC12101

3.1-33

MC10H172

3.1-21

MC12018

3.1-35

MC12147

3.1-39

MC10H173

3.1-32

MC12019

3.1-35

MC12148

3.1-33

MC10H174

3.1-32

MC12022A

3.1-34

MC12149

3.1-39

MC10H175

3.1-31

MC12022B

3.1-34

MC12179

3.1-38

MC10H176

3.1-23

MC12022LVA

3.1-34

MC12181

3.1-38

MC10H179

3.1-13

MC12022LVB

3.1-34

MC12202

3.1-38

MC10H180

3.1-13

MC12022SLA

3.1-34

MC12206

3.1-38

MC10H181

3.1-13

MC12022SLB

3.1-34

MC12210

3.1-38

MC10H186

3.1-23

MC12022TSA

3.1-34

MC12429

3.1-17

MC10H188

3.1-29

MC12022TSB

3.1-34

MC12439

3.1-17

MC10H189

3.1-29

MC12022TVA

3.1-34

MC14000UB

3.1-28

MC10H209

3.1-27

MC12022TVB

3.1-34

MC14001B

3.1-28

MC10H210

3.1-28

MC12023

3.1-35

MC14001UB

3.1-28

MC10H211

3.1-28

MC12025

3.1-35

MC14002B

3.1-28

MC10H330

3.1-16

MC12026A

3.1-34

MC14002UB

3.1-28

MC10H332

3.1-14

MC12026B

3.1-34

MC14006B

3.1-36

MC10H334

3.1-16

MC12028A

3.1-34

MC14007UB

3.1-29

MC10H350

3.1-38

MC12028B

3.1-34

MC14008B

3.1-13

MC10H351

3.1-39

MC12031A

3.1-35

MC14011B

3.1-26

MC10H352

3.1-38

MC12031B

3.1-35

MC14011UB

3.1-26

MC10H423

3.1-16

MC12032A

3.1-35

MC14012B

3.1-25

MC10H424

3.1-39

MC12032B

3.1-35

MC14012UB

3.1-25

MC10H600

3.1-38

MC12033A

3.1-35

MC14013B

3.1-22

MC10H601

3.1-38

MC12033B

3.1-35

MC14014B

3.1-37

MC10H602

3.1-38

MC12034A

3.1-35

MC14015B

3.1-37

MC10H603

3.1-38

MC12034B

3.1-35

MC14016B

3.1-33

MC10H604

3.1-39

MC12036A

3.1-34

MC14017B

3.1-19

MC10H605

3.1-39

MC12036B

3.1-34

MC14018B

3.1-19

MC10H606

3.1-39

MC12038A

3.1-34

MC14020B

3.1-18

MC10H607

3.1-39

MC12040

3.1-21

MC14021B

3.1-37

MC10H640

3.1-17

MC12052A

3.1-34

MC14022B

3.1-19

MC10H641

3.1-17

MC12053A

3.1-34

MC14023B

3.1-26

MC10H642

3.1-17

MC12054A

3.1-35

MC14023UB

3.1-26

MC10H643

3.1-17

MC12058

3.1-34

MC14024B

3.1-18

MC10H644

3.1-17

MC12061

3.1-33

MC14025B

3.1-28

MC10H645

3.1-16

MC12066

3.1-34

MC14025UB

3.1-28

MC10H646

3.1-17

MC12073

3.1-34

MC14027B

3.1-23

MC10H660

3.1-31

MC12074

3.1-34

MC14028B

3.1-20

MC10H680

3.1-38

MC12075

3.1-34

MC14029B

3.1-19

MC10H681

3.1-38

MC12076

3.1-35

MC14035B

3.1-37

MC10SX1125

3.1-13

MC12078

3.1-35

MC14038B

3.1-13

MC10SX1130

3.1-17,3.1-21

MC12079

3.1-35

MC14040B

3.1-18

MC10SX1189

3.1-17

MC12080

3.1-34

MC14042B

3.1-31

MC12002

3.1-21

MC12083

3.1-34

MC14043B

3.1-31

MC12009

3.1-35

MC12089

3.1-35

MC14044B

3.1-31

MC12011

3.1-35

MC12090

3.1-35

MC14046B

3.1-34

MC12013

3.1-35

MC12093

3.1-34

MC14049B

3.1-29

Logic: Standard, Special and Programmable

3.1-42

Motorola Master Selection Guide

Device Index
MC14049UB

3.1-29

MC14526B

3.1-19

MC4344

3.1-21

MC14050B

3.1-29

MCI4527B

3.1-13

MC54HCOOA

3.1-26

MC14051B

3.1-31

MC14528B

3.1-33

MC54HC02A

3.1-28

MC14052B

3.1-32

MC14529B

3.1-32

MC54HC04A

3.1-29

MC14053B

3.1-33

MC14530B

3.1-27

MC54HC08A

3.1-25

MC14060B

3.1-18

MC14531B

3.1-34

MC54HC132A

3.1-36

MC14066B

3.1-33

MC14532B

3.1-21

MC54HC138A

3.1-20

MC14067B

3.1-31

MC14534B

3.1-18

MC54HC139A

3.1-21

MC14068B

3.1-25

MC14536B

3.1-34

MC54HC14A

3.1-36

MC14069UB

3.1-29

MC14538B

3.1-33

MC54HC154

3.1-20

MC14070B

3.1-27

MC14539B

3.1-32

MC54HC157A

3.1-32

MC14071B

3.1-28

MC14541B

3.1-34

MC54HCI58

3.1-32

MC14072B

3.1-28

MC14543B

3.1-21

MC54HC160

3.1-19

MC14073B

3.1-26

MC14544B

3.1-21

MC54HC161A

3.1-19

MC14075B

3.1-29

MC14547B

3.1-21

MC54HC162

3.1-19

MC14076B

3.1-25

MC14549B

3.1-38

MC54HC163A

3.1-19

MC14077B

3.1-27

MC14551B

3.1-32

MC54HC164

3.1-37

MC14078B

3.1-28

MC14553B

3.1-18

MC54HC164A

3.1-37

MC14081B

3.1-25

MC14555B

3.1-21

MC54HCI65

3.1-37

MC14082B

3.1-25

MC14556B

3.1-21

MC54HC174A

3.1-23

MC14093B

3.1-36

MC14557B

3.1-36

MC54HC175

3.1-25

MC14094B

3.1-37

MC14558B

3.1-21

MC54HC175A

3.1-25

MC14099B

3.1-30

MC14559B

3.1-38

MC54HC240A

3.1-15

MC14106B

3.1-36

MC14560B

3.1-13

MC54HC241A

3.1-15

MC14161B

3.1-18

MC14561B

3.1-13

MC54HC244A

3.1-16

MC14163B

3.1-18

MC14562B

3.1-36

MC54HC245A

3.1-16

MC14174B

3.1-23

MC14566B

3.1-19

MC54HC251

3.1-31

MC14175B

3.1-25

MCI4568B

3.1-19

MC54HC259

3.1-20

MC14194B

3.1-37

MC14569B

3.1-19

MC54HC27

3.1-28

MCI4415

3.1-34

MC14572UB

3.1-27

MC54HC273A

3.1-24

MC14490

3.1-13

MC14580B

3.1-36

MC54HC32A

3.1-28

MC14500B

3.1-29

MC14583B

3.1-36

MC54HC354

3.1-31

MC14501UB

3.1-27

MC14584B

3.1-36

MC54HC365

3.1-14

MC14502B

3.1-29

MC14585B

3.1-17

MC54HC366

3.1-14

MC14503B

3.1-14

MC14598B

3.1-30

MC54HC367

3.1-14

MC14504B

3.1-38

MC14599B

3.1-30

MC54HC373A

3.1-31

MC14506UB

3.1-27

MC1648

3.1-34

MC54HC374A

3.1-24

MC14508B

3.1-30

MC1650

3.1-18

MC54HC390

3.1-19

MC14510B

3.1-19

MC1651

3.1-18

MC54HC393

3.1-19

MC14511B

3.1-21

MC1658

3.1-33

MC54HC4016

3.1-33

MC14512B

3.1-31

MC1660

3.1-27

MC54HC4040A

3.1-18

MC14513B

3.1-21

MC1662

3.1-28

MC54HC4049

3.1-29

MC14514B

3.1-20

MC1670

3.1-24

MC54HC4050

3.1-29

MC14515B

3.1-20

MC1672

3.1-27

MC54HC4051

3.1-31

MC14516B

3.1-19

MC1692

3.1-35

MC54HC4053

3.1-33

MC14517B

3.1-38

MC4016

3.1-20

MC54HC4060

3.1-18

MC14518B

3.1-19

MC4018

3.1-20

MC54HC4060A

3.1-18

MC14519B

3.1-26

MC4024

3.1-33

MC54HC4066

3.1-33

MC14520B

3.1-19

MC4044

3.1-21

MC54HC4351

3.1-31

MC14521B

3.1-34

MC4316

3.1-20

MC54HC4353

3.1-33

MC14522B

3.1-19

MC4324

3.1-33

MC54HC4538A

3.1-33

Motorola Master Selection Guide

3.1-43

Logic: Standard, Special and Programmable

Device Index
MC54HC533A

3.1-31

MC74AC10

3.1-26

MC74AC563

MC54HC534A

3.1-24

MC74AC109

3.1-23

MC74AC564

3.1-24

MC54HC540A

3.1-15

MC74AC11

3.1-26

MC74AC573

3.1-30

MC54HC541A

3.1-15

MC74AC112

3.1-23

MC74AC574

3.1-24

MC54HC563A

3.1-31

MC74AC113

3.1-23

MC74AC620

3.1-14

MC54HC573A

3.1-31

MC74AC125

3.1-16

MC74AC623

3.1-14

MC54HC574A

3.1-24

MC74AC126

3.1-16

MC74AC640

3.1-14

MC54HC589

3.1-37

MC74AC132

3.1-36

MC74AC643

3.1-14

MC54HC589A

3.1-37

MC74AC138

3.1-20

MC74AC646

3.1-15

MC54HC595A

3.1-37

MC74AC139

3.1-21

MC74AC648

3.1-15

MC54HC597

3.1-37

MC74AC14

3.1-36

MC74AC652

3.1-15

MC54HC597A

3.1-37

MC74AC151

3.1-31

MC74AC74

3.1-22

MC54HC640A

3.1-15

MC74AC153

3.1-32

MC74AC810

3.1-27

MC54HC646

3.1-16

MC74AC157

3.1-33

MC74AC86

3.1-27

MC54HC688

3.1-18

MC74AC158

3.1-33

MC74ACTOO

3.1-26

MC54HC74A

3.1-23

MC74AC160

3.1-20

MC74ACT02

3.1-28

MC54HC86

3.1-27

MC74AC161

3.1-20

MC74ACT04

3.1-29

MC54HC86A

3.1-27

MC74AC162

3.1-20

MC74ACT05

3.1-29

MC54HCTOOA

3.1-26

MC74AC163

3.1-20

MC74ACT08

3.1-25

MC54HCT08A

3.1-25

MC74AC174

3.1-23

MC74ACT10

3.1-26

MC54HCT14A

3.1-36

MC74AC175

3.1-24

MC74ACT109

3.1-23

MC54HCT161A

3.1-19

MC74AC190

3.1-20

MC74ACT11

3.1-26

MC54HCT163A

3.1-19

MC74AC194

3.1-37

MC74ACT112

3.1-23

MC54HCT241A

3.1-15

MC74AC20

3.1-25

MC74ACT113

3.1-23

MC54HCT244A

3.1-15

MC74AC240

3.1-15

MC74ACT125

3.1-16

MC54HCT245A

3.1-14

MC74AC241

3.1-15

MC74ACT126

3.1-16

MC54HCT32A

3.1-28

MC74AC244

3.1-15

MC74ACT132

3.1-36

MC54HCT373A

3.1-30

MC74AC245

3.1-14

MC74ACT138

3.1-20

MC54HCT374A

3.1-24

MC74AC251

3.1-31

MC74ACT139

3.1-21

MC54HCT574A

3.1-24

MC74AC253

3.1-32

MC74ACT14

3.1-36

MC660

3.1-22

MC74AC256

3.1-30

MC74ACT151

3.1-31

MC661

3.1-22

MC74AC257

3.1-32

MC74ACT153

3.1-32

MC662

3.1-22

MC74AC258

3.1-32

MC74ACT157

3.1-33

MC663

3.1-23

MC74AC259

3.1-30

MC74ACT158

3.1-33

MC664

3.1-24

MC74AC273

3.1-24

MC74ACT160

3.1-20

MC667

3.1-33

MC74AC299

3.1-37

MC74ACT161

3.1-20

MC668

3.1-29

MC74AC32

3.1-28

MC74ACT162

3.1-20

MC669

3.1-22

MC74AC323

3.1-37

MC74ACT163

3.1-20

MC670

3.1-29

MC74AC350

3.1-37

MC74ACT174

3.1-23

MC671

3.1-29

MC74AC352

3.1-32

MC74ACT175

3.1-24

MC672

3.1-29

MC74AC353

3.1-32

MC74ACT194

3.1-37

MC677

3.1-29

MC74AC373

3.1-30

MC74ACT20

3.1-25

MC678

3.1-29

MC74AC374

3.1-24

MC74ACT240

3.1-15

MC68150*33

3.1-14

MC74AC377

3.1-24

MC74ACT241

3.1-15

MC68150*40

3.1-14

MC74AC378

3.1-22

MC74ACT244

3.1-15

MC68194

3.1-16

MC74AC4020

3.1-18

MC74ACT245

3.1-14

MC74ACOO

3.1-26

MC74AC4040

3.1-18

MC74ACT251

3.1-31

MC74AC02

3.1-28

MC74AC533

3.1-30

MC74ACT253

3.1-32

MC74AC04

3.1-29

MC74AC534

3.1-24

MC74ACT256

3.1-30

MC74AC05

3.1-29

MC74AC540

3.1-15

MC74ACT257

3.1-32

MC74AC08

3.1-25

MC74AC541

3.1-15

MC74ACT258

3.1-32

Logic: Standard, Special and Programmable

3.1-44

3.1-30

Motorola Master Selection Guide

Device Index
MC74ACT259

3.1--30

MC74F158A

3.1--32

MC74F382

3.1-13

MC74ACT273

3.1-24

MC74Fl60A

3.1-19

MC74F3893A

3.1--38

MC74ACT299

3.1--37

MC74F161A

3.1-19

MC74F398

3.1--33

MC74ACT32

3.1-28

MC74Fl62A

3.1-19

MC74F399

3.1--33

MC74ACT323

3.1--37

MC74F163A

3.1-19

MC74F40

3.1-25

MC74ACT350

3.1-37

MC74F164

3.1--37

MC74F51

3.1-27

MC74ACT352

3.1--32

MC74F168

3.1-18

MC74F521

3.1-18

MC74ACT353

3.1--32

MC74F169

3.1-18

MC74F533

3.1--30

MC74ACT373

3.1--30

MC74F174

3.1-23

MC74F534

3.1-24

MC74ACT374

3.1-24

MC74F175

3.1-24

MC74F537

3.1-20

MC74ACT377

3.1-24

MC74F1803

3.1-17

MC74F538

3.1-20

MC74ACT378

3.1-22

MC74F181

3.1-13

MC74F539

3.1-20

MC74ACT521

3.1-18

MC74F182

3.1-13

MC74F543

3.1-15

MC74ACT533

3.1--30

MC74F194

3.1--37

MC74F544

3.1-15

MC74ACT534

3.1-24

MC74F195

3.1--37

MC74F568

3.1-18

MC74ACT540

3.1-15

MC74F20

3.1-25

MC74F569

3.1-18

MC74ACT541

3.1-15

MC74F21

3.1-25

MC74F574

3.1-24

MC74ACT563

3.1--30

MC74F2245

3.1--38

MC74F579

3.1-19

MC74ACT564

3.1-24

MC74F240

3.1-15

MC74F620

3.1-15

MC74ACT573

3.1--30

MC74F241

3.1-15

MC74F623

3.1-15

MC74ACT574

3.1-24

MC74F242

3.1-16

MC74F64

3.1-26

MC74ACT620

3.1-14

MC74F243

3.1-16

MC74F640

3.1-15

MC74ACT623

3.1-14

MC74F244

3.1-15

MC74F646

3.1-15

MC74ACT640

3.1-14

MC74F245

3.1-14

MC74F657A

3.1-14

MC74ACT643

3.1-14

MC74F251

3.1--31

MC74F657B

3.1-14

MC74ACT646

3.1-15

MC74F253

3.1--32

MC74F74

3.1-23

MC74ACT648

3.1-15

MC74F256

3.1--30

MC74F779

3.1-19

MC74ACT652

3.1-15

MC74F257A

3.1--33

MC74F803

3.1-17

MC74ACT74

3.1-22

MC74F258A

3.1--33

MC74F823

3.1-14

MC74ACT810

3.1-27

MC74F259

3.1--30

MC74F827

3.1-14

MC74ACT86

3.1-27

MC74F269

3.1-18

MC74F828

3.1-14

MC74FOO

3.1-26

MC74F280

3.1--34

MC74F85

3.1-17

MC74F02

3.1-28

MC74F283

3.1-13

MC74F86

3.1-27

MC74F04

3.1-29

MC74F299

3.1--37

MC74HCOOA

3.1-26

MC74F08

3.1-25

MC74F32

3.1-28

MC74HC02A

3.1-28

MC74F10

3.1-26

MC74F323

3.1--37

MC74HC03A

3.1-26

MC74F109

3.1-23

MC74F350

3.1--37

MC74HC04A

3.1-29

MC74F11

3.1-26

MC74F352

3.1--32

MC74HC08A

3.1-25

MC74F112

3.1-23

MC74F353

3.1--32

MC74HC10

3.1-26
3.1-23

MC74F1245

3.1-14

MC74F365

3.1-14

MC74HC107

MC74F125

3.1-16

MC74F366

3.1-14

MC74HC109

3.1-23

MC74F126

3.1-16

MC74F367

3.1-14

MC74HC11

3.1-26
3.1-23

MC74F13

3.1--36

MC74F368

3.1-14

MC74HCl12

MC74F132

3.1--36

MC74F37

3.1-25

MC74HC125A

3.1-16

MC74F138

3.1-20

MC74F373

3.1--30

MC74HC126A

3.1-16

MC74F139

3.1-21

MC74F374

3.1-24

MC74HCl32A

3.1--36

MC74F14

3.1--36

MC74F377

3.1-24

MC74HC133

3.1-25

MC74Fl48

3.1-22

MC74F378

3.1--36

MC74HC137

3.1-20

MC74F151

3.1--31

MC74F379

3.1-25

MC74HCl38A

3.1-20

MC74F153

3.1--32

MC74F38

3.1-26

MC74HC139A

3.1-21

MC74F157A

3.1--32

MC74F381

3.1-13

MC74HC147

3.1-22

Motorola Master Selection Guide

3.1-45

Logic: Standard, Special and Programmable

Device Index
MC74HC14A

3.1-36

MC74HC4040A

3.1-18

MC74HCT08A

3.1-25

MC74HC151

3.1-31

MC74HC4046A

3.1-39

MC74HCT138A

3.1-20

MC74HC153

3.1-32

MC74HC4049

3.1-29

MC74HCT14A

3.1-36

MC74HC154

3.1-20

MC74HC4050

3.1-29

MC74HCT157A

3.1-32

MC74HC157A

3.1-32

MC74HC4051

3.1-31

MC74HCT161A

3.1-19

MC74HC158

3.1-32

MC74HC4052

3.1-32

MC74HCT163~

3.1-19

MC74HC158A

3.1-32

MC74HC4053

3.1-33

MC74HCT174A

3.1-23

MC74HC160

3.1-19

MC74HC4060

3.1-18

MC74HCT240A

3.1-15

MC74HC161A

3.1-19

MC74HC4060A

3.1-18

MC74HCT241A

3.1-15

MC74HC162

3.1-19

MC74HC4066

3.1-33

MC74HCT244A

3.1-15

MC74HC163

3.1-19

MC74HC4075

3.1-29

MC74HCT245A

3.1-14

MC74HC164

3.1-37

MC74HC4078

3.1-27

MC74HCT273A

3.1-24

MC74HC164A

3.1-37

MC74HC42

3.1-20

MC74HCT32A

3.1-28

MC74HC165

3.1-37

MC74HC4316

3.1-33

MC74HCT373A

3.1-30

MC74HC173

3.1-25

MC74HC4351

3.1-31

MC74HCT374A

3.1-24

MC74HC174A

3.1-23

MC74HC4353

3.1-33

MC74HCT541A

3.1-15

MC74HC175

3.1-25

MC74HC4511

3.1-21

MC74HCT573A

3.1-31

MC74HC175A

3.1-25

MC74HC4514

3.1-20

MC74HCT574A

3.1-24

MC74HC194

3.1-37

MC74HC4538A

3.1-33

MC74HCT74A

3.1-23

MC74HC195

3.1-37

MC74HC4851A

3.1-31

MC74HCU04

3.1-29

MC74HC20

3.1-25

MC74HC4852A

3.1-31

MC74LCXOO

3.1-25

MC74HC237

3.1-20

MC74HC4853A

3.1-31

MC74LCX02

3.1-28

MC74HC240A

3.1-15

MC74HC51

3.1-26

MC74LCX04

3.1-29

MC74HC241A

3.1-15

MC74HC533A

3.1-31

MC74LCX08

3.1-25

MC74HC242

3.1-16

MC74HC534A

3.1-24

MC74LCX125

3.1-13

MC74HC244A

3.1-16

MC74HC540A

3.1-15

MC74LCX138

3.1-21

MC74HC245A

3.1-16

MC74HC541A

3.1-15

MC74LCX16240A

3.1-13

MC74HC251

3.1-31

MC74HC563A

3.1-31

MC74LCX16244

3.1-13

MC74HC253

3.1-32

MC74HC564A

3.1-24

MC74LCX16245

3.1-38

MC74HC257

3.1-32

MC74HC573A

3.1-31

MC74LCX16373

3.1-30

MC74HC259

3.1-20

MC74HC574A

3.1-24

MC74LCX373

3.1-30

MC74HC27

3.1-28

MC74HC58

3.1-26

MC74LCX16374

3.1-24

MC74HC273A

3.1-24

MC74HC589

3.1-37

MC74LCX16543A

3.1-38

MC74HC280

3.1-34

MC74HC589A

3.1-37

MC74LCX240

3.1-13

MC74HC299

3.1-37

MC74HC595A

3.1-37

MC74LCX244

3.1-13

MC74HC30

3.1-25

MC74HC597

3.1-37

MC74LCX245

3.1-38

MC74HC32A

3.1-28

MC74HC597A

3.1-37

MC74LCX32

3.1-28

MC74HC354

3.1-31

MC74HC640A

3.1-15

MC74LCX374

3.1-24

MC74HC365

3.1-14

MC74HC646

3.1-16

MC74LCX540

3.1-13

MC74HC366

3.1-14

MC74HC688

3.1-18

MC74LCX541

3.1-13

MC74HC367

3.1-14

MC74HC7266

3.1-27

MC74LCX573

3.1-30

MC74HC368

3.1-14

MC74HC7266A

3.1-27

MC74LCX574

3.1-24

MC74HC373A

3.1-31

MC74HC73

3.1-23

MC74LCX86

3.1-27

MC74HC374A

3.1-24

MC74HC74A

3.1-23

MC74LVQOO

3.1-25

MC74HC390

3.1-19

MC74HC75

3.1-30

MC74LVQ04

3.1-29

MC74HC393

3.1-19

MC74HC76

3.1-23

MC74LVQ125

3.1-14

MC74HC4002

3.1-28

MC74HC85

3.1-17

MC74LVQ138

3.1-21

MC74HC4016

3.1-33

MC74HC86

3.1-27

MC74LVQ240

3.1-14

MC74HC4017

3.1-19

MC74HC86A

3.1-27

MC74LVQ244

3.1-13

MC74HC4020A

3.1-18

MC74HCTOOA

3.1-26

MC74LVQ245

3.1-38

MC74HC4024

3.1-33

MC74HCT04A

3.1-29

MC74LVQ32

3.1-28

Logic: Standard, Special and Programmable

3.1-46

Motorola Master Selection Guide

Device Index
MC74LV0373

3.1-30

MCM10149'25

3.1-35

SN54LSl33

3.1-25

MC74LV0374

3.1-24

MCM10152

3.1-35

SN54LS137

3.1-20

MC74LV0541

3.1-13

MPA1000

3.1-6,3.1-7

SN54LS138

3.1-20

MC74LV0573

3.1-30

MPA1016

3.1-5,3.1-22

SN54LS139

3.1-21

MC74LV0574

3.1-24

MPA1036

3.1-5,3.1-6,3.1-22

SN54LS14

3.1-36

MC74LVQ646

3.1-38

MPA1064

3.1-5,3.1-6,3.1-22

SN54LS145

3.1-20
3.1-21

MC74LV0652

3.1-38

MPAll00

3.1-5,3.1-6,3.1-22

SN54LS147

MC830

3.1-25

MPA17000

3.1-11

SN54LSl48

3.1-22

MC832

3.1-13

MPA17128

3.1-11,3.1-36

SN54LS15

3.1-26
3.1-31

MC836

3.1-29

MPA1765

3.1-11,3.1-36

SN54LS151

MC837

3.1-29

MPC903

3.1-16

SN54LS153

3.1-32

MC840

3.1-29

MPC904

3.1-16

SN54LS155

3.1-20

MC844

3.1-22

MPC905

3.1-16

SN54LS156

3.1-20

MC845

3.1-22

MPC911

3.1-17

SN54LS157

3.1-32

MC846

3.1-26

MPC9159-410

3.1-17

SN54LSl58

3.1-32

MC849

3.1-26

MPC930

3.1-17

SN54LSI60A

3.1-18

MC88913

3.1-17

MPC931

3.1-17

SN54LS161A

3.1-18

MC88914

3.1-17

MPC946

3.1-17

SN54LS162A

3.1-18

MC88915'55

3.1-17

MPC947

3.1-16

SN54LS163A

3.1-18

MC88915'70

3.1-17

MPC948

3.1-16

SN54LSl64

3.1-37

MC88915T*100

3.1-17

MPC949

3.1-17

SN54LS165

3.1-37

MC88915T'l33

3.1-17

MPC950

3.1-17

SN54LSl66

3.1-37

MC88915T*160

3.1-17

MPC951

3.1-17

SN54LS168

3.1-19

MC88915T*55

3.1-17

MPC952

3.1-17

SN54LS169

3.1-19

MC88915T'70

3.1-17

MPC956

3.1-17

SN54LS170

3.1-36

MC88916'70

3.1-17

MPC970

3.1-17

SN54LS173A

3.1-22

MC88916'80

3.1-17

MPC974

3.1-16

SN54LS174

3.1-23

MC88920

3.1-16

MPC980

3.1-17

SN54LS175

3.1-25

MC88921

3.1-16

MPC992

3.1-17

SN54LS181

3.1-13

MC88LV926

3.1-17

SN54LSOO

3.1-26

SN54LS190

3.1-19

MC88PL117

3.1-17

SN54LSOl

3.1-26

SN54LS191

3.1-19

MC936

3.1-29

SN54LS02

3.1-28

SN54LS192

3.1-19

MC937

3.1-29

SN54LS03

3.1-26

SN54LS193

3.1-19

MC944

3.1-22

SN54LS04

3.1-29

SN54LS194A

3.1-37

MC945

3.1-22

SN54LS05

3.1-29

SN54LS195A

3.1-38

MC946

3.1-26

SN54LS08

3.1-25

SN54LSl96

3.1-18

MC951

3.1-33

SN54LS09

3.1-25

SN54LS197

3.1-18

MC952

3.1-23

SN54LS10

3.1-26

SN54LS20

3.1-25

MC953

3.1-23

SN54LS107A

3.1-23

SN54LS21

3.1-25

MCCS142233

3.1-36

SN54LS109A

3.1-23

SN54LS22

3.1-25

MCCS142234

3.1-36

SN54LS11

3.1-26

SN54LS221

3.1-33

MCCS142235

3.1-36

SN54LSl12A

3.1-23

SN54LS240

3.1-15

MCCS142236

3.1-36

SN54LSl13A

3.1-23

SN54LS241

3.1-15

MCCS142237

3.1-36

SN54LSl14A

3.1-23

SN54LS242

3.1-16

MCCS142238

3.1-36

SN54LS12

3.1-26

SN54LS243

3.1-16

MCH12140

3.1-21

SN54LS122

3.1-33

SN54LS244

3.1-15

MCK12140

3.1-21

SN54LS123

3.1-33

SN54LS245

3.1-15

MCM10139

3.1-35

SN54LS125A

3.1-16

SN54LS247

3.1-21

MCM10143

3.1-36

SN54LS126A

3.1-16

SN54LS248

3.1-21

MCM10145

3.1-36

SN54LS13

3.1-36

SN54LS249

3.1-21

MCM10146

3.1-35

SN54LS132

3.1-36

SN54LS251

3.1-31

Motorola Master Selection Guide

3.1-47

Logic: Standard, Special and Programmable

Device Index
SN54LS253

3.H32

SN54LS541

3.1-15

SN74LS13

3.1-36

SN54LS256

3.1-30

SN54LS55

3.1-26

SN74LS132

3.1-36

SN54LS257B

3.1-32

SN54LS569A

3.1-18

SN74LS133

3.1-25

SN54LS258B

3.1-33

SN54LS623

3.1-15

SN74LS136

3.1-27

SN54LS259

3.1-30

SN54LS640

3.1-15

SN74LS137

3.1-20

SN54LS26

3.1-25

SN54LS641

3.1-38

SN74LS138

3.1-20

SN54LS260

3.1-28

SN54LS642

3.1-38

SN74LS139

3.1-21

SN54LS266

3.1-27

SN54LS645

3.1-15

SN74LS14

3.1-36

SN54LS27

3.1-28

SN54LS669

3.1-20

SN74LS145

3.1-20

SN54LS273

3.1-24

SN54LS670

3.1-36

SN74LS147

3.1-21

SN54LS279

3.1-31

SN54LS682

3.1-18

SN74LS148

3.1-22

SN54LS28

3.1-28

SN54LS684

3.1-18

SN74LS15

3.1-26

SN54LS280

3.1-34

SN54LS688

3.1-18

SN74LS151

3.1-31

SN54LS283

3.1-13

SN54LS73A

3.1-23

SN74LS153

3.1-32

SN54LS290

3.1-19

SN54LS748

3.1-22

SN74LS155

3.1-20

SN54LS293

3.1-18

SN54LS74A

3.1-23

SN74LS156

3.1-20

SN54LS298

3.1-32

SN54LS75

3.1-30

SN74LS157

3.1-32

SN54LS299

3.1-37

SN54LS76A

3.1-23

SN74LS158

3.1-32

SN54LS30

3.1-25

SN54LS77

3.1-30

SN74LS160A

3.1-18

SN54LS32

3.1-28

SN54LS795

3.1-14

SN74LS161A

3.1-18

SN54LS322A

3.1-37

SN54LS796

3.1-14

SN74LS162A

3.1-18

SN54LS323

3.1-37

SN54LS797

3.1-14

SN74LS163A

3.1-18

SN54LS33

3.1-28

SN54LS798

3.1-14

SN74LS164

3.1-37

SN54LS348

3.1-22

SN54LS83A

3.1-13

SN74LS165

3.1-37

SN54LS352

3.1-32

SN54LS848

3.1-22

SN74LS166

3.1-37

SN54LS353

3.1-32

SN54LS85

3.1-17

SN74LS168

3.1-19

SN54LS365A

3.1-14

SN54LS86

3.1-27

SN74LS169

3.1-19

SN54LS366A

3.1-14

SN54LS90

3.1-19

SN74LS170

3.1-36

SN54LS367A

3.1-14

SN54LS92

3.1-19

SN74LS173A

3.1-22

SN54LS368A

3.1-14

SN54LS93

3.1-18

SN74LS174

3.1-23

SN54LS37

3.1-25

SN54LS95B

3.1-37

SN74LS175

3.1-25

SN54LS373

3.1-30

SN74LSOO

3.1-26

SN74LS181

3.1-13

SN54LS374

3.1-24

SN74LS01

3.1-26

SN74LS190

3.1-19

SN54LS375

3.1-30

SN74LS02

3.1-28

SN74LS191

3.1-19

SN54LS377

3.1-24

SN74LS03

3.1-26

SN74LS192

3.1-19

SN54LS378

3.1-23

SN74LS04

3.1-29

SN74LS193

3.1-19

SN54LS379

3.1-22

SN74LS05

3.1-29

SN74LS194A

3.1-37

SN54LS38

3.1-26

SN74LS08

3.1-25

SN74LS195A

3.1-38

SN54LS386

3.1-27

SN74LS09

3.1-25

SN74LS196

3.1-18

SN54LS390

3.1-19

SN74LS10

3.1-26

SN74LS197

3.1-18

SN54LS393

3.1-19

SN74LS107A

3.1-23

SN74LS20

3.1-25

SN54LS398

3.1-33

SN74LS109A

3.1-23

SN74LS21

3.1-25

SN54LS399

3.1-33

SN74LS11

3.1-26

SN74LS22

3.1-25

SN54LS40

3.1-25

SN74LS112A

3.1-23

SN74LS221

3.1-33

SN54LS42

3.1-20

SN74LS113A

3.1-23

SN74LS240

3.1-15

SN54LS47

3.1-21

SN74LS114A

3.1-23

SN74LS241

3.1-15

SN54LS48

3.1-21

SN74LS12

3.1-26

SN74LS242

3.1-16

SN54LS490

3.1-19

SN74LS122

3.1-33

SN74LS243

3.1-16

SN54LS51

3.1-27

SN74LS123

3.1-33

SN74LS244

3.1-15

SN54LS54

3.1-26

SN74LS125A

3.1-16

SN74LS245

3.1-15

SN54LS540

3.1-15

SN74LS126A

3.1-16

SN74LS247

3.1-21

Logic: Standard, Special and Programmable

3.1-48

Motorola Master Selection GUide

Device Index
SN74LS248

3.1-21

SN74LS366A

3.1-14

SN74LS623

3.1-15

SN74LS249

3.1-21

SN74LS367A

3.1-14

SN74LS640

3.1-15

SN74LS251

3.1-31

SN74LS368A

3.1-14

SN74LS641

3.1-38

SN74LS253

3.1-32

SN74LS37

3.1-25

SN74LS642

3.1-38

SN74LS257B

3.1-32

SN74LS373

3.1-30

SN74LS645

3.1-15

SN74LS258B

3.1-33

SN74LS374

3.1-24

SN74LS669

3.1-20

SN74LS259

3.1-30

SN74LS375

3.1-30

SN74LS670

3.1-36

SN74LS26

3.1-25

SN74LS377

3.1-24

SN74LS682

3.1-18

SN74LS260

3.1-28

SN74LS378

3.1-23

SN74LS684

3.1-18

SN74LS266

3.1-27

SN74LS379

3.1-22

SN74LS688

3.1-18

SN74LS27

3.1-28

SN74LS38

3.1-26

SN74LS73A

3.1-23

SN74LS273

3.1-24

SN74LS386

3.1-27

SN74LS748

3.1-22

SN74LS279

3.1-31

SN74LS390

3.1-19

SN74LS74A

3.1-23

SN74LS28

3.1-28

SN74LS393

3.1-19

SN74LS75

3.1-30

SN74LS280

3.1-34

SN74LS395

3.1-37

SN74LS76A

3.1-23

SN74LS283

3.1-13

SN74LS398

3.1-33

SN74LS77

3.1-30

SN74LS290

3.1-19

SN74LS399

3.1-33

SN74LS795

3.1-14

SN74LS293

3.1-18

SN74LS40

3.1-25

SN74LS796

3.1-14

SN74LS298

3.1-32

SN74LS42

3.1-20

SN74LS797

3.1-14

SN74LS299

3.1-37

SN74LS47

3.1-21

SN74LS798

3.1-14

SN74LS30

3.1-25

SN74LS48

3.1-21

SN74LS83A

3.1-13

SN74LS32

3.1-28

SN74LS490

3.1-19

SN74LS848

3.1-22

SN74LS322A

3.1-37

SN74LS51

3.1-27

SN74LS85

3.1-17

SN74LS323

3.1-37

SN74LS54

3.1-26

SN74LS86

3.1-27

SN74LS33

3.1-28

SN74LS540

3.1-15

SN74LS90

3.1-19

SN74LS348

3.1-22

SN74LS541

3.1-15

SN74LS92

3.1-19

SN74LS352

3.1-32

SN74LS55

3.1-26

SN74LS93

3.1-18

SN74LS353

3.1-32

SN74LS569A

3.1-18

SN74LS95B

3.1-37

SN74LS365A

3.1-14

Motorola Master Selection Guide

3.1-49

Logic: Standard, Special and Programmable

Ordering Information
Device Nomenclatures
LS - Low Power Schottky
SN

ww

VV

xxxx

J
T
Range~

V
"""'L-

Standard Prefix

Temperature
• 74 Series (0 to +70°C)
• 54 Series (-55 to + 125°C)
Family _ _ _ _ _ _ _ _ _ _ _ _ _--1

Package Type
• N for Plastic (74 Series Only)
• J for Ceramic
• D for 150 mil Plastic SOIC (74 Series Only)
• DW for 300 mil Plastic SOIC (74 Series Only)
Function Type

• LS = Low Power Schottky

FAST
MC

.-.

Circuit Identifier

w

VV

T
---.J

XXXX

L

I

Temperature Range
• 74 Series (0 to +70°C)
Family -------------~
• F= FAST

V
Package Type
• N for Plastic (74 Series Only)
• D for 150 mil Plastic SOIC (74 Series Only)
• DW for 300 mil Plastic SOIC (74 Series Only)
• SD for Plastic SSOP
Function Type

MECL 10K, MECL 10HI100H
MC

Motorola
Circuit Identifier

~

WWW

xxx

VV

-r:

Package Type
• P for Plastic
• L for Ceramic
• FN for PLCC

Temperature Range _ _ _ _ _ _ _...JI
• 10 = 10K (-30 to +85°C)
• 10H 10H (0 to +75°C)
• 100H = 1OOK Compatible (0 to +85°C)

=

LogiC: Standard, Special and Programmable

Function Type

3.1-50

Motorola Master Selection Guide

ECLinPS, ECLinPS Lite
MC
Motorola
Circuit Identifier

WWW

xxx

T
---.-J

• MC = Fully Qualified Circuit
• XC = Non Reliability Qualified

1:
ZZ

VVV

1

Package Type
•
•
•
•

FN=PLCC
0 = Plastic SOIC
L = Ceramic DIP
P = Plastic DIP

Function Type
• yyy = 3-Digits for ECLinPS
• VY= 2-Digits for ECLinPS Lite

Compatibility Identifier - - - - - - - '
• 10 = 10H Compatible (0 to +85°C)
• 100 = 100K Compatible (0 to +85°C)

' - - - - - - - - - - - ECLinPS Family Identifier
• E = ECLinPS
• EL = ECLinPS Lite
• ELT = ECLinPS Lite Translator
• LVE = Low Voltage ECLinPS
• LVEL = Low Voltage ECLinPS Lite

Metal Gate 14000 Series CMOS
MC

=r--l

Motorola Circuit Identifier _ _ _ _

J zz

14XXX VV

I

Function Type - - - - - - - - - - - - - - - ' .

T

_

Identifier (per JEDEC Standard)
• B (or Blank)= Buffered Outputs
• UB = Unbuffered Outputs

Package and Temperature Range
• CL for Ceramic -55 to + 125°C
• CP for Plastic -55 to + 125°C
• DIDW for Small Outline Package (Plastic) -55 to + 125°C
• DT for Plastic TSSOP

High-Speed CMOS
MC

_ro"

Circuit Identifier

I

VV

www

V

XXXX

L::-

I

Temperature Range
• 74 Series (-55 to +125°C)
• 54 Series (-55 to + 125°C)

Package Type
• N for Plastic (74 Series Only)
• J for Ceramic (54 Series Only)
• 0 for 150 mil Plastic SOIC (74 Series Only)
• OW for 300 mil Plastic SOIC (74 Series Only)
• SO for Plastic SSOP
• DT for Plastic TSSOP
Function Type
• XXIX) Same Function and Pin Configuration as
LSTTL
• 4XXX Same Function and Pin Configuration as
CMOS 14000
• 7XX(X) Variation of LSTTL or CMOS 14000
Device

High-Speed CMOS
Specification Identifier
• HC = Buffered High-Speed CMOS
• HCU = Unbuffered High-Speed CMOS'
• HCT = High-speed CMOS TTL Compatible
'Not Available On All Devices

FACT

.-~ ~T

Circuit Identifier

Temperature Range Family
• 74AC = FACT (-40 to +85°C)
• 74ACT = TTL Compatible (-40 to +85°C)

Motorola Master Selection Guide

xxx

VV

-r

Package Type
• N for Plastic
• 0 for Narrow SOIC
• OW for Wide SOIC
• SO for Plastic SSOP
• DT for Plastic TSSOP
Function Type

3.1-51

Logic: Standard, Special and Programmable

Other Logic Circuits
MC/MCCS

WWWWWW

Motorola
I
Circuit Identifier ---'

x

yy

ZZ

L:..-.

Package Type
•
•
•
•

• MC = Standard Circuit Identifier
• MCCS = Circuit Chip-8et Identifier

Function Type _ _ _ _ _ _ _ _...J
Option Suffix Indicator - - - - - - - - - - - - '

N for Plastic
D for Narrow SOIC
FNforPLCC
FJforCLCC

Option Type

MECL III/HTUDTL
MC

Motorola
Circuit Identifier

--.J

XXXX

V

-r

Package Type
•
•
•
•

P for Plastic
L for Ceramic
D for Narrow SOIC
FNforPLCC

Function Type

LCX Products
MC

.-

Circuit Identifier

74

LCX

VVVVV ZZ

L-

I I

Package Type
•
•
•
•

D for Plastic Narrow JEDEC SOIC
DW for Plastic Wide JEDEC SOIC
M for Plastic EIAJ SOIC
SD for Plastic SSOP
• DT for Plastic TSSOP

Temperature Range
• 74 = -40 to +85°C

Function Type

Family Identifier
• LCX = 5V-Tolerant Low-Voltage CMOS

LVQ Products
MC

"-

Circuit Identifier

74

LVQ

VVVV

I I

zz

L-

Package Type
•
•
•
•

D for Plastic Narrow JEDEC SOIC
DW for Plastic Wide JEDEC SOIC
M for Plastic EIAJ SOIC
SD for Plastic SSOP
• DT for Plastic TSSOP

Temperature Range
• 74 = -40 to +85°C

Function Type

Family Identifier
• LVQ =Low-Voltage Quiet CMOS

Logic: Standard, Special and Programmable

3.1-52

Motorola Master Selection Guide

Motorola Programmable Arrays (MPA)
FPGA Nomenclature

MPA1

I

xxx

yy

Motorola
Programmable Array
(First Series)
Circuit Identifier

z

11

L _
_ ~a
• Blank = Commercial
• I = Industrial
Speed Grade
Package Type
• FN
• DO
.OH
• OK
• HI
• KE
• HV
• BG

Number of Core Cells
Physical Number of Cells in 100's
.016 = 1,600 Cells
• 036 = 3,600 Cells
• 064 = 6,400 Cells
.100 = 10,000 Cells

= PLCC
= 128 POFP
= 160 POFP
= 208 POFP
= 181 CPGA
= 224 CPGA
= 299 CPGA
= 256 PBGA

EPROM Nomenclature

L

MPA17 C XXX yy

I~

Motorola
EPROMlEEPROM
Circuit Identifier

PROM Type
• Blank = EPROM
.C= EEPROM

Tlperature Grade
• Blank = Commercial
• I = Industrial
Package Type
.O=SOIC
• P= POIP
• FN = PLCC

Number of Memory
Bits (in K)

Motorola Master Selection Guide

3.1-53

Logic: Standard, Special and Programmable

Case Outlines
8-Pin Packages
PSUFFIX

PLASTIC DIP PACKAGE
CASE 62EH>5
ISSUE K

NOTES:
1, DIMENSION L TO CENTER Of LEAD WHEN
FORMED PARALLEL
2, PACKAGE CONTOUR OPTIONAL (ROUND OR
SQUARE CORNERS),
3. DIMENSIONING AND TOLERANCING PER ANSI
YI4,5M,I962,

NOTE 2

IIlIM
A

B
C
0
F

G
H
J
K
L
M
N

M1WMliTERS
IIIN
MAX
9,40 10,16
6.10
6.60
3,94
4,45
0,38
0.51
1.02
1.78
2.54
0.76
1.27
0.20
0.30
2.92
3.43
7.62BSC
10°
1,01
0.76

INCHES
.. MIN
MAll
0.370 0.400
0,240 0,260
0.155 0.175
0,015 0,020
O.
o7
0.100B
0.030 0.050
0.008 0.012
0.11
0135
0.300BSC
0°
O.
O.

o SUFFIX
PLASTIC SOIC PACKAGE
CASE 751-Q5
ISSUE R

NOTES:
1. DIMENSIONING AND TOLERANCING PER ASME
Y14.5M, 1994.
2. DIMENSIONS ARE IN MILUMETERS.
3. DIMENSION DAND E DO NOT INCLUDE MOLD
PROTRUSION.
4. MAXIMUM MOLD PROTRUSION 0.15 PER SIDE.
5. DIMENSION B OOES NOT INCLUDE MOLD
PROTRUSION. ALLOWABLE DAMBAR
PROTRUSION SHALL BE 0.127 TOTAL IN EXCESS
OF THE B DIMENSION AT MAXIMUM MATERIAL
CONDITION.

MILLIMETERS

DIM

IIIN

A
Al
B

1.
1. 5
.10
0.25
0.35
0.49
0.1
0.25
4.60
5.00
3.60
4.00
127BSC
5.80
6.20
0.25
0.50
0.40
1.25
0°
7°

C

o
E
•
H
h
L

8

Logic: Standard, Special and Programmable

3.1-54

MAX

Motorola Master Selection Guide

8-Pin Packages
SO SUFFIX
PLASTIC SSOP PACKAGE
CASE 94Q-03
ISSUE B

~

0.25 (0.010)

1 I 1-$10.12(0.005)@ITlu®lv®1
8xKREF

DETAILE
PIN 1
IDENT

NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M.1982.
2. CONTROLLING DIMENSION: MILLIMETER.
3. OIMENSION A DOES NOT INCLUDE MOLD
FLASH. PROTRUSIONS OR GATE BURRS. MOLD
FLASH OR GATE BURRS SHALL NOT EXCEED
0.15 (0.006) PER SIDE.
4. DIMENSION B DOES NOT INCLUDE INTERLEAD
FLASH OR PROTRUSION. INTERLEAD FLASH OR
PROTRUSION SHALL NOT EXCEED 0.15 (0.006)
PER SIDE.
5. DIMENSION K DOES NOT INCLUDE DAMBAR
PROTRUSIONIINTRUSION. ALLOWABLE
DAMBAR PROTRUSION SHALL BE 0.13 (0.005)
TOTAl IN EXCESS OF K DIMENSION AT
MAXIMUM MATERIAL CONDITION. DAMBAR
INTRUSION SHALL NOT REDUCE DIMENSION K
BY MORE THAN 0.07 (0.002) AT LEAST MATERIAL
CONDITION.
6. TERMINAL NUMBERS ARE SHOWN FOR
REFERENCE ONLY.
7. DIMENSION A AND B ARE TO BE DETERMINED
AT DATUM PLANE -W-.

SECTIONN-N
DIM
A
B
C
D
F

G
H

J
Jl
K
Kl

H

L
M

MILLIMETERS
MIN
MAX
2.87
3.13
5.20
5.38
1.73
1.99
0.05
0.21
0.63
0.95
0.65 BSC
0.44
0.60
0.09
0.20
0.09
0.16
0.25
0.38
0.25
0.33
7.65
7.90
0°
8°

INCHES
MIN
MAX
0.113 0.123
0.205
l212
0.068 0.078
0.002 0.08
0.024 0.037
0.026BSC
0.017 0.023
0.003 0.008
0.003 0.006
0.010 0.015
0.010 0.013
0.301
0.311
0°
8°

14-Pin Packages
L,J SUFFIX
CERAMIC DIP PACKAGE
CASE 632-QS
ISSUEY

C

SEATING
PLANE

NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M.1982.
2. CONTROlliNG DIMENSION: INCH.
3. DIMENSION L TO CENTER OF LEAD WHEN
FORMED PARALLEl.
4. DIMENSION F MAY NARROW TO 0.76 (0.030)
WHERE THE LEAD ENTERS THE CERAMIC
BODY.
DIM
A
B

~

C
D
F

F:lt D G

G
J

14PL

'=$--TI
:1 :":";0.2=-5(-0.0-10--:)@"'Ir-Tr-IA--:®=->I

Motorola Master Selection Guide

K
L

1-$1 0.25(0.010)@ITI B ® I

3.1-55

It
N

INCHES
MIN
MAX
0.750 0.785
0.245 0.280
0.155 0.200
0.D15 0.020
0.05
0.065
0.100BSC
0.008
0.D15
0.125 0.170
0.300BSC
15°
0°
0.020 0.040

MILLIMETERS
MIN
MAX
19.05 19.94
6.23
7.11
3.94
5.08
0.39
0.50
1.65
1.40
2.54BSC
0.21
0.38
3.18
4.31
7.62BSC
0°
15°
0.51
1.01

Logic: Standard, Special and Programmable

14-Pin Packages

P,N SUFFIX
PLASTIC DIP PACKAGE
CASE 64EHl6
ISSUE L

NOTES:
1. LEADS WITHIN 0.13 (0.005) RADIUS OFTRUE
POSITION AT SEATING PLANE AT MAXIMUM
MATERIAL CONDITION.
2. DIMENSION L TO CENTER OF LEAOS WHEN
FORMED PARALLEL.
3. DIMENSION B DOES NOT INCLUDE MOLD
FLASH.
4. ROUNDED CORNERS OPTIONAL.

B

~""T'"T'"T"'T"",...,..,...,....,..-r+7r-!-L

~I

DIM
A
8
C
D
F
G
H

J
K
L
M
N

K

INCHES
MIN
MAX
0.715 0.770
0240 0260
0.1
0.165
0.015 0.021
0.040 0cQ70
0.100BSC
0.052 0.095
0.008 0.015
0.115 0.135
0200BSC
10'
0'
0.Q15 0.039

MILLIMETERS
MIN
MAX
18.16 19.56
6.10
6.60
3.69
4.69
0.38
0.53
1.02
1.78
2.54
2.41
1.32
0.38
0.20
2.92
3.43
7.62 ESC
10'
0'
0.39
1.01

esc

o SUFFIX
PLASTIC SOIC PACKAGE
CASE 751A-03
ISSUE F
NOTES:
1. DIMENSIONING AND TOLERANCING PER
ANSI Y14.5M, 1982.
2. CONTROLLING DIMENSION: MILLIMETER.
3. DIMENSIONS A AND B DO NOT INCLUDE
MOLD PROTRUSION.
4. MAXIMUM MOLD PROTRUSION 0.15 (0.006)
PER SIDE.
5. DIMENSION D DOES NOT INCLUDE DAMBAR
PRomUSION. ALUDWABLE DAMBAR
PRomUSION SHALL BE 0.127 (0.005) TOTAL
IN EXCEES OF THE D DIMENSION AT
MAXIMUM MATERIAL CONDITION
DIM

A
B
C
0
F

G
J
K

M
P
R

Logic: Standard, Special and Programmable

3.1-56

MILLIMETERS
MIN
MAX
8.75
3.80
4.00
1.35
1.75
0.35
0.49
0.40
1.25
l.27BSC
0.19
0.25
0.10
0.25
0'
7'
5.0
6.20
025
0.50

INCHES
MIN
MAX
0.337 0.344
0.150 0.157
0.054 0068
0.04 0.019
0.016 0.049
O.OSOBSC
0.008 0.009
0.004 0.009
O·
7·
_~22!l
0.24<1
0.010 0.019

Motorola Master Selection Guide

14-Pin Packages
M SUFFIX
PLASTIC SOIC EIAJ PACKAGE
CASE 965-01
ISSUE

a

DETAILP

~t]
-II-b

NOTES:
1. DIMENSIONING AND TOlERANCING PER ANSI
Y14.5M.1982.
2. CONTROlLING DIMENSION: MILLIMETER.
3. DIMENSIONS 0 AND E DO NOT INCLUDE MOLD
FLASH OR PROTRUSIONS AND ARE MEASURED
AT THE PARTING LINE. MOLD FLASH OR
PROTRUSIONS SHALL NOT EXCEED 0.15 (0 006)
PER SIDE.
4. TERMINAL NUMBERS ARE SHOWN FOR
REFERENCE ONLY.
5. THE LEAD WIDTH DIMENSION (b) DOES NOT
INCLUDE DAMBAR PROTRUSION. ALLOWABLE
DAMBAR PROTRUSION SHALL BE 0.08 (0 003)
TOTAL IN EXCESS OF THE LEAD WIDTH
DIMENSION AT MAXIMUM MATERIAL
CONDITION. DAMBAR CANNOT BE LOCATED ON
THE LOWER RADIUS OR THE FOOT MINIMUM
SPACE BETWEEN PROTRUSIONS AND
ADJACENT LEAD TO BE 0.46 (0.018).
DIM
A

VIEWP,

(~)I

i

A,

b

c
0
E

e

A 1 - .....

HE
0.50

1$1 0.13 (o.oos)®1

L.
M
Q

Z

SO SUFFIX
PLASTIC SSOP PACKAGE
CASE 940A-03
ISSUE B

1 !-I

m"
L

14X

K

l -----

PIN 1

IDENT

I

u ®I v® 1

B

A~-L

0.2S (0.010)

N~~
DETAILE

I-v-I

SECTIONN-N

NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
Y14 5M. 1982.
2. CONTROLLING DIMENSION: MILLIMETER.
3. DIMENSION A DOES NOT INCLUDE MOLD
FLASH. PROTRUSIONS OR GATE BURRS. MOLD
FLASH OR GATE BURRS SHALL NOT EXCEED
0.15 (0.006) PER SIDE.
4. DIMENSION B DOES NOT INCLUDE INTERLEAD
FLASH OR PROTRUSION. INTERLEAD FLASH OR
PROTRUSION SHALL NOT EXCEED 0.15 (0.006)
PER SIDE.
5. DIMENSION K DOES NOT INCLUDE DAMBAR
PROTRUSION/INTRUSION. ALLOWABLE
DAMBAR PROTRUSION SHALL BE 0.13 (0.005)
TOTAL IN EXCESS OF K DIMENSION AT
MAXIMUM MATERIAL CONDITION. DAMBAR
INTRUSION SHALL NOT REDUCE DIMENSION K
BY MORE THAN 0.07 (0.002) AT LEAST MATERIAL
CONDITION.
6. TERMINAL NUMBERS ARE SHOWN FOR
REFERENCE ONLY.
7. DIMENSION A AND B ARE TO BE DETERMINED
AT DATUM PLANE -W-.
DIM
A
B
C
D
F
G
H

J
Jl
K
K1
L
M

Motorola Master Selection Guide

3.1-57

INCHES
MIN
MAX
0.081
0002 0.08
0.014 0.020
0007 0011
0.390 0.413
0.201
0.215
0.050 BSC
0.291
0.323
0.020 0.033
0.043 0.059
, 10'
0.028 0.035
0.056

•

REF

1$1 0.12 (O.OOS)®1 rl

MIWMETERS
MIN
MAX
2.05
0.05
0.20
0.35
050
0.18
0.27
9.90 10.50
5.45
5.10
127BSC
7.40
8.20
0.50
0.85
1.10
1.50
10'
0'
0.70
0.90
1.42

MILLIMETERS
MIN
MAX
6.07
6.33
5.20
5.38
1.99
173
0.05
0.21
0.63
0.95
0.65BSC
1.22
1.08
0.09
0.20
0.09
0.16
0.25
0.38
0.25
0.33
7.65
7.90
0'
8'

INCHES
MIN
MAX
0.238 0.249
0205 0.212
0.068 0.078
0.002 0.008
0.024 0.037
0.026BSC
0.042 0.048
0.003 0.008
0.003 0.006
0.010 0.015
0.010 0.013
0.301
0.311
0'
8'

Logic: Standard. Special and Programmable

..

14-Pln Packages
DTSUFFIX
PLASTIC TSSDP PACKAGE
CASE 948G-01
ISSUED
14XK REF

~~l
LiN
DETAILE

J J1

T

--SECTION N-N

NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M,1982.
2. CONTROLLING DIMENSION: MILLIMETER.
3. DIMENSION A DOES NOT INCLUDE MOLD
FLASH, PROTRUSIONS OR GATE BURRS.
MOLD FLASH OR GATE BURRS SHALL NOT
EXCEED 0.15 (0.006) PER SIDE.
4. DIMENSION B DOES NOT INCLUDE INTERLEAD
FLASH OR PROTRUSION. INTERLEAD FLASH
OR PROTRUSION SHALL NOT EXCEED
0.25 (0.010) PER SIDE.
5. DIMENSION K DOES NOT INCLUDE DAMBAR
PROTRUSION. ALLOWABLE DAMBAR
PROTRUSION SHALL BE 0.06 (0.003) TOTAL IN
EXCESS OF THE K DIMENSION AT MAXIMUM
MATERIAL CONDITION.
6. TERMINAL NUMBERS ARE SHOWN FOR
REFERENCE ONLY.
7. DIMENSION A AND B ARE TO BE DETERMINED
AT DATUM PLANE -W-.
DIM
A
B
C
D
F

G
H

J
Jl
K
Kl

!i£i.10 (0.004) 1
-T-

L
M

SEATING
PLANE

MILLIMETERS
MIN
MAX
5.10
4.90
4.30
4.50
1.20
0.05
0.15
0.75
O~O
0.65BSC
0.50
0.60
0.09
0.20
0.16
0.09
0.19
0.30
0.25
0.19
6.4OBSC
8°
0°

INCHES
MIN
MAX
0.193 0.200
0.169 0.177
0.047
0.002 0.006
0.020 0.030
0.026 BSC
0.020 0.024
0.004 0.008
0.004 0.006
0.007 0.012
0.007 0.010
0.252BSC
0°
8°

16-Pin Packages

L,J SUFFIX
CERAMIC DIP PACKAGE
CASE 620-10
ISSUE V

NOTES:
1. DIMENSIONING AND TOLERANCING PER
ANSI Y14.5M, 1982.
2. CONTROLLING DIMENSION: INCH.
3. DIMENSION L TO CENTER OF LEAD WHEN
FORMED PARALLEL.
4. DIMENSION F MAY NARROW TO 0.76 (0.030)
WHERE THE LEAD ENTERS THE CERAMIC
BODY.

"S

II
II

tjUL

J

M
16PL

r.1$--TI'-'-'0.2"-5(-0.0-10--::)®""Ir::'rr-1e-::®"I

H
K
L

M

1$1 0.25 (0.010)®1 rl A ®I

Logic: Standard. Special and Programmable

DIM
A
B
C
D
E
F
G

N

3.1-58

INCHES
MIN
MAX
0.750 0.785
0.240 0.295
0.200
0.015 0.020
O.050BS
0.055 0.065
.1 OBSC
0.008 0.015
0.125 0.170
0.300 BSC
15°
0°
0.020 0.040

MILLIMETERS
MIN
MAX
19.05 19.93
6.10
7.49
5.08
0.39
0.50
1.27BSC
1.40
1.65
2.54 BSC
0.21
0.38
3.18
4.31
7.62BS
O·
15°
0.51
1.01

Motorola Master Selection Guide

16-Pln Packages
P,N SUFFIX
PLASTIC DIP PACKAGE
CASE 648-08
ISSUE R

NOTES:
1. DIMENSIONING AND TOlERANCING PER ANSI
Y14.5M,1982.
2. CONTROlliNG DIMENSION: INCH.
3. DIMENSION L TO CENTER OF LEADS WHEN
FORMED PARAlLEl.
4. DIMENSION B DOES NOT INCLUDE MOlD FLASH.
5. ROUNDED CORNERS OPTIONAl.
DIM
A
B

C
0
F
G
H

J
K
L

M
S

INCHES
MIN
MAX
0.740 O.no
0.250 0.270
0.145 0.175
0,015 0.021
0.040
0.70
0.100BS
O.050BSC
0.008 0.015
0110 0.130
0.295 0.305
10°
0°
0.020 0.040

MflL1MfTERS
MIN
MAX
18.60 1955
6,85
6.35
3.69
4.44
.39
O.
177
1.02
2.54BSC
1.27BSC
0.21
0.38
2.60
3.30
7.50
7.74
0°
10°
1.01
0.51

o SUFFIX
PLASTIC SOIC PACKAGE
CASE 7518-05
ISSUEJ
14------i[±JI---~
NOTES:
1. DIMENSIONING AND TOlERANCING PER
ANSI Y14.5M, 1982,
2. CONTROlLING DIMENSION: MIlliMETER.
3. DIMENSIONS A AND B DO NOT INCLUDE
MOLD PROTRUSION.
4, MAXIMUM MDLD PROTRUSION 0.15(0.008)
PER SIDE.
5. DIMENSION 0 DOES NOT INCLUDE DAMBAR
PROTRUSION. ALLOWABLE DAMBAR
PROTRUSION SHAlL BE 0.127(0.005) TOTAL
IN EXCESS OF THE 0 DIMENSION AT
MAXIMUM MATERIAL CONDITION.

~CJCJCJCJCJCJCJ~Pc
Tt
j l

l±]SEATlNG PLANE

DIM
A
B
C
D
F

-

-

-

-

-

-

G
J

-

o 16PL

K
II
P
R

1"$-'1-0,2-5-(0,-010-)®"""1r-'-IB::-®=STIA-'®:=::-1
s1

Motorola Master Selection Guide

3,1-59

M1lLIMETERS
MIN
IlAX
9.0
10.00
3,80
4.00
1.35
1.75
0.49
I~
0.40
1.25
1.27BSC
0.19
0.25
0.10
0.25
7°
°
5,60
6.20
0.25
0,50

INCHES
MIN
MAX
0,386 0.393
0,150 0.157
0,054
.068
0.014 0.019
0.016 0.049
0.05 'BSC
0,008 0.009
0,004 0.009
0°
7D
0,229 0.244
0,010 0.OJ9

Logic: Standard, Special and Programmable

16-Pin Packages
DWSUFFIX
PLASTIC WIDE SOIC PACKAGE
CASE 751G-02
ISSUE A

•

NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M,1982.
2. CONTROLLING DIMENSION: MILLIMETER.
3. DIMENSIONS A AND B DO NOT INCLUDE MOLD
PROTRUSION.
4. MAXIMUM MOLD PROTRUSION 0.15 (0.006) PER
SIDE.
5. DIMENSIOM 0 DOES NOT INCLUDE DAMBAR
PROTRUSION. ALLOWABLE DAMBAR
PROTRUSION SHAlL BE 0.13 (0.005) TOTAL IN
EXCESS OF 0 DIMENSION AT MAXIMUM
MATERiAl CONDITION.

1$1 O.010(O.25)@lrIA ®I 8®1

DIM
A
B
C
D
F
G

J
K
M

P

R

MILLIMETERS
MIN
MAX
10.15 10.45
7.40
7.60
2.35
2.65
0.35
0.49
0.50
0.90
1.27BSC
0.25
0.32
0.10
0.25
o·
7"
10.05 10.55
0.25
0.75

INCHES
MIN
MAX
0.411
0.400
0.292 0.299
0.093 0.104
0.014 0.019
0.020 0.035
0.050 BSC
0.010 0.012
0.004 0.009
o· 7·
0.395 0.415
0.010 0.029

MSUFFIX
PLASTIC SOIC EIAJ PACKAGE
CASE 966-01
ISSUE 0

DETAILP

VIEWP--..,.

NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M,1982.
2. CONTROLLING DIMENSION: MILLIMETER.
3. DIMENSIONS 0 AND E DO NOT INCLUDE MOLD
FLASH OR PROTRUSIONS AND ARE MEASURED
AT THE PARTING LINE. MOLD FLASH OR
PROTRUSIONS SHAlL NOT EXCEED 0.15 (0.006)
PER SIDE.
4. TERMINAl NUMBERS ARE SHOWN FOR
REFERENCE ONLY.
5. THE LEAD WIDTH DIMENSION (b) DOES NOT
INCLUDE DAMBAR PROTRUSION. ALLOWABLE
DAMBAR PROTRUSION SHAlL BE 0.08 (0.003)
TOTAL IN EXCESS OF THE LEAD WIDTH
DIMENSION AT MAXIMUM MATERIAL
CONDITION. DAMBAR CANNOT BE LOCATED ON
THE LOWER RADIUS OR THE FOOT. MINIMUM
SPACE BETWEEN PROTRUSIONS AND
ADJACENT LEAD TO BE 0 46 (0.G18).

;>-,

( .hI):

'--

DIM
A
I

A,
b

D
E

e
HE
L
L
M

_'11
Z

Logic: Standard, Special and Programmable

3.1~O

MilLIMETERS
MIN
MAX
2.05
0.05
0.20
0.35
0.50
0.18
0.27
9.
10.50
5.10
5.45
1.27BSC
7.40
8.20
0.50
0.65
1.1
1.50
O·
10·
0.70
0.90
0.78

INCHES
MIN
MAX
0.01
0.002 0.008
0.014 0.020
0.07 0.011
0.390 0.413
0.201
0.215
0.050 BSC
0.291
0.323
0.020 0.033
0.043 0.059
O·
10·
0.028 0.035
0.031

Motorola Master Selection Guide

16-Pin Packages

SO SUFFIX
PLASTIC SSOP PACKAGE
CASE 9408-03
ISSUE 8

1I

j+--

16X K REF

1$-10.12(0.005)®ITIU®IV®1

0.25 (0.010)

N~~

L
PIN 1

DETAILE

IDENT

•

NOTES'
1. DIMENSIONING AND TOLERANCING PER ANSI YI4.5M. 1982
2. CONTROLLING DIMENSION' MILLIMETER
3. DIMENSION A DOES NOT INCLUDE MOLD FLASH.
PROTRUSIONS OR GATE BURRS. MOLD FLASH OR GATE
BURRS SHALL NOT EXCEED 0 15 (0.006) PER SIDE.
4. DIMENSION B DOES NOT INCLUDE INTERLEAD FLASH OR
PROTRUSION. INTERLEAD FLASH OR PROTRUSION SHALL
NOT EXCEED 0.15 (0.006) PER SIDE.
5 DIMENSION K DOES NOT INCLUDE DAM BAR
PROTRUSION/INTRUSION. ALLOWABLE OAMBAR
PROTRUSION SHALL BE 0.13 (0.005) TOTAL IN EXCESS OF K
OIMENSION AT MAXIMUM MATERIAL CONDITION DAMBAR
INTRUSION SHALL NOT REDUCE DIMENSION K BY MORE
THAN 0 07 (0.002) AT LEAST MATERIAL CONDITION.
6. TERMINAL NUMBERS ARE SHOWN FOR REFERENCE ONLY.
7. DIMENSION A AND B ARE TO BE DETERMINED AT DATUM
PLANE-W-.

DIM
A

B
C
D
F

SECnONN-N

G

H

J
J1
K
Kl
L
M

OTSUFFIX
PLASTIC TSSOP PACKAGE
CASE 948F-01
ISSUE 0

K

i=.n;:;;;s:;s;;:N

1
B

BEl
PIN 1

IDENT.

~i'F'fi"'=FjIJ

~-=-r--~A~

NOTES:
1 DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M,1982.
2. CONTROLLING DIMENSION: MILLIMETER
3. DIMENSION A DOES NOT INCLUDE MOlD FLASH.
PROTRUSIONS OR GATE BURRS. MOLD FLASH OR
GATE BURRS SHALL NOT EXCEED 0 15 (0.006) PER
SIDE.
4. DIMENSION B DOES NOT INCLUDE INTERLEAD
FLASH OR PROTRUSION INTERLEAD FLASH OR
PROTRUSION SHALL NOT EXCEED
0.25 (0.010) PER SIDE.
5. DIMENSION K DOES NOT INCLUDE DAM BAR
PROTRUSION ALLOWABLE DAMBAR PROTRUSION
SHALL BE 0.08 (0.003) TOTAL IN EXCESS OF THE K
DIMENSION AT MAXIMUM MATERIAL CONDITION.
6. TERMINAL NUMBERS ARE SHOWN FOR REFERENCE
ONLY.
7. DIMENSION A ANO B ARE TO BE DETERMINED AT
DATUM PLANE -W-.

DIM
A
B
C
D

8B

L
r~""'T_r:-0'-:-:;;-::~-:-~~::-:-4):-rI-D-=f=r=tr=~ ~ I'--D-E"(-"A-IL-E-~--I'S'
ir----,

I -;:-L

Motorola Master Selection Guide

F
G

DETAILE

)<-" FVR

I

3.1-61

INCHES
MIN
MAX
0238 0.249
0.205 0.212
0068 0.078
0.002
0008
0.024 0.037
0026 BSC
0.028
35
0003 0.008
0003 0006
0.010 0.015
0010 0013
0.301
0311
0°
8°

•

16XKREF

r-r---r-~,,"" --~1r-1$-1 0.10(0.004)®ITI u ®lv®1

MILLIMETERS
MIN
MAX
6.07
633
520
5.38
1.99
1.73
021
005
0.63
0.95
065BSC
073
0.90
009
0.20
0.09
0.16
0.25
0.38
0.25
033
7.65
7.90
0°
8°

H
J
Jl
K
Kl
L
M

MILLIMETERS
MIN
MAX
490
5.10
4.30
4.50
1.20
0.05
0.15
0.50
0.75
0.65 BSC
0.18
0.28
0.09
0.20
009
016
0.19
0.30
019
0.25
6.40BSC
0°
8°

INCHES
MIN
MAX
0.193 0.200
0.169 0.177
0.047
0.002 0.006
0.020 0030
o026 BSC
0.007
0.011
0.004 0.008
.004 0.006
0.007 0.012
0.007 0010
0.252BSC
SO
0°

LogiC: Standard, Special and Programmable

18-Pin Packages
L,J SUFFIX
CERAMIC DIP PACKAGE
CASE 726-{)4
ISSUEG

I-

~

-I

[:::::]~

OPTIONAL LEAO
CONFIGURATION (1, 9,10,18)

NOTES:
1. DIMENSIONING AND TOLERANCING PER
ANSI YI4.5M, 1982.
2. CONTROLLING DIMENSION: INCH.
3. DIMENSION L TO GENTER OF LEAD WHEN
FORMED PARALLEL.
4. DIMENSION F FOR FULL LEADS. HALF
LEADS OPTIONAL AT LEAD POSITIONS "
9,10, AND 18.
DIM
A

B
C
0
F

G
J

1$lo.25(O.010)@ITIB ®I

K
L
M
N

INCHES
MIN
MAX
0.880 0.910
0.240 0.295
0.200
0.015 0.021
0.055 0.070
0.100 SSC
0.008 0.012
0.125 0.170
0.300BSC
a' IS'
0.020 0.040

M1LUMETERS
MIN
MAX
22.35
23.11
6.10
7.49
.08
0.38
0.53
1.40
1.78
2.54BSC
0.20
0.3
3.18
4.32
7.62 esc
a' IS'
0.51
1.02

P,NSUFFIX
PLASTIC DIP PACKAGE
CASE707-Q2
ISSUEC

NOTES:
1. POSITIONAL TOLERANCE OF LEADS (D),
SHALL BE WITHIN 0.25 (0.01 0) AT MAXIMUM
MATERIAL CONDITION, IN RELATION TO
SEATING PLANE AND EACH OTHER.
2. DIMENSION L TO CENTER OF LEADS WHEN
FORMED PARALLEL.
3. DIMENSION B DOES NOT INCLUDE MOLD

~::::::::IJ
I-I

FLASH.

A

DIM
A

B
C
0
F

G
H

_J_
K
L
M

N

Logic: Standard, Special and Programmable

3.1-62

MILLIMETERS
MIN
MAX
22.22 23.24
6.10
6.60
4.57
3.56
0.36
0.56
1.27
1.78
2.54BSC
1.02
1.52
0.20
0.30
2.92
3.43
7.62BSC
A'
IS'
0.51
1.02

INCHES
MIN
MAX
0.875 0.915
0.240 0.260
0.140 0.180
0.014 0.022
0.050 0.070
0.100 BSC
0.040 0.060
0.008 0.012
0.115 0.135
0.300 BSC
IS'
A'
0.020 0.040

Motorola Master Selection Guide

2o-Pin Packages
L,J SUFFIX
CERAMIC DIP PACKAGE
CASE 732-03
ISSUE E

-1

r--- F

c

r~
H-

Hi~

r-JI-o··7H~
~G~
K

SEATING
PLANE

&
P,N SUFFIX
PLASTICC DIP PACKAGE
CASE 738-03
ISSUE E

-

NOTES'
1. LEADS WITHIN 0.25 (0010) DIAMETER, TRUE
POSITION AT SEATING PLANE, AT MAXIMUM
MATERIAL CONDITION.
2 DIMENSION L TO CENTER OF LEADS WHEN
FORMED PARALLEL
3 DIMENSIONS A AND B INCLUDE MENISCUS.
DIM
A

a
C

0
F
G
H

J
K
L
M
N

a

C
0
E
F
G
J
K
L

M
N

-11-

20X

0

0
F
G

J

-I

!--18X G

Motorola Master Selection Guide

t

K
M
P
R

K

3,1-63

INCHES
MIN
MAX
1010
1070
0240
0.260
0150
0180
0.015
0022
0050BSC
0050
0.070
0100 BSC
0.008
0015
0.110
0.140
0.300 BSC
15°
0°
0020
0.040

MILLIMETERS
MIN
MAX
25.66 27.17
6.10
660
3.81
457
0.39
0.55
127 BSC
1.27
1.77
254 BSC
021
0.38
280
3.55
762 BSC
15°
0°
051
101

NOTES:
1. DIMENSIONING AND TOLERANCING PER
ANSI Y14.5M, 1982
2 CONTROLLING DIMENSION' MILLIMETER
3. DIMENSIONS A AND a DO NOT INCLUDE
MOLD PROTRUSION
4 MAXIMUM MOLD PROTRUSION 0.150 (0 006)
PER SIDE.
5. DIMENSION 0 DOES NOT INCLUDE
DAMBAR PROTRUSION ALLOWABLE
DAMBAR PROTRUSION SHALL BE 0 13
(0.005) TOTAL IN EXCESS OF 0 DIMENSION
AT MAXIMUM MATERIAL CONDITION
DIM
A
B
C

"I
$"I-O.O-10-(O-.25--=)@"""1r"I-A-=®"I-B®=Os1

INCHES
MIN
MAX
0.940
0990
0.260 0295
0150
0200
0.015
0022
0055 0.065
o100BSC
0.020 0.060
0008
0012
0125
0160
0300 asc
15°
0°
0.010 0040

NOTES:
1. DIMENSIONING AND TOLERANCING PER
ANSI Y14.5M, 1982
2. CONTROLLING DIMENSION' INCH.
3 DIMENSION L TO CENTER OF LEAD WHEN
FORMED PARALLEL.
4. DIMENSION a DOES NOT INCLUDE MOLD
FLASH
DIM
A

OW SUFFIX
PLASTIC WIDE SOIC PACKAGE
CASE 7510-04
ISSUE E

MILLIMETERS
MIN
MAX
25,15
23.88
7.49
660
3.81
508
0.38
056
1.40
165
2.54 BSC
051
1.27
020
030
406
3.18
7.62 BSC
O·
15°
025
1.02

MILLIMETERS
MIN
MAX
12.65 1295
740
7.60
235
2.65
0.35
049
0.90
0.50
127BSC
025
0.32
0.10
0.25
0°
7°
10.05 1055
0.25
0.75

INCHES
MIN
MAX
0.499 0510
0.292 0.299
0.093 0104
0.014 0019
0020 0.035
0.050 BSC
0010 0.012
0.004 0.009
7°
0°
0395
0415
0010 0.029

Logic: Standard, Special and Programmable

20-Pin Packages
MSUFFIX
PLASTIC SOIC EIAJ PACKAGE
CASE 967-Q1
ISSUE 0

DETAILP

NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M.1982.
2. CONTROLLING DIMENSION: MILLIMETER.
3. DIMENSIONS 0 AND E 00 NOT INCLUDE MOLD
FLASH OR PROTRUSIONS AND ARE MEASURED
AT THE PARTING LINE. MOLD FLASH OR
PROTRUSIONS SHAlL NOT EXCEED 0.15 (0.006)
PER SIDE.
4. TERMINAL NUMBERS ARE SHOWN FOR
REFERENCE ONLY.
5. THE LEAD WIDTH DIMENSION (b) DOES NOT
INCLUDE DAMBAR PROTRUSION. ALLOWABLE
DAMBAR PROTRUSION SHAlL BE 0.06 (0.003)
TOTAL IN EXCESS OF THE LEAD WIDTH
DIMENSION AT MAXIMUM MATERIAL
CONDITION. DAMBAR CANNOT BE LOCATED ON
THE LOWER RADIUS OR THE FOOT. MINIMUM
SPACE BETWEEN PROTRUSIONS AND
ADJACENT LEAD TO BE 0 4B (0016)

DIM
A
_AL
b

c
D
E

•
L
L.
M
Q

Z

MILLIMETERS
MIN
MAX
2.05
O.OS
020
0.35
0.50
0.18
0.27
12.35 12.80
5.10
5.45
1.27BSC
7.40
8.20
O.
0.85
1.10
1.50
10 0
00
0.70
0.90
0.81

SO SUFFIX
PLASTIC SSOP PACKAGE
CASE 940C-Q3
ISSUE B

1I

~ 20X

K REF
1$-10.12 (0.oo5)@ITI u

L

® I V® I

0.25 (0.010)

~
DETAILE

C
D
F
G
H

Jl
K
K1
L
II

3.1--64

O.

0.043
a

0.028

0.08
0.08
0.020
0011
0.504
0.215
O.
0.033
0.059
10 0
0.035
0.032

•

A
B

Logic: Standard, Special and Programmable

0.002
0.014
0.07
0.486
0.201
.050
0.291

NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M.1982.
2. CONTROLLING DIMENSION: MILLIMETER.
3. DIMENSION A DOES NOT INCLUDE MOLD
FLASH. PROTRUSIONS OR GATE BURRS. MOLD
FLASH OR GATE BURRS SHALL NOT EXCEED
0.15(0.006) PER SIDE.
4. DIMENSION B DOES NOT INCLUDE INTERlEAD
FLASH OR PROTRUSION. INTERLEAD FLASH OR
PROTRUSION SHALL NOT EXCEED 0.15 (0.006)
PER SIDE.
5. DIMENSION K ODES NOT INCLUDE DAMBAR
PROTRUSION/INTRUSION. ALLOWABLE
DAMBAR PROTRUSION SHALL BE 0.13 (O.OOS)
TOTAL IN EXCESS OF K DIMENSION AT
MAXIMUM MATERIAL CONDITION. DAMBAR
INTRUSION SHALL NOT REDUCE DIMENSION K
BY MORE THAN 0.07 (0.002) AT LEAST MATERIAL
CONDITION.
6. TERMINAL NUMBERS ARE SHOWN FOR
REFERENCE ONLY.
7. DIMENSION A AND B ARE TO BE DETERMINED
AT DATUM PLANE -Vi-.

DIM

SECTIONN-N

INCHES
MAX

MIN

MILUIIETERS
IIAX
MIN
7.07
7.33
5.20
5.38
1.73
1.99
O.
021
0.63
0.95
0.65 BSC
0.59
0.75
0.09
0.20
0.16
0.09
0.38
025
25
0.33
7.85
7.90
a

.

IIICIIES
MIN
MAX
0.278 0.288
0.205 0.212
0.068 0.078
0.002 0.006
O.
0.037
O.02688C
0.023 0.030
0.003 0.008
0.003 0.006
0.010 0.15
0.010 0.013
0.311
0.301

o·

_8_0

Motorola Master Selection Guide

2D-Pin Packages

DTSUFFIX
PLASTIC TSSOP PACKAGE
CASE 948E-02
ISSUE A

SECTION N-N

•

NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M,1982.
2 CONTROLLING DIMENSION: MILLIMETER.
3. DIMENSION A DOES NOT INCLUDE MOLD
FLASH, PROTRUSIONS OR GATE BURRS MOLD
FLASH OR GATE BURRS SHALL NOT EXCEED
0.15(0 0061 PER SIDE.
4 DIMENSION B DOES NOT INCLUDE INTERLEAD
FLASH OR PROTRUSION. INTERLEAD FLASH
OR PROTRUSION SHALL NOT EXCEED a25
(0.0101 PER SIDE
5. DIMENSION K DOES NOT INCLUDE DAMBAR
PROTRUSION. ALLOWABLE DAMBAR
PROTRUSION SHALL BE 0.08 (0.0031 TOTAL IN
EXCESS OF THE K DIMENSION AT MAXIMUM
MATERIAL CONDITION.
6. TERMINAL NUMBERS ARE SHOWN FOR
REFERENCE ONLY
7. DIMENSION A AND B ARE TO BE DETERMINED
AT DATUM PLANE -WDIM
A
B

DETAILE

C
D
F
G
H

J
Jl
K

Kl
L
M

Motorola Master Selection Guide

3.1-65

M1LUMETERS
MIN
MAX
6.60
640
4.50
430
120
0.05
0.15
0.50
075
0.65BSC
0.27
0.37
0.20
009
009
0.16
019
0.30
0.19
0.25
6.40 BSC
0°
8°

INCHES
MIN
MAX
0252 0260
0.169 0.177
0047
0.002 0.006
0.020 0030
0026 B$C
0.011
0.015
0.004
0008
0.004
0006
0.007
0012
0.007
0010
0.252BSC
0°
8°

Logic: Standard, Special and Programmable

2o-Pin Packages
FN SUFFIX
PLASTIC PLCC PACKAGE
CASE 775-02
ISSUEC

81$1 0.007 (0.180l®lrl L-M®I N®I
ul$1 0.007(0.180)®lrl L-M®I N®I

G11$1 0.010 (O.250)®1 rl L-M®I N®I
VIEWD-D

I+-------<'*-A 1$1 0.007(0.180l®lrl

L-M®I N®I

Ho----Hf--R 1$1 0.007(0.180l®lrl L-M®I N®I

~

H 1$1 0.007 (0.180l®1 rl

L-M®IN®I

t

K1

K

r-J I- FI$10.007(0.180l®lrIL-M®IN®1
VIEWS

•
Logic: Standard, Special and Programmable

NOTES:
1. DATUMS -1.-, -M-, AND -II- DETERMINED
WHERE TOP OF LEAD SHOULDER EXITS
PLASTIC BODY AT MOLD PARTING LINE.
2. DIMENSION Gl, TRUE POSITION TO BE
MEASURED AT DATUM -T-, SEATING PLANE.
3. DIMENSIONS RAND U DO NOT INCLUDE MOLD
FLASH. ALLOWABLE MOLD FLASH IS 0.010
(0.250) PER SIDE.
4. DIMENSIONING AND TDLERANCING PER ANSI
YI4.5M,1982.
5. CONTROLLING DIMENSION: INCH.
6. THE PACKAGE TOP MAY BE SMALLER THAN THE
PACKAGE BOTTOM BY UP TO 0.012 (0.300).
DIMENSIONS R AND U ARE DETERMINED AT THE
OUTERMOST EXTREMES OF THE PLASTIC BODY
EXCLUSIVE OF MOLD FLASH, TIE BAR BURRS,
GATE BURRS AND INTERLEAD FLASH, BUT
INCLUDING ANY MISMATCH BETWEEN THE TOP
AND BOTTOM OF THE PLASTIC BODY.
7. DIMENSION H DOES NOT INCLUDE DAMBAR
PROTRUSION OR INTRUSION. THE DAMBAR
PROTRU5ION(S) SHALL NOT CAUSE THE H
DIMENSION TO BE GREATER THAN 0.037 (0.940).
THE DAMBAR INTRUSION(S) SHALL NOT CAUSE
THE H DIMENSION TO BE SMALLER THAN 0.025
(0.635).

3.1-66

I

INCHES
MAX
NlN
0.385 0.395
0.385 0.395
C 0.165 0.180
E O.
0.110
F 0.013 0.019
G
O.050BSC
H _0.026 .~032
J 0.020
K 0.025
R 0.350 0.356
U 0.350 0.356
V 0.042 0.048
W 0.042 . Q.048
X 0.042 .1),056.
0.020
Y
Z·
10·
Z
Gl 0.310 0.330
Kl 0.040

DIM
A
B

MILLIMETERS

MIN

IIA~

9.78 10.03
9.78 10.03
4.20
4.57
2.29
2.79
0.33
0.48
1• BSC
.Jl~6_
0.81
051
0.64
8.89
9.04
8.89
9.04
1.07
I.ZI
1.07
121
1.07
1.42
0.50
Z·
10·
7.88
8.38
1.02

Motorola Master Selection Guide

24-Pin Packages
JSUFFIX
CERAMIC DIP PACKAGE
CASE 758-02
ISSUE A

NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M,1982
2. CONTROLLING DIMENSION: INCH.
3. DIMENSION L TO CENTER OF LEADS WHEN
FORMED PARALLEL

DIM
A
B
C
D
F
G

J
K
L
N
P

INCHES
MIN
MAX
1.240
1.285
0285
0305
0.160
0.200
0.Q15
0.021
0.045
0.062
0100 BSC
008
0013
0.100
0.165
0.300
0.310
.020
0050
0400
0.360

MILLIMETERS
MIN
MAX
3150
3264
7.24
7.75
407
5.08
0.38
053
114
157
2.54BSC
0.20
0.33
4.19
2.54
7.62
787
1.27
051
9.14
10.16

L,J,JW SUFFIX
CERAMIC DIP PACKAGE
CASE 623-05
ISSUEM

13

1

NOTES:
1. DIMENSION L TO CENTER OF LEADS WHEN
FORMED PARALLEL.
2. LEADS WITHIN 0.13(0.005) RADIUS OF TRUE
POSITION AT SEATING PLANE AT MAXIMUM
MATERIAL CONDITION (WHEN FORMED
PARALLELI

B

~TTTT'T1"1TTTTMTTn,..,...12 ~
A---+l,,1

DIM
A
B
C
D
F

G
J
K
L
M
N

Motorola Master Selection Guide

3,1-67

MILLIMETERS
MIN
MAX
3124
32.77
12.70
15.49
4.06
5.59
0.41
0.51
1.27
1.52
2.54BSC
020
0.30
318
406
15248SC
15°
0°
051
127

INCHES
MIN
MAX
1.230
1.290
0.500
0610
0.160
0.220
0.016
0.020
0050
0060
0.100 BSC
0.008
0012
0160
0.125
0.600B5C
0°
15°
0.020
0.050

Logic: Standard. Special and Programmable

24-Pin Packages

D
10

13

1

NSUFFIX
PLASTIC DIP PACKAGE
CASE 709-02
ISSUEC

12J

NOTES:
1. POsmONAL TOLERANCE OF LEADS (D),
SHALL BE WITHIN 0.25 (0.010) AT MAXIMUM
MATERIAL CONDITION, IN RELATION TO
SEATING PLANE AND EACH OTHER.
2. DIMENSION L TO CENTER OF LEADS WHEN
FORMED PARALLEL.
3. DIMENSION 8 DOES NOT INCLUDE MOLD
FLASH.
DIM
A
B

t - - - - - A -----;~

C
D
F

G
H

H

K
L
M
N

r l±l lJ
I~:::::::::::I~
t

NOTES:
1. CHAMFERED CONTOUR OPTIONAL.
2. DIMENSION L TO CENTER OF LEADS WHEN
FORMED PARALLEL.
3. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M,1982.
4. CONTROlLING DIMENSION: INCH.

G
J
K
L

M
N

Q

P,N,PW SUFFIX
PLASTIC DIP PACKAGE
CASE 649-03
ISSUE D

B
A
B

C
D
F
G

c

H

J
K
L
M
N

P
Q

3.1-68

INCHES
IIIN
MAX
1.230 1.265
0.250 0.270
.145 0.175
0.015 0.020
O. BSC
0.040 0.060
0.100 esc
7 0.012
0.110 0.140
0.3008S
0°
15°
0.020 O.

MILLIMETERS
MIN
MAX
31.25 32.13
6.35
6.85
3.69
4.44
0.38
0.51
1.27BSC
1.02
1.52
2.5488C
0.1
0.30
2.8
3.55
7.628SC
0°
15°
0.51
1.01

NOTES:
1. LEADS WITHIN 0.13 (0.005) RADIUS OF TRUE
POSITION AT SEATING PLANE AT MAXIMUM
MATERIAL CONDmON.
2. DIMENSION LTO CENTER OF LEADS WHEN
FORMED PARALLEL.
DIM

Logic: Standard, Special and Programmable

INCHES
MIN
MAX
1.235 1.265
0.540
0.560
0.155
0.200
0.114 0.022
0.040
0.060
0.100 8SC
0.1165
0.080
0.008
0.015
0.115 0.135
0.500 BSC
0°
15°
0.020
0.040

P,NSUFFIX
PLASTIC DIP PACKAGE
CASE 724-03
ISSUE D

DI
A
B
C
0
E
F

p

MILLIMETERS
MIN
MAX
31.37 32.13
13.72 14.22
3.94
5.08
0.36
0.56
1.02
1.52
2.5488C
1.65
2.03
0.20
0.38
2.92
3.43
15.248SC
0°
15°
1.02
0.51

MILLIMETERS
MIN
MAX
31.50 32.13
13.21
13.72
4.70
.21
0.51
0.38
1.02
1.62
2.54 SSC
1.85
2.16
0.30
0.20
2.2
.43
14.99 15.49
10
0.51
1.02
0.13
0.38
0.1
0.76

INCHES

MIN

MAX

1.240 1.265
0.620 0.540
0.1
0.2
0.015 0.020
0.040 0.060
0.100 BSC
0.065 0.085
0.008 0.012
0.115 0.1
0.590 0.610
10°
0.020 0.040
0.005 0.015
0.020 0.030

Motorola Master Selection Guide

24-Pin Packages
OW SUFFIX
PLASTIC WIDE SOIC PACKAGE
CASE 751E-04
ISSUE E

NOTES:
1. DIMENSIONING AND TOLERANCING PER
ANSI Y14.5M. 1982.
2. CONTROLLING DIMENSION' MILLIMETER
3 DIMENSIONS A AND B DO NOT INCLUDE
MOLD PROTRUSION.
4. MAXIMUM MOLD PROTRUSION 0.15 (0.006)
PER SIDE.
5. DIMENSION 0 DOES NOT INCLUDE DAMBAR
PROTRUSION ALLOWABLE DAMBAR
PROTRUSION SHALL BE 0.13 (0.005) TOTAL IN
EXCESS OF 0 DIMENSION AT MAXIMUM
MATERIAL CONDrTlON.

DIM
A
B
C
D
F

G
J
K
M

P
R

MILLIMETERS
MIN
MAX
15.25 15.54
740
7.60
2.65
235
0.35
0.49
0.41
0.90
1.27 BSC
0.23
0.32
0.13
0.29
00
80
10.05 1055
0.25
0.75

INCHES
MIN
MAX
0.601
0.612
0.292 0.299
0.093 0.104
0.014
0.Q19
0.016 0.035
0.050 BSC
0.009 0.013
0005 0.011
00
80
0.395
0.41
0.010 0029

SO SUFFIX
PLASTIC SSOP PACKAGE
CASE 9400-03
ISSUE B

1 1-I Ie-I
24X

K REF
0.12(0.005)@lrl

13

u®I v® I

1

Lr-K--j~
J

B

17/&

J1

T I-K1-/ t
SECTIONN-N

PIN 1
12-L
IDENT~

+-----(~uf----A~

L...-J.-..:...-"-'-'--J!±l~V-

-u-

.),&1
0.25(0.010)

DETAILE

NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M,1982.
2. CONTROLLING DIMENSION: MILLIMETER.
3. DIMENSION A DOES NOT INCLUDE MOLD
FLASH, PROTRUSIONS OR GATE BURRS. MOLD
FLASH OR GATE BURRS SHALL NOT EXCEED
0.15 (0.006) PER SIDE.
4. DIMENSION B DOES NOT INCLUDE INTERLEAD
FLASH OR PROTRUSION. INTERLEAD FLASH OR
PROTRUSION SHALL NOT EXCEED 0.15 (0.006)
PER SIDE.
5. DIMENSION K DOES NOT INCLUDE DAM BAR
PROTRUSION/INTRUSION. ALLOWABLE
DAM BAR PROTRUSION SHALL BE 0.13 (0.005)
TOTAL IN EXCESS OF K DIMENSION AT
MAXIMUM MATERIAL CONDrTlON. DAMBAR
INTRUSION SHALL NOT REDUCE DIMENSION K
BY MORE THAN 0.07 (0.002) AT LEAST MATERIAL
CONDITION.
6. TERMINAL NUMBERS ARE SHOWN FOR
REFERENCE ONLY.
7. DIMENSION A AND B ARE TO BE DETERMINED
AT DATUM PLANE -W-.
DIM
A
B
C
D
F
G
H
J
J1

K
Kl
L
M

Motorola Master Selection Guide

3.1~9

MILLIMETERS
MIN
MAX
8.07
833
520
5.38
1.73
1.99
0.05
0.21
0.63
0.95
0.65 BSC
044
0.60
0.09
0.20
0.09
0.16
0.25
0.38
0.25
0.33
765
7.90
00
80

INCHES
MIN
MAX
0.317 0.328
0.205
0.212
0.068 0.078
0.002 0.008
0.024 0.037
0.026 sse
0.017 0.024
0.003 0.008
0.003 0.006
0.010 0.Q15
0.010 0.013
0.301
0.311
00
80

Logic: Standard, Special and Programmable

24-Pln Packages
DTSUFFIX
PLASTIC TSSOP PACKAGE
CASE 948H-Q1
ISSUE 0

..

-'1+171 O.10(O.004)®lrlu ®lv®1
24xKREF

L-~~

__

~~~~

__- ._____

13

1

B

PIN 1
IDENT.

88

~"fF'fi""fi""i'F"fi"'Ti'~J

.I

NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
YI4.5M.1962.
2. CONTROLLING DIMENSION: MILLIMETER.
3. DIMENSION A DOES NOT INCLUDE MOLD
FLASH. PROTRUSIONS OR GATE BURRS.
MOLD FLASH OR GATE BURRS SHALL NOT
EXCEED 0.15 (0.006) PER SIDE.
4. DIMENSION B DOES NOT INCLUDE INTERLEAD
FLASH OR PROTRUSION. INTERLEAD FLASH
OR PROTRUSION SHALL NOT EXCEED
0.25 (0.010) PER SIDE.
5. DIMENSION K DOES NOT INCLUDE DAMBAR
PROTRUSION. ALLOWABLE DAMBAR
PROTRUSION SHALL BE 0.06 (0.003) TOTAL IN
EXCESS OF THE K DIMENSION AT MAXIMUM
MATERIAL CONDITION.
6. TERMINAL NUMBERS ARE SHOWN FOR
REFERENCE ONLY.
7. DIMENSION A AND B ARE TO BE DETERMINED
AT DATUM PLANE -W-.
DIM
A
B
C
D
F

G
H

di l

J
Jl
K
Kl

d£.)

L
M

DETAILE~--

MILLIMETERS
MIN
MAX
7.70
7.90
4.30
4.50
1.20
0.15
0.05
0.50
075
0.6 BSC
027
0.37
0.20
0.09
0.09
0.16
0.19
0.30
0.25
0.19
6.40 BSC
0°
8°

INCHES
MIN
MAX
0.303
0.311
0.169 0.177
0.047
0.002 0.006
0.020 0.030
0.02 BSC
0.011
0,015
0.004 0.006
0.004 0.006
0.007 0.012
0.007 0.010
0.252BSC
0°
8°

'N~~ ~=~!
DETAIL E

Logic: Standard. Special and Programmable

3.1-70

---r

Motorola Master Selection Guide

28-Pln Packages

FNSUFFIX
PLASTIC PLCC PACKAGE
CASEnS-02
ISSUE 0

BI$I 0.007(0.180)@ITI L-M®I N®I
YBRK

ul$10.007(0.180)®ITI L-M®I N®I

~
1"

-v----=---;r~

~t-t

G11$1 0.010 (0.250l®1 TI L-M®I N®I

D
VIEWD-D

} 4 - - - - - + i - AI$I 0.007(0.180)@ITIL-M®IN®1
J i o - - - - - i + - R 1$1 0.OO7(0.180)@ITI L-M®I N®I

rtE~~---.1

K

~ I- F 1$10.OO7(0.180)@ITIL-M®IN®1
1$1 0.010(0.250l®ITI L-M®IN®I

VIEWS

NOTES:
1. DATUMS-I.-.-M-. AND-N-DElERMINED
WHERE TOP OF LEAD SHOULDER EXITS
PLASTIC BODY AT MOLD PARTiNG LINE.
2. DIMENSiON Gl. TRUE POSITION TO BE
MEASURED AT DATUM -T-. SEATING PLANE.
3. DIMENSIONS RAND U DO NOT INCLUDE
MOLD FLASH. ALLOWABLE MOLO FLASH IS
0.010 (0250) PER SIDE.
4. DIMENSIONING AND TOLEAANCING PER
ANSI Y14.5M. 1982.
5. CONTROLLING DIMENSION: INCH.
6. THE PACKAGE TOP MAY BE SMALLER THAN
THE PACKAGE BOTIOM BY UP TO 0.012
(Q.300). DIMENSIONS RAND U ARE
DETERMINED AT THE OUTERMOST
EXTREMES OF THE PLASTIC BODY
EXCLUSIVE OF MOLD FLASH. TIE BAR
BURAS. GATE BURRS AND INTEALEAD
FLASH. BUT INCLUDING ANV MISMATCH
BETWEEN THE TOP AND BOTIOM OF THE
PLASTIC BODY.
7. DIMENSION H OOES NOT INCLUDE DAMBAR
PROTRUSION OR INTRUSION. THE DAMBAR
PROmUSION(S) SHALL NOT CAUSE THE H
DIMENSION TO BE GREATER THAN 0.037
(0.940). THE DAMBAR INTRUSION(S) SHALL
NOT CAUSE THE H DIMENSION TO BE
SMALLER THAN 0.025(0.635).

Motorola Master Selection Guide

3.1-71

Dill
A

B
E
F
G
H

J
K

R
U

V
W

X
Y
Z
Gl
Kl

INCHES
MAX

IIILLIMETERS

0.495
0.495
.OJ6~
~..180
0.090
0.110
0.013 0.019
0.051 BSC
0.0
0.020
0.0
0.450
O.§§.
0.450 0.456
0.042 0.048
0.042 0.048
0.042 0.056
.020
10°
2°
0.41
0.430
0.040

12.32 12.57
12.32 12.57
4.20
4.57
2.29
2.79
0.33
0.48
I.27BS
0.86
0.81
0.51
0.64
11.43 11.58
11.43 11.58
1.07
1.21
1.21
1.07
1.07
1.42
0.5
10°
2°
10.42
10.92
1.02

MIN
0.485
0.485

MIN

MAX

Logic: Standard, Special and Programmable

32-Pln Package
FA SUFFIX
PLASTIC TQFP PACKAGE
CASE 873A-02
ISSUE A

DETAILY
NOTES:
1. DIMENSIONING ANO TOLERANCING PER ANSI
Y14.5M,1982.
2. CONTROWNG DIMENSION: MILLIMETER.
3. DATUM PLANE -APr-IS LOCATED AT BOTTOM
OF LEAD AND IS COINCIDENT WITH THE LEAD
WHERE THE LEAD EXITS THE PLASTIC BODY AT
THE BOTTOM OF THE PARTING LINE.
4. DATUMS -T-, -U-, AND -Z- TO BE DETERMINED
AT DATUM PLANE-APr.
5. DIMENSIONS S AND VTO BE DETERMINED AT
SEATING PLANE -AG-.
6. DIMENSIONS A AND B DO NOT INCLUDE MOLD
PROTRUSION. ALLOWABLE PROTRUSION IS
0.250 (0.010) PER SIDE. DIMENSIONS AAND B
DO INCLUDE MOLD MISMATCH AND ARE
DETERMINED AT DATUM PLANE -APr-.
7. DIMENSION 0 DOES NOT INCLUDE DAMBAR
PROTRUSION. DAMBAR PROTRUSION SHALL
NOT CAUSE THE 0 DIMENSION TO EXCEED
0.520 (0.020).
8. MINIMUM SOLDER PLATE THICKNESS SHALL BE
0.0076 (0.0003).
9. EXACT SHAPE OF EACH CORNER MAY VARY
FROM DEPICTION.

IIILUIIETERS
MIN

MAl
BSC

INC HES
1111

MAX

0.05
0.1
0.1
0.1

1.063

SECTION AE-AE
1.400

1.600
·50
.0
00

!OO
0.500 0.700
120 REF
0.09'
0.160
0:
0.250
9.000

sse

•
Logic: Standard, Special and Programmable

4.500 BSC
V

3.1-72

9.000 BSC

0.1
0.1
0.00
.0.00

1.028
REF
0.006

0.010
I
0.1: 'Bse
0.354 BSC

sse

Motorola Master Selection Guide

4O-Pin Packages
NSUFFIX
PLASTIC DIP PACKAGE
CASE 711--03
ISSUEC

NOTES:
1. POSITIONAL TOLERANCE OF LEADS (0). SHALL
BE WITHIN 0.25 (0 010) AT MAXIMUM MATERIAL
CONDITION. IN RELATION TO SEATING PLANE
AND EACH OTHER.
2. DIMENSION L TO CENTER OF LEADS WHEN
FORMED PARALLEL.
3. DIMENSION B OCES NOT INCLUDE MOLD
FLASH
MILLIMETERS
MIN
MAX
51.69 52.45
1372 14.22
3.94
5.08
0.36
0.56
1.52
1.02
2.54 BSC
1.65
2.16
0.20
0.38
2.92
3.43
1524BSC
0'
15'
051
102

DIM
A
B

C
0
F

G
H

J
K
L
II
N

INCHES
MIN
MAX
2.05 2.065
0.540
0560
0.155 0.200
0014 0.022
0040 0.080
0.100 BSC
0.065
0085
0.008 0.015
0115 0.135
0600 BSC
0'
15'
0020 0.040

48-Pin Packages
J SUFFIX
CERAMIC DIP PACKAGE
CASE 740--03
ISSUE B

I-

[±]

r: r:::::

-I

~:::::::
If iLl
m~-~~~
jt":"~p~" "~jt~"""""""-lJ~PL
c

NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M.1982.
2. CONTROLLING DIMENSION' INCH.
3. DIMENSION L TO CENTER OF LEAD WHEN
FORMED PARALLEL
DIM
A

C
0
E
F

G
J
K
L

II
N

INCHES
MIN
MAX
2.376 2.424
.576 0.604
0.120 0.127
0.015
0021
O.050BSC
0.030 0.055
0.100BSC
0.008 0.013
0.100 0.165
0600BSC
10'
0'
0.040 0.060

MILLIMETERS
MIN
MAX
60.36 61.56
14.64 15.34
305
4.31
0.381
0533
1.27BSC
0762 1.397
2.54BSC
0.204 0.330
2.64
4.19
1524BSC
10'
0'
1.016 1.524

1$1 o.25(o.olo)@ITIA@Ir;I$-rI-o.2-s('-o.o-lo-=)@=I=Tlros-'@""'1

Motorola Master Selection Guide

3.1-73

LogiC: Standard, Special and Programmable

48-Pin Packages
NSUFFIX
PLASTIC DIP PACKAGE
CASE 767-02
ISSUE B

r::::::::::::::::::::~
JL

DETAIL X

NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M,1982.
2. CONTROLLING DIMENSION: INCH.
3. DIMENSION L TO CENTER OF LEAD WHEN
FORMED PARALLEL.
4. DIMENSIONS A AND B DO NOT INCLUDE MOLD
FLASH. MAXIMUM MOLD FLASH 025 (0.010).
DIM
A
B
e
D
F

G
H

G......'- DETAIL X

J
K

D 32PL

1$10.51 (0.020)®lrl A

®I

1$10.25(0.010)®lrl B

®I

L
M
N

INCHES
MIN
MAX
2.415 2.445
0.540 0.560
0.155 0.200
0.014 0.022
0.040 0.060
0.100BSC
0.070 Bse
0.008 0,015
0.115 0.150
Q.600 BSC
15 0
00
0.02
0.040

MILLIMETERS
MIN
MAX
61.34 62.10
13.72 14.22
3.94
5.08
0.36
0.55
1.02
1.52
2.54BSC
l.78BSC
0.20
0.38
2.92
3.81
15.248SC
15 0
00
0.51
1.01

OTSUFFIX
PLASTIC TSSOP PACKAGE
CASE 1201-01
ISSUE A

1

@
6'

C!

L

SECTIONN-N

e.

~

a

PIN 1
IDENT.

NOTES:
1. DIMENSIONING AND TOLERANCING PER
ANSI Y14.5M, 1982.
2. CONTROLLING DIMENSION: MILLIMETER.
3. DIMENSIONS AAND B DO NOT INCLUDE
MOLD FLASH, PROTRUSIONS OR GATE
BURRS. MOLD FLASH OR GATE BURRS
SHALL NOT EXCEED 0.15 (0.006) PER SIDE.
4. DIMENSION K DOES NOT INCLUDE DAMBAR
PROTRUSION. ALLOWABLE DAMBAR
PROTRUSION SHALL BE 0.08 (0.003) TOTAL IN
EXCESS OF THE K DIMENSION AT MAXIMUM
MATERIAL CONDITION.
5. TERMINAL NUMBERS ARE SHOWN FOR
REFERENCE ONLY.
6. DIMENSIONS A AND B ARE TO BE
DETERMINED AT DATUM PLANE J/IDIM
A
B

C
D
F

DETAILE

G
H

o

DL~I
j L

0.076 (0.003)

-T-

SEATING
PLANE

Logic: Standard, Special and Programmable

G

H

DETAILE

3.1-74

y"'"

J
Jl
K
Kl
L
M

MILLIMETERS
MIN
MAX
12.40 12.60
6.20
6.00
1.10
0.15
0.05
0.75
0.50
0.5085C
0.37
020
0.09
0.16
0.09
0.17
0.27
0.17
0.23
7.95
8.25
00
80

INCHES
MIN
MAX
0.488 0.486
0.236 0.244
0.043
0.002 0.006
0.020 0.030
0.0197BSC
0.015
0004 0.008
0.004 0.006
0.007
0.011
0.007 0.009
0.313 0.325
00
80

Motorola Master Selection Guide

52-Pin Packages

FN SUFFIX
PLASTIC PLCC PACKAGE
CASE 778-02
ISSUE C

B 1$-1 O.007(O.18)@lrlL-M@IN@1

u 1$-1 O.007(O.18)®lrIL-M@1 N@ 1

o

o

G1

1$-1 O.010(O.25)@l r IL-M@1 N@ 1
VIEWD-D

r , . , - - - - - t - A 1$-1 O.007(O.18)®lrIL-M@IN@1

z
1 1 f t - - - - - - . t t - R 1$-1

o.o07(O.18)®lrIL-M@IN@1

~H 1$-1 O.007(O.18)®lrIL-M@IN@1

T@J

NOTES'
1 DATUMS -1.-. -M-. AND -N- DETERMINED WHERE
TOP OF LEAD SHOULDER EXITS PLASTIC BODY AT
MOLD PARTING LINE.
2. DIMENSION G1. TRUE POSITION TO BE MEASURED
AT DATUM - T-, SEATING PLANE
3 DIMENSIONS R AND U 00 NOT INCLUDE MOLD
FLASH. ALLOWABLE MOLD FLASH IS 0.010 (0 250)
PER SIDE.
4 DIMENSIONING AND TOLERANCING PER ANSI
Y145M,1982
5 CONTROLLING OIMENSION·INCH.
6. THE PACKAGE TOP MAY BE SMALLER THAN THE
PACKAGE BOTTOM BY UP TO 0 012 (0 300)
DIMENSIONS RAND U ARE DETERMINED AT THE
OUTERMOST EXTREMES OF THE PLASTIC BODY
EXCLUSIVE OF MOLD FLASH, TIE BAR BURRS,
GATE BURRS AND INTERLEAD FLASH, BUT
INCLUDING ANY MISMATCH BETWEEN THE TOP
AND BODOM OF THE PLASTIC BODY
7 DIMENSION H DOES NOT INCLUDE DA"IBAR
PROTRUSION OR INTRUSION THE DAM BAR
PROTRUSION(S) SHALL NOT CAUSE THE H
DIMENSION TO BE GREATER THAN 0 037 (0 940)
THE DAMBAR INTRUSION(S) SHALL NOT CAUSE
THE HDIMENSION TO BE SMALLER THAN 0.025
(0635)
DIM
A

KIJ I- F1$-1 O.007(O.18)®lrIL-M@IN@1
VIEWS

B
C
E
F
G
H

J
K
R
U
V

•
Motorola Master Selection Guide

W
X
Y
Z
G1
K1

3.1-75

INCHES
MAX
MIN
0785 0795
0785 0.795
0165 0180
0.110
0090
0013 0019
o050 BSC
0.026 0.032
_.0.020
0025
0750 0756
0.750 0756
0.042 0.048
0042 0048
0042 0056
0.020
2"
10"
0.710 0730
0040

MILLIMETERS
MIN
MAX
19.94 2019
1994 20.19
420
457
2.29
2.79
033
048
1.27BSC
081
0.68
051
064
1905 1920
19.05 1920
107
1.21
1.07
121
107
142
050
2"
10"
18.04 1854
102

Logic: Standard, Special and Programmable

,j
11

52-Pin Packages
FA SUFFIX
PLASTIC TQFP PACKAGE
CASE 8480-03
ISSUEC

--

't~-I

.,..........

VIEWY
PLAnNG

~ BASE METAL

~tm1j
tl-D-lt

I$-I 0.13 (0.OO5)® ITI L-M®I N®I
SECTION AB-AB
ROTA11ED 90° CLOCKWISE

c

FiH

L

4X021

L

:tb nnnnl1J]nnnnn ~'~
'J 1'*"[0 004i1 'I

llit

4X 03

SEAllNG
PLANE

.~T

...

VIEWAA

NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI YI4.5M.
1982.
2. CONTROLLING DIMENSION: MILLIMETER.
3. DATUM PLANE -!+-IS LOCATED AT BOTTOM OF LEAD
AND IS COINCIDENT WITH THE LEAD WHERE THE LEAD
EXITS THE PLASTIC BODY AT THE BOTTOM OF THE
PARllNGL1NE.
4. DATUMS -L-. -M- AND -No- TO BE DETERMINED AT
DATUM PLANE-!+-.
5. DIMENSIONS S AND V TO BE DETERMINED AT SEATING
PLANE-T-.
6. DIMENSIONS A AND B DO NOT INCLUDE MOlD
PROTRUSION. ALLOWABLE PROTRUSION IS 025 (0.010)
PER SIDE. DIMENSIONS A AND B 00 INCLUDE MOlD
MISMATCH AND ARE DETERMINED AT DATUM PLANE.fi-.
7. DIMENSION D OOES NOT INCLUDE DAMBAR
PROTRUSION. DAMBAR PROTRUSION SHALL NOT
CAUSE THE LEAD WIDTH TO EXCEED 0.46 (0.018).
MINIMUM SPACE BETWEEN PROTRUSION AND
ADJACENT LEAD OR PROTRUSION 0.07 (0.003).

MIlUMETERS
DIM
A
AI
8

BI
C
C2
D
E
F
G

J
K

HI

VIEWAA

Logic: Standard, Special and Programmable

•
3.1-76

S
SI
U

V
VI
W

Z
9
91
92
93

MIN

\lAX
BSC
.OOBSC
lMOBSC
5.00BSC
1.70
0.05
0.20
1.30
1.50
0.40
0.20
0.45
0.75
0.22
0.35
O.65BSC
0.07
0.20
0.50 REF
0.08
020
12.00BSC
6.008SC
0.09
0.16
12.00BSC
6.00 BSC
F
1.00 REF
QO
70
00
12 0 REF
50
13 0

INCHES
MIN
\lAX
0.394B8

.197850
O.394BSC
O.I97BSC
0.067
0.002 0.008
0.051
.059
0.008 0.016
0.018 0.030
0.009 M14
O.026BSC
0.003 0.008
0.020 REF
0.003 0.008
0.472850
0.236BSC
0.004 0.006
0.4728SC
0.236BSC
0.008 REF
0.039 REF
00
70
00
12 0 REF
50
13 0

Motorola Master Selection Guide

56-Pin Packages
DTSUFFIX
PLASTIC TSSOP PACKAGE
CASE 1202-{)1
ISSUE A

;~

@

NOTES:
1 DIMENSIONING AND TOLERANCING PER
ANSIY145M.1962
CONTROLLING DIMENSION MILLIMETER
DIMENSIONS A AND B DO NOT INCLUDE
MOLO FLASH, PROTRUSIONS OR GATE
BURRS MOLD FLASH OR GATE BURRS
SHALL NOT EXCEED 0.15 (0 0061 PER SIDE
4 DIMENSION K DOES NOT INCLUDE DAMBAR
PROTRUSION AlLOWABLE DAMBAR
PROTRUSION SHALL BE 0 06 (0.0031 TOTAL
IN EXCESS OF THE K DIMENSION AT
MAXIMUM MATERIAL CONDITION
5 TERMINAL NUMBERS ARE SHOWN FOR
REFERENCE ONLY.
6 DIMENSIONS A AND B ARE TO BE
DETERMINED AT DATUM PLANE -W-

J Jl

:::>
I-

@

SECTIONN-N

6'

q

e.
..,.
'"
ci
'"

~

a
PIN 1
IDENT.

DIM
A
B
C
D
F
G
H

DETAILE

D-J~_~LdJsrE(
~1~~
Iff
j L
/

OOI-W-l-w-

m

1010·076 (0.003)
SEATING
PLANE

Motorola Master Selection Guide

J
Jl

K
Kl

L
M

MILLIMETERS
MIN
MAX
1390 1410
600
6.20
110
0.05
015
075
0.50
050 Bse
0.12
0.09
0.20
0.16
009
017
027
017
023
7.95
625
0°
6°

INCHES
MIN
MAX
0547 0555
0236 0244
0043
0002 0.006
0020 0030
0.0197 Bse
0005
0004 0.008
0004 0006
0.007
0011
0.007
0.009
0313 0325
0°
6°

DETAIL E

G

H

3.1-77

Logic: Standard, Special and Programmable

I

68-Pln Package
FNSUFFIX
PLASTIC PLCC PACKAGE
CASE 779-02
ISSUEC

-UI$1 0.007(0.18)@l r IL-M®IN®1

YBRK

o

L
i5~
~fo
S~
Sf>

\z

E~1i5~
~fo
S~
~
;2~

x--+l

o

-Gl

VIEWD-D

1$10.Ol0(O.25)®l r IL-M®IN®1

NOTES:
1. DATUMS -1.-. -M-. AND -I/- DETERMINED WHERE TOP OF
LEAD SHOUlDER EXITS PLASTIC SODY AT MOLD
PARllNG UNE.
2. DIMENSION Gl. TRUE POsrrlON TO BE MEASURED AT
DATUM -T-. SEATING PLANE.
3. DIMENSIONS RAND U DO NOT INCLUDE MOLD FLASH.
ALLOWABLE MOLD FLASH IS 0.010 10.250) PER SIDE.
4. DIMENSIONING AND TOLERANCING PER ANSI Y14.5M,

1982.

~.004 (0.10) 1

c

-T-

SEAllNG
PLANE

VIEWS

1$1 0.010(0.25)®l r l L-M®IN®I

5. CONTROLLING DIMENSION: INCH.
6. THE PACKAGE TOP MAY BE SMALLER THAN THE
PACKAGE BOTTOM BY UP TO 0.01210.300). DIMENSIONS
RAND U ARE DETERMINED AT THE OUTERMOST
EXTREMES OF THE PLASllC BODY EXCLUSIVE OF MOLD
FLASH, llE BAR BURRS, GATE BURRS AND INTERLEAD
FLASH, BUT INCLUDING ANY MISMATCH BETWEEN THE
TOP AND BOTTOM OF THE PLASllC BODY.
7. DIMENSION H DOES NOT INCLUDE DAMBAR
PROTRUSION OR INTRUSION. THE DAMBAR
PROTRUSIONIS) SHALL NOT CAUSE THE H DIMENSION
TO BE GREATER THAN 0.03710.940). THE DAMBAR
INTRUSIONIS) SHALL NOT CAUSE THE H DIMENSION TO
BE SMALLER THAN 0.02510.635).
DIM

A

HI$I 0.OO7(O.18)@l r IL-M®IN®1

B

C
E
F
G
H

J
K

R
U
V
W

X
Y

Z

VIEWS

Logic: Standard, Special and Programmable

Gl
Kl

3.1-78

INCHES
MIN
MAX
0.985 0.995
0.985 0.995
0.165 0.160
0.090 0.110
.0.013 0.019
O.o50BSC
0.026 0.032
0.020
0.025
0.956
0.950 0.956
"Q,042 0.048
0.042 0.048
0.042 0.056
0.020
10°
2°
0.910 0.930
0.040

-

-

MIllIMETERS

MIN

MAX

25.02 25.27
25.02 25.27
4.20
4.57
2.29
2.79
0.3
0.48
1.27 BSC
0.66
0.81
0.51
0.64
24.13 24.28
24.13 24.28
1.07
1.21
1.07
1.21
1.07
1.42
0.50
10°
2°
23.12 23.62
1.02

-

Motorola Master Selection Guide

Programmable Array
84-Pin Package
FN SUFFIX
PLASTIC PLCC PACKAGE
CASE 780-01
ISSUE A

81-$1 0.007(0.lB)®ITI L-M®IN®I
UI-$I 0.007 (O.lB)®1 TI L-M® I N®I

YBRK

D

D
X

G1

1-$10.010(0.25)®ITIL-M®IN®1
A

VIEWD-D

1-$1 0.007(0.18)®ITI L-M®I N®I
R

VIEWS

1-$1 0.007(0.18)®ITI L-M®I N®I

~JtH

m

)1

K1~

~~~~~~~~~~~~E~~
__~K~~F
10[0.004(0.10) I
G1

1"-$""1'1-0.-00-7(-o.l-B)~®"'I--'TIr-L--M""'®"'I-N®~SI

SEAT1NG
PLANE

1-$1 0.007 (o.lB)®1 TI L-M®I N®I

VIEWS

1-$10.010(0.25)®ITIL-M®IN®1
NOTES:
1. DATUMS -l-. -M-. -N-. AND-PDETERMINED WHERE TOP OF lEAD
SHOULDER EXITS PACKAGE BODY AT MOLD
PARTING LINE
2. DIMENSION G1. TRUE POSITION TO BE
MEASURED AT DATUM -T-. SEATING PLANE.
3. DIMENSIONS RAND U DO NOT INCLUDE
MOLD FLASH. AllOWABLE MOLD FlASH IS
001010.25) PER SIDE.
4 DIMENSIONING AND TOLERANCING PER
ANSI Y14 5M, 1982.
5. CONTROlliNG DIMENSION' INCH.
6. THE PACKAGE TOP MAY BE SMAllER THAN
THE PACKAGE BOTTOM BY UP TO 0.012
10.300) DIMENSIONS RAND U ARE
DETERMINED AT THE OUTERMOST
EXTREMES OF THE PLASTIC BODY
EXCLUSIVE OF MOLD FLASH, TIE BAR
BURRS, GATE BURRS AND INTERlEAD
FLASH. BUT INCLUDING ANY MISMATCH
BETWEEN THE TOP AND BOTTOM OF THE
PLASTIC BODY.
7. DIMENSION H DOES NOT INCLUDE DAMBAR
PROTRUSION OR INTRUSION. THE DAMBAR
PROTRUSIONIS) SHAll NOT CAUSE THE H
DIMENSION TO BE GREATER THAN 0.037
10.94) THE DAMBAR INTRUSIONIS) SHAll
NOT CAUSE THE H DIMENSION TO BE
SMAllER THAN 0.02510.635).

Motorola Master Selection Guide

3.1-79

DIM
A
B
C
E
F
G
H
J
K
R
U
V

W
X
Y
Z
G1
K1

INCHES
MIN
MAX
1.185 1195
1.185 1.195
0.165 0.180
0.090
0.110
0.013
0.019
oOSO BSC
0.026 0.032
0020
0.025
1.150 1.156
USO 1156
0.042 0.048
0042 0048
0.042 0.056
0.020
2°
10°
1.110
1.130
0.040

MilLIMETERS
MIN
MAX
3{).10 30.35
3010 3{).35
420
457
229
2.79
033
048
1.27BSC
0.66
0.81
0.51
0.64
2921
2936
29.21
29.36
107
121
107
1.21
1.07
142
O.SO
2°
10°
2820 28.70
1.02

Logic: Standard, Special and Programmable

Programmable Array
128-Pln Package
DDSUFFIX
PLASTIC QFP PACKAGE
CASE 862A-02
ISSUES

( + - - - - - - L -----~

@>
Cl

@>

'"J:
v

~p

(,)

®

~

DETAIL A

~

CO!

eo
"!
0

-$33

Mjt-...,...--

**

L-~~-r-------------------------

DETAILC

NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M.1982.
2. CONTROlLING DIMENSION: MILLIMETER
3. DATUM PlANE -11-IS LOCATED AT BOTTOM OF
LEAD AND IS COINCIDENT WITH THE LEAD
WHERE THE LEAD EXITS THE PLASTIC BODY AT
THE BOTTOM OF THE PAR11NG LINE.
4. DATUMS -A-. -B- AND -o-TO BE DETERMINED
AT DATUM PlANE-I+-.
5. DIMENSIONS S AND VTO BE DETERMINED AT
SEATING PlANE-C-.
6. DIMENSIONS A AND B DO NOT INCLUDE MOLD
PROTRUSION. ALLOWABLE PROTRUSION IS
0.25 (0.010) PER SIDE. DIMENSIONS A AND B DO
INCLUDE MOLD MISMATCH AND ARE
DETERMINED AT DATUM PlANE-I+-.
7. DIMENSION D DOES NOT INCLUDE DAMBAR
PROTRUSION. ALLOWABLE DAMBAR
PROTRUSION SHALL BE 0.08 (0.003) TOTAL IN
EXCESS OF THE D DIMENSION AT MAXIMUM
MATERIAL CONDITION. DAMBAR CANNOT BE
LOCATED ON THE LOWER RADIUS OF THE

FOOT.

-H- ~~

MILLIMETERS

H

MIN

MAX

MIN

A
B
C

27.90
27.90

1.098
1.096

D

28.10
28.10
4.07
0.45

0.30
3.17
3.67
0.30
OM
O.BOesc
0.25
0.35
0.13
0.23
.65
0.95
24.BO REF
16°
5°
0.13
0.17
0.40 BSC
7°
0°
0.13
0.30
30.95 31.45
0.13
0°
30.95 31.4!i
0.40
1.80 REF
1.80 REF
1.60 REF

E
F

G
H

L~~

K
L

M
N

~Wi~

P

Q

DETAILB

Q

R

S
T
U
V

I-$-I O.20(o.o08)®lcl A-B ®I D ®I

W

DETAILC

X
Y

Z

Logic: Standard. Special and Programmable

3.1-80

INCHES

DIM

MAX

1.106
1.106
0.160
0.012 0.018
0.125 0.144
0.012 0.016
0.032 esc
0.010 0.014
0.005
0.009
0.026 0.037
0.976 REF
16°
5°
0.005 11007
0.016 sse
0°
7°
0.005 0.012
1.219 1.238
0.005
0°
1.219 1.238
0.016
0.063 REF
0.063 REF
0.063 REF

Motorola Master Selection Guide

Programmable Array
16o-Pin Package
DH SUFFIX
PLASTIC QFP PACKAGE
CASE 864A-03
ISSUEC

V1 1-

L

·1

181

1120

[

@>

@>

0

0

@>

@>

1

1
u

L

V
~ ~
0

L_

o

e- -l

®

iD

~
~
0

eDETAIL A

Z

-l

.

1$1 O.20(O.008)®lcl A-B ®I D®I
Ie-I O.13(o.o05)®lcl A-B ®I D®I
SECTIONB-B
IETERS
111M

DETAILC

NOTES:
1. DIMENSIONING AND TOlERANCING PER ANSI
Y14.5M,1982.
2. CONTROLLING DIMENSION: MILLIMETER.
3. DATUM PLANE -H-IS LOCATEO AT BOTTOM OF
LEAD AND IS COINCIDENT WITH THE LEAD
WHERE THE LEAD EXITS THE PLASTIC BODY AT
THE BOTIDM OF THE PARTING LINE.
4. DATUMS -A-, -B- AND"[}- TO BE DETERMINED
AT DATUM PLANE-H-.
5. DIMENSIONS SAND VTO BE DETERMINED AT
SEATING PLANE-C-.
6. D~ENSIONS A AND B 00 NOT INCLUDE MOLD
PROTRUSION. ALLOWABLE PROTRUSION IS 025
(0.010) PER SIDE. D~ENSIONS A AND B 00
INCLUDE MOLD MISMATCH AND ARE
DETERMINED AT DATUM PLANE-H-.
7. DIMENSION 0 OOES NOT INCLUDE DAMBAR
PROTRUSION. ALLOWABLE DAMBAR
PROTRUSION SHALL BE 0.08 (0.003) TOTAL IN
EXCESS OF THE 0 DIMENSION AT MAXIMUM
MATERIAL CONDITION. DAMBAR CANNOT BE
LOCATED ON THE LOWER RADIUS OR THE FOOT.

0..

O.C

0.113
iRE

16"
0:

O.

1.19
BS
7"

0.0'

7"
~

-

31.
31.40
0.40
1.6£ REF

0,
aSI

-

1.236
0.016
O.O~

REF

REf

Motorola Master Selection Guide

3.1-81

Logic: Standard, Special and Programmable

Programmable Array
20S-Pin Package
OK SUFFIX

PLASTIC QFP PACKAGE
CASE 872A-01
ISSUE 0

Yl

1 - - - - - - L,-----~
16

€>
Cl

€>

€>

€>

~

J:

:c

Cl

ttl

ttl

J:
® c;;co
0

L.

0

0
0

N

'"q

eeo
0

0

-$- -l
53

V

0

®
co
0
0

e0
N

0

-$-

NOTES.
1. DIMENSIONING AND TOLERANCING PER ANSI
YI4.5M.I982.
2, CONTROLLING DIMENSION: MILLIMETER.
3. DATUM PlANE -I1-IS LOCATED AT BOTTOM OF
LEAD AND IS COINCIDENT WITH THE LEAD
WHERE THE LEAD EXITS THE PLASTIC BODY AT
THE BOTTOM OF THE PARTING LINE.
4. DATUMS -A-, -B- AND -D- TO BE DETERMINED
AT DATUM PlANE-H-.
5. DIMENSIONS S AND V TO BE DETERMINED AT
SEATING PLANE-C-.
6. DIMENSIONS A AND B 00 NOT INCLUDE MOLD
PROTRUSION. ALLOWABLE PROTRUSION IS
0.25(0.010) PER SIDE. DIMENSIONS A AND B
DO INCLUDE MOLD MISMATCH AND ARE
DETERMINED AT DATUM PLANE -11-,
7, DIMENSION 0 OOES NOT INCLUDE DAMBAR
PROTRUSION. DAMBAR PROTRUSION SHALL
NOT CAUSE THE 0 DIMENSION TO EXCEED
0.38(0015).

MILLIMETERS
MIN
MAX
27.90 28,10
27.90
28.10
3,45
4.10
0.14
0.30
3.20
3.60
0.14
0.26
0.50 BSC
0.25
0.35
0.09
0.20
0.70
0.90
25.S0REF
go
So
0.09
0.18
0.2S8SC
7°
°
0.13
0.30
31.00 31,40
.13
0°
31.00 31.40
0.40
1.60 REF
1.2SREF
1.2SREF

DIM
A
8

C
52

Z

D
E
F
G
H

J
K
L

M
N

P

S

Q

R

1-$-10.20 (0.008)®I CI A-B ® 1D®I

S

~qg~~mGDD~~~mmumDDmmMnm~~~-rIQ-r10-'10-(-0'0-M~)1
~~~f

H

.'" DETAIL B

T
U
V

W
X
y
Z

INCHES
MIN
MAX
1.098 1.106
1.098 1.106
0.136
0.161
0.005 0.012
1.126 0.142
0.005 0.010
0.020 SSC
0.010 0.014
0.003 0.008
0.027 0.036
1.004 REF
So
9°
0.003 0.007
0.010SSC
7°
0°
0005 0.012
1.220 1.236
0.005
0°
1.220 1.236
0.016
0.063 REF
0.049 REF
0.04S REF

M

p

I-A-, -B-, -0-1
DETAIL A

Logic: Standard, Special and Programmable

1$1 0.06(0.002)®ICIA-B ® 1D®I
DETAILB
SECTIONB-B
ROTATED 7 ° CCW

3.1-82

DETAILC

Motorola Master Selection Guide

Programmable Array
224-Pln Package
KESUFFIX
PIN GRID ARRAY PACKAGE
CASE 860F-Q1
ISSUED

,

B

0.080 MAX

I
I

I
I

-- ---+--- - I

!
I
I

1

j

au

1

-D-~'~

1+--1.

,
!I
I.

NOTES:
1. DiMeNSIONS ARE IN INCHES.
2. INTERPRET DIMENSIONS AND TOLERANCES
PER ASME Y14.5M, 1994.
3. MINIMUM SPACING BETWEEN CONDUCTORS
SHALL BE 0 020

DIM
A

D
E
L

Motorola Master Selection Guide

3.1-83

INCHCS
IIIN
MAX
0.070
1.7
1.740

0.145
1.780
1.780
JlJOO_ JL201l
0.045 0.075

Logic: Standard. Special and Programmable

I"
':\

Programmable Array
299-Pin Package
HVSUFFIX
PIN GRID ARRAY PACKAGE
CASE 8618-01
ISSUE 0

,

!16Xo.100
B

0.080 MAX"
SEATING
PLANE

I
I
I

d

--1I

~ :~~~~~~0m3:~'
0000000' .. 00000000 • ~ xf
00000000' . 00000000'

~H :~~~~
·0000

--- ---+--- - - I
I
I

I
I
I
0

'"

A • • • • • • • • • ;!,<;, •••••••
D •
E •

II

I. . . - - -

I-- -

J

'0000

K w..0.e0~L
L re>-e000

III

I
__

~~~~:
0000·

0000·
+I __ -0000<-

8

~

~~ d~

-..0.0e..~

0

=
:~~~~
I
~~~~:
P '0000
I
0000'
~ :~~~~ 00°11"000~~~~:

1

u ':il0000000"'0000000'
y "0000000"00000000'
w··0000000··00000000·
y •••••••• •

':\'mr. ••••••••

------o.~~_

NOTES:
1. DIMENSIONS ARE IN INCHES.
2. INTERPRET DIMENSIONS AND TOLERANCES
PER ASME Y14.5M, 1994.
3. MINIMUM SPACING BETWEEN OCNDUCTORS
SHALL BE 0 020

DIM
A

D
E
L
Q

S

Logic: Standard, Special and Programmable

3.1-84

INCHES
MIN
MAX
0.070 0.145
2.040 2.080
2.040 2.080
0.100 0.200
0.045 0.075
0.00 BSC

Motorola Master Selection Guide

Packaging Information
Surface Mount
Why Surface Mount?
Surface Mount Technology is utilized to offer answers to
many problems that have been created in the use of insertion
technology.
Limitations have been reached with insertion packages
and PC board technology. Surface Mount Technology
offers the opportunity to continue to advance the stateof-the-art designs that cannot be accomplished with
Insertion Technology.
Surface Mount Packages allow more optimum device
performance with the smaller Surface Mount configuration.
Internal lead lengths, parasitic capacitance and inductance
that placed limitations on chip performance have been
reduced.
The lower profile of Surface Mount Packages allows more
boards to be utilized in a given amount of space. They are

stacked closer together and utilize less total volume than
insertion populated PC boards.
Printed circuit costs are lowered with the reduction of the
number of board layers required. The elimination or reduction
ofthe number of plated through holes in the board, contributes
significantly to lower PC board prices.
Automatic placement equipment is available that can place
Surface Mount components at the rate of a few thousand per
hour to hundreds of thousands of components per hour.
Surface Mount Technology is cost effective, allowing the
manufacturer the opportunity to produce smaller units andlor
offer increased functions with the same size product.
Surface Mount assembly does not require the preparation
of components that are common on insertion technology lines.
Surface Mount components are sent directly to the assembly
line, eliminating an intermediate step.

Pin Conversion Tables
Dual-in-Line Package to PLCC Pin Conversion Data
The following table gives the equivalent 1/0 pinouts of Dual-In-Line Package (DIP) configuration and Plastic Leaded Chip
Carrier (PLCC) packages.'

Conversion Tables
8 PIN DIP

8

20 PIN PlCC

20

14PIN DIP

14

20 PIN PlCC

20

16 PIN DIP

16

20 PIN PlCC

20

20 PIN DIP

20

20 PIN PlCC

20

24 PIN DIP

24

28 PIN PlCC

28

• The MC1648 has a Non-Standard Conversion Table. For more information, refer to the Molorola MECL Data Book, DL 122/0.

Motorola Master Selection Guide

3.1-85

logic: Standard, Special and Programmable

Tape and Reel
Logic Integrated Circuits
Motorola's tape and reel packaging fully conforms to the latest EIA RS-481 A specification. The antistatic embossed tape provides a secure cavity sealed with a peel-back cover tape.

Mechanical Polarization
Typical

PLCC Devices

View from
tape side

..
Linear direction of travel
Typical
I
I

SOIC Devices

I

I t t

t

t

t

t

0-0-0-0-0-0-0-0

, [8[8[8'

View from
tape side

Linear direction of travel

General Information
-Reel Size
-Tape Width

- UnitS/Reel

13 inch (330 mm) Suffix: R2
12 mm to 24 mm (see table)

500 to 5000 (see table)

Ordering Information
To order devices which are to be delivered in Tape and Reel, add the suffix R2 to the device number being ordered.

Tape and Reel Data
Device Type
PLCC-20
PLCC-28
S0-8
SO-14
SO-16
SO-16 Wide
S0-20Wide

Tape Width
(mm)

Devlce/Reel

Reel Size
(inch)

Min Lot Size Per Part No.
Tape and Reel

16
24
12
16
16
16
24

1,000
500
2,500
2,500
2,500
1,000
1,000

13
13
13
13
13
13
13

3,000
500
5,000
5,000
5,000
5,000
5,000

Logic: Standard, Special and Programmable

3.1-86

Motorola Master Selection Guide

Analog and Interface
Integrated Circuits

In Brief . ..
Motorola Analog and Interface Integrated Circuits cover a
much broader range of products than the traditional op amps/
regulators/consumer-image associated with Analog suppliers. Analog circuit technology currently influences the design
and architecture of equipment for all major markets. As with
other integrated circuit technologies, Analog circuit design
techniques and processes have been continually refined and
updated to meet the needs of these diversified markets.
Operational amplifiers have utilized JFET inputs for
improved performance, plus innovative design and trimming
concepts have evolved for improved high performance and
precision characteristics. In analog power ICs, basic voltage
regulators have been refined to include higher current and
voltage levels, low dropout regulators, and more precise
three-terminal fixed and adjustable voltages. The power area
continues to expand into switching regulators, power supply
control and supervisory circuits, motor controllers, and battery
charging controllers.
Analog designs also offer a wide array of line drivers,
receivers and transceivers for many of the EIA, European,
IEEE and IBM interface standards. Peripheral drivers for a
variety of devices are also offered. In addition to these key
interface functions, hard disk drive read channel circuits,
1OBASE-T and Ethernet circuits are also available.
In Data Conversion, a high performance video speed flash
converter is available, as well as a variety of CMOS and
Sigma-Delta converters. Analog circuit technology has also
provided precision low-voltage references for use in Data
Conversion and other low temperature drift applications.
A host of special purpose analog devices have also been
developed. These circuits find applications in telecommunications, radio, television, automotive, RF communications, and
data transmission. These products have reduced the cost of
RF communications, and have provided capabilities in telecommunications which make the telephone line convenient
for both voice and data communications. Analog developments have also reduced the many discrete components
formerly required for consumer functions to a few IC packages and have made significant contributions to the rapidly
growing market for electronics in automotive applications.
The table of contents provides a perspective of the many
markets served by Analog/Interface ICs and of Motorola's
involvement in these areas.

Motorola Master Selection Guide

4.o-t

Amplifiers and Comparators ..................... 4.1-1
Power Supply Circuits ........................... 4.2-1
Power/Motor Control Circuits. . . . . . . . . . . . . . . . . . . .. 4.3--1
Voltage References ............................. 4.4-1
Data Conversion ............................... 4.5-1
Interface Circuits ............................... 4.6-1
Communication Circuits ......................... 4.7-1
Consumer Electronic Circuits .................... 4.8-1
Automotive Electronic Circuits. . . . . . . . . . . . . . . . . . .. 4.9-1
Other Analog Circuits .......................... 4.10-1
Tape and Reel Options ......................... 4.11-1

© MOTOROLA,INC.1997

Analog and Interface Integrated Circuits

Analog and Interface Integrated Circuits

4.0-2

Motorola Master Selection Guide

Amplifiers and Comparators

In Brief ...
For over two decades, Motorola has continually refined
and updated integrated circuit technologies, analog circuit
design techniques and processes in response to the needs
of the marketplace. The enhanced performance of newer
operational amplifiers and comparators has come through
innovative application of these technologies, designs and
processes. Some early designs are still available but are
giving way to the new, higher performance operational
amplifier and comparator circuits. Motorola has pioneered in
JFET inputs, low temperature coefficient input stages, Miller
loop compensation, all NPN output stages, dual-doublet
frequency compensation and analog "in-the-package"
trimming of resistors to produce superior high performance
operational amplifiers and comparators, operating in many
cases from a single supply with low input offset, low noise,
low power, high output swing, high slew rate and high
gain-bandwidth product at reasonable cost to the customer.
Present day operational amplifiers and comparators find
applications in all market segments including motor controls,
instrumentation, aerospace, automotive, telecommunications,
medical, and consumer products.

Motorola Master Selection Guide

Operational Amplifiers ...........................
Single ......................................
Dual .......................................
Quad .......................................
High Frequency Amplifiers . .. . . . . . . . . . . . . . . . . . . ..
AGC .......................................
Miscellaneous Amplifiers ........................
Bipolar .....................................
CMOS .....................................
Comparators. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ..
Single . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ..
Dual .......................................
Quad .......................................
Package Overview .............................

4.1-1

Page
4.1-2
4.1-2
4.1-3
4.1-4
4.1-5
4.1-5
4.1-6
4.1-6
4.1-6
4.1-7
4.1-7
4.1-7
4.1-7
4.1--8

Analog and Interface Integrated Circuits

Ii
il

Operational Amplifiers
Motorola offers a broad line of bipolar operational
amplifiers to meet a wide range of applications. From low-<:ost
industry-standard types to high precision circuits, the span
encompasses a large range of performance capabilities.
These Analog integrated circuits are available as single, dual

and quad monolithic devices in a variety of temperature
ranges and package styles. Most devices may be obtained in
unencapsulated "chip" form as well. For price and delivery
information on chips, please contact your Motorola Sales
Representative or Distributor.

Table 1. Single Operational Amplifiers
liB

(1lA)
Device

Max

VIO
(mV)
Max

110

TCVIO
(!IVrC)
Typ

Avol
(V/mV)
Min

(nA)
Max

BW
(Av = 1)
(MHz)
Typ

SR
(Av=1)
(V/IlS)
Typ

Supply
Voltage
(V)
Min

Description

Max

Suffix!
Package

General Purpose
Precision

General Purpose

Internally Compensated
Commercial Temperature Range (O°C to +70°C)
LF351
LF411C

JFETlnput
JFET Input, Low Offset,
Low Drift
High Voltage
General Purpose
I1Power, Programmable
Low Cost,
I1Power, Programmable
JFET Input
JFET Input
High Performance
Single Supply
Decompensated
High Speed, JFET Input
Low Power, JFET Input
Low Noise, JFET Input
Low Noise, JFET Input
JFET Input
JFET Input

N/626, 0/751
N/626, 0/751

200pA
200pA

10
2.0

10
10

100pA
100pA

25
25

4.0
8.0

13
25

±5.0
+5.0

±18
±22

MC1436, C
MC1741C
MCI776C
MC3476

0.04
0.5
0.003
0.05

10
6.0
6.0
6.0

12
15
15
15

10
200
3.0
25

70
20
100
50

1.0
1.0
1.0
1.0

2.0
0.5
0.2
0.2

±15
±3.0
±1.2
±1.5

±34
±18
±18
±18

MC34001
MC34001B
MC34071
MC34071A
MC34080B
MC34081B
MC34181
TL071AC
TL071C
TL081AC
TL081C

200pA
200pA
0.5
500nA
200pA
200pA
0.1 nA
200pA
200pA
200pA
400pA

10
5.0
5.0
3.0
1.0
1.0
2.0
6.0
10
6.0
15

10
10
10
10
10
10
10
10
10
10
10

100pA
100pA
75
50
100pA
100pA
0.05
50pA
50pA
100pA
200pA

25
50
25
50
25
25
25
50
25
50
25

4.0
4.0
4.5
4.5
16
8.0
4.0
4.0
4.0
4.0
4.0

13
13
10
10
55
30
10
13
13
13
13

±S.O
±S.O
+3.0
+3.0
±5.0
±5.0
±2.5
±S.O
±S.O
±S.O
±S.O

±18
±18
+44
+44
±22

25
50
50
25

4.5
4.5
1.8
4.0

10
10
2.1
10

+3.0
+3.0
+3.0
±2.5

+44

2.2

1.0

1 ±D.9

I

±S.O 1

Low V Rail-to-Rail

P/626, 0/751

2.2

1.0

I ±D.9 I±S.O I

Low V Rail-to-Rail

I P/626, 0/751

±22
±18
±18
±18
±18
±18

PI 1626, 0/751
PI/626,0/751

P1/626, 0/751
P1/626
P/626,0/751

P/626, 0/751
P/626, 0/751
P/626, 0/751
P/626, 0/751
P/626, 0/751
P/626
P/626,0/751

P/626, 0/751
P/626, 0/751
P/626, 0/751

Automotive Temperature Range (-40°C to +85°C)
MC33071
MC33071A
MC33171
MC33181

0.5
500nA
0.1
0.1 nA

5.0
3.0
4.5
2.0

10
10
10
10

75
50
20
0.05

Extended Automotive Temperature Range (-40°C to +105°C)
1MC33201

1250 nA 1 9.0

1

2.0

1 100

1

50

I

Military Temperature Range (-55°C to +125°C)
1MC33201

1400 nA 1 9.0

I

2.0

Analog and Interface Integrated Circuits

I

200

50

4.1-2

+44
+44
±18

High Performance
Single Supply
Low Power, Single Supply
Low Power, JFET Input

P/626,
P/626,
P/626,
P/626,

0/751
0/751
0/751
0/751

Motorola Master Selection Guide

Table 2. Dual Operational Amplifiers
liB
(~A)

Device

Max

VIO
(mV)
Max

TCVIO
(~V/oC)

TYP

110
(nA)
Max

Avol
(V/mV)
Min

SR
BW
(Av= 1) (Av=1)
(MHz)
(V/~s)
Typ
Typ

Supply
Voltage

(V)
Min

Max

Description

Suffix!
Package

Internally Compensated
Commercial Temperature Range (O°C to +70°C)
LF353
LF412C

200pA
200pA

10
3.0

10
10

100 pA
100 pA

25
25

4.0
4.0

13
13

±5.0
+5.0

±18
±18

LF442C
LM358

100pA
0.25

5.0
6.0

10
7.0

50pA
50

25
25

2.0
1.0

6.0
0.6

LM833
MC1458

1.0
0.5

5.0
6.0

2.0
10

200
200

31.6
20

15
1.1

7.0
0.8

±5.0
±1.5
+3.0
+2.5
±3.0

±18
±18
+36
±18
±18

JFET Input
JFET Input, Low Offset,
Low Drift
Low Power, JFET Input
Single Supply,
Low Power Consumption
Low Noise, Audio
Dual MC1741

MC1458C

0.7

10

10

300

20

1.1

0.8

±3.0

±18

General Purpose

MC3458

0.5

10

7.0

50

20

1.0

0.6

±1.5
+3.0

±18
+36

MC4558AC
MC4558C

0.5
0.5

5.0
6.0

10
10

200
200

50
20

2.8
2.8

1.6
1.6

±3.0
±3.0

±22
±18

Split Supplies,
Single Supply,
Low Crossover Distortion
High Frequency
High Frequency

MC34002
MC34002B
MC34072
MC34072A
MC34082
MC34083B
MC34182
TL062AC
TL062C
TL072AC
TL072C
TL082AC
TL082C

loopA
100pA
0.5
500nA
200pA
200pA
0.1 nA
200pA
200pA
200pA
200pA
200pA
400pA

10
5.0
5.0
3.0
3.0
3.0
3.0
6.0
15
6.0
10
6.0
15

10
10
10
10
10
10
10
10
10
10
10
10
10

100pA
70pA
75
50
100pA
100pA
0.05
100pA
200pA
50pA
50pA
100pA
200pA

25
25
25
50
25
25
25
4.0
4.0
50
25
50
25

4.0
4.0
4.5
4.5
8.0
16
4.0
2.0
2.0
4.0
4.0
4.0
4.0

13
13
10
10
30
55
10
6.0
6.0
13
13
13
13

±5.0
±5.0
+3.0
+3.0
±5.0
±5.0
±2.5
±2.5
±2.5
±5.0
±S.O
±5.0
±5.0

±18
±18
+44
+44
±22
±22
±18
±18
±18
±18
±18
±18
±18

JFET Input
JFET Input
High Performance
Single Supply
High Speed, JFET Input
Decompensated
Low Power, JFET Input
Low Power, JFET Input
Low Power, JFET Input
Low Noise, JFET Input
Low Noise, JFET Input
JFET Input
JFETlnput

N/626, 01751
N/626, D1751
Nl626
N/626,01751

N/626, D1751
P1/626,
D1751
P1/626,
01751
P1/626,
D1751
P1/626
P1/626,
D1751
P/626, 01751
P/626, D1751
P/626, D1751
P/626, 01751
P/626
P/626
P/626, D1751
P/626, 01751
P/626, D1751
P/626, 01751
P/626, D1751
P/626, 01751
P/626, 01751

Split or Single Supply
OpAmp
Automotive Temperature Range (-40°C to +85°C)
MC3358

±18
+36
+44
+44

Split or Single Supply

P1/626

10
10

±1.5
+3.0
+3.0
+3.0

High Performance
Single Supply

P/626, D1751
P/626, D1751

7.4

2.6

±2.0

±18

High Output Current

150
31.6

37
16

11
7.0

±2.5
±5.0

±18
±18

Low Noise
Low Noise

P1/626,
P2/648C,
D1751
P/626,01751
Nl626, 01751
P/626, D1751

60
6.0
20

25
15
50

4.6
0.3
1.8

1.7
0.1
2.1

±2.5
±2.5
+3.0

±18
±18
+44

50
0.05
25nA
50pA
100pA

50
25
31.6
50
4.0

5.0
4.0
5.5
30
2.0

2.0
10
11.5
12
6.0

±2.0
±2.5
±1.5
±2.5
±2.5

±18
±18
±18
±18
±18

Sleep-ModeT"
Micropower
Low Power, Single
Supply
High Output Current
Low Power, JFET Input
High Performance
Low Input, Offset JFET
Low Power, JFET Input

5.0

8.0

10

75

20

1.0

0.6

0.50
500nA

5.0
3.0

10
10

75
50

25
50

4.5
4.5

MC33076

0.5

4.0

2.0

70

25

MC33077
MC33078
MC33102
(Awake)
(Sleep)

1.0
750nA

1.0
2.0

2.0
2.0

180
150

MC33172

600nA
60nA
0.10

3.0
3.0
4.5

1.0
1.0
10

MC33178
MC33182
MC33272A
MC33282
TL062V

0.5
0.1 nA
650nA
100pA
200pA

3.0
3.0
1.0

2.0
10
0.56
5.0
10

MC33072
MC33072A

200~V

6.0

Motorola Master Selection Guide

4.1-3

P/626, 01751
P/626, D1751
P/626, D1751
P/626,01751
P/626, D/751
P/626, D1751

Analog and Interface Integrated Circuits

Table 2. Dual Operational Amplifiers (continued)

Device

liB
(IlA)
Max

VIO
(my)
Max

TCVIO
(IlVrC)
Typ

110
(nA)
Max

Avol
(V/mV)
Min

SR
BW
(Av= 1) (Ay = 1)
(MHz)
(V/IlS)
Typ
Typ

Supply
Voltage
(V)
Min

Max

Description

Suffix!
Package

Low V Rail-to-Rail
Rail-to-Rail
with Enable
Split or Single Supply

P/626, 01751
P/646,
01751 A
Nl626, 01751

DP2/648,
DW1751G
N/626, 01751

Extended Automotive Temperature Range (-4D'C to +lD5'C)
MC33202
MC33206
LM2904

250nA

11

2.0

100

50

2.2

1.0

±0.9

±S.O

0.25

10

7.0

50

100
typ

1.0

0.6

±1.5
+3.0

±13
+26

Extended Automotive Temperature Range (-4D'C to +125'C)
TCA0372

500nA

15

20

50

30

1.1

1.4

+5.0

+36

Power Op Amp,
Single Supply

LM2904V

0.25

13

7.0

50

100
typ

1.0

0.6

±1.5
+3.0

±13
+26

Split or Single Supply

50

2.2

1.0

Avol
(V/mY)
Min

BW
(Av = 1)
(MHz)
Typ

SR
(Av = 1)
(V/!!S)
Typ

Military Temperature Range (-55'C to +125'C)
1 MC33202

1400 pA 1

11

1 2.0

1200 pA 1

1 ±0.9 1±6.0 1

Low V Rail-to-Rail

1 P/626, 01751 1

Table 3. Quad Operational Amplifiers

Device

liB
(IlA)
Max

VIO
(mV)
Max

TCVIO
blV/'C)
Typ

110
(nA)
Max

Supply
Voltage
(V)
Min

Max

Description

±S.O
±S.O
±S.O

±18
±18
±18
±16
+32
±18
+36
±18
±18
±18
+44
+44
±22

JFET Input
JFET Input
Low Power, JFET Input
Low Power
Consumption
No Crossover
Distortion
Quad MC1741
JFET Input
JFETlnput
High Performance
Single Supply
High Speed, JFET Input

Suffix!
Package

Internally Compensated
Commercial Temperature Range (D'C to +7D'C)
LF347
LF347B
LF444C
LM324,A

200pA
200pA
100pA
0.25

10
5.0
10
6.0

10
10
10
7.0

100pA
100pA
50pA
50

25
50
25
25

4.0
4.0
2.0
1.0

13
13
6.0
0.6

MC3403

0.5

10

7.0

50

20

1.0

0.6

MC4741C
MC34004
MC34004B
MC34074
MC34074A
MC34084

0.5
200pA
200pA
0.5
500nA
200pA

6.0
10
5.0
5.0
3.0
12

15
10
10
10
10
10

200
100pA
100pA
75
50
100pA

20
25
50
25
50
25

1.0
4.0
4.0
4.5
4.5
8.0

0.5
13
13
10
10
30

±1.5
+3.0
±1.5
+3.0
±3.0
±5.0
±5.0
+3.0
+3.0
±5.0

MC34085B

200pA

12

10

100pA

25

16

55

±S.O

±22

Decompensated

MC34184
TL064AC
TL064C
TL074AC
TL074C
TL084AC
TL084C

0.1 nA
200pA
200pA
200pA
200pA
200pA
400pA

10
6.0
15
6.0
10
6.0
15

10
10
10
10
10
10
10

0.05
100pA
200pA
50pA
50pA
100pA
200pA

25
4.0
4.0
50
25
50
25

4.0
2.0
2.0
4.0
4.0
4.0
4.0

10
6.0
6.0
13
13
13
13

±2.5
±2.5
±2.5

±18
±18
±18
±18
±18
±18
±18

Low Power, JFET Input
Low Power, JFET Input
Low Power, JFET Input
Low Noise, JFET Input
Low Noise, JFET Input
JFET Input
JFET Input

±S.O
±S.O
±5.0

±S.O

Nl646
Nl646
Nl646, D1751A
Nl646, D1751A

P/646,D1751A
P/646,D1751A
P/646
P/646
P/646,D1751A
P/646, 01751 A
P/646,
DW1751G
P/646,
DW1751G
P/646,D1751A
Nl646, D1751A
N/646, D1751A
N/646
N/646
Nl646
Nl646

Split Supplies or
Single Supply
Automotive Temperature Range (-40'C to +85'C)
MC3303

0.5

8.0

10

75

20

1.0

0.6

MC33074

0.5

4.5

10

75

25

4.5

500nA
750nA

3.0
2.5

10
2.0

50
150

50
31.6

4.5
9.0

MC33074A
MC33079

Analog and Interface Integrated Circuits

10

±1.5
+3.0
+3.0

±18
+36
+44

10
7.0

+3.0
±5.0

+44
±18

4.1-4

Differential
General Purpose
High Performance,
Single Supply
High Performance
Low Noise

P/646,DI751A
P/646, D1751A
P/646, D1751A
Nl646, D1751A

Motorola Master Selection Guide

Table 3. Quad Operational Amplifiers (continued)
BW

Device
MC33174
MC33179
MC33184
MC33274A
MC33284
TL064V

liB
(J.lA)
Max

VIO
(mV)
Max

TCVIO
(J.lVrC)
Typ

110
(nA)
Max

Avol
(VlmV)
Min

0.1

4.5

10

20

O.S
0.1 nA
6S0nA
100pA
200pA

3.0
10
1.0
2.0
9.0

2.0
10
0.S6
S.O
10

SO
O.OS
25nA
SOpA
100pA

Supply
Voltage
(V)

SR

(Ay = 1) (Ay = 1)

Suffix!
Package

(MHz)
Typ

(V/J.IS)
Typ

Min

Max

Description

SO

1.8

2.1

+3.0

+44

SO
2S
31.6
SO
4.0

5.0
4.0
S.5
30
2.0

2.0
10
11.S
12
6.0

±2.0
±2.S
±l.S
±2.S
±2.S

±18
±18
±18
±18
±18

Low Power, Single
Supply
High OutpU1 Current
Low Power, JFET Input
High Performance
Low Input, Offset JFET
Low Power, JFET Input

P/646,OnS1A
P/646,OnS1A
P/646,OnS1A
P/646,OnS1A
N/646, OnS1A

2.2
2.2
3.0
1.0

1.0

±D.9
±D.9
+1.8
±l.S
+3.0

±S.O
±S.O
+12
±13
+26

Low V Rail-to-Rail
RaiHo-Rail with Enable
Sleepmode, Rail-to-Rail
Oifferential Low Power

P/646,OnS1A
P/648, OnSl B
P/646,OnS1A
Nl646,OnS1A

P/646,OnS1A

Extended Automotive Temperature Range (_40°C to +105°C)
MC33204
MC33207
MC33304
LM2902

2S0nA

13

100

2.0

SO

so
O.S

-

10

50

2S
lS

0.6

Oifferential Low Power
Military Temperature Range (-55°C to +125°C)
1400 pA 1

1MC33204

13

1 2.0

1200 pA 1

2.2

SO

1.0

1 ±D.9 1 ±S.O 1

Low V Raii-to-Rail

1P/646, OnS1A 1

High Frequency Amplifiers
A variety of high frequency circuits with features ranging
from low cost simplicity to multifunction versatility marks
Motorola's line of integrated amplifiers. Devices described
here are intended for industrial and communications
applications. For devices especially dedicated to consumer
products, i.e., TV and entertainment radio. (See the
Consumer Electronics Circuits section.)

AGC Amplifiers
MC1490/MC1350 Family Wideband
General Purpose Amplifiers
The MC1490 and MC1350 family are basic building
blocks - AGC (Automatic Gain Controlled) RFNideo

Amplifiers. These parts are recommended for applications
up through 70 MHz. The best high frequency performance
may be obtained by using the physically smaller sOle
version (shorter leads) - MC1350D. There are currently no
other RF ICs like these, because other manufacturers have
dropped their copies. Applications include variable gain
video and instrumentation amplifiers, IF (Intermediate
Frequency) amplifiers for radio and TV receivers, and
transmitter power output control. Many uses will be found in
medical instrumentation, remote monitoring, video/graphics
processing, and a variety of communications equipment.
The family of parts using the same basic die (identical circuit
with slightly different test parameters) is listed in the
following table.

Table 4. High Frequency Amplifier Specifications
Operating
Temperature Range

Bandwidth
@MHz

AV
(dB)

_40° to +85°C

0° to +70°C

-

MC13S0

SO

4S

MC1490

-

SO

10
60
100

Typical

45
3S

Motorola Master Selection Guide

4.1-5

VCcNEE
(Vdc)
Minimum

Maximum

Suffix!
Package

+6.0

+18

P/626,OnSl

P/626

Analog and Interface Integrated Circuits

Miscellaneous Amplifiers
Motorola provides several Bipolar and CMOS special
purpose amplifiers which fill specific needs. These devices

range from low power CMOS programmable amplifiers and
comparators to variablEl-9ain bipolar power amplifiers.

MC3405

Output 1

Dual Operational Amplifier and
Dual Voltage Comparator

Inputs 1 {

This device contains two Differential Input Operational
Amplifiers and two Comparators; each set capable of single
supply operation. This operational amplifier-comparator
circuit will find its applications as a general purpose product for
automotive circuits and as an industrial "building block."

Vee
Inputs 2 {

Output 2

Table 5. Bipolar
liB
Device

Max

VIO
(mV)
Max

MC3405

0.5

10

UtA)

110
(nA)
Max

Avol
(V/mV)
Min

Response
(I1S )
Typ

Single

50

20

1.3

3.01036

Supply Voltage

I

Dual

I ±1.510±18

Suffix!
Package
P/646

MC14573

Quad Programmable Operational Amplifier
MC14575

Dual Programmable Operational Amplifier and Dual Programmable Comparator
MC14576CIMC14577C

Dual Video Amplifiers

Table 6. CMOS
Quantity
Per Package

Single Supply
Voltage Range

Dual Supply
Voltage Range

Frequency Range

Operalional Amplifiers

4

3.01015 V

±1.5 10 ±7.5 V

OCto 1.0 MHz

MC14573

P/648, 01751 B

Operalional Amplifiers
and Comparators

2and2

3.01015 V

±1.5 10 ±7.5 V

DC 10 1.0 MHz

MC14575

P/648, 01751 B

2

5.0 to 12 v(1)

±2.5 to ±S.O V(2)

Up to 10MHz

MC14576C
MCI4577C

Function

Video Amplifiers

Device

Suffix!
Package

P/626, F/904

(1) 5.0 to 10 V for surface mount package.
(2) ±2.5 to ±5.0 V for surface mount package.

Analog and Interface Integrated

Circu~s

4.1-6

Motorola Master Selection Guide

Comparators
Table 7. Single Comparators
liB
Device

110

Max

VIO
(my)
Max

(itA)
Max

0.1
0.25

3.0
7.5

0.01
0.05

(j.lA)

AV
(VN)
Typ

(mA)
Min

Response
Time
(ns)

Supply
Voltage
(V)

200k

8.0

200

+15.-15

110

Temperature
Range
Description

ee)

Suffix!
Package

-25 to +85
Oto+70

Nl626.

Bipolar
LM211
LM311

With strobe. will operate
from single supply

Dn51
Dn51

Requires only 10 I1A from
single-ended supply

Table 8. Dual Comparators
liB

(j.lA)
Device

Max

110

110

(itA)
Max

AV
(VN)
Typ

(mA)
Min

5.0
2.0
7.0
7.0

0.05

200k

6.0

VIO
(my)
Max

Response
Time
(ns)

Supply
Voltage
(V)

Temperature
Range

1300
1300
1500
1500

±1.5to±18
or
3.0 to 36

Designed for single or split
supply operation. input
common mode includes
ground (negative supply)

Oto+70
Oto+70
-40 to +105
-40 to +125

N/626.

Description

(Oe)

Suffix!
Package

Bipolar
LM393
LM393A
LM2903
LM2903V

0.25

MC3405

0.5

10

0.05

200k

6.0

1300

±1.5 to±7.5
or
3.0 to 15

This device contains 2 op
amps and 2 comparators in
a single package

Oto+70

P/646

0.001

30

0.0001

2.0 k

3.0

1000

±1.5to±7.5
or
3.0 to 15

This device contains 2 op
amps and 2 comparators in
a single package

-40 to +85

P/648.
Dn51B

110
(mA)
Min

Response
Time
(ns)

Supply
Voltage
(y)

6.0

1300

±1.5to±18
or
3.0 to 36

3.0

Dn51

CMOS
MC14575

Table 9. Quad Comparators
VIO
(mY)
Max

110
(j.lA)

0.05

0.5

5.0
2.0
5.0
2.0
7.0
7.0
20

0.5

200k
200k
200k
200k
100 k
100 k
100 k

0.001

30

0.0001

2.0 k

liB

(ItA)
Device

Max

Max

AV
(VN)
Typ

Temperature
Range
Description

ee)

Suffix!
Package

Bipolar
LM239
LM239A
LM339
LM339A
LM2901
LM2901V
MC3302

0.25

Designed for single or split
supply operation. input
common mode includes
ground (negative supply)

-25 to +85
-25 to +85
Oto+70
Oto+70
-40 to +85
-40 to +125
-40 to +85

Nl646.
Dn51A

-40 to +85

P/648.
Dn51B

P/646

CMOS
MC14574

Motorola Master Selection Guide

1000

±1.5to±7.5
or
3.0 to 15

4.1-7

Externally programmable
power dissipation with 1 or
2 resistors

Analog and Interface Integrated Circuits

Amplifiers and Comparators Package Overview

~

-

•

CASE 626
N, P, P1 SUFFIX

CASE 751
o SUFFIX

CASE 646
N,PSUFFIX

CASE 648, 648C
OP2, P, P2 SUFFIX

CASE 751 A
o SUFFIX

CASE 751B
o SUFFIX

•

•

CASE 751G
OW SUFFIX

Analog and Interface Integrated Circuits

CASE 904
FSUFFIX

4.1-8

Motorola Master Selection Guide

Power Supply Circuits

In Brief ...
In most electronic systems, some form of voltage
regulation is required. In the past, the task of voltage
regulator design was tediously accomplished with discrete
devices, and the results were quite often complex and costly.
Today, with bipolar monolithic regulators, this task has been
significantly simplified. The designer now has a wide choice
of fixed, low VOiff and adjustable type voltage regulators.
These devices incorporate many built-in protection
features, making them virtually immune to the catastrophic
failures encountered in older discrete designs.
The switching power supply continues to increase in
popularity and is one of the fastest growing markets in the
world of power conversion. They offer the designer several
important advantages over linear series-pass regulators.
These advantages include significant advancements in the
areas of size and weight reduction, improved efficiency, and
the ability to perform voltage step-up, step-down, and
voltage-inverting functions. Motorola offers a diverse
portfolio of full featured switching regulator control circuits
which meet the needs of today's modem compact electronic
equipment.
Power supplies, MPU/MCU-based systems, industrial
controls, computer systems and many other product
applications are requiring power supervisory functions
which monitor voltages to ensure proper system operation.
Motorola offers a wide range of power supervisory circuits
that fulfill these needs in a cost effective and efficient
manner. MOSFET drivers are also provided to enhance the
drive capabilities of first generation switching regulators or
systems designed with CMOSITTL logic devices. These
drivers can also be used in dc-to-dc converters, motor
controllers or virtually any other application requiring high
speed operation of power MOSFETs.

Motorola Master Selection Guide

4.2-1

Page
Linear Voltage Regulators .............................. 4.2-2
Fixed Output ....................................... 4.2-2
Adjustable Output .................................. 4.2-4
Micropower Voltage Regulators for Portable Applications ... 4.2-5
80 rnA Micropower Voltage Regulator ................. 4.2-5
120 rnA Micropower Voltage Regulator .........••..... 4.2-6
Micropower Voltage Regulator for
External Power Transistor ........................... 4.2-6
Micropower VoHage Regulators with On/Off Control ..... 4.2-7
Special Regulators .................................... 4.2-8
Voltage Regulator/Supervisory ....................... 4.2-8
SCSI Regulator ................................... 4.2-11
Switching Regulator Control Circuits .............•...... 4.2-12
single-Ended ..................................... 4.2-12
Single-Ended with On-Chip Power Switch ............ 4.2-14
Easy Switcher™ Single-Ended Controllers with
On-Chip Power SWitch ............................ 4.2-14
Very High Voltage Single-Ended with
On-Chip Power Switch ............................ 4.2-15
Double-Ended .................................... 4.2-15
CMOS Micropower DC-to-DC Converters ............ 4.2-17
Single-Ended GreenLineThl Controllers ............... 4.2-18
Very High Voltage Switching Regulator ............... 4.2-20
Special Switching Regulator Controllers ................. 4.2-23
Dual Channel ..................................... 4.2-23
Universal Microprocessor ........................... 4.2-23
Power Factor ..................................... 4.2-24
Supervisory Circuits .................................. 4.2-27
Overvoltage Crowbar Sensing ....................... 4.2-27
Over/UndervoHage Protection ....................... 4.2-27
CMOS Micropower Undervoltage Sensing .•.......... 4.2-28
CMOS Micropower Undervoltage Sensing with
Output Delay ..................................... 4.2-29
Undervoltage Sensing .............................. 4.2-30
Universal Voltage Monitor .......................... 4.2-31
Battery Management Circuits .......................... 4.2-32
Battery Charger ICs ................................ 4.2-32
Battery Pack ICs .................................. 4.2-34
MOSFETIIGBT Drivers ................................ 4.2-38
High Speed Dual Drivers ........................... 4.2-38
Single IGBT Driver ................................. 4.2-38
Package Overview ................................... 4.2-40

Analog and Interface Integrated Circuits

Linear Voltage Regulators
Fixed Output
These low cost monolithic circuits provide positive and/or
negative regulation at currents from 100 mA to 3.0 A. They are
ideal for on-card regulation employing current limiting and
thermal shutdown. Low VOiff devices are offered for battery
powered systems.

Although designed primarily as fixed voltage regulators,
these devices can be used with external components to obtain
adjustable voltages and currents.

Table 1. Linear Voltage Regulators

Device

Vout

25°C
Tol.
±%

Yin
Max

Vin-Vout
Diff.
Typ.

Regline
Max
(% Voutl

Regload
Max
(% Vout)

Typ. Temp.
Coefficient
mV(Voutl
°C

Suffix!
Package

Fixed Voltage, 3-Terminal Regulators, 0.1 Amperes
lM2931*/A-5.0*

5.0

5.0/3.B

40

0.16

0.6

1.0

0.2

0/751,
02T/936,
OT,OT-1,
T/221A, Z

lP2950C*/AC*

3.0

0.5

30

0.3B

0.210.1

0.210.1

0.04

OT--3.0,
Z-3.0

3.3

OT--3.3,
Z--3.3

5.0

OT-5.0,
Z-5.0

MC7BLXXC/AC/AS*

5.0, B.O, 9.0

B.0/4.0

30

1.7

4.0/3.0

1.2

0.2

0/751, P/29

MC7BlXXC/AC/AS*

12,15,1B

B.0/4.0

35

1.7

2.0

1.0

0.2

0/751, P/29

MC7Bl24C/AC/AS*

24

B.0/4.0

40

1.7

2.0

1.0

0.2

0/751, P/29

MC79l05C/AC/AS*

-5.0

B.0/4.0

30

1.7

4.0/3.0

1.2

0.2

0/751, P/29

MC79lXXC/AC/AS*

-(12,15,18)

8.0/4.0

35

1.7

2.0

1.0

0.2

0/751, P/29

MC79l24C/AC/AS*

-24

8.0/4.0

40

1.7

2.0

1.0

0.2

0/751, P/29

MC33160**

5.0

5.0

40

2.0

0.8

1.0

-

P/626

Fixed Voltage, 3-Terminal Regulators, 0.5 Amperes
MC78MXXS*/C

5.0, 6.0, 8.0, 12

4.0

35

2.0

1.0

2.0

±D.04

OT,OT-1,
T/221 A

MC78MXXS*/C

15,18

4.0

35

2.0

1.0

2.0

±D.04

OT,OT-1,
T/221 A

MC78MXXS*/C

20,24

4.0

40

2.0

0.25

2.0

±0.04

OT,OT-1,
T/221 A

MC79MXXS*/C

-(5.0,8.0,12,15)

4.0

35

1.1

1.0

2.0

-0.07 to
±0.04

OT,OT-1,
T/221 A

5.05

2.0

40

0.58

1.0

1.0

-

D2T/936A,
T/3140, TV

MC33267*

Fixed Voltage, 3-Terminal Medium Dropout Regulators, 0.8 Amperes
MC33269-XX*
MC34268

3.3,5.0,12

1.0

20

1.0

0.3

1.0

-

0/751,OT,
T/221 A

2.85

1.0

15

0.95

0.3

1.0

-

0/751,DT

Unless otherwise noted, TJ = 0° to +125°C
• TJ = -40' to +125°C
•• TA = -40' to +85'C

Analog and Interface Integrated Circuits

4.2-2

Motorola Master Selection Guide

Table 1. Linear Voltage Regulators (continued)
25°C

Tol.
Device

±%

Vout

Yin
Max

Vin-Vout
Diff.
Typ.

Regline
Max
(% Voutl

Regload
Max
(% Voutl

Typ. Temp.
Coefficient
mV (Voutl
°C

Suffix!
Package

Fixed Voltage, 3-Terminal Regulators, 1.0 Amperes
MC78XXB'/C/AC

5.0, 6.0, 8.0, 12,
18

4.0/2.0

35

2.0

2.0/1.0

2.0

-0.0610
-0.22

02T/936,
T/221 A

MC7824B'/C/AC

24

4.0/2.0

40

2.0

2.0/1.0

2.0/0.4

0.125

02T/936,
T1221 A

MC79XXC/AC

-(5.0, 5.2, 6.0)

4.0/2.0

35

2.0

2.0/1.0

2.0

-0.2

02T/936,
T/221 A

MC79XXC/AC

-(8.0, 12, 15, 18)

4.0/2.0

35

2.0

2.011.0

2.0/1.25

-0.1210
-0.06

02T/936,
T/221 A

-24

4.0

40

2.0

1.0

2.0

-0.04

02T/936,
T/221 A

5.0,6.0,12,15,18

4.0/2.0

35

1.7

1.0/0.2

1.0/0.5

±O.12

T/221 A

24

4.0

40

1.7

1.0

1.0

±0.12

T1221S

5.0,12,15

1.0

35

2.0

0.10

0.5

0.012

KC

35

2.5

0.5

0.6

0.04

T/221 A

0.6

0.04

T/221 A

2.0/1.0

±O.2

T/221 A

MC7924C
LM340/A-XX
LM34D-24
TL78D-XXC

Fixed Voltage, 3-Terminal Regulators, 3.0 Amperes
MC78TXXC/AC

5.0,8.0,12

4.0/2.0

MC78T15C/AC

15

4.0/2.0

40

2.5

0.5

LM323/A

5.0

4.0/2.0

20

2.3

0.5/0.3

Unless otherwise noted, TJ; 0° to +125°C
• TJ ;-40' to +125°C
•• TA; -40' to +85°C

Table 2. Fixed Voltage Medium and Low Dropout Regulators

25°C

Device

Tol.
±%

Vout

10
(mAl
Max

Yin
Max

Vin-Vout
Diff.
Typ.

Regline
Max
(% Voutl

Regload
Max
(% Voutl

Typ.
Temp.
Coefficient
mV(Voutl
°C

Suffix!
Package

Fixed Voltage, Medium Dropout Regulators
MC33267'

5.05

2.0

500

40

0.58

1.0

1.0

MC34268

2.85

1.0

800

15

0.95

0.3

1.0

20

1.0

-

02T/936A,
T/3140,
TV

MC33269-XX'

3.3,5.0,12

01751,OT

0/751,OT,
T/221 A

Fixed Voltage, Low Dropout Regulators
LM2931'/A'

5.0

5.0/3.8

100

37

0.16

1.12

1.0

±2.5

01751,
02T/936A,
OT,OT-1,
T/221A, Z

LP2950C'/AC'

3.0

1.0/0.5

100

30

0.38

0.210.1

0.2/0.1

0.2

DT-3.0,
Z-3.0

3.3

DT-3.3,
Z-3.3

5.0

DT-5.0,
Z-5.0

Unless otherWIse noted, TJ; 0' to +125'C
• TJ ;-40' to +125'C

Motorola Master Selection Guide

4.2-3

Analog and Interface Integrated Circuits

Table 2. Fixed Voltage Medium and Low Dropout Regulators (continued)

Device

25°C
Tol.
±%

10
(mA)
Max

Vln
Max

Vin-Vout
Diff.
Typ.

Regllne
Max
(% Voutl

Regload
Max
(% Voutl

Typ.
Temp.
Coefficient
mV(Voutl
°C

1.0/0.5

100

28.75

0.38

0.04/0.02

0.04/0.02

±1.0

Vout

Suffix!
Package

Fixed Voltage, Low Dropout Regulators
LP2951C*/AC'

3.0

0-3.01751,
0M-3.0I
846A,
N-3.0I626

3.3

0-3.31751,
OM-3.31
846A,
N-3.31626

5.0

01751,
OM/846A,
Nl626

LM2935*

5.0/5.0

5.0/5.0

500/10

60

0.45/0.55

1.0

1.0

-

02T/936A,
T/3140,
TH,TV

Unless otherwise noted, TJ = 0° to + 125°C
• TJ=-40°to+125°C

Adjustable Output
Motorola offers a broad line of adjustable output voltage
regulators with a variety of output current capabilities.
Adjustable voltage regulators provide users the capability of
stocking a single integrated circuit offering a wide range of

output voltages for industrial and communications
applications. The three-terminal devices require only two
external resistors to set the output voltage.

Table 3. Adjustable Output Regulators

Yin
Max

Vin-Vout
Diff.
Typ.

Regline
Max
(% Voutl

Regload
Max
(% Voutl

Typ. Temp.
Coefficient
mV (Voutl
°C

Suffix!
Package

100

40

1.9

0.07

1.5

±O.35

01751, Z

3.0-24

100

37

0.16

1.12

1.0

±2.5

01751,
02T/936A,
Tl3140,
TH,TV

1.25-29

100

28.75

0.38

0.04/0.02

0.04/0.02

±1.0

0-3.01751,
0M-3.0/
846A,
N-3.0/626

Vout

10
(mA)
Max

LM317L1B'

2.0-37

LM2931C*

LP2951C*/AC'

Device

Adjustable Regulators

0-3.31751,
OM-3.31
846A,
N-3.31626
01751,
OMl846A,
Nl626
Unless otherwise noted, TJ = 0° to +125°C
• TJ=-40°to+125°C
# TA=OOto+70°C

Analog and Interface Integrated Circuits

4.2-4

Motorola Master Selection Guide

Table 3. Adjustable Output Regulators (continued)

Vln
Max

Vin-Vout
Diff.
Typ.

Regline
Max
(%Vout)

Regload
Max
(%Voutl

Typ. Temp.
Coefficient
mV(Vout)
°C

150

38

2.5

0.5

0.2

±O.O33

D/751 ,
P/646

1.2-37

500

40

2.1

0.04

0.5

±O.35

DT, DT-l,
T/221 A

500

40

1.9

0.07

1.5

±0.3

T/221 A
D1751 , DT,
T/221 A

Vout

10
(mA)
Max

MCl723C#

2.0-37

LM317M1B'

Device

Suffix!
Package

Adjustable Regulators

LM337MIB'

-(1.2-37)

MC33269'

1.25-19

800

18.75

1.0

0.3

0.5

±O.4

LM317/S'

1.2-37

1500

40

2.25

0.07

1.5

±0.35

D2T/936,
T/221 A

LM337/S'

-(1.2-37)

1500

40

2.3

0.07

1.5

±O.3

D2T/936,
T/221 A

LM350/S'

1.2-33

3000

35

2.7

0.07

1.5

±O.5

T/221 A

Unless otherwise noted, TJ = 0° to +125°C
• TJ=-400to+125°C
TA = 0° to +70°C

#

Micropower Voltage Regulators for Portable Applications
80 rnA Micropower Voltage Regulator
MC78LCOOH, N

TA

ORDERING INFORMATION
Output

=-30° to +80°C, Case 1213, 1212

Device

The MC78LCOO series voltage regulators are specifically
designed for use as a power source for video instruments,
handheld communication equipment, and battery powered
equipment.
The MC78LCOO series features an ultra-low quiescent of
1.1 !lA and a high accuracy output voltage. Each device contains a voltage reference, an error amplifier, a driver transistor and resistors for setting the output voltage. These devices
are available in either SOT--89, 3 pin, or SOT-23, 5 pin, surface mount packages.

MC78LCOO Series Features:
• Low Quiescent Current of 1.1

Voltage

MC78LC30HTl
MC78LC33HT1
MC78LC40HT1
MC78LC50HT1

3.0
3.3
4.0
5.0

MC78LC30NTR
MC78LC33NTR
MC78LC40NTR
MC78LC50NTR

3.0
3.3
4.0
5.0

Operating
Temperature Range

Package

SOT--89
TA = -30° to +80°C
SOT-23

Other voltages from 2.0 to 6.0 V, in 0.1 V increments, are available upon
request. Consult your local Motorola sales office for information.

2r------------~----'3

J.lA Typical

Vin

• Low Dropout Voltage (30 mV Typical)
• Excellent Line Regulation (0.1%)
• High Accuracy Output Voltage (±2.5%)

I
I
I
I
I
I

,

• Wide Output Voltage Range (2.0 V to 6.0 V)
• Output Current for Low Power (80 rnA Typical)

I
I
I
I

• Two Surface Mount Packages (SOT-89, 3 Pin, or
SOT-23, 5 Pin)

~~--~~------------~~

Motorola Master Selection Guide

4.2-5

Analog and Interface Integrated Circuits

Micropower Voltage Regulators for Portable Applications (continued)

120 rnA Micropower Voltage Regulator
MC78FCOOH

ORDERING INFORMATION

TA =-30° to +80°C, Case 1213
The MC78FCOO series voltage regulators are specifically
designed for use as a power source for video instruments,
handheld communication equipment, and battery powered
equipment.
The MC78FCOO series voltage regulator ICs feature a high
accuracy output voltage and ultra-low quiescent current.
Each device contains a voltage reference unit, an error
amplifier, a driver transistor, and resistors for setting output
voltage, and a current limit circuit. These devices are available in SOT-89 surface mount packages, and allow
construction of an efficient, constant voltage power supply
circuit.

Device
MC78FCSOHT1
MC78FC33HT1
MC78FC40HT1
MC78FC50HT1

Output
Voltage

Operating
Temperature Range

Package

3.0
3.3
4.0
5.0

TA = -30° to +80°C

SOT-89

Other voltages from 2.0 to 6.0 V, in 0.1 V increments, are available upon
request. Consult your local Motorola sales office for information.

MC78FCOO Series Features:
• Ultra-Low Quiescent Current of 1.1

/lA Typical

• Ultra-Low Dropout Voltage (0.5 V Typical)
• Large Output Current (120 mA Typical)
• Excellent Line Regulation (0.1 %)
• Wide Operating Voltage Range (2.0 V to 10 V)
• High Accuracy Output Voltage (±2.5%)
• Wide Output Voltage Range (2.0 V to 6.0 V)
• Surface Mount Package (SOT-89)

Micropower Voltage Regulator for External Power Transistor
MC78BCOON
TA

ORDERING INFORMATION

=-30° to +80°C, Case 1212

The MC78BCOO voltage regulators are specifically
designed to be used with an external power transistor to
deliver high current with high voltage accuracy and low
quiescent current.
The MC78BCOO series are devices suitable for constructing regulators with ultra-low dropout voltage and output current in the range of several tens of mA to hundreds of mAo
These devices have a chip enable function, which minimizes
the standby mode current drain. Each of these devices contains a voltage reference unit, an error amplifier, a driver transistor and resistors. These devices are available in the
SOT-23, 5 pin surface mount packages.
These devices are ideally suited for battery powered
equipment, and power sources for hand-held audio instruments, communication equipment and domestic appliances.

Device
MC78BC30NTR
MC78BC33NTR
MC78BC40NTR
MC78BC50NTR

Output
Voltage

Operating
Temperature Range

Package

3.0
3.3
4.0
5.0

TA = -30° to +80°C

SOT-23

Other voltages from 2.0 to 6.0 V, in 0.1 V increments, are available upon
request. Consult your local Motorola sales office for information.

EXT

4

MC78BCOO Series Features:
• Ultra-Low Supply Current (50
• Standby Mode (0.2

/lA)

/lA)

• Ultra-Low Dropout Voltage (0.1 V with External
Transistor and 10 = 100 mAl
• Excellent Line Regulation (Typically 0.1 %N)
• High Accuracy Output Voltage (±2.5%)

Analog and Interface Integrated Circuits

4.2-8

Motorola Master Selection Guide

Micropower Voltage Regulators for Portable Applications (continued)

Micropower Voltage Regulators with On/Off Control
MC33264D,

OM

TA = -40° to +85°C, Case 751, 846A
The MC33264 series are micropower low dropout voltage
regulators available in SO-8 and Micro-8 surface mount
packages and a wide range of output voltages. These devices feature a very low quiescent current (100 ~A in the ON
mode; 0.1 ~ in the OFF mode), and are capable of supplying output currents up to 100 mAo Internal current and thermal limiting protection is provided.
Additionally, the MC33264 has either active HIGH or active LOW control (Pins 2 and 3) that allows a logic level signal
to turn-off or turn-on the regulator output.
Due to the low input-to-output voltage differential and
bias current specifications, these devices are ideally suited
for battery powered computer, consumer, and industrial
equipment where an extension of useful battery life is desirable.
MC33264 Features:
• Low Quiescent Current (0.3
ON Mode)

~A

in OFF Mode; 95 ~ in

• Low Input-to-Output Voltage Differential of 47 mVat 10
mA, and 131 mV at 50 mA
• Multiple Output Voltages Available
• Extremely TIght Line and Load Regulation

• Internal Current and Thermal Limiting
• Logic Level ON/OFF Control
• Functionally Equivalent to TK115XXMC and LP2980

ORDERING INFORMATION
Operating
Temperature Range

Device
MC33264D-2.S
MC33264D-3.0
MC33264D-3.3
MC33264D-3.S
MC33264D-4.0
MC33264D-4.75
MC33264D-5.0

Package

SO-8

TA

MC33264DM-2.S
MC33264DM-3.0
MC33264DM-3.3
MC33264DM-3.S
MC33264DM-4.0
MC33264DM-4.75
MC33264DM-5.0

=-40° to +S5°C
Micro-S

• Stable with Output Capacitance of Only
0.33 ~F for 5.0 V, 6.0 V and 4.75 V Output Voltages
0.22 ~F for 2.8 V, 3.0 V and 3.3 V Output Voltages

I YOU! .::r::

17

-=-

52.61<
On/Off L _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _

Motorola Master Selection Guide

4.2-7

J~

Analog and Interface Integrated Circuits

Special Regulators
Voltage Regulator/Supervisory
Table 4. Voltage Regulator/Supervisory
VOU!
(V)

Vin
(V)

Device

Min

Max

10
(rnA)
Max

MC33128*

2.9

3.1

35

2.9

3.1

60

40
25

MC34160

2.9

3.1

20

-2.65

-2.35

1.0

4.75

5.25

100

Regllne

Regload

TA

Min

Max

(mV) Max

(mV) Max

ee)

Suffix!
Package

3.2

7.0

nla

30

-3010+60

D/7518

010+70

P/648C,
DW/751G

20
7.0

40

40

50

MC33160

-4010+85
5.2

500

6.0

26

50

50

-4010+105

T/314D,
TH,TV

4.7

6.4

-

2.7

9.5

-

-

-4010+85

DTBI948G

6.4

7.0

-2.35

-2.65

MC33267

4.9

MC33169*

*

These ICs are intended for powering cellular phone GaAs power amplifiers and can be used for other portable applications as well.

Analog and Interface Integrated Circuits

4.2-8

Motorola Master Selection Guide

Voltage Regulator/Supervisory (continued)

Microprocessor Voltage Regulator and Supervisory Circuit
MC34160P,
TA

MC33160P,
TA

ow

=0° to +70°C, Case 648C, 751G
ow

=-40° to +85°C, Case 648C, 751G

The MC34160 series is a voltage
regulator and supervisory circuit containing many of the necessary monitoring
functions required in microprocessor
based systems. It is specifically designed
for appliance and industrial applications
offering the designer a cost effective
solution with minimal external components.
These integrated circuits feature a 5.0 V,
100 mA regulator with short circuit current
limiting, pinned out 2.6 V bandgap
reference, low voltage reset comparator,
power warning comparator with programmable hysteresis, and an uncommitted
comparator ideally suited for microprocessor line synchronization.
Additional features include a chip disable
input for low standby current, and internal
thermal shutdown for over temperature
protection.
These devices are contained in a 16 pin
duaHn--line heat tab plastic package for
improved thermal conduction.

Regulator
Output

Power
Sense O-if-,;,.... .,;,......;..--1~--I

Noninverting o-!~-""'~~r---'
Input
Inverting o-i;..-..;....;.......-~~--l
Input

Comparator
Output

Low Dropout Regulator
MC33267T, TV
TJ

=-40° to +105°C, Case 3140, 3148

The MC33267 is a positive fixed 5.0 V
regulator that is specifically designed to
maintain proper voltage regulation with an
extremely low input-to-output voltage
differential. This device is capable of
supplying output currents in excess of 500
mA and contains internal current limiting and
thermal shutdown protection. Also featured
is an on-chip power-up reset circuit that is
ideally suited for use in microprocessor
based systems. Whenever the regulator
output voltage is below nominal, the reset
output is held low. A programmable time
delay is initiated after the regulator has
reached its nominal level and upon timeout,
the reset output is released.
Due to the low dropout voltage
specifications, the MC33267 is ideally
suited for use in battery powered industrial
and consumer equipment where an
extension of useful battery life is desirable.
This device is contained in an economical
five lead T0-220 type package.

Motorola Master Selection Guide

Input r.::-7_-:-:-~-::-_--::-------------·~ Output

11,.<:.:
I' .
I

I·L~U':J:';>4~

I
I

~"'\ii"~""":-1

I
I·

t
L'::"':;":':2i':;':;'-'--"~2"'::':_

Ground

4.2-9

Analog and Interface Integrated Circuits

Voltage Regulator/Supervisory (continued)

Power Management Controller
MC33128D

TA =-300 to +60°C, Case 751 B
The MC33128 is a power management
controller specifically designed for use in
battery powered cellular telephone and
pager applications. This device contains all
of the active functions required to interface
the user to the system electronics via a
microprocessor. This integrated circuit
consists of a low dropout voltage regulator
with power-up reset for MPU power, two
low dropout voltage regulators for
independantpowering of analog and digital
circuitry, and a negative charge pump
voltage regulator for full depletion of gallium
arsenide MESFETs.
Also included are protective system
shutdown features consisting of a battery
latch that is activated upon battery
insertion, low battery voltage shutdown,
and a thermal over temperature detector.
This device is available in a 16-pin narrow
body surface mount plastic package.

'it

"On"t'Off"....l

Toggle

Output 4
1-1-0-+-0_2.5 VI1.0 rnA

I

I .r----'----,
~

Output 1
t-.i-o-~o.3.0 V/30 rnA

I
I
I

Output 2

""";-O-~0·3.0 Vl60 rnA

I
I

t

I
I
I

MPU Power

L-"G~¥ '12 L. :R.:; e:.; -:.; re;-:, :c;:,~ : ,:u : J~;:.; :e . ~

___'J_I_--t I MPU

~-----~----------------------~O

~----------------------------~O

~--------------------------------~I

VSS

GaAs Power Amplifier Support IC
MC33169DTB

TA =-400 to +85°C, Case 948G
The MC33169 is a support IC for GaAs
Power Amplifier Enhanced FETs used in
hand portable telephones such as GSM,
PCN and DECr. This device provides
negative voltages for full depletion of
Enhanced MESFETs as well as a priority
management system of drain switching,
ensuring that the negative voltage is always
present before turning "on" the Power
Amplifier. Additional features include an
idle mode input and a direct drive of the
N-Channel drain switch transistor.
This product is available in two versions,
-2.5 and -4.0 V. The -4.0 V version is
intended for supplying RF modules for
GSM and DCS1800 applications, whereas
the -2.5 V version is dedicated for DECT
and PHS systems.

n

r:----------~

VSatte[Y

~ (2.710 7.0 V)

r-~-_ _",,"
~

~ 0.68

...

Motorola Master Selection Guide

10k

....;;....~_._

4.2-25

Analog and Interface Integrated Circuits

Power Factor Controllers (continued)
MC33368D

TJ

=-25° to +125°C, Case 751K

The MC33368 is an active power factor controller that
functions as a boost preconverter in off-line power supply
applications. MC33368 is optimized for low power, high
density power supplies requiring minimum board area,
reduced component count, and low power dissipation. The
narrow body SOIC package provides a small footprint.
Integration of the high voltage startup saves approximately
0.7 W of power compared to resistor bootstrapped circuits.
The MC33368 features a watchdog timer to initiate output
switching, a one quadrant multiplier to force the line current to
follow the instantaneous line voltage, a zero current detector
to ensure critical conduction operation, a transconductance
error amplifier, a current sensing comparator, a 5.0 V

reference, an undervoltage lockout (UVLO) circuit which
monitors the VCC supply voltage, and a CMOS driver for
driving MOSFETs. The MC33368 also includes a
programmable output switching frequency clamp. Protection
features include an output overvoltage comparator to
minimize overshoot, a restart delay timer, and cycle-bycycle current limiting.
• Lossless Off-Line Startup
• Output Overvoltage Comparator
• Leading Edge Blanking (LEB) for Noise Immunity
• Watchdog Timer to Initiate Switching
• Restart Delay Timer

400 V

RlO
15k

M1W
14N50E

Rg
10
MULT

R7
0.1

C6 +---I~Vref
0.1 _

T

Analog and Interface Integrated Circuijs

4.2-26

Motorola Master Selection Guide

Supervisory Circuits
A variety of Power Supervisory Circuits are offered.
Overvoltage sensing circuits which drive "Crowbar" SCRs
are provided in several configurations from a low cost
three-terminal version to a-pin devices which provide

pin-programmable trip voltages or additional features, such
as an indicator output drive and remote activation capability.
An over!undervoltage protection circuit is also offered.

Overvoltage Crowbar Sensing Circuit
MC3423P1, D

TA

=0° to +70°C, Case 626, 751

This device can protect sensitive
circuitry from power supply transients or
regulator failure when used with an external
"Crowbar" SCR. The device senses
voltage and compares it to an internal 2.6 V
reference. Overvoltage trip is adjustable by
means of an external resistive voltage
divider. A minimum duration before trip is
programmable with an external capacitor.
Other features include a 300 mA high
current output for driving the gate of a
"Crowbar" SCR, an open--collector
indicator output and remote activation
capability.

,,---------,,
,I

------------,,

,
, 4

,

" Current
Source

2 ,

,

Sense 1 ,

I
I
I
I
I8

,,,....-'----,
,
, '--r-=--'

IL _ _ _ _ _
VEE

_

Sense 2
Remote
Activation

I Output

_ _ _ _ ...J

6 Indicator
Output

Over/Undervoltage Protection Circuit
MC3425P1

TA = 0° to +70°C, Case 626
The MC3425 is a power supply
supervisory circuit containing all the
necessary functions required to monitor
over and undervoltage fault conditions.
This device features dedicated over and
undervoltage sensing channels with
independently programmable time delays.
The overvoltage channel has a high current
drive output for use in conjunction with an
external SCR "Crowbar" for shutdown. The
undervoltage channel input comparator
has hysteresis which is externally
programmable, and an open--collector
output for fault indication.

3,

,I

OV

,,
,
I

,
I
.... ,
,I
,
,

UV,
Sense,

Indicator

I

_ _ _ _ _ ..J

Gnd

Input Section

Motorola Master Selection Guide

4.2-27

Output Section

Analog and Interface Integrated Circuits

Supervisory Circuits (continued)

CMOS Micropower Undervoltage Sensing Circuits
MC33464H, N
TA =-30° to +80°C, Case 1213,1212
The MC33464 series are micropower undervoltage sensing
circuits that are specifically designed for use with battery powered microprocessor based systems, where extended battery
life is required. A choice of several threshold voltages from 0.9
V to 4.5 V are available. These devices feature a very low
quiescent bias current of 0.8 fIA typical.
The MC33464 series features a highly accurate voltage
reference, a comparator with precise thresholds and built-in
hysteresis to prevent erratic reset operation, a choice of output configurations between open drain or complementary
MOS, and guaranteed operation below 1.0 V with extremely
low standby current. These devices are available in either
SOT-89 3-pin or SOT-23 5-pin surface mount packages.

Applications include direct monitoring of the MPUllogic
power supply used in portable, appliance, automotive and
industrial equipment.
MC33464 Features:

Extremely Low Standby Current of 0.8 fIA at Vin =1.5 V
Wide Input Voltage Range (0.7 V to 10 V)
Monitors Power Supply Voltages from 1.1 V to 5.0 V
High Accuracy Detector Threshold (±2.5%)
Two Reset Output Types (Open Drain or Complementary
Drive)
• Two Surface Mount Packages (SOT-89 or SOT-23
5-Pin)
•
•
•
•
•

ORDERING INFORMATION
Device

Threshold
Voltage

Type

MC33464H-09ATI
MC33464H-20ATI
MC33464H-27ATI
MC33464H-30AT1
MC33464H-45ATI

0.9
2.0
2.7
3.0
4.5

Open
Drain
Reset

MC33464H-Q9CTI
MC33464H-20CTI
MC33464H-27CTI
MC33464H-3OCTI
MC33464H-45CTI

0.9
2.0
2.7
3.0
4.5

Compl.
MOS
Reset

MC33464N-Q9ATR
MC33464N-20ATR
MC33464N-27ATR
MC33464N-30ATR
MC33464N-45ATR

0.9
2.0
2.7
3.0
4.5

Open
Drain
Reset

MC33464N-Q9CTR
MC33464N-20CTR
MC33464N-27CTR
MC33464N-30CTR
MC33464N-45CTR

0.9
2.0
2.7
3.0
4.5

Compl.
MOS
Reset

Operating
Temperature Range

Package
(Qty/Reel)

SOT-89
(1000)

TA

=-30° to +80°C

SOT-23
(3000)

Other VOltages from 0.9 to 6.0 V. in 0.1 V increments, are available upon request. Consutt your local Motorola
sales office tor infonnation.

MC33464X-YVATZ
Open Drain Configuration

MC33464X-YVCTZ
Complementary Drive Configuration

X Denotes Package Type
YY Denotes Threshold Vottage
TZ Denotes Taping Type
Analog and Interface Integrated Circuits

4.2-28

Motorola Master Selection Guide

Supervisory Circuits (continued)

CMOS Micropower Undervoltage Sensing Circuits with Output Delay
MC33465N
TA =-30° to +80°C, Case 1212
The MC33465 series are micropower undervoltage sensing circuits that are specifically designed for use with battery
powered microprocessor based systems, where extended
battery life is required. A choice of several threshold voltages
from 0.9 V to 4.5 V are available. This device features a very
low quiescent bias current of 1.0 IIA typical.
The MC33465 series features a highly accurate voltage
reference, a comparator with precise thresholds and built-in
hysteresis to prevent erratic reset operation, a choice of output configurations between open drain or complementary
MOS, a time delayed output, which can be programmed by
the system designer, and guaranteed operation below 1.0 V
with extremely low standby current. This device is available
in a SOT-23 5-pin surface mount packages.

Applications include direct monitoring of the MPUllogic
power supply used in portable, appliance, automotive and
industrial equipment.
MC33465 Features:

Extremely Low Standby Current of 1.0 IIA at Yin =3.5 V
Wide Input Voltage Range (0.7 V to 10 V)
Monitors Power Supply Voltages from 1.1 V to 5.0 V
High Accuracy Detector Threshold (±2.5%)
Two Reset Output Types (Open Drain or Complementary
Drive)
• Programmable Output Delay by External Capacitor (100
ms typo with 0.15 IlF)
• Surface Mount Package (SOT-23 5-Pin)
• Convenient Tape and Reel (3000 per Reel)
•
•
•
•
•

ORDERING INFORMATION
Threshold
Voltage

Device

Type

MC33465N-09ATR
MC33465N-20ATR
MC33465N-27ATR
MC33465N--30ATR
MC33465N-45ATR

0.9
2.0
2.7
3.0
4.5

Open
Drain
Reset

MC33465N-09CTR
MC33465N-20CTR
MC33465N-27CTR
MC33465N--30CTR
MC33465N-45CTR

0.9
2.0
2.7
3.0
4.5

Compl.
MOS
Reset

Operating
Temperature Range

Package

TA = --30° to +80°C

SOT-23

Other voltages from 0.9 to 6.0 V, in 0.1 V increments, are available upon request. Consult your loeal Motorola
sales office for information.

MC33465N-VYATZ
Open Drain Configuration

r

I
I
I

MC33465N-VVCTZ
Complementary Drive Configuration

ReSiii

2

.:"'1

t--...----.

I
I

I

I
f

I'..~f-t"~
I

I

,,

I

I
I
I

J
I

"

r

2 Input
I:' ~~---~~~---~~~~l

r.

,.

I.

I' ". ....... :-.·...;...

"

-'-_;....; __ :...;._.J

I

..

',

I

~

L·~'...i..:.

_ _ _ _ _ .JI

5 CD

5 CD
YY Denotes Threshold Voltage
TZ Denotes Taping Type

Motorola Master Selection Guide

4.2-29

Anatog and Interface Integrated Circuits

Supervisory Circuits

(continued)

Undervoltage Sensing Circuit
MC34064P-5, 0-5, DM-5
TA =0° to +70°C, Case 29, 751, 846A
MC33064P-S, 0-5, DM-5
TA -40° to +85°C, Case 29,751, 846A

=

Reset
1(1)

MC34164P-3,P-5,0-3,0-5,D~,DM-5

TA =0° to +70°C, Case 29, 751, 846A

Pin numbers in
parenthesis
are for the
osuffix package.

MC33164P-3, P-5, 0-3, 0-5, D~, DM-5
TA -40° to +85°C, Case 29, 751, 846A

=

The MC34064 and MC34164 are two families of
undervoltage sensing circuits specifically designed for use as
reset controllers in microprocessor-based systems. They
offer the designer an economical solution for low voltage
detection with a single external resistor. Both parts feature a
trimmed bandgap reference, and a comparator with precise
thresholds and built-in hysteresis to prevent erratic reset
operation.
The two families of undervoltage sensing circuits taken
together, cover the needs of the most commonly specified
power supplies used in MCU/MPU systems. Key parameter
specifications of the MC34164 family were chosen to
complement the MC34064 series. The table summarizes
critical parameters of both families. The MC34064 fulfills the
needs of a 5.0 V ± 5% system and features a tighter hysteresis
specification. The MC34164 series covers 5.0 V ± 10% and

3.0 V ± 5% power supplies with significantly lower power
consumption, making them ideal for applications where
extended battery life is required such as consumer products
or hand held equipment.
Applications include direct monitOring of the 5.0 V MPu/
logic power supply used in appliance, automotive, consumer,
and industrial equipment.
The MC34184 is specifically designed for battery powered
applications where low bias current (1/25th ofthe MC34064's)
is an important characteristic.

Table 14. Undervoltage Sense/Reset Controller Features
MC34X64 devices are specified to operate from 0° to +70°C, and MC33X64 devices operate from -40° to +85°C.

Device

Standard
Power
Supply
Supported

Typical
Threehold
Voltage
(V)

Typical
Hystereeis
Voltage
(V)

Minimum
Output
Sink
Current (mA)

Power
Supply
Input
Voltage
Range (V)

Maximum
Quleecent
Input
Current

MC34064/MC33064

5.0V±5%

4.6

0.02

10

1.01010

500pA
@

Vin=5.0V

SuffiX!
Package
P-5I29
D-51751
DM-5I846A

MC341641MC33164

5.0V±10%

4.3

7.0

0.09

1.01012

20pA
@

Vln = 5.0 V

P-5I29
0-5/751
DM-5I846A

MC34164IMC33164

3.0V±5%

2.7

0.06

6.0

1.01012

15pA
@

Vin=3.0V

P-3I29
D-3/751
DM-3I846A

Analog and Inlerface Integraled Circuils

4.2-30

Motorola Master Selection Guide

Supervisory Circuits

(continued)

Universal Voltage Monitor
MC34161P, D
TA

MC33161P, D

=0° to +70°C, Case 626, 751

TA

The MC34161, MC33161 series are universal voltage
monitors intended for use in a wide variety of voltage sensing
applications. These devices offer the circuit designer an
economical solution for positive and negative voltage
detection. The circuit consists of two comparator channels
each with hysteresis, a unique Mode Select Input for channel
programming, a pinned out 2.54 V reference, and two open
collector outputs capable of sinking in excess of 10 mAo Each
comparator channel can be configured as either inverting or
noninverting by the Mode Select Input. This allows over,
under, and window detection of positive and negative
voltages. The minimum supply voltage needed for these
devices to be fully functional is 2.0 V for positive voltage
sensing and 4.0 V for negative voltage sensing.
Applications include direct monitoring of positive and
negative voltages used in appliance, automotive, consumer,
and industrial equipment.
• Unique Mode Select Input Allows Channel Programming
• Over, Under, and Window Voltage Detection
• Positive and Negative Voltage Detection
• Fully Functional at 2.0 V for Positive Voltage Sensing and
4.0 V for Negative Voltage Sensing
• Pinned Out 2.54 V Reference with Current Limit Protection
• Low Standby Current
• Open Collector Outputs for Enhanced Device Flexibility

=-40° to +85°C, Case 626, 751

Vref o-+------l

Mode
Select

0-+----....-.,
v---r--v Output 1

I

Input 1 0-+---1
2 I

II

.1.27V

L:"":"'_

I
I
I

6

I
I

--------{I

TRUTH TABLE
Mode Select
Pin7

Input 1
Pin 2

Output 1
Pin6

Input 2
Pin 3

Output 2
Pln5

Comments

GND

0
1

0
1

0
1

0

Channels 1 & 2: Noninverting

Vre!

0
1

0
1

0
1

0

0
1

1
0

0
1

1
0

VCC(>2.0V)

1
1

Channell: Noninverting
Channel 2: Inverting
Channels 1 & 2: Inverting

POSITIVE AND NEGATIVE OVERVOLTAGE DETECTOR

InputVS2
Gnd
R4
-VSl
R3
OUlput
Voltage
Pins 5,6

Vee

R2

LED "On"

VS2

Gnd

Motorola Master Selection Guide

Rl

4.2-31

Analog and Interface Integrated Circuits

Battery Management Circuits
Battery Charger ICs
Battery Fast Charge Controller
MC33340P,D
TA

=-25° to +85°C, Case 626,751

The MC33340 is a monolithic controllC that is specifically
designed as a fast charge controller for Nickel Cadmium
(NiCd) and Nickel Metal Hydride (NiMH) batteries. This device
features negative slope voltage detection as the primary
means for fast charge termination. Accurate detection is
ensured by an output that momentarily interrupts the charge
current for precise voltage sampling. An additional secondary
backup termination method can be selected that consists of
either a programmable time or temperature limit. Protective
features include battery over and undervoltage detection,
latched over temperature detection, and power supply input
undervoltage lockout with hysteresis. Provisions for entering

a rapid test mode are available for enhanced end product
testing. This device is available in an economical 8-lead
surface mount package.
• Negative Slope Voltage Detection
• Accurate Zero Current Battery Voltage Sensing
• Programmable 1 to 4 Hour Fast Charge Time Limit
• Programmable Over/Under Temperature Detection
• Battery Over and Undervoltage Fast Charge Protection
• Rapid System Test Mode
• Power Supply Input Undervoltage Lockout with
Hysteresis
• Operating Voltage Range of 3.0 V to 18 V

DC
Input

Analog and Interface Integrated Circuits

4.2-32

Motorola Master Selection Guide

Battery Charger ICs

(continued)

Power Supply
Battery Charger
Regulation Control Circuit
MC33341P, D

TA

=-40° to +85°C, Case 626,751

The MC33341 is a monolithic regulation control circuit that
is specifically designed to close the voltage and current
feedback loops in power supply and battery charger
applications. This device features the unique ability to perform
source high-side, load high-side, source low-side, and load
low-side current sensing, each with either an internally fixed
or externally adjustable threshold. The various current
sensing modes are accomplished by a means of selectively
using the internal differential amplifier, inverting amplifier, or a
direct input path. Positive voltage sensing is performed by an
internal voltage amplifier. The voltage amplifier threshold is
internally fixed and can be externally adjusted in all low-side
current sensing applications. An active high drive output is
provided to directly interface with economical optoisolators for
isolated output power systems. This device is available in
8-lead dual-in-line and surface mount packages.

Drive Output
8

• Differential Amplifier for High-Side Source and Load
Current Sensing
• Inverting Amplifier for Source Return Low-Side Current
Sensing
• Noninverting Input Path for Load Low-Side Current
Sensing
• Fixed or Adjustable Current Threshold in all Current
Sensing Modes
• Positive Voltage Sensing in all Current Sensing Modes
• Fixed Voltage Threshold in all Current Sensing Modes
• Adjustable Voltage Threshold in all Low-Side Current
Sensing Modes
• Output Driver Directly Interfaces with Economical
Optoisolators
• Operating Voltage Range of 2.3 V to 18 V

Current Sense Input Bf
Voltage Threshold Adjust
6

Voltage Sense
Input
5

Compensation

Gnd

A

A

--..;.;..-~~-.

1
Current Sense
Input A

Motorola Master Selection Guide

Current
Threshold Adjust

4.2-33

Analog and Interface Integrated Circuits

Battery Pack ICs
Lithium Battery Protection Circuit for One to Four Cell Battery Packs
MC33345DW, DTB

TA = -25° to +85°C, Case 751 D, 948E
The MC33345 is a monolithic lithium battery protection
circuit that is designed to enhance the useful operating life of
one to four cell rechargeable battery packs. Cell protection
features consist of independently programmable charge and
discharge limits for both voltage and current with a delayed
current shutdown, cell voltage balancing with on-chip
balancing resistors, and a virtually zero current sleepmode
state when the cells are discharged. Additional features
include an on-chip charge pump for reduced MOSFET losses
while charging or discharging a low cell voltage battery pack,
and the programmability for a one to four cell battery pack.
This protection circuit requires a minimum number of external
components and is targeted for inclusion within the battery
pack. The MC33345 is available in standard and low profile 20
lead surface mount packages.

• Independently Programmable Charge and Discharge
Limits for Both Voltage and Current
• Charge and Discharge Current Limit Detection with
Delayed Shutdown
• Cell Voltage Balancing
• On-Chip Balancing Resistors
• Virtually Zero Current Sleepmode State when Cells are
Discharged
• Charge Pump for Reduced Losses with a Low Cell
Voltage Battery Pack
• Programmable for One, Two, Three or Four Cell
Applications
• Minimum External Components for Inclusion within the
Battery Pack
• Available in Low Profile Surface Mount Packages

Typical Four Cell Smart Battery Pack
r-------~~--~~~~----------~+
,1:----<).{ . -

Current Sense
Common
- . """'"
",:.. :

Charge

Current limit

..,-,.,

~.-

"'1
, ., Cell Voltage

·

3

..: . I Discharge VoHage
· " tThresh~d

:'

.11 ~harge Voltage

"

Threshold

, )5

.~>

.' .. , Cell Voltage
'. j Return

.

. UeSllnput

· "f=.~aunOuIpuI
_

'.j17
B Charge
Gate Drive
Common

Analog and Interface Integrated Circuits

4.2-34

Motorola Master Selection Guide

Battery Pack ICs

(continued)

Lithium Battery Protection Circuit for Three or Four Cell Battery Packs
MC33346DW, DTB

TA

=-40° to +85°C, Case 751E, 948H

The MC33346 is a monolithic lithium battery protection circuit that is designed to enhance the useful operating life of
three or four cell rechargeable battery packs. Cell protection
features consist of independently programmable charge and
discharge limits for both voltage and current with a delayed
current shutdown, cell voltage balancing with on-chip balancing resistors, and virtually zero current sleepmode state
when the cells are discharged. Additional features consists of
a six wire microcontroller interface bus that can selectively
provide a pulse output that represents the intemal reference
voltage, cell voltage, cell current and temperature, as well as
control the states of four internal balancing and two external
MOSFET switches. A microcontroller time reference output is
available for gas gauge implementation. This protection circuit requires a minimum number of external components and
is targeted for inclusion within the battery pack. The
MC33346 is available in standard and low profile 24 lead surface mount packages.

• Independently Programmable Charge and Discharge
Limits for Both Voltage and Current
• Delayed Current Shutdown
• Cell Voltage Balancing with On-Chip Resistors
• Six Wire Microcontroller Interface Bus
• Data Output for Reference, Voltage, Current, and
Temperature
• Microcontroller Time Reference Output for Gas Gauging
• Virtually Zero Current Sleepmode State when Cells are
Discharged
• Programmable for Three or Four Cell Applications
• Minimum External Components for Inclusion within the
Battery Pack
• Available in Low Profile Surface Mount Packages

Typical Four Cell Smart Battery Pack

Current

Cell4NCcI

Discharge

Current limit

Charge
Charge
Gate Drive Gate Drive

Sense

Charge
Current

Discharge
Gate Drive

Common
7

Urnit
6...,.

Output

Output

!!.--

20_ _ 21 C,IIVoitage

Common

)2
: .~

~~~~~~ Voltage

.I ~harge Voltage
. I Threshold
5

f C,IIVoltage
Return

It
Temp

8

1-17

A-to-D
Converter
Period

Motorola Master Selection Guide

18

Vc
Logic
Supply

4.2-35

13
Address
Input

Analog and Interface Integrated Circuits

Battery Pack ICs (continued)
Lithium Battery Protection Circuit for One or Two Cell Battery Packs
MC33347D, DTB

TA =-25° to +85°C, Case 751B, 948F
The MC33347 is a monolithic lithium battery protection circuit that is designed to enhance the useful operating life of
one or two cell rechargeable battery packs. Cell protection
features consist of independently programmable charge and
discharge limits for both voltage and current with a delayed
current shutdown, continuous cell voltage balancing with the
choice of on-chip or ext~rnal balancing resistors, and a virtually zero current sleepmode state when the cells are discharged. Additional features include an on--<:hip charge
pump for reduced MOSFET losses while charging or discharging a low cell voltage battery pack, and the programmability for one or two cell battery pack. This protection circuit
requires a minimum number of external components and is
targeted for inclusion within the battery pack. This MC33347
is avaialble in standard and low profile 16 lead surface mount
packages.

• Independently Programmable Charge and Discharge
Limitsfor Both Voltage and Current
• Charge and Discharge Current Limit Detection with
Delayed Shutdown
• Continuous Cell Voltage Balancing
• On-Chip or External Balancing Resistors
• Virtually Zero Current Sleepmode State when Cells are
Discharged
• Charge Pump for Reduced Losses with a Low Cell
Voltage Battery Pack
• Programmable for One or Two Cell Applications
• Minimum External Components for Inclusion within the
Battery Pack
• Available in Low Profile Surface Mount Packages

Typical Two Cell Smart Battery Pack

r-----------~----~~~----------~+
Cell Program!
Test
t3
CeIl2NCo'

Charge
Current Lim"

Current Sense
_ _ ~.££'!l!!l0n

--,

I

, Cell Voltage

Cu~~~~~~ , . , .
4

Balance

"

21

17
" .. / Charge Voltage
/ Threshold

Cell1/V~ I'

31

tL
-T

!8

Balance 1

/ Cell Vo"-ge

A Return

1/
Ground

~iSCharge Voltage

Threshold

.,;..

15

'''oJ

Charge
Gate Drive
Common

Analog and Interface Integrated Circuits

4,2-36

Motorola Master Selection Guide

Battery Pack ICs (continued)
Lithium Battery Protection Circuit for One Cell Battery Packs
MC33348D, DM
TA = -25° to +85°C, Case 751, 846A
The MC33348 is a monolithic lithium battery protection circuit that is designed to enhance the useful operating life of
one cell rechargeable battery pack. Cell protection features
consist of internally trimmed charge and discharge voltage
limits, discharge current limit detection with a delayed shutdown, and a virtually zero current sleepmode state when the
cell is discharged. An additional feature includes an on-chip
charge pump for reduced MOSFET losses while charging or
discharging a low cell voltage battery pack. This protection
circuit requires a minimum number of external components
and is targeted for inclusion within the battery pack. This
MC33348 is available in standard and micro 8 lead surface
mount packages.
• Internally Trimmed Charge and Discharge Voltage Limits

Typical One Cell Smart Battery Pack

r-------------------~------~+
7

Vcc

Cellr-----------,
VOltage,

I

I
I
I
I
II

~r-~t

I
,
I
I
Ground

MC33348

I
I

~~~

• Discharge Current Limit Detection with Delayed
Shutdown

J
6

• Virtually Zero Current Sleepmode State when Cells are
Discharged

5

• Charge Pump for Reduced Losses with a Low Cell
Voltage Battery Pack
• Dedicated for One Cell Applications
• Minimum Components for Inclusion within the Battery
Pack
• Available in Low Profile Surface Mount Packages

ORDERING INFORMATION

Device
MC33348D-1

Charge
Overvoltage
Threshold (V)

Charge
Overvoltage
Hysteresis (mV)

Discharge
Undervoltage
Threshold (V)

Discharge
Current Limit
Threshold (mV)

Operating
Temperature Range

Package

4.20

300

2.25

400

TA = -25° to +85°C

S0-8

MC33348D-2
MC33348D-3

200
4.25

2.28

MC33348D-4
MC33348D-5

4.35

2.30

MC33348D-6
MC33348DM-1

4.20

2.25

4.25

2.28

400
200

4.35

2.30

MC33348DM-6
NOTE:

Micr0-8

400
200

MC33348DM-4
MC33348DM-5

400
200

MC33348DM-2
MC33348DM-3

400
200

400
200

Additional threshold limit options can be made available. Consult your local Motorola sales office for information.

Motorola Master Selection Guide

4.2-37

Analog and Interface Integrated Cincuils

MOSFET/IGBT Drivers
High Speed Dual Drivers
(Inverting)

(Noninverting)

MC34151P, D

MC34152P, D

TA =0° to +70°C, Case 626, 751

TA

=0° to +70°C, Case 626, 751

MC33151P, D

MC33152P, D

TA = -40° to +85°C, Case 626, 751

TA =-40° to +85°C, Case 626, 751

These two series of high speed dual MOSFET driver ICs
are specifically designed for applications requiring low current
digital circuitry to drive large capacitive loads at high slew
rates. Both series feature a unique undervoltage lockout
function which puts the outputs in a defined low state in an
undervoltage condition. In addition, the low "on" state
resistance of these bipolar drivers allows significantly higher
output currents at lower supply voltages than with competing
drivers using CMOS technology.
Logic
The MC34151 series is pin-compatible with the MMH0026 Input A
and DS0026 dual MOS clock drivers, and can be used as
drop-in replacements to upgrade system performance. The
MC34152 noninverting series is a mirror image of the inverting
MC34151 series.
These devices can enhance the drive capabilities of first
generation switching regulators or systems designed with
CMOSmL logic devices. They can be used in dc-to-dc Logic
converters, motor controllers, capacitor charge pump InputB
converters, or virtually any other application requiring high
speed operation of power MOSFETs.

Vcc
MC34151

.

----------.-..,
.
.1
I
I
I
I
I

Drive

0-+-+--1

,....1----;'-0 Output A

o--t-+--I

.,....t-"--t-o
HJOkl 5

41

I ":"

1'~1'

'".

Drive
Output B

I
I

L.----~:r~------.J
Single IGBT Driver
MC33153P, D

TA = -40° to +105°C, Case 626, 751

Current

The MC33153 is specifically designed to drive the gate of
an IGBT used for ac induction motors. It can be used with
discrete IGBTs and IBGT modules up to 100 A.
Typical applications are ac induction motor control,
brushless dc motor control, and uninterruptable power
supplies.
These devices are available in dual-in-line and surface
mount packages and include the following features:
• High Current Output Stage: 1.0 A Source - 2.0 A Sink
• Protection Circuits for Both Conventional and
SenselGBTs
• Current Source for Blanking Timing
Input 4 I
• Protection Against Overcurrent and Short Circuit
I
• Undervoltage Lockout Optimized for IGBT's
31
• Negative Gate Drive Capability

.....-+-+0 Sense
Input

.......-...;.....+0 Kelvin
Vee] 2 Gnd
I Blanking
r-t--'-+--I-Q Desatur18 ation

I
I
I
I
I

15 Ouptut

VEe

VEEOj-

Vccol61

I

I

.

L. _________________

I

Analog and Interface Integrated Circuits

4.2-38

Gate

+-i4t+-;,-Q Drive

J

I

J,

Motorola Master Selection Guide

MOSFETnGBT Drivers

(continued)

Single IGBT Gate Driver
MC33154D, P
TA

=-400 to +85°C, Case 626, 751

The MC33154 is specifically designed as an IGBT driver
for high power applications including ac induction motor control, brushless dc motor control and uninterruptible power
supplies.
The MC33154 is similar to the MC33153, except that the
output drive is in-phase with the logic input, the output
source current drive is four times higher and the supply voltage rating is higher.
Although designed for driving discrete and module IGBTs,
this device offers a cost effective solution for driving power
MOSFETs and Bipolar Transistors.
These devices are available in dual-in-line and surface
mount packages and include the following features:

• High Current Output Stage: 4.0 A Source/2.0 A Sink
• Protection Circuits for Both Conventional and Sense
IGBTs
• Programmable Fault Blanking Time
• Protection against Overcurrent and Short Circuit
• Undervoltage Lockout Optimzed for IGBTs
• Negative Gate Drive Capability
• Cost Effectively Drives Power MOSFETs and Bipolar
Transistors

r--------------------,

I Vee
I
I

Fautt

Short Circuit
Compamtor

Short Circuit
1.aIch'

I
I
vee I

output 7

I

I

1VEE

I
I Vee

vccJJ
61
VEEoL.

31 V
I VEe
I
I
IVee
I
I

Gate
Drive

15 Output
I

I
I

I
'.
..:::;:..'
1
yt2Vi...
1
IL _ _ _ _ _ _ _ _ _ _
I1V_ _ _ _ _ _ _ _ _ J
_

Motorola Master Selection Guide

4.2-39

Analog and Interface Integrated Circuits

Power Supply Circuits Package Overview

I
CASE 29
P,ZSUFFIX

CASE 221A
T, KCSUFFIX

CASE 314A
THSUFFIX

,
CASE 314D
TSUFFIX

•

CASE 646
PSUFFIX

•

CASE 369A
DTSUFFIX

-

~
CASE 648E
PSUFFIX

CASES 648, 648C
N, P, P2 SUFFIX

CASE 751
D, D1, D2 SUFFIX

CASE 751A
DSUFFIX

CASE 751E
DWSUFFIX

CASE 751G
DWSUFFIX

Analog and Interface Integrated Circuits

•

CASE 369
DT-1 SUFFIX

•

4.2-40

CASE 314B
TV SUFFIX

,.
CASE 626
N, P, P1 SUFFIX

-

CASE 707
N SUFFIX

CASE 751B
DSUFFIX

CASE 751D
DWSUFFIX

CASE 751K
D SUFFIX

CASE 751N
DWSUFFIX

•

Motorola Master Selection Guide

Power Supply Circuits Package Overview (continued)

•
•

CASE 775
FN SUFFIX

CASE 846A
OM SUFFIX

CASE 936A
02TSUFFIX

CASE948E
OTBSUFFIX

•

•

..

CASE 948H
OTB SUFFIX

Motorola Master Selection Guide

•

CASE 873A
FBSUFFIX

CASE 936
02TSUFFIX

•

•

CASE948F
OTBSUFFIX

CASE 1212
NSUFFIX

4.2-41

CASE948G
OTBSUFFIX

CASE 1213
HSUFFIX

Analog and Interface Integrated Circuits

Analog and Interface Integrated Circuits

4.2-42

Motorola Master Selection GuidE;

Power/Motor Control Circuits

In Brief ...
With the expansion of electronics into more and more
mechanical systems, there comes an increasing demand for
simple but intelligent circuits that can blend these two
technologies. In the past, the task of power/motor control
was once accomplished with discrete devices. But today this
task is being performed by bipolar IC technology due to cost,
size, and reliability constraints. Motorola offers integrated
circuits designed to antiCipate the requirements for both
simple and sophisticated control systems, while providing
cost effective solutions to meet the needs of the applications.

Motorola Master Selection Guide

4.3-1

Page
Power Controllers .............................. 4.3-2
Zero Voltage Switch . . . . . . . . . . . . . . . . . . . . . . . . .. 4.3-2
Zero Voltage Controller ..... . . . . . . . . . . . . . . . . .. 4.3-3
High-5ide Driver Switch ...................... 4.3-4
Motor Controllers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.3-4
Brushless DC Motor Controllers ............... 4.3-4
Closed Loop Brushless Motor Adapter . . . . . . . . .. 4.3-7
DC Servo Motor Controller/Driver .............. 4.3-8
Stepper Motor Driver . . . . . . . . . . . . . . . . . . . . . . . .. 4.3-9
Universal Motor Speed Controller ............. 4.3-10
Package Overview ............................ 4.3-11

Analog and Interface Integrated Circuits

Power Controllers
An assortment of battery and ac line-operated controllCs for specific applications are shown. They are designed to enhance
system performance and reduce complexity in a wide variety of control applications.

Zero Voltage Switch
CA3059
TA

=-40° to +85°C, Case 646

This device is designed for thyristor control in a variety of
ac power switching applications for ac input voltages of
24 V, 120 V, 208/230 V, and 227 V @ 50/60 Hz.
• Limiter-Power Supply - Allows operation directly from
an ac line.
• Differential "On"/"Off" Sensing Amplifier - Tests for
condition of external sensors or input command signals.
Proportional control capability or hysteresis may be
implemented.
• Zero--Crossing Detector - Synchronizes the output
pulses to the zero voltage point of the ac cycle.
Eliminates RFI when used with resistive loads.

• Triac Drive - Supplies high current pulses to the external
power controlling thyristor.
• Protection Circuit - A built-in circuit may be actuated, if
the sensor opens or shorts, to remove the drive circuit
from the external triac.
• Inhibit Capability - Thyristor firing may be inhibited by
the action of an internal diode gate.
• High Power DC Comparator Operation - Operation in
this mode is accomplished by connecting Pin 7 to 12
(thus overriding the action of the zero-{;rossing detector).

RS

AC
Input
Voltage

I Gate
I
I
I
I
I
I
I
__ JI

=
Gnd

Inhibit

External Trigger

'NTCSensor

Analog and Interface Integrated Circuits

4.3-2

Motorola Master Selection Guide

Power Controllers

(continued)

Zero Voltage Controller
UAA1016B

TA = -20° to +100°C, Case 626
The UAA 1016B is designed to drive
triacs with the Zero voltage technique
which allows RFI free power regulation of
resistive loads. It provides the following
features:

-

r----.------------------------------------~~220VAC

~

Set

R1

R2

• Proportional Temperature Control Over
an Adjustable Band
• Adjustable Burst Frequency (to Comply
with Standards)
• No DC Current Component Through the
Main line (to Comply with Standards)
• Negative Output Current Pulses (Triac
Quadrants 2 and 3)

(NTC)
Temp
Sensor

• Direct AC line Operation
• Low External Components Count

220VAC

Zero Voltage Controller
UAA2016P, D

TA = -20° to +85°C, Case 626, 751
The UAA2016 is designed to drive triacs
with the Zero Voltage technique which
allows RFI free power regulation of
resistive loads. Operating directly on the ac
power line, its main application is the
precision regulation of electrical heating
systems such as panel heaters or irons.
A built-in digital sawtooth waveform
permits proportional temperature regulation
action over a ±1 °C band around the set point.
For energy savings there is a programmable
temperature reduction function, and for
security, a sensor failsafe inhibits output
pulses when the sensor connection is
broken. Preset temperature (Le., defrost)
application is also possible. In applications
where high hysteresis is needed, its value
can be adjusted up to 5°C around the set
point. All these features are implemented
with a very low external component count.

r------------------,I
U~16

I
I

"I

1
I
I

Sense Input 0-1, .....--...--1

I
I

1

Temperature 0-+---101
Reduction

I

I
1

I
I
I
1

2
Hysteresis 0 - ; - -....
Adjust
Voltage
Relerence

~-~~~----

-----8

·1

--~
5

Sync

• Zero Voltage Switch for Triacs, up to
2.0 kW (MAC212A8)
• Direct AC line Operation
• Proportional Regulation of Temperature
over a 1°C Band
• Programmable Temperature Reduction
• Preset Temperature (Le., Defrost)
• Sensor Failsafe
• Adjustable Hysteresis
• Low External Component Count

Motorola Master Selection Guide

4.3-3

Analog and Interface Integrated Circuits

Power Controllers

(continued)

High-Side Driver Switch
ow

MC3399T,

TJ = -40° to +150°C, Case 3140, 751G
The MC3399T is a high side driver
switch that is designed to drive loads from
the positive side of the power supply. The
output is controlled by a TTL compatible
Enable pin. In the "on" state, the device
exhibits very low saturation voltages for
load currents in excess of 750 mAo The
device also protects the load from positive
or negative-going high voltage transients
by becoming an open circuit and isolating
the transient for its duration from the load.
The MC3399T is fabricated on a Power
BiMOS process which combines the best
features of Bipolar and MOS technologies.
The mixed technology provides higher gain
PNP output devices and results in Power
Integrated Circuits with reduced quiescent
current.

:I

I

I
I
I

I

I
I
I
I
_ _ _ :"'J
Gnd

Motor Controllers
This section contains integrated Circuits designed for cost effective control of specific motor families. Included are controllers
for brushless, dc servo, stepper, and universal type motors.

Brushless DC Motor Controllers
Advances in magnetic materials technology and integrated
circuits have contributed to the unprecedented rise in
popularity of brush less dc motors. Analog control ICs are
making the many features and advantages of brushless
motors available at a much more economical. price. Motorola
offers a family of monolithic integrated brush less dc motor

controllers. These ICs provide a choice of control functions
which allow many system features to be easily implemented
at a fraction of the cost of discrete solutions. The following
table summarizes and compares the features of Motorola's
brushless motor controllers.

Table 1. Features Summary for Motorola Brushless DC Motor Controllers
Operating
Voltage Range
(V)

Output
Drivers

10
CD

E

~
0_

.!I:

DI

i::::1

CD~
1:Iu
Device

Vee

Ve

1:0

;:)...1

~~
i~ ~~
:1:0
c.l:
_Ill
ILO
6;-

MC33033

10-30

-

V

V

V

MC33035

10-40

10-30

V

V

V

Analog and Interface Integrated Circuits

~Q
0'- I:

...

- ;ja::
.s
~

E

~.-

'So!!

E

c7liiif

Ow

~.fe.

tnt)tn
I: CD'"

60'/300'
and
120°/240°

0

.ail
S.!~
::II:

8"S~

CD

~

I:

:.Ea.

.2!
CD

c7l~

",.2-

eO.
~E

u

e

11: ..
>::1

I:~

c~

SO
"'>

!fa

uiO

""::1

::10
00

V

-

-

-

pn38,
DWn51D

V

V

V

V

pn24,
DWn51E

V

V

V

V

Noninv.
Only

V

V

V

V

Noninv.
and Inv.

4.3-4

~

OQ. 1~'5
Suffix!
;:).2- ~~ ~t!6. Package
l:E
i-c !D.5
We(
III 0

Motorola Master Selection Guide

Motor Controllers

(continued)

MC33033P, OW

TA

=-40° to +85°C, Case 738, 7510

The MC33033 is a lower cost second generation brush less
dc motor controller which has evolved from the full featured
MC33035 controller. The MC33033 contains all of the active
functions needed to implement a low cost open loop motor
control system. This IC has all of the key control and protection
functions of the two full featured devices with the following
secondary features deleted: separate drive-circuit supply and
ground pins, the brake input, and the fault output signal. Like
its MC33035 predecessor, the MC33033 has a control pin
which allows the user to select 60°/300° or 120°/240° sensor
electrical phasings.

Because of its low cost, the MC33033 can efficiently be
used to control brush dc motors as well as brushless. A brush
dc motor can be driven using two of the three drive output
phases provided in the MC33033, while the Hall sensor input
pins are selectively tied to Vref or ground. Other features such
as forward/reverse, output enable, speed control, current
limiting, undervoltage lockout and internal thermal shutdown
will still remain functional.

Ir---------------------~-~-~
+
, I
I
I

:::::::c.O-O+--r'-------1L--_~.......,.-"'"_,

Motor

Enable~,

Speed Set

i

Faster

RT

Motorola Master Selection Guide

4.3--5

Analog and Interlace Integrated Circuits

Motor Controllers

(continued)

MC33035P, OW

TA = -40° to +85°C, Case 724, 751 E
or 120°/240° sensor electrical phasings. and access to both
inverting and non inverting inputs of the current sense
comparator. The earlier devices had two part numbers which
were needed to support the different sensor phasings. and the
inverting input to the current sense comparator was internally
grounded. All of the control and protection features of the
earlier device are also provided in the MC33035.

The MC33035 is a second generation high performance
brush less dc motor controller which contains all of the active
functions required to implement a full featured open loop
motor control system. While being pin-compatible with an
earlier device, the MC33035 offers additional features at a
lower price. The two additional features provided by the
MC33035 are a pin which allows the user to select 60°/300°

r----~--------------------,~~

I

~

Pas
Dec

::~:::r:

Enable~'O-C>+-~;;"'------~"""-"";"--I-H---fl-H-b/...../

VIN

Molar

-=VCC

SpeedSel

i

Fasler

RT

I
I

'"
~ _______ _
L__________
,.:

.

~

, ..
..

....

.'

,

,

.,

..

'

':i

-=

Analog and Interface Integrated Circuits

4.3--6

Brake

Motorola Master Selection Guide

Motor Controllers

(continued)

Closed Loop Brushless Motor Adapter
MC33039P,D
TA

=-40° to +85°C, Case 626, 751
detectors, a programmable monostable, and an internal shunt
regulator. Also included is an inverter output for use in systems
that require conversion of sensor phasing. Although this
device is primarily intended for use with the MC33033/35
brushless motor controllers, it can be used cost effectively in
many other closed loop speed control applications.

The MC33039 is a high performance close loop speed
control adapter specifically designed for use in brushless dc
motor control systems. Implementation will allow precise
speed regulation without the need for a magnetic or optical
tachometer. These devices contain three input buffers each
with hysteresis for noise immunity, three digital edge

Vee
 A

~eT
 A

To Rotor
Position
Sensors

fout

B

e

Gnd

Motorola Master Selection Guide

4.3-7

Analog and Interface Integrated Circuits

Motor Controllers

(continued)

DC Servo Motor Controller/Driver
MC33030P, ow
TA = -40° to +85°C, Case 648C, 751 G
A monolithic dc servo motor controller providing all active
functions necessary for a complete closed loop system. This
devicE;! consists of an on-chip op amp and window comparator
with wide input common mode range, drive and brake logic
with direction memory, a power H switch driver capable of

1.0 A, independently programmable over current monitor and
shutdown delay, and over voltage monitor. This part is ideally
suited for almost any servo positioning application that
requires sensing of temperature, pressure, light, magnetic
flux, or any other means that can be converted to a voltage.
Motor

Vee

Vee
Feedback
Position

-,

I
I
I
I
I
I
I
I
I
I

Power
H
Switch

Overvoltage
Monitor

I

Drive
Brake
Logic

I
I
I

I

Vee

I
I
I
Programmable
I
Overcurrent
I
Detector
& Latch
I
I
I
I
I
I
__ JI

Direction
Memory
Reference
Position

L _________

~_

eDLY

Analog and Interface Integrated Circuits

4.3-8

1

Roe

Motorola Master Selection Guide

Motor Controllers

(continued)

Stepper Motor Driver
MC3479P, FN

TA

=0° to +70°C, Case 648C, 775

SAA1042V
TA

=-30° to +125°C, Case 648C

These Stepper Motor Drivers provide up to 500 mA of drive
per coil for two phase 6.0 V to 24 V stepper motors. Control
logic is provided to accept commands for clockwise, counter

clockwise and half or full step operation. The MC3479 has an
added Output Impedance Control (OIC) and a Phase A drive
state indicator (not available on SAA1042 devices).

-

"

Ll
ClK

>--

IT

Clock

r--

>--

IT

CW/CCW

---40

Driver

~

~~

..

,-,

CW/CCW

~[

---40

J

logic

L2
VD

L3
Full/Half

Step

>--

IT

F/H Step

-.:-

- f-io

~~

-....

Driver

0--

~~

OlC'

r-:-

IT

OlC

r

l4

T

-

"
Bias/Set

Gnd

• MC3479 Only

Motorola Master Selection GUide

4.3-9

Analog and Interface Integrated Circuits

Motor Controllers

(continued)

Universal Motor Speed Controller
TDA1085C, CD

TA =_10° to +120°C, Case 648, 7518
The TDA1085C is a phase angle triac controller having all
the necessary functions for universal motor speed control in
washing machines. It operates in closed loop configuration
and provides two ramp possibilities.

• Soft Start

• On-Chip Frequency to Voltage Converter

• Direct Supply from AC Line

• On-Chip Ramps Generator

• Security Functions Peformed by Monilor

~

'"'"
"0
Q)

'"

Cl.

'"""

g

1Il

g.

0
0.

E

"

"ffi

a.

.2>

~

0

"0
Q)

"0

Q)

Q)
Q)

Cl.

Cl.

• Load Current Limitation
• Tachogenerator Circuit Sensing

'Ee

~

~§

'" '"a;
tl '" ~~
"ffi
-0:

E

60

~

0

a:Q)

~

"

Q)

c:>

:::

'"

.~
;=

~
"'"
c:>
a.
E
'"
Q)

a:

Analog and Interface Integrated Circuits

4.3-10

"5
..g E .g" " .s~
§
b5 0l1'" 0a; .~e .~e 0"
~
8
g

~

:c

Cl.

--'

"0

~

0

Q)

~ '"~ ill t a.
i6
'"E
'" J 1§; "~ ~
Q;

Q)

0

Motorola Master Selection Guide

Power/Motor Control Circuits Package Overview

•

-

- .. ., •

#

~
CASE 314D
TSUFFIX

CASE 626
B, PSUFFIX

CASE 646

~

CASE 724
P SUFFIX

CASE 738
PSUFFIX

CASE 751
DSUFFIX

CASE 751D
DWSUFFIX

CASE 751E
DWSUFFIX

CASE 751G
DWSUFFIX

Motorola Master Selection Guide

4.3-11

CASE 648, 648C
P, V SUFFIX

CASE 751B
DSUFFIX

•

CASE 775
FN SUFFIX

Analog and Interface Integrated Circuits

Analog and Interface Integrated Circuits

4.3-12

Motorola Master Selection Guide

Voltage References

In Brief ...
Motorola's line of precIsion voltage references is
designed for applications requiring high initial accuracy, low
temperature drift, and long term stability. Initial accuracies of
±1.0%, and ±2.0% mean production line adjustments can be
eliminated. Temperature coefficients of 25 ppm/DC max
(typically 10 ppm/DC) provide excellent stability. Uses for the
references include O/A converters, NO converters,
precision power supplies, voltmeter systems, temperature
monitors, and many others.

Motorola Master Selection Guide

4.4-1

Page
Precision Low Voltage References . . . . . . . . . . . . . . .. 4.4-2
Package Overview ............................. 4.4-2

Analog and Interface Integrated Circuits

Precision Low Voltage References
A family of precision low voltage bandgap reference devices designed for applications requiring low temperature drift.

Table 1. Precision Low Voltage References
{mAl
Max

VoutlT
ppm/°C
Max

20

80Typ

0° to +70°C

-40° to +85°C

Regline
(mY)
Max

LM385BZ-1.2
LM385Z-1.2

LM285Z-1.2

(Note 1)

LM385BZ-2.5
LM385Z-2.5

LM285Z-2.5

25

MC1403A

-

40

MC1403

5.0±50mV

40

MC1404P5

-

6.25±60mV

40

MC1404P6

-

10±100mV

40

MC1404Pl0

-

50Typ

TL431C, AC, BC

TL4311, AI, BI

10

Vout
(V)
Typ
1.235 ± 12 mV
1.235 ± 25 mV
2.5±38mV
2.5±75mV
2.5±25mV

2.5 to 37

10

100

Device

Regload
(mY)
Max
1.0
(Note 2)

Package
Z,D

2.0
(Note 3)

3.0/4.5
(Note 4)

10
(NoteS)

D

6.0
(Note 6)

P

Shunt Reference
Dynamic Impedance
(z)$0.50

LP, P, D, DM

Notes: 1. Micropower Reference Diode Dynamic Impedance (z),;; 1.0 0 at IR = 100~.
2. IOIlA" IR" 1.0 mA.
3. 20 IlA " IR " 1.0 mAo
4.4.5 V"Vin" 15 VIIS V"Vin ,,40V.
5.0mA"IL,,10mA.
6. (Vout + 2.5 V) " Vin " 40 V.

Voltage References Package Overview

,
CASE 29
LP,ZSUFFIX

Analog and Interface Integrated Circuits

~

~

•

CASE 626
PSUFFIX

CASE 751
DSUFFIX

CASE846A
DMSUFFIX

4.4-2

Motorola Master Selection Guide

Data Conversion

In Brief ...
Motorola's line of digital-to-analog and analog-to--digital
converters include several varieties to suit a number of
applications.
The NO converters include an 8-bit flash converter suitable
for NTSC and PAL systems. CMOS devices include 8 to 1o-bit
converters, as well as other high speed digitizers.
The D/A converters have 6 and 8-bit devices, and video
speed (for NTSC and PAL) devices.

Motorola Master Selection Guide

4.5-1

Page
Data Conversion ...............................
A-D Converters .............................
CMOS ...................................
Bipolar. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ..
Sigma-Delta .............................
D-A Converters .............................
CMOS ...................................
Sigma-Delta .............................
Package Overview .............................

4.5-2
4.5-2
4.5-2
4.5-2
4.5-2
4.5-3
4.5-3
4.5-3
4.5-4

Analog and Interface Integrated Circuits

Data Conversion
The line of data conversion products which Motorola offers
spans a wide spectrum of speed and resolution/accuracy.
Features, including bus compatibility, minimize external parts
count and provide easy interface to microprocessor systems.
Various technologies, such as Bipolar and CMOS, are utilized

to achieve functional capability, accuracy and production
repeatability. Bipolar technology generally results in higher
speed, while CMOS devices offer greatly reduced power
consumption.

Table 1. A-D Converters

Device

Nonlinearity
Max

Conversion
TlmelRate

Input
Voltage
Range

Supplies
(V)

Temperature
Range
("C)

MC145040

±1/2 LSB

10llS

OtoVDD

+5.0 ±10%

-40 to +125

Resolution
(Bits)

Suffix!
Package

Comments

CMOS
8

MC145041

P1738,
DWI751D

Includes Internal
Clock, 11-Ch MUX

20llS
Successive Approximation
Registers

MC14549BI
MC14559B

Requires Extemal
Clock, 11-Ch MUX

+3.0 to +18

-40 to +85

P/648

Compatible with
MC1408 SAR.
&-bit D-A Converter

Triple
8-Bit

MC44251

llSB

18 MHz

1.6t04.6V

+5.0±10%

-40io +85

FN1777,
FU/824A

3 Separate Video
Channels

10

MC145050

±1 LSB

211!S

OtoVDD

+5.0±10%

-40 to +125

P1738,
DW1751D

Requires External
Clock, 11-Ch MUX

MC145051

Includes Internal
Clock, 11-Ch MUX

44lls

MC145053

P/646,
D1751 A

6-10

MC144431
MC14447

±0.5%
Full Scale

300 I!S

Variable
w/Supply

+5.0 to +18

3-112 Digit

MC14433

±D.05%
±1 Count

40ms

±2.0V
±200mV

+5.0 to +8.0
-2.8to-8.0

MC10319

±1 LSB

25 MHz

MC145073

±1 LSB

48 kHz

-40 to +85

Includes Internal
Clock, 5-Ch MUX

P/648,
IlP Compatible,
DW1751G Single Slope,
6-Ch MUX

P1709,
Dual Slope
DW1751E

Bipolar
8

Ot02.0Vpp
+5.0 and
Max
-3.0to-6.0

Oto+70

P1709,
DW1751F
Die Form

Video Speed Flash
Converter, Internal
Gray Code
TTL Outputs

-40 to +85

DW1751E

Dual Channel,
Sigma-Delta
architecture

Sigma-Delta
16

Analog and Interface Integrated Circuits

1.9Vpp

4.5-2

4.5 to 5.5

Motorola Master Selection Guide

Table 2. D-A Converters

Device

Accuracy
@25'C
Max

Max
Settling
11me
(± 112 LSB)

Supplies
(V)

Temperature
Range
eC)

MC144110

-

-

+5.0 to +15

Oto +85

MC144111

-

-

MC144112

-

-

Resolution
(Bits)

Suffix!
Package

Comments

CMOS
6

+2.510+5.5

-40 to +85

Pf707,
DWf751D

Serial input, Hex DAC,
60ulputs

P/646,
DWf751G

Serial input, Quad DAC,
40ulputs

P/646,

Serial input, Quad DAC,
4 outputs

Df751 A
MC44200

±1/2 LSB

30ns

+5.0
±10%

-40 to +85

FU/824A

16,18,20

MC145074

See data
sheet

6.0 ns

4.5 to 5.5

-40 to +85

Df751B

Dual Channel,
Sigma-Delta architecture,
MC145076 FIR Filter
available

-

MC145076

See data
sheet

-

+5.0

-40 to +85

Df751B

Dual Channel Bit Stream,
144 tap FI R Filter

Triple
S-Bit

Triple Video DAC,
55 MHz, TTL

Sigma-Delta

Motorola Master Selection Guide

4.5-3

Analog and Interface Integrated Circuits

Data Conversion Package Overview

.,

•
-

CASE 646
PSUFFIX

CASE 648
PSUFFIX

~
CASE 709
PSUFFIX

CASE 707
PSUFFIX

CASE 751 A
o SUFFIX

CASE 751B
o SUFFIX

CASE 751F
OW SUFFIX

CASE 751G
OW SUFFIX

Analog and Interface Integrated Circuits

CASE 649
PSUFFIX

CASE 7510
OW SUFFIX

•

CASE 777
FN SUFFIX

4.5-4

-

CASE 738
PSUFFIX

CASE 751E
OW SUFFIX

•

CASE 824A
FUSUFFIX

Motorola Master Selection Guide

Interface Circuits

In Brief ...
Described in this section is Motorola's line of interface
circuits, which provide the means for interfacing with
microprocessor or digital systems and the external world, or
to other systems.
Also included are devices which allow a microprocessor
to communicate with its own array of memory and peripheral
1/0 circuits.
The line drivers, receivers, and transceivers permit
communication between systems over cables of several
thousand feet in length, and at data rates of up to several
megahertz. The common EIA data transmission standards
several European standards, and IEEE-488 are addressed
by these devices.
The peripheral drivers are designed to handle high
current loads such as relay coils, lamps, stepper motors, and
others. Input levels to these drivers can be TTL, CMOS, high
voltage MOS, or other user defined levels. The display
drivers are designed for LCD or LED displays, and provide
various forms of decoding.

Motorola Master Selection Guide

4.6-1

Page
Enhanced Ethernet Transceiver .................. 4.6-2
ISO 8802-3[IEEE 802.3)1 OBASE-T Transceiver ... 4.6-3
Hex EIA-485 Transceiver with
Three-State Outputs ........................... 4.6-4
5.0 V, 200 M-BitiSec PR-IV Hard Disk
Drive Read Channel ........................... 4.6-5
Line Receivers .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.6-7
EIA Standard. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.6-7
Line Drivers ................................... 4.6-7
EIA Standard. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.6-7
Line Transceivers . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.6-7
EIA-232-EN.28 CMOS Drivers/Receivers ...... 4.6-7
Peripheral Drivers ........................... 4.6-8
IEEE 802.3 Transceivers. . . . . . . . . . . . . . . . . . . . .. 4.6-8
ReadiWrite Channel ............................ 4.6-8
Drive Read Channel. . . . . . . . . . . . . . . . . . . . . . . . .. 4.6-8
Inkjet Drivers .................................. 4.6-8
28-Channellnkjet Driver. . . . . . . . . . . . . . . . . . . . .. 4.6-8
CMOS Display Drivers .......................... 4.6-9
Package Overview ............................ 4.6-10

Analog and Interface Integrated Circuits

Enhanced Ethernet Transceiver
MC68160FB

TA

= 0° to +70°C, Case 848D

The MC68160 Enhanced Ethernet Interface Circuit is a
BiCMOS device which supports both IEEE 802.3 Access Unit
Interface (AU!) and 10BASE-T Twisted Pair (TP) Interface
media connections through external isolation transformers. It
encodes NRZ data to Manchester data and supplies the
signals which are required for data communication via
10BASE-T or AUI interfaces. The MC68160 gluelessly

interfaces to the Ethernet controller contained in the MC68360
Quad Integrated Communications Controller (QUICC) device.
The MC68160 also interfaces easily to most other
industry-standard IEEE 802.3 LAN controllers. Prior to
twisted pair data reception, Smart Squelch circuitry qualifies
input signals for correct amplitude, pulse width, and sequence
requirements.

RX
RCLK
MFILT

ARX+

RXLED
RENA
CLLED

ARX-

Mux

ACX+
ACX-

w CLSN
: TXLED

m
TENA
l!l:
TX

'"

(i)

ATX-

:

ATX+

tiE

II:

w

5

X1

TC~

LII

Twisted
Pair
Polartty
Error
Control

:

CSO
CS1
CS2
TPEN
APORT
TPAPCE
TPSOEL
TPFULDL
LOOP
TPJABB

Analog and Interface Integrated Circuits

TPTX+ TPTX-

TPLIL

4.6-2

TPSOEL

TPRX-

'"

TPRX+ TPPLR

Motorola Master Selection Guide

ISO 8802-3[IEEE 802.3] 10BASE-T Transceiver
MC34055DW

TA

= 0° to +70°C, Case 751 E

The Motorola 10BASE-T transceiver, designed to comply
with the ISO 8802-3[IEEE 802.3]10BASE-T specification,
will support a Medium Dependent Interface (MOl) in an
embedded Media Attachment Unit (MAU). The interface
supporting the Data Terminal Equipment (DTE) is TIL,
CMOS, and raised ECl compatible, and the interface to the

Twisted Pair (TP) media is supported through standard
10BASE-T filters and transformers. Differential data intended
for the TP media is provided a 50 ns pre-emphasis and data
at the TP receiver, is screened by Smart Squelch circuitry for
specific threshold, pulse width, and sequence requirements.

Loop Back
Test Select
Balun
TX Data A

Data Out

TX DataB
4

TXENH
AX Data A
AX Data B

to RXENH
SIA

Duplex Mode
Select

14

CTLH

t3

JABB H

22 SQE EN L

Duplex
Mode
Select

Motorola Master Selection Guide

4.6-3

Analog and Interface Integrated Circuits

Hex EIA-485 Transceiver with Three-State Outputs
MC34058159FTA
TA = 0° to +70°C, Case 932
The Motorola MC34058/9 Hex Transceiver is composed of
six driver/receiver combinations designed to comply with the
EIA-485 standard. Features include three-state outputs,
thermal shutdown for each driver, and current limiting in both
directions. This device also complies with EIA-422 and
CCITT Recommendations V.11 and X.27.
The devices are optimized for balanced multipoint bus
transmission at rates to 20 MBPS (MC34059). The driver
outputs/receiver inputs feature a wide common mode voltage
range, allowing for their use in noisy environments. The
current limit and thermal shutdown features protect the
devices from line fault conditions.
The MC34058/9 is available in a space saving 7.0 mm 48
lead surface mount quad package designed for optimal heat
dissipation.
Gnd

DE6

RE6

DI6

• Meets EIA-485 Standard for Party Line Operation
• Meets EIA-422A and CCITT Recommendations V.11 and
X.27
• Operating Ambient Temperature: O°C to +70°C
• Common Mode Driver Output/Receiver Input Range: -7.0
to +12 V
• Positive and Negative Current Limiting
• Transmission Rates to 14 MBPS (MC34058) and 20
MBPS (MC34059)
• Driver Thermal Shutdown at 150°C Junction Temperature
• Thermal Shutdown Active Low Output
• Single +5.0 V Supply, ±10%
• Low Supply Current
• Compact 7.0 mm 48 Lead TQFP Plastic Package
• Skew Specified for MC34059

R06

DR5

RE5

DE5

Gnd

Gnd

Gnd

Gnd

OA5

OA6

3

085

OB6

4

DR4

DR1

0A4

OA1

OB4

OB1

DE4

DE1

29 RE4

RE1

9

28 OB3

OB2 10

27 OA3

0A2

11

26 Gnd

Gnd

12

25 Gnd

Analog and Interface Integrated Circuits

4.6-4

Motorola Master. Selection Guide

5.0 V, 200 M-BitiSec PR-IV Hard Disk Drive Read Channel
MC34250FTA

TA

= 0° to +70°C, Case 840F

The Motorola MC34250 is a fully integrated partial
response maximum likelihood disk drive read/write channel
for use in zoned recording applications. This device integrates
the AGC, active filter, 7 tap equalizer, Viterbi detector,
frequency synthesizer, servo demodulator, 8/9 rate (0,4/4)
Encoder/Decoder with write precompensation and power
management in a single 64 pin 10 mm x 10 mm TQFP
package.

• Programmable Asymmetrical Boost of Up to ±40% of
Nominal Filter Group Delay in Both Data and Servo
Modes
• 7 Tap Continuous Time Transversal Equalizer with 8 Bit
Programmable Tap Weights and Integrated Decision
Directed Sign-Sign Least Mean Squared Adaptation
• Internal Offset Cancellation Loops
• Fast Acquisition Data Phase Locked Loop with Zero
Phase Restart

FEATURES:
• 50 to 200 MBPS Programmable Data Rate

• Programmable Data Phase Locked Loop Charge Pump
Current

• 800 mW at 200 MBPS and 5.0 V
• Channel Monitor Output
• Programmable AGC Charge Pump Currents with
Different Values for Data and Servo Envelope Modes and
Gain Gradient Mode
• Programmable AGC Peak Detector Droop Currents with
Different Values for Data and Servo Envelope Modes
• Separate AGC Charge Pump Outputs for Data and Servo
Modes

• Integrated Soft Decision Viterbi Detectors with
Programmable Merge References
• Integrated 8/9 Rate (0,4/4) Encoder and Decoder with
Code Scrambler and Descrambler
• Programmable 214/8 Bit NRZ Data Interface
• Programmable Write Precompensation Delays Locked to
the Frequency Synthesizer
• Differential PECL Write Data Outputs

• Programmable Dual Threshold Qualifier or Hysteresis
Comparator Type Pulse Detector for Servo Data
Detection.

• External Write Data Path for DC Erase or Other
Non-Encoded Data

• ERD and Polarity Outputs for Servo Timing and Raw
Encoded Data

• Programmable Power Management

• Integrated Write Current DAC

• Integrated 7 pole 0.05° Equiripple Linear Phase Filter with
Programmable Bandwidth from 5.0 MHz to 80 MHz and
Different Values for Both Data and Servo Modes

• Bi-Directional Serial Microprocessor Interface
• Various Test Modes Controlled Via the Serial
Microprocessor Interface

• Programmable Symmetrical Boost from 0 to 10 dB and
Different Values for Data and Servo Modes

Motorola Master Selection Guide

4.6-5

Analog and Interface Integrated Circuits

e.~
c8p>

~CI)

.WN

.......

?I
0

~

S5a
r~

...
I\)

r;;rn
5:-0

0

c

~

~

~
~

I

m

~

~

~

fC
()

Servo Demodulator

~

Thresholds

[iii'

Servo

~

ATPI P/CM

~~

~M

~I
~

%

I»

~

~

~

VINM

-.

C

HOLDB

~

I

I

CDATA~
Mux

CSRVO

-~ ~eaKue~
Pump

Q

~.___

.,

+
Gain

"',,"'on'

I

=1

SYNCDET
NRZ (7:0)
8/9 (0,414) ENDEC

Sy~c~~n:~on
yt

=-

C

~

NRZCLK:c!"
READGT
CD
WRITEGT

0(

WRITECLK

:c
CD

8.

o
~

I»

Write
Precompensation
SLEEPB

~

Q

i

I

s:

FREF

0

I

-,

+--1

t=

Power
Manager

1-+

F uency

~

S~esizer

Mode

Zone Clk

~

WCDAC -'

MCU Interface

_. _ "-

~

CD

:l

G>

~

CD

c
-<-1.2 Mb

FM IF/Demodulator with
split IF for DECT

FTBl873

500 kb

FM IF for PHS

600 MHz

Suffix!
Package

DTBI948F

Table 4. Narrowband Single Conversion Receivers - VHF

Device

VCC

ICC

12dB
SINAD
Sensitivity
(Typ)

MC3357

4-8 V

5.0mA

5.01lV

MC3359

4-9 V

7.0mA

2.01lV

MC3371

2-8 V

6.0mA

RF
Input

IF

Mute

RSSI

Max
Data
Rate

45 MHz

455 kHz

V-

-

>4.8kb

V-

60 MHz

>4.8kb

MC3372
MC13150

Notes
Ceramic Quad
Detector/Resonator

P/648,
D1751B

Scan output option

P1707,
DW1751D

RSSI

P/648,
D1751B,
DTBl948F

RSSI, Ceramic Quad
Detector/Resonator

3-6 V

1.8mA

1.01lV

500 MHz

V-

>9.6 kb

Suffix!
Package

Coilless Detector with
Adjustable Bandwidth

FTB/873,
FTAl977

110
dB

Analog and Interface Integrated Circuits

4.7-2

Motorola Master Selection Guide

RF Communications

(continued)

Table 5. Narrowband Dual Conversion Receivers - FM/FSK - VHF

Device

VCC

ICC

12dB
SINAD
Sensitivity
(Typ)

MC3362

2-7 V

3.0mA

0.7J.lV

MC3363

4.0mA

RF
Input

IF1

180
MHz

10.7
MHz

IF2
(Limiter
In)

Mute

RSSI

Data
Rate

455 kHz

-

V

>4.8

7

0.4 J.lV

MC3335

0.7J.lV

MC13135

1.0J.lV

kb

I--

Notes

Suffix!
Package

Includes buffered
VCOoutput

P1724,
DW1751E

Includes RF
amp/mute

DW1751F

Low cost version

DWI751D,
P1738

Voltage buffered
RSSI, LC Quad
Detector

DW1751E,
P1724

Voltage Buffered
RSSI, Ceramic
Quad Detector

MC13136

Table 6. Universal Cordless Phone Subsystem ICs
Dual
Conversion
Receiver

Universal
DualPLL

Compander
and Audio
Interface

Voice
Scrambler

Low
Battery
Detect

Programmable
Rx , Tx Trim Gain
and LBO Voltage
Reference

Suffix!
Package

Device

Vec

ICC

MC13109

2.0-5.5 V

Active Mode
6.7mA
Inactive Mode
40 I.lA

V

V

V

-

1

-

FB/848B,
FTAl932

MC13110

2.7--5.5 V

Active Mode
8.2mA
Inactive Mode
60J.lA

V

V

V

V

2

V

FB/848B

MC13111

2.7--5.5 V

Active Mode
B.2mA
Inactive Mode
60 I.lA

V

V

V

-

2

V

FB/848B

Table 7. Transmitters - AMlFM/FSK
MaxRF
Freq
Out

Max
Mod
Freq

Notes

Suffix!
Package

Device

VCC

ICC

Pout

MC2833

3-8 V

10mA

-30dBm
to
+10dBm

150 MHz

50kHz

FM transmitter. Includes two frequency
multiplier/amplifier transistors

P/648,
D1751B

MC13175

2-5 V

40mA

8.0dBm

500 MHz

5.0 MHz

AM/FM transmitter. Single frequency PLL
fout = 8 x fref, includes power down function

D1751B

MC13176

Motorola Master Selection Guide

fout = 32 x fref, includes power down function

1.0 GHz

4.7-3

Analog and Interface Integrated Circuits

Table 8. Balanced Modulator/Demodulator
Device
MC1496

VCC

ICC

3-5 V

10mA

Sufflxl
Package

Function

P/646,
Dn51 A

General purpose balanced modulator/demodulator for AM, SSB, FM detection
with Carrier Balance >50 dB

Table 9. Infrared Transceiver

Device

VCC

ICC

12dB
SINAD
Sensitivity
(TYp)

MC13173

3--5 V

6.5mA

5.0 ",V

Max
IF Freq
10.7
MHz

Carr Det

RSSI

Data
Rate

V

V

200 kb

Notes
Includes Single Frequency
PLL for Tx Carrier and Rx La

Sufflxl
Package
FTB/873

Universal Cordless Telephone Subsystem IC
MC13109FB, FTA

TA

=-20° to +85°C, Case 848B, 932

The MC131 09 integrates several of the functions required
for a cordless telephone into a single integrated circuit. This
significantly reduces component count, board space
requirements, and external adjustments. It is designed for use
in both the handset and the base.
• Dual Conversion FM Receiver
- Complete Dual Conversion Receiver - Antenna Input
to Audio Output 80 MHz Maximum Carrier Frequency
- RSSI Output
- Carrier Detect Output with Programmable Threshold
- Comparator for Data Recovery
- Operates with Either a Quad Coil or Ceramic
Discriminator

• Dual Universal Programmable PLL
- Supports New 25 Channel U.S. Standard with No
External Switches
- Universal Design lor Domestic and Foreign CT-1
Standards
- Digitally Controlled Via a Serial Interface Port
- Receive Side Includes 1st LO VCO, Phase Detector,
and 14-Bit Programmable Counter and 2nd LO with
12-Bit Counter
- Transmit Section Contains Phase Detector and 14-Bit
Counter
- MPU Clock Output Eliminates Need for MPU Crystal
• Supply Voltage Monitor
- Externally Adjustable Trip Point

• Compander

• 2.0 to 5.5 V Operation with One-Third the Power
Consumption of Competing Devices

- Expandor Includes Mute, Digital Volume Control and
Speaker Driver
- Compressor Includes Mute, ALC and Limiter

r-----------------------------~

I

Rx In

I

---+----tooI

I
I
·1

1

I

Rx
Out

Carrier +_---+'--<
DeleCi

Tx In +----!---I

Tx OUI4---~-:-=;::=~.J
Tx VCO +----1---1

I

I
Low
,........·_·+1-f-'-........ Battery
I '
Indicator
1

.

. .

..

,

~-------~--------------~------~

Analog and Interface Integrated Circuits

4.7-4

Motorola Master Selection Guide

Universal Cordless Telephone Subsystem IC with Scrambler
MC13110FB
TA

=-40° to +85°C, Case 848B

The MC13110 integrates several of the functions required
for a cordless telephone into a single integrated circuit. This
significantly reduces component count, board space
requirements, and external adjustments. It is designed for use
in both the handset and the base.
• Dual Conversion FM Receiver
- Complete Dual Conversion Receiver - Antenna In to
Audio Out 80 MHz Maximum Carrier Frequency
- RSSI Output
- Carrier Detect Output with Programmable Threshold
- Comparator for Data Recovery
- Operates with Either a Quad Coil or Ceramic
Discriminator

• Dual Universal Programmable PLL
- Supports New 25 Channel U.S. Standard with New
External Switches
- Universal Design for Domestic and Foreign CT-1
Standards
- Digitally Controlled Via a Serial Interface Port
- Receive Side Includes 1st LO VCO, Phase Detector,
and 14-Bit Programmable Counter and 2nd LO with
12-Bit Counter
- Transmit Section Contains Phase Detector and 14-Bit
Counter
- MPU Clock Outputs Eliminates Need for MPU Crystal
• Supply Voltage Monitor
- Provides Two Levels of Monitoring with Separate
Outputs
- Separate, Adjustable Trip Points

• Compander
- Expandor Includes Mute, Digital Volume Control,
Speaker Driver, 3.5 kHz Low Pass Filter, and Programmable Gain Block
- Compressor Includes Mute, 3.5 kHz Low Pass Filter,
Limiter, and Programmable Gain Block

• Frequency Inversion Scrambler/Descrambler
- Can Be Enabled/Disabled Via MPU Interface
- Programmable Carrier Modulation Frequency
• 2.7 to 5.5 V Operation with One-Third the Power
Consumption of Competing Devices

r-------------------------------,I

I

Rx In -+----./

I
I
I
I
I

Rx PO Out
Rx PO In >--i----~
Carrier ....+-__-<
Detect

Rx
Out

>-_+__•

Data
Out
SPI

Tx Out
Tx VCO

---!----====-.I

I

I

I

l

--+-----1
Low Battery
I
IL _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _._ _
Detect.
_ _ _ _ _ II
~

Motorola Master Selection Guide

4.7-5

Low
• Battery
Indicator

Analog and Interface Integrated Circuits

Narrowband FM Receiver
MC131351136P,

ow

TA = -40° to +85°C, Case 724, 751E
The MC13135 is a full dual conversion receiver with
oscillators, mixers, Limiting IF Amplifier, Quadrature
Discriminator, and RSSI circuitry. It is designed for use in
security systems, cordless phones, and VHF mobile and
portable radios. Its wide operating supply voltage range and
low current make it ideal for battery applications. The
Received Signal Strength Indicator (RSSI) has 65 dB of
dynamic range with a voltage output, and an operational
amplifier is included for a dc buffered output. Also, an

improved mixer third order intercept enables the MC13135 to
accommodate larger input signal levels.
• Complete Dual Conversion Circuitry
• Low Voltage: 2.0 to 6.0 Vdc·
• RSSI with Op Amp: 65 dB Range
• Low Drain Current: 3.5 mA Typical
• Improved First and Second Mixer 3rd Order Intercept
• Detector Output Impedance: 25 D Typically

Vee

'f 0.1
24
23
0.D1

22

I

RFin

..

21
20

360
Audio
Output

RSSI
Output
14
13

39k

,

Analog and Interface Integrated Circuits

4.7-6

455 kHz
Quad Coil
Toko
7MC-8128Z

Motorola Master Selection Guide

Narrowband FM Coilless Detector IF Subsystem
MC13150FTA, FTB

TA

=-40° to +85°C, Case 977, 873

The MC13150 is a narrowband FM IF subsystem targeted
at cellular and other analog applications. Excellent high
frequency performance is achieved, with low cost, through
use of Motorola's MOSAIC 1.5™ RF bipolar process. The
MC13150 has an onboard Colpitts VCO for Crystal controlled
second LO in dual conversion receivers. The mixer is a double
balanced configuration with excellent third order intercept. It
is useful to beyond 200 MHz. The IF amplifier is split to
accommodate two low cost cascaded filters. RSSI output is
derived by summing the output of both IF sections. The
quadrature detector is a unique design eliminating the
conventional tunable quadrature coil.

Applications for the MC13150 include cellular, CT-l
900 MHz cordless telephone, data links and other radio
systems utilizing narrowband FM modulation.
• Linear Coilless Detector
• Adjustable Demodulator Bandwidth
• 2.5 to 6.0 Vdc Operation
• Low Drain Current: < 2.0 mA
• Typical Sensitivity of 2.0 /-LV for 12 dB SINAD
• IIP3, Input Third Order Intercept Point of 0 dBm
• RSSI Range of Greater Than 100 dB
• Internal 1.4 kQ Terminations for 455 kHz Filters
• Split IF for Improved Filtering and Extended RSSI Range

r-----------oEnable
, - - - - - - - - - - 0 RSSI

Mixer~220n

RSSI
I-----"- r~?o V)

;---c--- (Dig.
VDG
Gnd)
.....--<)4-. PDI/ST2

:t!:~~~g3~~::t:~:!==~~tJ~~~~~~~9r~~f:::~~~~~

I"'

...-......--00-

ST1
VAG
(Ana. Gnd)

'l=:;::::::;t::t--<~- RXI

.>-....-oO--TXO
l,..:..".,..,.,..;'=:~~-:::...¢._

1.,--------0-___________________
.

~

_______

.______

~

RFO

CF

I

~J

(Battery)
• Indicates Trimmed Resistor

Motorola Master Selection Guide

4.7-11

Analog and Intel1ace Integrated Circuits

PBX Architecture (Analog Transmission)
PCM Monocircuits Codec-Filters (CMOS LSI)
MC145500 Series

MC145554/57/64167

Case 648, 708, 751G, 776
The Monocircuits perform the digitizing and restoration of
the analog signals. In addition to these important functions,
Motorola's family of pulse-code modulation monocircuits also
provides the band-limiting filter functions - all on a single
monolithic CMOS chip with extremely low power dissipation.
The Monocircuits require no external components. They
incorporate the bandpass filter required for antialiasing and
60 Hz rejection, the AlD-D/A conversion functions for either
U.S. Mu-Law or European A-Law companding formats, the
low-pass filter required for reconstruction smoothing, an
on-board precision voltage reference, and a variety of options
that lend flexibility to circuit implementations. Unique features
of Motorola's monocircuit family include wide power supply
range (6.0 to 13 V), selectable on-board voltage reference
(2.5, 3.1, or 3.8 V), and TTL or CMOS I/O interface.
Motorola supplies three versions in this series. The
MC145503 and MC145505 are general-purpose devices in
16 pin packages designed to operate in digital telephone or
line card applications. The MC145502 is the full-feature
device that presents all of the options available on the chip.
This device is packaged in a 22 pin DIP and 28 pin chip carrier
package.

Case 648, 7510, 751 G, 738
These per channel PCM Codec-Filters perform the voice
digitization and reconstruction as well as the band limiting and
smoothing required for PCM systems. They are designed to
operate in both synchronous and asynchronous applications
and contain an on-chip precision voltage reference. The
MC145554 (Mu-Law) and MC145557 (A-Law) are general
purpose devices that are offered in 16 pin packages. The
MC145564 (Mu-Law) and MC145567 (A-Law), offered in 20
pin packages, add the capability of analog loop-back and
push-pull power amplifiers with adjustable gain.
All four devices include the transmit bandpass and receive
lowpass filters on-chip, as well as active RC pre-filtering and
post-filtering. Fully differential analog circuit design assures
lowest noise. Performance is specified over the extended
temperature range of -40° to +85°C.
These PCM Codec-Filters accept both industry standard
clock formats. They also maintain compatibility with
Motorola's family of MC3419/MC33120 SLiC products.

Txl-----,

.~.

TOC

Digilal

TOE

ConveileI.

TOO

CCI
MSI

VAG

RSI
Vref

RxG"----"
ROD

RxO

RCE

Filter
VSSVOO-

_

ROC

MC14LC5480P, OW, SO

Case 738, 7510, 940C-02
This 5.0 V, general purpose per channel PCM Codec-Filter
offers selectable Mu-Law or A-Law companding in 20 pin DIP,
SOG and SSOP packages. It performs the voice digitization
and reconstruction as well as the band limiting and smoothing
required for PCM systems. It is designed to operate in both
synchronous and asynchronous applications and contains an
on-Chip precision reference voltage (1.575 V).
The transmit bandpass and receive lowpass filters, and the
active RC pre-filtering and post-filtering are incorporated, as
well as fully differential analog circuit design for lowest noise.
Push-pull 300 n power drivers with external gain adjust are
also included.
The MC14LC5480 PCM Codec-Filter accepts a variety
of clock formats, including short-frame sync, long-frame
sync, IDL, and GCI timing environments. This device
also maintains compatibility with Motorola's family of
Telecom products, including the MC145472 U-Interface
Transceiver, MC145474175 SIT-Interface Transceiver,
MC145572
U-Interface
Transceiver,
MC145574
SIT-Interface Transceiver, MC145532 ADPCM Transcoder,
MC145422126 UDLT-I, MC145421/25 UDLT-II, and
MC33120 SLiC.
Replaces the MC145480P, OW, SO.

POI
MulA

_VLS

Analog and Interface Integrated Circuits

4.7-12

Motorola Master Selection Guide

PBX Architecture (continued)
MC14LC5540P, OW, FU
Case 710, 751 F, 873
The MC14LC5540 ADPCM Codec is a single chip
implementation of a PCM Codec-Filter and an ADPCM
encoder/decoder, and therefore provides an efficient solution
for applications requiring the digitization and compression of
voiceband signals. This device is designed to operate over a
wide voltage range, 2.7 V to 5.25 V, and as such is ideal for
battery powered as well as ac powered applications. The
MC14LC5540 ADPCM Codec also includes a serial control
port and internal control and status registers that permit a
microcomputer to exercise many built-in features.

The ADPCM Codec is designed to meet the 32 kbps
ADPCM
conformance
requirements
of
CCITT
Recommendation G.721 (1988) and ANSI T1.301 (1987). It
also meets ANSI T1.303 and CCITT Recommendation G.723
for 24 kbps ADPCM operation, and the 16 kbps ADPCM
standard, CCITT Recommendation G.726. This device also
meets the PCM conformance specification of the CCITT
G.714 Recommendation.

Figure 1. MC14LC5540 ADPCM Codec Block Diagram

Codee-Filter

PO+

DR
FSR

DSP

PO-

r ~,

ADPCM
Transcoder,
Receive Gain
and
Dual Tone
Generator

PI
RO
AXO-

BClKR
BClKT
FST
DT

AXO+

II
i'",

:;~

"1

ii
Ii

II!
Ii

:1

VDSP

TG

Ij
H
i ••

TITI+

I

Sequencel
Control

VDD

SPC

VAG
VSS
C1+

C1VEXT

Motorola Master Selection Guide

4.7-13

PiJl/RESET SCPEN
SCPRx
SCP TX
SCPClK

Analog and Interface Integrated Circuits

PBX Architecture (continued)
MC145537EVK
ADPCM Codec Evaluation Kit
The MC145537EVK is the primary tool for evaluation and
demonstration of the MC14LC5540 ADPCM Codec. It
provides the necessary hardware and software interface to
access the many features and operational modes of the
MC14LC5540 ADPCM Codec.
• Provides Stand Alone Evaluation on Single Board
• The kit provides Analog-ta-Analog, Analog-ta-Digital or
Digital-to-Analog Connections - with Digital Connections
being 64 kbps PCM, 32 or 24 kbps ADPCM, or 16 kbps
CCITT G.726 or Motorola Proprietary ADPCM
• +5.0 V Only Power Supply, or 5.0 V Plus 2.7 to 5.25 V
Supply

• Easily Interfaced to Test Equipment, Customer System,
Second MC145537EVK or MC145536EVK (5.0 V Only)
for Full Duplex Operation
• Convenient Access to Key Signals
• Piezo Loudspeaker
• EIA-232 Serial Computer Terminal Interface for Control
of the MC14LC5540 ADPCM Codec Features
• Compatible Handset Provided
• Schematics, Data Sheets, and User's Manual Included

Figure 2. MC145537EVK Block Diagram
+5.0 V

--

-Gnd-

+3.0 V

--

I~I~I~I

Piezo
Speaker

Clock Generation
Circuitry

CIoeks

1
I

5.0V/3.0V
Level Shift

I

MC145407
EIA-232 Driver/Receiver

1
Analog
Interface

MC14LC5540
ADPCMCodee

Analog and Interface Integrated Circuits

I
3.0 V/5.0V
Level Shift
S.OV/3.0V

4.7-14

EIA-232

SCI

MC68HC705CB
Microcontrolier

Motorola Master Selection Guide

PBX Architecture (continued)

MC145536EVK

ISDN Voice/Data Circuits

Codec-Filter/ADPCM Transcoder Evaluation Kit
The MC145536EVK is the primary tool for evaluation and
demonstration ofthe MC14LC5480 Single +5.0 V supply PCM
Codee-Filter and the MC145532 ADPCM Transcoder (see
"Telephone Accessory Circuits"). The MC145536EVK
provides the necessary hardware needed to evaluate the
many separate operating modes under which the
MC14LC5480 and MC145532 are intended to operate.
• Provides Stand Alone Evaluation on a Single Board
• Easily Interfaced to Test Equipment, Customer System,
or Second MC145536EVK
• Convenient Access to Key Signals
• Generous Wire-Wrap Area for Application Development
• The kit provides Analog-te-Analog, Analog-te-Digital, or
Digital-te-Analog Connections - with Digital Connections
Being 64 kbps PCM; 32, 24, or 16 kbps
Motorola Proprietary ADPCM
• Compatible Handset Included
• Schematics, Data Sheets, and User's Manual included

r-------------.,
I

I

I
I
I
I
I

Clock GeneraIor

Analog
Interface

Clocks

Digital
Interface

I
I
IL

Integrated Services Digital Network
ISDN is the revolutionary concept of converting the present
analog telephone networks to an end-te-end global digital
network. ISDN standards make possible a wide variety of
services and capabilities that are revolutionizing
communications in virtually every industry.
Motorola's ISDN product family includes the MC14LC5472
and MC145572 U-Interface Transceivers, the MC145474175
and MC145574 SIT-Interface Transceivers, MC145488 Dual
Data Link Controller, and the MC68302 Integrated
Multi-Protocol Processor. These are supported by a host of
related devices including the MC14LC5480 +5.0 V PCM
Codec-Filter, MC145532 ADPCM Transcoder, MC14LC5540
ADPCM Codec, MC145500 family of single-chip
codeclfilters, MC145436A DTMF Decoder, MC33120
Subscriber Loop Interface Circuit, MC34129 Switching Power
Supply Controller, and the MC145406/07 CMOS EIA 232-E
Driver/ Receiver family.
Motorola's key ISDN devices fit into four ISDN network
applications: a digital subscriber line card, an NTl network
termination, an ISDN terminal adapter, and an ISDN terminal.
Digital subscriber line cards are used in central offices, remote
concentrators, channel banks, T1 multiplexers, and other
switching equipment. The NTl network termination block
illustrates the simplicity of remote U- to SIT-interface
conversion. The ISDN terminal adapter and ISDN terminal
block show how Motorola ICs are used to combine voice and
data in PC compatible boards, digital telephones, and other
terminal equipment. Expanded applications such as a PBX
may include these and other Motorola ISDN circuits. Many
"non-ISDN" uses, such as pairgain applications, are
appropriate for Motorola's ISDN devices as well.

_
___________ J
MC_
145536EVK

Dual Tone Multiple Frequency Receiver
MC145436AP, DW

Case 646, 751 G
This device contains the filter and decoder for detection of
a pair of tones conforming to the DTMF standard with outputs
in hexadecimal. Switched capacitor filter technology is used
together with digital circuitry for the timing control and output
circuits. The MC145436A provides excellent power-line noise
and dial tone rejection.
Replaces MC145436P, OW.

Motorola Master Selection GUide

4.7-15

Analog and Interface Integrated Circuits

ISDN Voice/Data Circuits (continued)
standard maintenance channel functions. This flexible feature
also allows for easy implementation of proprietary
maintenance functions.

Second Generation
U-Interface Transceivers
MC145572PB

Second Generation
SIT-Interface Transceivers

Case 824D
MC145572FN

MC145574PB

Case 777

Case 873A

The MC145572 fully conforms to ANSI T1.601-1992, the
North American standard for ISDN Basic Access on a single
twisted-wire pair. The transceiver achieves a remarkable 10-7
bit error rate performance on all ANSI specified test loops with
worst-case impairments present. The state-of-the-art 0.65
micron single-chip solution uses advanced design techniques
to combine precision analog signal processing elements with
three digital signal coprocessors to build an adaptively
equalized echo cancelling receiver.
Two modes of handling U-interface maintenance functions
are provided on the MC145572. In the automatic maintenance
mode the U-interface transceiver handles all ANSI specified
maintenance and channel procedures internally to minimize
your software development effort. Automatic procedures
include generating and monitoring the cyclic redundancy
check, reporting and counting far end block errors (near end
block errors too), handling the ACT and DEA bits, as well as
monitoring and appropriately responding to embedded
operations channel messages.
The MC145572 has 275 mW maximum power dissipation.
It also has an enhanced TDM interface that supports an
on-chip timeslot assigner, GCI and IDL modes of operation.
The optional manual maintenance mode lets you choose
an inexpensive microcontroller, such as a member of
Motorola's MC68HC05 family, to control and augment the

MC145488

DDLC

TA
MC145574
SCP
IDL

MC145574DW
Case 751F
The MC145574 SfT-lnterface Transceivers provide a
CCITT 1.430 compatible interface for use in line card, network
termination, and ISDN terminal equipment applications.
Manufactured with Motorola's advanced 0.65 micron CMOS
mixed analog and digital process technology, the MC145574 is
a physical layer device capable of operating in point-to-point
or point-to-multipoint passive bus arrangements. In addition,
the MC145574 implements the optional NT1 Star topology, NT
terminal mode and TE slave mode.
This
device
features
outstanding
transmission
performance. It reliably transmits over 1 kilometer in a
point-to-point application. Comparable performance is
achieved in all other topologies as well. Other features include
pin selectable terminal or network operating modes, industry
standard microprocessor serial control port, full support of the
multiframing Sand Q channels, a full range of loopbacks, and
low power CMOS operation, with a maximum power
consumption of 90 mW.
The MC145574 has an enhanced TDM interface that
supports GCI, IDL and an on-chip timeslot assigner.

NT1
MC145574

MC145572
GCI

srr

SfT
Chip

LT
MCl45572

U
Chip

IDL
U

Chip

C

SCP

e
n
t

NT1rrA

a
I

SiT
Chip
MC68302

LT
MC145572

MC145572
IDL
U
Chip

RS232

Analog and Interface Integrated Circuits

o

SCP

4.7-16

f
f
i

c
e

SCP

Motorola Master Selection Guide

ISDN Voice/Data Circuits

(continued)

Dual Data Link Controller
MC14LC5494EVK
U-Interface Transceiver Evaluation Kit discontinued

MC145488FN
Case 779
The MC145488 features two full-duplex serial HDLC
channels with an on-chip Direct Memory Access (DMA)
controller. The DMA controller minimizes the number of
microprocessor interrupts from the communications
channels, freeing the microprocessor's resources for other
tasks. The DMA controller can access up to 64 kbytes of
memory, and transfers either 8-bit bytes or 16-bit words to or
from memory. The MC145488 DDLC is compatible with
Motorola's MC68000 and other microprocessors.
In a typical ISDN terminal application, one DDLC
communications channel supports the D-channel (LAPD)
while the other supports the B-channel (LAPB). While the
DDLC is ideally suited for ISDN applications, it can support
many other HDLC protocol applications as well.
Some of the powerful extras found on the DDLC include
automatic abort and retransmit of o--{;hannel collisions in
srr-interface applications, address recognition, automatic
recovery mechanisms for faulty frame correction, and several
system test modes. Address recognition provides a reduction
in the host microprocessor load by filtering data frames not
addressed to the host. The DDLC can compare either SAPI or
TEl fields of LAPD frames. For LAPD (Q.921) applications,
both A and B addresses may be checked.

MC145572EVK
U-Interface Transceiver Evaluation Kit
This kit provides the hardware and software to evaluate the
many configurations under which the MC145572EVK is able
to operate. Used as a whole, it operates as both ends of the
two-wire U interface that extends from the customer premises
(NT1) to the switch line card (LT). The two halves of the board
can be physically and functionally separated, providing
independent NT1 and LT evaluation capability.
The kit provides the ability to interactively manipulate
status registers in the MC145572EVK U-Interface transceiver
or in the MC145474/75 Srr-Interface transceiver with the aid
of an external terminal. The device can also be controlled
using the MC68302 Integrated Multiprotocol Processor
application development system to complete a total Basic
Rate ISDN evaluation solution.

281 Q U-Interface

SIT

NT1 Side

lTSide

~~======;mID~l-----r=======1--M-rtr-r========L=-------~~ ~ IDL

Interface
::X::;'CX:::::<:xl SIT-Interface
Transceiver
MC145474

"t - - I
I

SCP

U-Interface
Transceiver

MC145572FN

--~
SCP~-i-----r------~======~----~--~~==~~____~
IDL~-

Gated
Clocks

MC145572EVK

Motorola Master Selection Guide

4.7-17

Analog and Interface Integrated Circuits

UDLTs utilize a 256 kilobaud Modified Differential Phase
Shift Keyed (MDPSK) burst modulation technique for
transmission to minimize radio frequency, electromagnetic,
and crosstalk interference. Implementation through CMOS
technology takes advantage of
low-power operation,
increased reliability, and the proven capabilities to perform
complex telecommunications functions.

Voice/Data Communication
(Digital Transmission)
2-Wire Universal Digital Loop
Transceiver (UDLT)
MC145422P,

ow Master Station

Case 708, 751E
MC145426P, OW Slave Station

Case 708, 751 E
The UDLT family of transceivers allows the use of existing
twisted-pair telephone lines (between conventional
telephones and a PBX) for the transmission of digital data.
With the UDLT, every voice-only telephone station in a PBX
system can be upgraded to a digital telephone station that
handles the complex voice/data communications with no
increase in cabling costs.
In implementing a UDLT-based system the AID to D/A
conversion function associated with each telset is relocated
from the PBX directly to the telset. The SLiC (or its equivalent
circuit) is eliminated since its signaling information is
transmitted digitally between two UDLTs.
The UDLT master-slave system incorporates the
modulation/demodulation functions that permit data
communications over a distance up to 2 kilometers. It also
provides the sequence control that governs the exchange of
information between master and slave. Specifically, the master
resides on the PBX line card where it transmits and receives
data over the wire pair to the telset. The slave is located in the
telset and interfaces the monocircuit to the wire pair. Data
transfer occurs in 10-bit bursts (8 bits of data and 2 signaling
bits), with the master transmitting first, and the slave responding
in a synchronized half-duplex transmission format.
,

Functional Features
• Provides Synchronous Duplex 64 kbits/Second
Voice/Data Channel and Two 8 kbits/Second Signaling
Data Channels Over One 26 AWG Wire Pair Up to 2 km.
• Compatible with EXisting and Evolving Telephone Switch
Architectures and Call Signaling Schemes
• Automatic Detection Threshold Adjustment for Optimum
Performance Over Varying Signal Attenuations
• Protocol Independent
• Single 5.0 V to 8.0 V Power Supply
MC145422 Master UOLT
• 2.048 MHz Master Clock
• Pin Controlled Power-Down and Loop-Back Features
• Variable Data Clock - 64 kHz to 2.56 MHz
• Pin Controlled Insertion/Extraction of 8 kbits/Seconds
Channel into LSB of 64 kbitslSecond Channel for
Simultaneous Routing of Voice and Data Through PCM
Voice Path of Telephone Switch
MC145426 Slave UOLT
• Compatible with MC145500 Series and Later PCM
Codec-Filters
• Automatic Power-Up/Down Feature
• On-Chip Data Clock Recovery and Generation
• Pin Controlled 500 Hz D3 or CCITT Format PCM Tone
Generator for Audible Feedback Applications

_ _ _ _ _ _......::U;::DL:.:.T_ _ __+_ Signaling Inputt
, - - - - - - - - -__ Signaling Input 2

Line
Driver
Output

Receive Data Input

":?:t71----===:.;:
r.

Receive Enable
Valid Data

r-----.:1-----1_- Power Down
Loop~ck

--l

t-----1_- T/RDataClock
.t-::==:::,:CO,w.rtCioCk"
IMaster Sync
·'t:.:.:.:.:.:.:.::=
Signal Insert Enable
.'
t-----.Mu Law
·;..:.,;."if----- ToneEnable

..§i~I.§}~___

XTALIn

L...:~I-~-':T~~ _ _ _ _

Master
Only

_

Slave
Only

J_

Transmit Enable
Transmit Data
Signal Output 1
SignalOutpu12

Analog and Interface Integrated Circuits

4.7-18

Motorola Master Selection Guide

Voice/Data Communication (Digital Transmission)

(continued)

2-Wire ISDN Universal Digital Loop Transceiver II (UDLT II)
MC145421P,

ow Master

Similar to the MC145422126 UDLT, but provide
synchronous full duplex 160 kbps voice and data
communication in a 26 + 2D format for ISDN compatibility on
a single twisted pair up to 1 km. Single 5.0 V power supply,
protocol independent.

Case 709, 751 E
MC145425P, OW Slave
Case 709, 751E

Electronic Telephone
The Complete Electronic Telephone Circuit
MC34010P, FN
TA

=-20

0

to +60°C, Case 711, 777

The conventional transformer-driven telephone handset is
undergoing major innovations. The bulky transformer is
disappearing. So are many of its discrete components,
including the familiar telephone bell. They are being replaced
with integrated circuits that perform all the major handset
functions simply, reliably and inexpensively ... functions such
as 2-t0-4 wire conversion, DTMF dialing, tone ringing, and a
variety of related activities.
The culmination of these capabilities is the Electronic
Telephone Circuit, the MC34010. These ICs place all of the
above mentioned functions on a single monolithic chip.
These telephone circuits utilize advanced bipolar analog
(12L) technology and provide all the necessary elements of a
modern tone-dialing telephone. The MC34010 even
incorporates an MPU interface circuit for the inclusion of
automatic dialing in the final system.

• DTMF generator uses low cost ceramic resonator with
accurate frequency synthesis technique
• Tone ringer drives piezoelectric transducer and satisfies
EIA-470 requirements
• Speech network provides 2-t0-4 wire conversion with
adjustable sidetone utilizing an electret transmitter
• On-chip regulator insures stable operation over wide
range of loop lengths
• 12L technology provides low 1.4 V operation and high
static discharge immunity
• Microprocessor interface port for automatic dialing features

Also Available
A broad line of additional telephone components for
customizing systems design.

• Provides all basic telephone functions, including DTMF
dialer, tone ringer, speech ne~ork and line voltage
regulator

HookSwttch

//r-~

Ceramic
Resonator
r1 2 3 A
4 5 6 B
7 8 9 C
• 0 # 0
Keypad

-----,

I

/

Tip

I
I
I

I

Tone
Ringer

I

Ring

MPU

MC34010

Electret
Microphone

Motorola Master Selection Guide

4.7-19

Analog and Interface Integrated Circuits

Tone Ringers
The MC34017 Tone Ringer is designed to replace the
bulky bell assembly of a telephone, while providing the same
function and performance under a variety of conditions. The
operational requirements spelled out by the FCC and
EIA-470, simply stated, are that a ringer circuit MUSTfunction

when a ringing signal is provided, and MUST NOT ring when
other signals (speech, dialing, noise) are on the line. The tone
ringers described below were designed to meet those
requirements with a minimum of external components.

MC34017P,D
TA

=-20

0

to +60°C, Case 626, 751

• Complete Telephone Bell Replacement
Circuit with Minimum External
Components
• On-Chip Diode Bridge and Transient
Protection
• Direct Drive for Piezoelectric
Ring>---':'::::~
Transducers
• Push Pull Output Stage for Greater
Output Power Capability
• Base Frequency Options
- MC34017-1: 1.0 kHz
- MC34017-2: 2.0 kHz
- MC34017-3: 500 Hz
• Input Impedance Signature Meets Bell
and EIA Standards
• Rejects Rotary Dial Transients

4 *C4

"'"?~:-:-:-~,~T"l
/tIpuI

";;?:d:f'~'+1~"""f~

':"~~,.j

I ~01

MC34217P,D
TA
•
•
•
•
•
•
•

•
•

=-20

0

to +60°C, Case 626,751

Complete Telephone Bell Replacement
On-Chip Diode Bridge
Internal Transient Protection
Differential Output to Piezo Transducer
for Louder Sound
Input Impedance Signature Meets Bell
and EIA Standards
Rejects Rotary Dial and Hook Switch
Transients
Base Frequency and Warble
Frequencies are Independently
Adjustable
Adjustable Base Frequency
Reduced Number of Externals

Analog and Interface Integrated Circuits

Ring ...- - - . ,

4,7-20

Motorola Master Selection Guide

Speech Networks
Telephone Speech Network with Dialer Interface
MC34114P,

TA

=-20

0

ow

to +70°C, Case 707,7510

• Operation Down to 1.2 V
• Adjustable Transmit, Receive, and Sidetone Gains by
External Resistors
• Differential Microphone Amplifier Input Minimizes RFI
• Transmit, Receive, and Sidetone Equalization on both
Voice and DTMF Signals

•
•
•
•

Regulated 1.7 V Output for Biasing Microphone
Regulated 3.3 V Output for Powering External Dialer
Microphone and Receive Amplifiers Muted During Dialing
Differential Receive Amplifier Output Eliminates Coupling
Capacitor
• Operates with Receiver Impedances of 150 n and Higher

Tip 0 - - - - - - ,

--,.----,

Ring 0 - - - - - '

I

I
~VDD

~(3.3V)

....

'·M~·.lel:-j

":"_~_~;...;_,--,,,:,,,,'

'-'llVIr"---'Wlr< DTMF In

Motorola Master Selection Guide

4.7-21

Mute

..... ....:..1
MS

Analog and Interface Integrated Circuits

Speech Networks (continued)

Cordless Universal Telephone Interface
MC34016DW, P
TA

=-20° to +70°C, Case 751 D, 738

The MC34016 is a telephone line interface meant for use
in cordless telephone base stations for CTO, CT1, CT2 and
DECT. The circuit forms the interface towards the telephone
line and performs all speech and line interface functions like
dc and ac line termination, 2-4 wire conversion, automatic
gain control and hookswitch control. Adjustment of
transmission parameters is accomplished by two 8 bit
registers accessible via the integrated serial bus interface and
by external components.
• DC Masks for Voltage and Current Regulation
• Supports Passive or Active AC Set Impedance
Applications
• Double Wheatstone Bridge Sidetone Architecture
• Symmetrical Inputs and Outputs with Large Signal Swing
Capability
• Gain Setting and Mute Function for Tx and Rx Amplifiers
• Very Low Noise Performance
• Serial Bus Interface SPI Compatible
• Operation from 3.0 to 5.5 V

FEATURES
Line Driver Architecture
• Two DC Masks for Voltage Regulation
• Two DC Masks for Current Regulation
• Passive or Active Set Impedance Adjustment

• Double Wheatstone Bridge Architecture
• Automatic Gain Control Function

Transmit Channel
• Symmetrical Inputs Capable of Handling Large Voltage
Swing
• Gain Select Option via Serial Bus Interface
• Transmit Mute Function, Programmable via Bus
• Large Voltage Swing Capability at the Telephone Line

Receive Channel
• Double Sidetone Architecture for Optimum Line Matching
• Symmetrical Outputs Capable of Producing High Voltage
Swing
• Gain Select Option via Serial Bus Interface
• Receive Mute Function, Programmable via Serial Bus

Serial Bus Interface
• 3-Wire Connection to Microcontroller
• One Programmable Output Meant for Driving a
Hookswitch
• IWO Programmable Outputs Capable of Driving Low
Ohmic Loads
• Two 8-Bit Registers for Parameter Adjustment

Rx
Outputs

Tx

Inputs

A(lip)

'----+-- B(Ring)

Analog and Interface Integrated Circuits

4.7-22

Motorola Master Selection Guide

Speech Networks

(continued)

Programmable Telephone Line Interface
Circuit with Loudspeaker Amplifier
MC34216DW

TA

=0° to +70°C, Case 751 F

The MC34216 is developed for use in telephone
applications where besides the standard telephone functions
also the group listening-in feature is required. In cooperation
with a microcontroller, the circuit performs all basic telephone
functions including DTMF generation and pulse-dialing. The
listening-in part includes a loudspeaker amplifier, an
anti-howling circuit and a strong supply. In combination with
the TCA3385, the ringing is performed via the loudspeaker.

• Earpiece Gain Increase Switch
• Microphone Squelch Function
• Transmit Amplifier Soft Clipping
Dialing and Ringing

•
•
•
•
•
•

FEATURES
Line Driver and Supply

•
•
•
•

DC and AC Termination of the Line
Selectable Masks: France, U.K., Low Voltage
Current Protection
Adjustable Set Impedance for Resistive and Complex
Termination
• Efficient Supply Point for Loudspeaker Amplifier and
Peripherals

Loudspeaking Facility

•
•
•
•
•

Integrated Loudspeaker Amplifier
Peak-te-Peak Limiter Prevents Distortion
Programmable Volume
Anti-Howling Circuitry for Group Listening-In
InterfaCing for Handsfree Conversation

Application Areas

Handset Operation

•
•
•
•

Generates DTMF, Pilot Tones and Ring Signal
Interrupter Driver for Pulse-Dialing
Low Current While Pulse-Dialing
Optimized for Ringing via Loudspeaker
Programmable Ring Melodies
Uses Inexpensive 500 kHz Resonator

•
•
•
•

Transmit and Receive Amplifiers
Adjustable Sidetone Network
Line Length AGC
Microphone and Earpiece Mute

Corded Telephony with Group Listening-In
Cordless Telephony Base Station with Group Listening-In
Telephones with Answering Machines
Fax, Intercom, Modem
Line +

Handset
Earpiece
Handset
Microphone

I
I
I
Base
Loudspeaker

1

'I

_ _ _ _ ,_-' _ _ ' - _ _ -'_.J1

Line-

Motorola Master Selection Guide

4.7-23

Analog and Interface Integrated Circuits

Speech Networks

(continued)

Telephone Line Interface
TCA3388DP, FP

TA

=0° to +70°C, Case 738, 751 D

The TCA3388 is a telephone line interface circuit which
performs the basic functions of a telephone set in combination
with a microcontroller and a ringer. It includes dc and ac line
termination, the hybrid function with 2 adjustable sidetone
networks, handset connections and an efficient supply point.

• Line Length AGC
• Microphone and Earpiece Mute
• Transmit Amplifier Soft Clipping
Dialing and Ringing

•
•
•
•

FEATURES
Line Driver and Supply

•
•
•
•

DC and AC Termination of the Telephone Line
Selectable DC Mask: France, U.K., Low Voltage
Current Protection
Adjustable Set Impedance for Resistive and Complex
Termination
• Efficient Supply Point for Peripherals
• Hook Status Detection

Interrupter Driver for Pulse-Dialing
Reduced Current Consumption During Pulse-Dialing
DTMF Interfacing
Ringing via External Ringer

Application Areas

• Corded Telephony
• Cordless Telephony Base Station
• Answering Machines
• Fax
• Intercom
• Modem

Handset Operation

• Transmit and Receive Amplifiers
• Double Anti-8idetone Network

Line +
DCandAC
Termination

Handset
Earpiece

Handset
Microphone

Line-

Analog and Interface Integrated Circuits

4.7-24

Motorola Master Selection Guide

Speakerphones
Voice Switched Speakerphone Circuit
MC34018P, DW

TA

=-20° to +60°C, Case 710, 751 F

The MC34018 Speakerphone integrated circuit
incorporates the necessary amplifiers, attenuators, and
control functions to produce a high quality hands-free
speakerphone system. Included are a microphone amplifier,
a power audio amplifier for the speaker, transmit and receive
attenuators, a monitoring system for background sound level,
and an attenuation control system which responds to the
relative transmit and receive levels as well as the background
level. Also included are all necessary regulated voltages for
both internal and external circuitry, allowing line-powered
operation (no additional power supplies required). A Chip
Select pin allows the chip to be powered down when not in
use. A volume control function may be implemented with an
external potentiometer. MC34018 applications include
speakerphones for household and business uses, intercom
systems, automotive telephones, and others.

• All Necessary Level Detection and Attenuation Controls
for a Hands-Free Telephone in a Single Integrated
Circuit
• Background Noise Level Monitoring with Long Time
Constant
• Wide Operating Dynamic Range Through Signal
Compression
• On-Chip Supply and Reference Voltage Regulation
• Typical 100 mW Output Power (into 25 0) with Peak
Limiting to Minimize Distortion
• Chip Select Pin for Active/Standby Operation
• Linear Volume Control Function

Electret
Microphone

Speaker

I

~leSysteili
II.
. MC34tits
L.. ._ _ _
_ _ . _ - '.....
__

TelePhonr--+

une'V

Receive Volume Control

Motorola Master Selection Guide

4.7-25

Analog and Interiace Integrated Circuits

Speakerphones

(continued)

Voice Switched Speakerphone Circuit
MC34118P,

ow

TA =-200 to +60°C, Case 710,751 F
The MC34118 Voice Switched Speakerphone circuit
incorporates the necessary amplifiers, attenuators, level
detectors, and control algorithm to form the heart of a high
quality hands-free speakerphone system. Included are a
microphone amplifier with adjustable gain and mute control,
Transmit and Receive attenuators which operate in a
complementary manner, level detectors at input and output of
both attenuators,and background noise monitors for both the
transmit and receive channels. A dial tone detector prevents
the dial tone from being attenuated by the Receive
background noise monitor circuit. Also included are two line
driver amplifiers which can be used to form a hybrid network
in conjunction with an external coupling transformer. A
high-pass filter can be used to filter out 60 Hz noise in the
receive channel, or for other filtering functions. A Chip Disable
pin permits powering down the entire circuit to conserve power
on long loops where loop current is at a minimum.
The MC34118 may be operated from a power supply, or
it can be powered from the telephone line, requiring typically

5.0 mA. The MC34118 can be interfaced directly to Tip and
Ring (through a coupling transformer) for stand-alone
operation, or it can be used in conjunction with a handset
speech network and/or other features of a featurephone.
• Improved Attenuator Gain Range: 52 dB Between
Transmit and Receive
• Low Voltage Operation for Line-Powered Applications
(3.0 to 6.5 V)
• 4-Point Signal Sensing for Improved Sensitivity
• Background Noise Monitors for Both Transmit and
Receive Paths
• Microphone Amplifier Gain Set by External Resistors Mute Function Included
• Chip Disable for Active/Standby Operation
• On Board Filter Pinned-Out for User Defined Function
• Dial Tone Detector Inhibits Receive Idle Mode During Dial
Tone Presence
• Compatible with MC34119 Speaker Amplifier

(
1

'. ·1
·.·.·1

Ring

I
:1
I
.' ...... "1

.~.Bias
....
VCC
:L::J:..,O. Chip
.
Filter

Analog and Interface Integrated Circuits

4.7-26

.' 1 Disable

Motorola Master Selection Guide

Speakerphones

(continued)

Voice Switched Speakerphone with J..lProcessor Interface
MC33218AP,

TA

ow

=-40° to +85°C, Case 724, 751 E

The MC33218A, Voice Switched Speakerphone circuit
incorporates the necessary amplifiers, attenuators, level
detectors, and control algorithm to form the heart of a high
quality hands-free speakerphone system. Included are a
microphone amplifier with adjustable gain, and mute control,
transmit and receive attenuators which operate in a
complementary manner, and level detectors and background
noise monitors for both paths. A dial tone detector prevents
dial tone from being attenuated by the receive background
noise monitor. A Chip Disable pin permits powering down the
entire circuit to conserve power.
Also included is an 8-bit serial liprocessor port for
controlling the receive volume, microphone mute, attenuator
gain, and operation mode (force to transmit, force to receive,
etc.). Data rate can be up to 1.0 MHz. The MC33218A can be
operated from a power supply, or from the telephone line,
requiring typically 3.8 mAo It can also be used in intercoms and
other voice-activated applications.

•
•
•
•

Low Voltage Operation: 2.5 to 6.0 V
2-Point Sensing, Background Noise Monitor in Each Path
Chip Disable Pin for Active/Standby Operation
Microphone Amplifier Gain Set by External Resistors Mute Function Included
• Dial Tone Detector to Inhibit Receive Idle Mode During
Dial Tone Presence
• Microprocessor port for controlling:
• Receive Volume Level (16 Steps)
• Attenuator Range (26 or 52 dB, Selectable)
• Microphone Mute
• Force to Transmit, Receive, Idle or Normal Voice
Switched Operation
• Compatible with MC34119 Speaker Amplifier

>-.....--i-_

Tx Output

I
I
I
I
I
I
I

I

~vcc

Serial

L __

Motorola Master Selection Guide

~O~

Rx Input

______

4.7-27

~-=-J

Chip Disable

Analog and Interface Integrated Circuits

Speakerphones

(continued)

Voice Switched Speakerphone Circuit
MC33219AP, ADW

TA

=-40° to +85°C, Case 724, 751 E

The MC33219A Voice Switched Speakerphone Circuit
incorporates the necessary amplifiers, attenuators, level
detectors, and control algorithm to form the heart of a high
quality hands-free speakerphone system. Included are a
microphone amplifier with adjustable gain, and mute control,
transmit and receive attenuators which operate in a
complementary manner, and level detectors and background
noise monitors. A dial tone detector prevents dial tone from
being attenuated by the receive background noise monitor. A
Chip Disable pin permits powering down the entire circuit to
conserve power.
The MC33219A may be operated from a power supply, or
it can be powered from the telephone line requiring typically

4.0 mAo The MC33219A can be interfaced directly to Tip and
Ring (through a coupling transformer for stand-alone
operation, or it can be used in conjuction with a handset
speech network and/or other features of a featurephone.
• Low Voltage Operation: 2.7 to 6.0 V
• 2-Point Sensing, Background Noise Monitor in Each Path
• Chip Disable Pin for Active/Standby Operation
• Microphone Amplifier Gain Set by External ResistorsMute Function Included
• Dial Tone Detector to Inhibit Receive Idle Mode During
Dial Tone Presence
• Volume Control Range: 34 dB
• Compatible with MC34119 Speaker Amplifier

Mute

>-+""'-j--. Tx Output

Speaker

Rx Input
j
Speaker
Amp

•.

~VCC

------'=F-J

,ChipDisable

-=-

'VI/'v
Volume
Control

Analog and Interface Integrated Circuits

4.7-28

Motorola Master Selection Guide

Speakerphones (continued)

Telephone Line Interface and Speakerphone Circuit
MC33215B, FB

TA =0° to +70°C, Case 858, 848B
The MC33215 is a combination speech network!
speakerphone developed for use in fully electronic telephone
sets with a speakerphone function. The circuit performs the ac
and dc line terminations, 2-4 wire conversion, line length AGC
and DTMF transmission. The speakerphone part includes a
half duplex controller with signal and noise monitoring, base
microphone and loudspeaker amplifiers, and an efficient
supply. The circuit is designed to operate at low line currents
down to 4.0 mA enabling parallel operation with a classical
telephone set.

Handset Operation
•
•
•
•
•
•
•

Transmit and Receive Amplifiers
Differential Microphone Inputs
Sidetone Cancellation Network
Line Length AGC
Microphone and Earpiece Mute
Separate Input for DTMF and Auxiliary Signals
Parallel Operation Down to 4.0 mA of Line Current

Speakerphone Operation
• Integrated Microphone and Loudspeaker Amplifiers
• Differential Microphone Inputs
• Loudspeaker Amplifier can be Powered and Used
Separately from the Rest of the Circuit
• Integrated Switches for Smooth Switch Over from
Handset to Speakerphone Mode
• Signal and Background Noise Monitoring in Both
Channels
• Adjustable Switching Depth for Handsfree Operation
• Adjustable Switch Over and Idle Mode Timing
• Dial Tone Detector in the Receive Channel
• Handsfree Operation via Loudspeaker and Base
Microphone

FEATURES

Line Driver and Supply
• AC and DC Termination of Telephone Line
• Adjustable Set Impedance for Real and Complex
Termination
• Efficient Supply for Speaker Amplifier and Peripherals
• Two Supplies for Handset and Base Microphones
• Separate Supply Arrangement for Handset and
Speakerphone Operation

, . . - - - - - - - -.......- - - - - - . . - - - - - - , Phone
AC Termination

DC Offset

1

Loop
Current

VCC Supply
to Speaker
Amplifier

DTMF
Input

Speaker

I

MC33215
Auxiliary Input

Motorola Master Selection Guide

Line

4.7-29

.1

______ J

Rx Input

Analog and Interface Integrated Circuits

Speakerphones

(continued)

Table 10. The Motorola Family of Speakerphone Integrated Circuits
MC34018

MC34118

MC33218A

MC33219A

Two point sensing with slow idle,
background noise monitor in T x
path only

Four point sensing with both fast
and slow idle modes,
background noise monitors in
both Rx and T x paths

Two point sensing with slow idle,
background noise monitors in
both Rx and T x paths

Two point sensing with slow idle,
background noise monitors in
both Rx and T x paths

No dial tone detector in receive
path

Receive path has dial tone
detector

Receive path has dial tone
detector

Receive path has dial tone
detector

Attenuator Characteristics:
• Range: 44 dB
• Tolerance: ±4.0 dB
• Gain tracking not specified
• White noise is constant

Attenuator Characteristics:
• Range: 52 dB
• Tolerance: ±2.0 dB
• Gain Tracking: <1.0 dB
• White noise reduces with
volume

Attenuator Characteristics:
• Range: 52 or 26 dB
(selectable)
• Tolerance: ±3.0 dB
• Gain Tracking: <1.0 dB
• White noise reduces with
volume

Attenuator Characteristics:
• Range: 52 dB
• Tolerance: ±3.0 dB
• Gain Tracking: <1.0 dB
• White noise reduces with
volume

External hybrid required

Hybrid amplifiers on board

External hybrid required

External hybrid required

Speaker amplifier is on board
(34 dB, 100 mW)

External speaker amplifier
required (MC34119)

External speaker amplifier
required (MC34119)

External speaker amplifier
required (MC34119)

Filtering is external

Configurable filter on board

Filtering is extemal

Filtering is external

Microphone amplifier has fixed
gain and no muting

Microphone amplifier has
adjustable gain and mute input

Microphone amplifier has
adjustable gain, and can be
muted through ~P port

Microphone amplifier has
adjustable gain and a mute input

Supply Voltage: 4.0 V to 11 V

Supply Voltage: 2.8 V to 6.5 V

Supply Voltage: 2.5 V to 6.5 V

Supply Voltage: 2.7 V to 6.5 V

Supply Current: 6.5 mA typ.,
9.0mAmax

Supply Current: 5.5 mA typ.,
8.0mAmax

Supply Current: 4.0 mA typ.,
5.0mAmax

Supply Current: 3.0 mA typ.,
5.0 mAmax

Speaker amplifier reduces gain
to prevent clipping

Receive gain is reduced as
supply voltage falls to prevent
clipping

Receive gain is reduced as
supply voltage falls to prevent
clipping

Receive gain is reduced as
supply voltage falls to prevent
Clipping

Volume control is linear. Cannot
override voice switched
operation except through
additional circuitry. Attenuator
gain is fixed at 44 dB (slightly
variable). No microphone mute.

Volume control is linear, and
microphone mute has separate
pin. Cannot override voice
switched operation except
through additional circuitry.
Attenuator gain is fixed at 52 dB.

8-bit ~P serial port controls:
• Volume control (16 steps)
• Microphone mute
• Range selection
(26 dB or 52 dB)
• Force to transmit, idle,
receive, or normal
voice switched operation

Volume control is linear, and
microphone mute has separate
pin. Attenuator range fixed at
52 dB. Cannot override voice
switched operation except
through additional cirCUitry.

28 Pin DIP and SOIC packages

28 Pin DIP and SOIC packages

24 Pin narrow DIP and SOIC
packages

24 Pin narrow DIP and SOIC
packages

External Required:
• 12 Resistors
• 11 Capacitors ($;1.0 ~F)
• 8 Capacitors (> 1.0 ~F)

External Required:
• 14 Resistors
• 12 CapaCitors ($;1.0 ~F)
• 9 Capacitors (> 1.0 ~F)

External Required:
• 12 Resistors
• 11 CapaCitors ($;1.0 ~F)
• 4 Capacitors (> 1.0 ~F)

External Required:
• 12 Resistors
• 11 Capacitors ($;1.0 ~F)
• 4 CapaCitors (> 1.0 ~F)

Temperature Range:
_20° to +60°C

Temperature Range:
-20° to +60°C

Temperature Range:
-40° to +85°C

Temperature Range:
-40° to +85°C

Analog and Interface Integrated Circuits

4.7--30

Motorola Master Selection Guide

Telephone Accessory Circuits
Audio Amplifier

el
Audio
0.1
Input)--l

MC34119P, D

TA

=0° to +70°C, Case 626, 751

Differential Gain = 2 x
Ri
S.Ok

A low power audio amplifier circuit intended (primarily) for
telephone applications, such as speakerphones. Provides
differential speaker outputs to maximize output swing at low
supply voltages (2.0 V min.). Coupling capacitors to the
speaker, and snubbers, are not required. Overall gain is
externally adjustable from 0 to 46 dB. A Chip Disable pin
permits powering- VSS

Calling Line 10 Receiver Evaluation Kit
MC145460EVK

The MC145460EVK is a low cost evaluation platform
for the MC14LC5447. The MC145460EVK facilitates
development and testing of products that support the Bellcore
customer premises equipment (CPE) data interface, which
enables services such as Calling Number Delivery (CND).
The MC14LC5447 can be easily incorporated into any
telephone, FAX, PBX, key system, answering machine, CND
adjunct box or other telephone equipment with the help of the
MC145460EVK development kit.

• Easy Clip-On Access to Key MC14LC5447 Signals
• Generous Prototype Area
• Configurable for MC14LC5447 Automatic or Extemal
Power Up Control
• EIA-232 and Logic Level Ports for Connection to any PC
or MCU Development Platform
• Carrier Detect, Ring Detect and Data Status LEOs
• Optional Tip and Ring Input Protection Network
• MC145460EVK User Guide, MC14LC5447 Data Sheet,
and Additional MC14LC5447 Sample Included

EIA-232 Level
Output
CD, RD, Data

Logic Level
Output
CD, RD, Data

".'".:

.:

MC1~

Motorola Master Selection Guide

4.7-33

Analog and Interface Integrated Circuits

Telephone Accessory Circuits (continued)

Continuously Variable Slope Delta (CVSD) Modulator/Demodulator
MC34115P,
TA

ow

=0° to +70°C, Case 648, 751G

MC3418P, OW
TA

=0° to +70°C, Case 648, 751G

Provides the AfD-D/A function of voice communications by
digital transmission. Designed for speech synthesis and
commercial telephone applications. A single IC provides both
encoding and decoding.
• Encode and Decode Functions on the Same Chip with a
Digital Input

Encode
Decode

• CMOS Compatible Digital Output
• Digital Input Threshold Selectable (VCct2 reference
provided on Chip)
• MC34115 Has a 3-Bit Algorithm (General
Communications)
• MC3418 Has a 4-Bit Algorithm (Commercial Telephone)

Clock

Analog Input O-"+......-l
Analog Feedback 0-",......"
Digital Data Input o--:""'t-..-..;j

Syllabllc Fitter
10

Gain Control

VCcl2 Re1erence C>-',,+~..,.,.,-I

Analog
Output

Analog and Interface Integrated Circuits

Reference
Input
(+)

4,7-34

Filter
Input

H

Motorola Master Selection Guide

Telephone Accessory Circuits

(continued)

Table 11. Summary of Bipolar Telecommunication Circuits
Function

Features

Subscriber Loop Interface Circuits (SLICs)
Central Office, Remote Terminals,
PBX Applications

All gains externally programmable, most BORSHT functions,
current limit adjustable to 50 rnA, 58 dB Longitudinal Balance,
-21.6 V to --42 V.

P1738,
FN1776

MC33121

Central Office, Remote Terminals,
PBX Applications

All gains externally programmable, most BORSHT functions,
current limit adjustable to 50 rnA, 58 dB Longitudinal Balance,
--42 V to -58 V.

P1738,
FN1776

MC33120

Complete Telephone Circuit
POTS Circuit + MPU Dialing

Speech network, tone ringer, dc loop current interface, DTMF
dialer with serial port control.

Tone Ringers
Adjustable Tone Ringer

Single--ended output, meets FCC requirements, adjustable REN,
different warble rates.

P/626,
01751

MC34012-1,
2,3

Adjustable Tone Ringer

Differential output, meets FCC requirements, adjustable REN,
different warble rates.

P/626,
01751

MC34017-1,
2,3

Adjustable Tone Ringer

Differential output, meets FCC requirements, adjustable REN,
single warble rates.

P/626,
01751

MC34217

Ring Signal Converter

Switching regulator to convert ringing voltage to regulated dc
output. Provides ring detect output.

DP/626,
FP1751

TCA3385

Speech Network + Speakerphone

Line powered IC provides handset and speakerphone modes,
dialer interface, aeldc terminations, and AGC. Efficient supply
design provides 90% of loop current to the speaker amplifier.
Speaker amplifier may be used independently. Handset operation
to 4.0 rnA.

B/858,
FB/848B

MC33215

Basic Phone Line Interface

Loop current interface, speech network, line length
compensation, speech/dialing modes, Bell System compliant.

P1707,
DW1751D

MC34014

Cordless Universal Telephone
Interface

For cordless telephone base for CTO, CT1, CT2 and DECT.
European dc masks, double wheatstone bridge sidetone circuit.
SPI port for masks, AGC hookswitch, mute and gain settings.
Requires 5.0 V and I1P.

P1738 ,
DW1751D

MC34016

Basic Phone Line Interface

Loop current interface, speech network, line length compensation,
speech/dialing modes, Bell System and foreign countries.

P1707,
DW1751D

MC34114

Programmable Telephone Line
Interface Circuit with Loudspeaker
Amplifier

Group listening-in, DTMF and tones generator, ring generator,
country programmable, SPI interface.

DW1751F

MC34216

European Speech Network,
Programmable Speaker Amplifier

Line powered. European dc masks, DTMF and pilot tone
generator, listening--in mode with anti-howling. 2-wire bus
control masks, DTMF tones, speaker gain, pulse dialing, mute,
AGC. Requires MCU.

DW1751

MC34216A

European Speech Network

Loop current interface, speech network, line length
compensation, speech/dialing modes, programmable masks for
French, U.K., low voltage and PABX systems.

DP1738,
FP1751

TCA3388

Speech Networks

Motorola Master Selection Guide

4.7-35

Analog and Interface Integrated Circuits

Telephone Accessory Circuits (continued)
Summary of Bipolar Telecommunications Circuits (continued).

I

Function

I

Features

Suffix!
Package

Device

Speakerphone Circuits
Speech Network + Speakerphone

Une powered IC provides handset and speakerphone modes,
dialer interface, aeldc terminations, and AGC. Efficient supply
design provides 90% of loop current to the speaker amplifier.
Speaker amplifier may be used independently. Handset operation
to 4.0 mA.

Bl858,
FB/848B

MC33215

Complete Speaker Phone with
Speaker Amplifier

All level detection (2 pt.), attenuators, and switching controls,
mike and speaker amp.

PI710,
DW1751F

MC34018

Complete Speaker Phone with
Hybrid, Filter

All level detection (4 pt), attenuators, and switching controls,
mike amp wHh mute, hybrid, and fiRer.

Pl7lO,
DW1751F

MC34118

Complete Speaker Phone wHh
MPU Interface

All level detection, attenuators, and switching controls, mike amp,
MPU interface for: volume control, mode selection, mike mute.

P1724,
DW1751E

MC33218A

Basic Low Cost Speakerphone

All level detection, attenuators and switching controls, Mike
amplifier with Mute, low voltage operation.

P1724,
DW1751E

MC33219A

Audio Amplifiers
1 Watt Audio Amp

1.0 W output power into 16 D, 35 V maximum.

D1751

MCl3060

Low Voltage Audio Amp

400 mW, 8.0 to 100 D, 2.0 to 16 V, differential outputs,
chip-disable input pin.

P/626,

MC34119

D1751

Companders
Basic Compander
Compander wHh Features

2.1 V to 7.0 V, no precision externals, 80 dB range, -40° to
+85°C, independent compressor and expander.

P/646,

MC33110

D1751 A
P/848,
D1751B

MC33111

Telephone quality voice encoding/decoding, variable clock rate,
3--bit coding, for secure communications, voice storage/retrieval,
answering machines, 0° to 70°C.

P1738,
DW1751G

MC34115

Same as above except 4-bit coding.

P1738,
DW751G

MC3418

3.0 V to 7.0 V, no precision externals, 80 dB range, -40° to
+85°C, independent compressor and expander, pass through and
mute functions, two op amps.

Switching Regulator
Current Mode Regulator

For phone line power applications, soft-5tart, current limiting,
2% accuracy.

Voice EncoderlDecoders
Continuously Variable Slope
Modulator/Demodulator (CVSD)

Analog and Interface Integrated Circuits

4.7-36

Motorola Master Selection Guide

Figure 3. The Motorola Family of Handset Telecom Integrated Circuits
MC34018

MC34010

Speakerphone
w/Speaker Amp

Speech
Network

MC34118

DC
Interface

Speakerphone
w/Hybrid Amps
MC33218A

Microprocessor
Interface

Speakerphone
w/MPU Interface

/

"'"

MC34119
400mW
Speaker
Amplifier

Motorola Master Selection Guide

Speech
Network

Speech
Network

DC
Interface

--

DC
Interface

Dialer
Interface

Dialer
Interface

Tone
Ringer

MC34012

MC34017

~,,~

MC33110
Low Voltage
Compander
(Basic
Compander)

MC34114

DTMF
Generator

MC33219A
Basic Low Cost
Speakerphone

/

~

)--

MC34014

Low Voltage
Compander
(w/Mute&
Passthrough,
OpAmps)

4.7-37

Tone Ringer
(Single-Ended
Output)

r--

Tone Ringer
(Push-Pull
Output)

MC34217

'---

Tone Ringer
(Push-Pull
Output)

Analog and Interface Integrated Circuits

Phase-Locked Loop Components
Motorola offers a choice of phase-locked loop components
ranging from complete functional frequency synthesizers for
dedicated applications to a wide selection of general purpose
PLL circuit elements. Technologies include CMOS for lowest

power consumption and bipolar for high speed operation.
Typical applications include TV, CATV, radios, scanners,
cordless telephones plus home and personal computers.

Table 12. PLL Frequency Synthesizers

Frequency
(MHz)

Supply
Voltage
(V)

Nominal
Supply
Current
(rnA)

4@5V

4.5 to 12

6@5V

15@5V

3t09

-

20@5V

3t09

Phase Detector
Single-ended 3-state

Interface

Device

No

Parallel

MC145106

P1707,
DW1751D

Serial

MC145149"

P1738,
DW1751D

MC145159-1

P1738,
DWI751D

MC145145-2

P1707,
DW/751D

MC145146-2

P1738,
DW1751 0

MC145151-2

P1710,
DW1751F

MC145152-2

P1710,
DW1751F

MC145155-2

P1707,
DW1751D

MCI45156-2

P1707,
DW1751D

MC145157-2

P/648,
DW1751G

MC145158-2

P/648,
DW1751G

MC145162"

P/648,
DW1751G

MC145165"

P/648,
D1751B

MCI45166"

P/648,
DW1751G

Serial

MC145167"

Parallel

MC145168"

P/648,
DW1751G

Two single-ended 3-state

7.5 @ 5V

Analog

7.5@5V

Single-ended 3-state,
double-ended

4-Bit

Parallel
Double-ended
Single-ended 3-6tate,
double-ended

6O@3V

2.5 to 5.5

3@3V

60@2V

1.8t03.6

1.5@ 1.8V

60@3V

2.5 to 5.5

3@3V

Serial

Two single-ended 3-state

Yes

Parallel

Serial
85@3V

2.5 to 5.5

3@3V

40/130 @
5V

4.5 to 5.5

9@5V

100 @ 3 V
185 @ 5 V

2.5 to 5.5

2@3V
6@5V

Suffix!
Case

Standby

Single-ended 3-state,
Current source/sink
No

MC145169"
MCI45162-1"

P/648,
DW1751G

MC145173

DW1751E

MCI45170-1

P/648,
D1751B,
DT/948C

"Dual PLL

Analog and Interface Integrated Circuits

4.7-38

Motorola Master Selection Guide

Phase-Locked Loop Components

(continued)

PLL Frequency Synthesizers (continued)

Frequency
(MHz)

Supply
Voltage
(V)

Nominal
Supply
Current
(rnA)

1100

4.5 to 5.5

7@5V

Phase Detector
Current source/sink,
double--ended

Suffix!
Case

Standby

Interface

Device

Yes

Serial

MC145190

F/751J,
DT/948D

MC145191

F/751J,
DT/948D

MC145192

F/751J,
DT/948D

1100

2.7 to 5

6@ 2.7V

1100

2.7 to 5.5

12

Two current source/sink,
double-ended

MC145220'

F/803C,
DT/948D

1200,
400

1.8t03.6

5

Loop 1 = Current source/sink
Loop 2 = Thre&-state

MC145225'

FUl873C

2000

4.5 to 5.5

12 @5V

Current source/sink,
double-ended

MC145200

F/751J,
DT/948D

2000

4.5 to 5.5

12@5V

MC145201

F/751J,
DT/948D

2000

2.7 to 5.5

4@3V

MC145202

F/751J,
DT/948D

2600,
400

1.8 to 3.6

7

MC145230'

FUl873C

Loop 1 = Current source/sink
Loop 2 = Three-state

'Dual PLL
NOTE: Evaluation kits available for the MC145190, MCI45191, MCI45192, MC145200, MC145201, MC145202, and MC145220.
Order part number MC145___EVK.

Table 13. Phase-Locked Loop Functions
Pins

DIP

MC4016

Device

Programmable Modulo-N Counters (N=0-9)

Function

16

P,L

MC4018

Programmable Modulo-N Counters (N=0-9)

16

P,L

MC4024

Dual Voltage-Controlled Multivibrator

14

P,L

MC4044

Phase-Frequency Detector

14

P,L

MC4316

Programmable Modulo-N Counters (N=0-9)

16

P,L

MC4324

Dual Voltage-Controlled Multivibrator

14

P,L

MC4344

Phase-Frequency Detector

14

P,L

MC12002

Analog Mixer

14

P,L

MC12009

480 MHz +5/6 Dual Modulus Prescaler

16

P,L

MC12011

550 MHz +8/9 Dual Modulus Prescaler

16

P,L

MC12013

550 MHz +10/11 Dual Modulus Prescaler

16

P,L

MC12014

Counter Control Logic

16

P,L

MC12015

225 MHz +32133 Dual Modulus Prescaler

8

P,L

D

MC12016

225 MHz +40/41 Dual Modulus Prescaler

8

P,L

D

MC12017

225 MHz +64/65 Dual Modulus Prescaler

8

P,L

D

MC12018

520 MHz + 1281129 Dual Modulus Prescaler

8

P,L

D

MC12019

225 MHz +20/21 Dual Modulus Prescaler

8

P,L

D

MC12022A

1.1 GHz +64/65, +1281129 Dual Modulus Prescaler

8

P

D

MC12022B

1.1 GHz +64/65, +128/129 Dual Modulus Prescaler

8

P

D

Motorola Master Selection Guide

4.7-39

SM

D

Analog and Interface Integrated CircUits

Phase-Locked Loop Components

(continued)

Phase-Locked Loop Functions (continued)
Pins

DIP

8M

MC12022LVA

1.1 GHz +64/65, +128/129 Low Voltage Dual Modulus Prescaler

8

P

D

MC12022LVB

1.1 GHz +64/65, +1281129 Low Voltage Dual Modulus Prescaler

8

P

D

MC12022SLA

1.1 GHz +64/65, +128/129 Dual Modulus Prescaler

8

P

D

MC12022SLB

1.1 GHz +64165, +128/129 Dual Modulus Prescaler

8

P

D

MC12022TSA

1.1 GHz +64/65, +128/129 Dual Modulus Prescaler

8

P

D

MC12022TSB

1.1 GHz +64/65, +128/129 Dual Modulus Prescaler

8

P

D

MC12022TVA

1.1 GHz +64/65, +128/129 Low Voltage Dual Modulus Prescaler

8

P

D

Device

Function

MC12022TVB

1.1 GHz +64/65, +128/129 Low Voltage Dual Modulus Prescaler

8

P

D

MC12023

225 MHz +64 Prescaler

8

P

D

MC12025

520 MHz +64/65 Dual Modulus Prescaler

8

P

D

MC12026A

1.1 GHz +6/9, +16/17 Dual Modulus Prescaler

8

P

D

MC12026B

1.1 GHz +8/9, +16/17 Dual Modulus Prescaler

8

P

D

MC12028A

1.1 GHz +32133, +64/65 Dual Modulus Prescaler

8

P

D

MC12028B

1.1 GHz +32133, +64/65 Dual Modulus Prescaler

8

P

D

MC12031A

2.0 GHz +64/65, +128/129 Low Voltage Dual Modulus Prescaler

8

P

D

MC12031B

2.0 GHz +64165, +128/129 Low Voltage Dual Modulus Prescaler

8

P

D

MC12032A

2.0 GHz +64/65, +128/129 Dual Modulus Prescaler

8

P

D

MC12032B

2.0 GHz +64165, +128/129 Dual Modulus Prescaler

8

P

D

MC12033A

2.0 GHz +32133, +64/65 Low Vo~age Dual Modulus Prescaler

8

P

D

MC12033B

2.0 GHz +32133, +64/65 Low Vo~age Dual Modulus Prescaler

8

P

D

MC12034A

2.0 GHz +32133, +64/65 Dual Modulus Prescaler

8

P

D

MC12034B

2.0 GHz +32133, +64165 Dual Modulus Prescaler

8

P

D

MC12036A

1.1 GHz +64/65, +1281129 Dual Modulus Prescaler with Stand-By Mode

8

P

D

MC12036B

1.1 GHz +64165, +128/129 Dual Modulus Prescaler with Stand-By Mode

8

P

D

MC12040

Phase-Frequency Detector

14

P,L

FN

MC12061

Crystal Oscillator

16

P,L

MC12073

1.1 GHz +64 Prescaler

8

P

MC12074

1.1 GHz +256 Prescaler

8

P

D

MC12076

1.3 GHz +256 Prescaler

8

P

D

D

MC12078

1.3 GHz +256 Prescaler

8

P

D

MC12079

2.8 GHz +64/128/256 Prescaler

8

P

D

MC12080

1.1 GHz +10/20/40/80 Prescaler

8

P

D

MC12083

1.1 GHz +2 Low Power Prescaler with Stand-By Mode

8

P

D

MC12089

2.8 GHz +64/128/256 Low Power Prescaler

8

P

D

MC12090

750 MHz +2 UHF Prescaler

16

P,L

MC12100

200 MHz Voltage Controlled Multivibrator

20

P

FN

MC12101

130 MHz Voltage Controlled Multivibrator

20

P

FN

MCH12140

Phase-Frequency Detector

8

MCK12140

Phase-Frequency Detector

8

D

MC12148

Low Power Voltage Controlled Oscillator

8

D,SD

Analog and Interlace Integrated Circuits

4.7-40

D

Motorola Master Selection Guide

Communications Circuits Package Overview

CASE 620
LSUFFIX

•

CASE 626
PSUFFIX

4'J ~

-

CASE 707
PSUFFIX

-

CASE 751B
o SUFFIX

Motorola Master Selection Guide

CASE 709
PSUFFIX

CASE 708
P SUFFIX

CASE 710
PSUFFIX

CASE 726
LSUFFIX

CASE 648
PSUFFIX

CASE 646
PSUFFIX

-

CASE 724
PSUFFIX

CASE 711
PSUFFIX

-

CASE 738
OP, PSUFFIX

CASE 751
0,01 SUFFIX

•

CASE 751 A
o SUFFIX

CASE 7510
OW, FP SUFFIX

CASE 751E
OW SUFFIX

CASE 751F
OW SUFFIX

4.7-41

#

Analog and Interface Integrated Circuits

Communications Circuits Package Overview (continued)

•

CASE 751G
OW SUFFIX

CASE 751J
FSUFFIX

CASE 779
FNSUFFIX

CASE 777
FNSUFFIX

•

CASE 751M
FWSUFFIX

CASE 776
FNSUFFIX

CASE803C
FSUFFIX

~

• •
• • •
..
•

CASE 804
H SUFFIX

CASE 8240
PBSUFFIX

CASE 858
B SUFFIX

CASE 848B
FB SUFFIX

CASE 873
FB, FTB, FU SUFFIX

CASE 873A
PBSUFFIX

CASE 873C
FUSUFFIX

CASE 932
FTASUFFIX

CASE940C
SO SUFFIX

CASE948C
OTSUFFIX

•

CASE 9480
OTSUFFIX

Analog and Interface Integrated Circuits

•

•

CASE948F
OTB SUFFIX

CASE 976
FTBSUFFIX

4.7-42

•

CASE 977
FTASUFFIX

Motorola Master Selection Guide

Consumer Electronic Circuits

In Brief ...
These integrated circuits reflect Motorola's continuing
commitment to semiconductor products necessary for
consumer system designs. This tabulation is arranged to
simplify selection of consumer integrated circuit devices that
satisfy the primary functions for home entertainment
products, including television, hi-Ii audio and AM/FM radio.

Motorola Master Selection Guide

4.8-1

Page
Entertainment Radio Receiver Circuits ............ 4.8-2
Entertainment Receiver RF/IF ................. 4.8-2
C-Quam® AM Stereo Decoders .. . . . . . . . . . . . .. 4.8-2
Audio Amplifiers ............................. 4.8-2
Video Circuits ................................ " 4.8-3
Encoders ................................... 4.8-3
TV Decoder . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.8-3
Video Capture Chip Sets. . . . . . . . . . . . . . . . . . . . .. 4.8-3
TV Picture-in-Picture ........................ 4.8-3
Comb Filters ................................ 4.8-3
Deflection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.8-3
TV IF Circuits ............................... 4.8-3
Tuner PLL Circuits ........................... 4.8-4
Modulator. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.8-4
Video Data Converters ....................... 4.8--4
Monitor Subsystem .......................... 4.8-4
Miscellaneous . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.8--4
Circuit Descriptions and Diagrams ............. 4.8--6
Package Overview ............................ 4.8-24

Analog and Interface Integrated Circuits

Entertainment Radio Receiver Circuits
Table 1. Entertainment Receiver RFnF
Function

Suffix!
Package

Features

DevIce

P/648.
D1751B

MC13025

MixerNCO/AGC with RF and Audio Noise Blanking

DWI751D.
P1738

MC13027

1st Mixer/OSC. 2nd Mixer/OSC. High Gain IF. AGC. Detector

DW1751F

MC13030

E.T.R. Front End

MixerNCO/AGC for Electronically Tuned AM Stereo Receivers

AMAX Front End

Dual Conversion AM Receiver

Table 2. C-Quam® AM Stereo Decoders
Function

Suffix!
Package

Features

Advanced AM Stereo Decoder

Medium Voltage 6.0 to 10 V. Decoder and IF Amp

Low V AM Stereo Receiver

IF/Decoder for Advanced C-Ouam Receivers

Medium V AM Stereo Decoder
AMAX Stereo Decoder

Device

P/710.
DW1751F

MC13022A

P/648.
D1751B

MC13028A

IF/Decoder for Advanced C-Ouam Receivers with AM/FM Switch

DWI751D.
Hl738

MC13029A

Am Stereo Decoder with Audio Noise Blanker

DWI751F.
PI710

MC13122

Table 3. Audio Amplifiers

Function
Mini Watt SOIC Audio Amp
Low Power Audio Amp

Po
(Watts)

VdcMax

Vin
@RatedPO
mVTyp

mATyp

RL
(Ohms)

Suffix!
Package

Device

1.0W

35

80

11

16

D1751

MC13060

500mW

16

-

2.5mA

8-0:>

D1751.

MC34119

vee

10

P/626.
DTB/948J

Analog and Interface Integrated Circuits

4.8-2

Motorola Master Selection Guide

Video Circuits
Table 4. Video Circuits
Function

Suffix!
Package

Features

Device

Encoders
Video Overlay Synchronizer

Complete Color TV Video Overlay Synchronizer, remote or local system
control and RGB encoder.

Advanced RGB to PAUNTSC
Encoder

RGB and Sync inputs, Composite Video and S-VHS out;
PAUNTSC selectable; subcarrier from crystal or external source.

P/711 ,
FN/777

MC1378

P/738,
DW1751D

MC13077

P1711

MC44002

Same as MC44002, but without SECAM decoding.

PI711

MC44007

Same as MC44002, but with internal chroma delay line.

P/711

MC44030

Same as MC44030, but without SECAM decoding.

P/711

MC44035

TV Decoder
Chroma 4 Multistandard Decoders
(TV Set)

PAUNTSC/SECAM decoding, Composite Video/S-VHS Inputs, RGB
Outputs, horizontal and vertical drive outputs, geometry correction and
beam current monitor, digital internal filters, no external tank, 16:9
capability, liP and crystal controlled.

Video Capture Chip Sets
Chroma 4 Multistandard Video
Processor (Multimedia)

PAUNTSC/S-VHS input, RGBIYUV outputs; horizontal and vertical
timing outputs; all digital internal filters, no external tanks; !lP and
crystal controlled.

FN/777,
FB/824E

MC44011

Chroma Digital Delay Line

For PAL and SECAM applications of the MC44011, MC44002, MC44007.

P/648,
DW1751G

MC44140
MC44145

Pixel Clock PLUSync Sep.

PAUNTSC sync separator, 6.0-40 MHz pixel clock PLL.

01751 A

Triple B-Bit Video DAC

TTL inputs, 75 Q drive outputs.

FB/824A

MC44200

Triple B-Bit Video NO

Video clamps for RGBIYUV, 18 MHz, High Z TTL outputs.

FN1777,
FU/824A

MC44251

TV Picture-in-Picture
Picture-in-Picture (PIP) Controller

Completely self-contained NTSC picture-In-picture function.

81859

MC44461

Y-C Picture-in-Picture (PIP)
Controller

Completely self-contained NTSC picture-in-picture function, with Y-C
input and output capability, for use in high performance S-Video
systems.

81859

MC44462

Replay and Multiple
Picture-in-Picture (PIP) Controller

Offers either mu~iple PIP windows or several seconds of replay. Used
with external DRAM.

B/859

MC44463

Enhanced Comb Filter

Fast B-Bit NO Converter, Two 8-Bit D/A Converters, Two Line-Delay
Memories, utilizes NTSC Subcarrier Frequency clock, CMOS
Technology.

FU/898

MC141620

Advanced Comb Filter (ACF)

Composite Video input; YC outputs in digital and analog form; all digital
intemal filters.

FU/898

MC141621A

Advanced Comb Filter - II (ACF-II)

Composite Video input; YC outputs in digital and analog form; all digital
internal filters; vertical enhancer circuit.

P/898

MC141622A

Advanced Comb Filter - I (ACF-I)

Low cost Ih filter.

FU/873
SPITBD

MC141624

Advanced PAUNTSC Comb Filter

Composite Video input; YC outputs in digital and analog form; ali digital
internal filters.

FB/898

MC141627

Comb Filters

Deflection
Horizontal Processor

Linear balanced phase detector, oscillator and predriver, adjustable
DC loop gain and duty cycle.

TV IF Circuits
IF Amplifier

Motorola Master Selection Guide

1st and 2nd video IF amplifiers, 50 dB gain at 45 MHz, 60 dB AGC
range.

4.8-3

Analog and Interface Integrated Circuits

Table 4. Video Circuits (continued)

I

Function

I

Suffix!
Package

Device

1.3 GHz, 10 mV sensitivity selectable prescaler (MC44817), op amp,
4 band buffers, 3-wire bus interface, lock detect.

D1751B

MC44817, B

1.3 GHz, 10 mV sens~ivity prescaler, op amp, 4 band buffers, 12C
interface, lock detect.

D1751B

MC44818

1.3 GHz, 10 mV sensitivity prescaler, 3 band buffers, 12C interface,
replacement for Siemens MPG3002.

D/751,
D1751B

MC44824,
MC44825

Similar to MC44817, with lower power consumption, push-pull lock
detector output, no divide-by-8 bypass, in a TSSOP package.

DTB/948F

MC44827

Similar to MC44818, with lower power consumption, push-pull lock
detector output, in a TSSOP package.

DTB/948F

MC44828

1.3 GHz prescaler, 10 mV sensitivity 50 to 950 MHz, op amp, 3 band
buffers, Mixer/Osc Decoder and 12C Bus.

D1751 A

MC44829

1.3 GHz, 10 mV sensitivity selectable prescaler, op amp, 4 band buffers,
12C interface, 3 DACs for automatic tuner alignment.

M/967

MC44864

Features

Tuner PLL Circuits
PLL Tuning Circuits

Modulator
Color TV Modulator with Sound

RF oscillator/modulator, and FM sound oscillator/modulator.

UHF TV Modulator

Multi-standard PLL tuned UHF TV modulator with AM or FM sound.

P/646

MC1374

DTB/948E,
DWI751D

MC44353,
MC44354,
MC44355

Video Data Converters
Single Channel AID

8-Bit, 25 MHz, 2.0 V input range, ±5.0 V supplies, TTL output, no
pipeline delay.

P1709,
DW1751E

MC10319

Triple B-Bit Video AID

Video clamps for RGBNUV, 18 MHz conversion, high Z outputs.

FN1777,
FU/824A

MC44251

Triple 8-Bit Video DAC

TTL inputs, 75

FB/824

MC44200

Adaptable to 30 kHz to 64 kHz horizontal, 45 to 100 Hz vertical
frequency, multiple sync including syno-on--9reen, horizontal and vertical
drive outputs, double PLL, 70 MHz RGB pre-amps, contrast and
brightness controls.

B/859

MC13081X

80 MHz bandwidth, blank and clamp inputs, main contrast and
subcontrast controls.

P1738

MCl3280AY

Same as above, except 100 MHz bandwidth.

P/738

MC13281B

Same as above, except 100 MHz bandwidth and pin compatible with
MC13282A.

P1724

MC13281A.

100 MHz bandwidth, blank and clamp inputs, main contrast and
subcontrast controls, OSD inputs, OSD contrast control, pin compatible
with MC13281A.

P1724

MC13282A

Same as above, except 130 MHz bandwidth.

P1724

MC13283

Provides continuous subcarrier sine wave and 4x subcarrier, locked to
incoming burst.

P/626,

MC44144

Closed Caption Decoder

Conforms to FCC, NTSC standards, underline and italics control.

P1707

MC144143

Enhanced Closed Caption Decoder

Conforms to FCC, NTSC, XDS standards, underline, italics and

P1707

MC144144

Sync Separator/Pixel Clock PLL

PAUNTSC sync separator with vertical and composite sync output,
6 to 40 MHz pixel clock PLL.

D1751 A

MC44145

Dual Video Amplifiers

Gain @ 4.43 MHz = 6.0 dB ±1.0 dB, fixed gain, internally compensated,
CMOS Technology.

P/626,
F/904

MC14576C

Gain @ 5.0 MHz = 10 dB max, 10 MHz = 6.0 dB max, adjustable gain,
internally compensated, CMOS Technology.

P/626,
F/904

MC14577C

P/646,

MC3346

n drive outputs.

Monitor Subsystem
Mu~imode

Color Monitor Processor

RGB Video Processor

RGB Video Processor with OSD
Inputs

Miscellaneous
Subcarrier Reference Generator

Transistor Array

One differential pair and 3 isolated tranSistors, 15 V, 50 mA.

ose.

D1751

D1751 A

Analog and Interface Integrated Circuits

4.8-4

Motorola Master Selection Guide

Table 5. Video Decoders
Function

MC44002

I

MC44007

MC4403o(1)

I

MC44035

MC44011

For TV Set Applications
(RGB Outputs for CRT Driver)

Yes

Yes

No

For Video Capture Applications
(RGBIYUV Outputs)

No

No

Yes

PAUNTSC Decoding
SECAM Decoding
Chroma Delay Line
Composite Video Inputs
Y/C Inputs

Yes

Yes
Yes

I

No

Yes

I

Yes
No

No
External

External

Internal

2

2

2

1 set (Note 2)

1 set (Note 2)

1 set (Note 2)

RGB Inputs (3 Pins)

1 set

1 set

1 set

YUV Outputs/Inputs

Yes

Yes

Yes

Video Output for Teletext or
Closed Caption

No

No

No

16:9 Capability on 4:3 Screen

Yes

Yes

No

Single 5.0 V Supply

Yes

Yes

Yes

120mA

150mA

110mA

No

Yes

No

No

Yes

Supply Current (Typical)
Video Mute (Blanking Control)
Pixel Clock Generator for NO

No

NOTES: I. The MC44030 with integrated chroma delay line can replace the MC44002 + MC44140. A single PC board pattern can be made to accept e~her

device and the software can be written to be compatible, although the MC44030 has several additional functions.
2. In VIC mode the two CVBS inputs become Yand C Inputs.
3. One set uses SCART Video input as Y and SCART Red input as C. The second set are independent inputs.

Motorola Master Selection Guide

4.8-5

Analog and Interface Integrated Circuits

Video Circuits (continued)

Video Capture Block Diagram

~

\

ICameral,~
/
15a1mlke

I
Picture Processing
Computer Generated
Text and Graphics

Antenna

'T~'"
.~t
".~

~ .. "~----I

• In Development

Analog and Interface Integrated Circuits

4,8-6

Motorola Master Selection Guide

Video Circuits

(continued)

Digitally Controlled Video Processor for Multimedia Applications
MC44011FN, FB

Case 777, 824E
The MC44011 , a member ofthe MC44xxx Chroma 4 family,
is designed to provide RGB or YUV outputs from a variety of
inputs. The inputs may be either PAL or NTSC composite
video (two inputs), S-VHS, RGB, and color difference (R-Y,
B-Y).
The MC44011 provides a sampling clock output for use by
a subsequent analog to digital converter. The sampling clock
(6.0 to 40 MHz) is phase-locked to the horizontal frequency.
Additional outputs include composite sync, vertical sync, field
identification, luminance, burst gate, and horizontal
frequency.
Control of the MC44011, and reading of status flags is
accomplished via an 12C bus.

VCCI Gndl

• Multistandard Decoder, Accepts NTSC and PAL
Composite Video
• Dual Composite Video or S-VHS Inputs
• All Chroma and Luma Channel Filtering, and Luma Delay
Line are Integrated USing Sampled Data Filters Requiring
no External components
• Digitally Controlled via 12C Bus
• Auxiliary Y, R-Y, B-Y Inputs
• Switched RGB Inputs with Separate Saturation Control
• Line-Locked Sampling Clock for Digitizing Video Signals
• Burst Gate Pulse Output for External Clamping
• Vertical Sync and Field Ident Outputs
• Software Selectable YUV or RGB Outputs Able to Drive
AID Converters

~

r------lf----

Yl

R-Y B-Y

CompVideo 1

~}

Comp Video 2

Outputs

BtU

1 - - - - - 0 VCC3
l,--~:":':'::;""-.,..J--I--IL.--~~~~----i' Gnd3

Burst
Gate

~=;..-. To AID Converters

...n..
Frequency
Divider

Motorola Master Selection Guide

4.8-7

Analog and Interface Integrated Circuits

Video Circuits

(continued)

Triple a-Bit CIA Converter
MC44200FB
Case 824A
The MC44200 is a monolithic digital to analog converter for
three independent channels fabricated in CMOS technology.
The part is specifically designed for video applications.
Differential outputs are provided, allowing for a large output
voltage range.
• 8-Bit Resolution
• Differential Outputs

•
•
•
•
•
•

55 msps Conversion Speed
Large Output Voltage Range
Low Current Mode
Single 5.0 V Power Supply
TTL Compatible Inputs
Integrated Reference Voltage

r-------------,
8

VOOG

Gin

OG
IC.-,,..;..J--<,J OG

""----"'_....1"\ VODR

I-+---II---t

RIn

I<.....,,..;..;.J--u

OR
OR

r::-"""'7I--l"l VOOB

I-+---II---t

Bin

Clk
VOO

OB
'''-_>----u 08

0-2"",-,-"",

+----H:l----I!--o
CCAS

6--l.

VSS~

Rlref
I--O--I~
VOO R
CVref
VSSR 0
I... _
-_
-_
-_
-_
-_____
-_' _ J

o----------...!I'

Analog and Interface Integrated Circuits

4.8-8

I
I

Motorola Master Selection Guide

Video Circuits

(continued)

Triple 8-Bit AID Converter
MC44251 FN, FU
Case 777, 824A
The MC44251 contains three independent parallel analog
to digital converters. Each ADC consists of 256 latching
comparators and an encoder. Input clamps allow for AC
coupling of the input signals, and dc coupling is also allowed.
For video processing performance enhancements, a dither
generator with subsequent digital correction is provided to
each ADC. The outputs of the MC44251 can be set to a high
impedance state.

These AJDs are especially suitable as front end converters
TV picture processing.
18 MHz Maximum Conversion Speed (MC44251)
Input Clamps Suitable for RGB and YUV Applications
Built-in Dither Generator with Subsequent
Digital Correction
• Single 5.0 V Power Supply

in
•
•
•

Simplified Diagram of One of the ADCs
Vref

Rtop

Rmid

8
Data
Outputs
Clock

Analog Input

HZ
VTN
Mode

Motorola Master Selection Guide

4.8--9

Analog and Interface Integrated Circuits

Video Circuits (continued)

Color TV Block Diagram

r-----'

II(.~.J

1

MC44461 .
MC44462'.

1

1L _ _
MC444&3
1
_ _ _ ..l

r----,
I CombPiiter I
Mc141~ !-'---'Y_ _*I
I
I MCf41821A
MC141622A
C
I MC141624 1+--'<-----.\
IL _MC141627
I
_ _ _ .J

IF
MC443Q2'

R
G

B

OSD

r--------,
1
'--'-'AM=MO-"-nc:..O_ _ _-i-I
FM Mono

Stereolleooder

1+1-+_____--1>

Sound Processor

()

- 'MC4'\'131

Stereo

+200 V
+12V

MCU

+5.0 V

r----,

II

9J!ma1

II

L _ _ _ _ .J

• In Development

Analog and Interface Integrated Circuits

4.8-10

Motorola Master Selection Guide

Video Circuits

(continued)

Multistandard VideolTimebase Processor
MC44002P, MC44007P

Case 711
The MC44002J7 is a highly advanced circuit which
performs most of the basic functions required for a color TV.
All of its advanced features are under processor control via an
12C bus, enabling potentiometer controls to be removed
completely. In this way the component count may be reduced
dramatically to allow significant cost savings and the
possibility of implementing sophisticated automatic test
routines. Using the MC44002J7, TV manufacturers will be able
to build a standard chassis for anywhere in the world.
• Operation from a Single 5.0 V Supply; Typical Current
Consumption Only 120 mA
• Full PAUSECAM/NTSC Capability (MC44002 Only)
• MC44007 Decodes PAUNTSC Only
• Dual Composite Video or S-VHS Inputs
• All ChromalLuma Channel Filtering, and Luma Delay
Line are Integrated Using Sampled Data Filters Requiring
No External Components

•
•
•
•
•
•
•
•
•

Filters Automatically Commutate with Change
of Standard
Chroma Delay Line is Realized with Companion
Device (MC44140)
RGB Drives Incorporate Contrast and Brightness
Controls and Auto Gray Scale
Switched RGB Inputs with Saturation Control
Auxiliary Y, R-Y, B-Y Inputs
Line limebase Featuring H-Phase Control and
Switchable Phase Detector Gain and Time Constant
Vertical Timebase Incorporating the Vertical
Geometry Corrections
E-W Parabola Drive Incorporating the Horizontal
Geometry Corrections
Beam Current Monitor with Breathing Compensation
16:9 Display Mode Capability

A-V

B-V

A

G
B

Drive

S.OV

Anode
Current

5.0V

Motorola Master Selection Guide

4.8-11

Analog and Interface Integrated Circuits

Video Circuits

(continued)

Advanced NTSC Comb Filter
MC141621FB
Case 898

The MC141621 is an advanced NTSC comb filler for VCR
and TV applications. It separates the luminance (y) and
chrominance (C) signals from the NTSC composite video
signal by using digital signal processing techniques. This filter
allows a video signal input of an extended frequency
bandwidth by using a 4.0 FSC clock. In addition, the filter
minimizes dot crawl and cross color effects. The built-in AID
and D/A converters allow easy connections to analog video
circuits.

•
•
•
•
•
•
•

BiJilt-in High Speed B-Bit AID Converter
Two Line Memories (1820 Bytes)
Advanced Combing Process
Two B-Bit D/A Converters
Built-in Clamp Circuit
On-Chip Reference Voltage Regulator for ADC
Digital Interface Mode

RTP

Co C1 C2 C3 C4 Cs C6 C7

28

RTPS
27
Self
Bias

IBias

39

22

AOC

IBias

23

RBTS

RBT

21
Yin
20

Control
Logic

Yout

Clout

Ref(OA)
Cout

Clamp

t8

17

16

CLC

TEl

TEO
Model

YCC(AO) =
YCC(O) =
VCC(OA) =
GNO(AO) =
GNO(O) =
GNO(OA) =

Pin 2S
Pin 11
Pin 42
Pin 26
Pins 9,19
Pin 43

Analog and Interface Integrated Circuits

Mode 0

13
BW

00 01 02 03 04 Os 06 07

4.8-12

Motorola Master Selection Guide

Video Circuits

(continued)

Advanced Comb Filter-II (ACF-II)
MC141622AFU

Case 898
The Advanced Comb Filter-II is a video signal processor
for VCRs and TVs. It's function is to separate the Luminance
Y and Chrominance C signals from the NTSC composite video
signal. The ACF-II minimizes dot-crawl and cross-color. A
built-in PLL provides a 4xfsc clock from either an NTSC
subcarrier signal or a 4xfsc input. This allows a video signal
input of an extended frequency bandwidth. The built-in
vertical enhancer circuit improves the quality of the
Luminance Y signal. The built-in AID and D/A converters
allow easy connection to analog video circuits.

•
•
•
•
•
•
•
•
•

Built-in High Speed 8-Bit AID Converter
Two Line Memories (1820 Bytes)
Advanced Comb-II Process
Vertical Enhancer Circuit
Two High Speed 8-Bit D/A Converters
4xfsc PLL Circuit
Built-in Clamp Circuit
Digital Interface Mode
On-Ghip Reference Voltage Regulator for AID Converter

D3

TE1

D2

TEO

D1

MODE1

DO

MODEO

BK
VH

CLK(AD)
ACF-II
PROCESSING

GND(D)

GND(D)

VCC(D)

VCC(D)

CLC

FSC
NC
NC

RBT

NC

RTP

Motorola Master Selection Guide

4.8-13

Analog and Interface Integrated Circuits

Video Circuits

(continued)

Closed-Caption Decoder
MC144143P
Case 707
The MC144143 is a Line 21 closed-caption decoder for
use in television receivers or set top decoders conforming to
the NTSC broadcast standard. Capability for processing and
displaying all of the latest standard Line 21 closed-caption
format transmissions is includeci. The device requires a
closed-caption encoded composite video Signal, a horizontal
sync signal, and an external keyerto produce captioned video.
RGB outputs are provided, along with a luminance and a box
signal, allowing simple interface to both color and black and
white receivers.

• Conforms to the FCC Report and Order as Amended by
the Petition for Reconsideration on Gen. Doc. 91-1
• Supports Four Different Data Channels, lime Multiplexed
within the Line 21 Data Stream: Captions Utilizing
Languages 1 & 2, Plus Text Utilizing Languages 1 & 2
• Output Logic Provides Hardware Underline Control and
Italics Slant Generation
• Single Supply Operating Voltage Range: 4.75 to 5.25 V
• Composite Video Input Range: 0.7 to 1.4 Vpp
• Horizontal Sync Input Polarity can be either Positive
or Negative
• Internal liming/Sync Signals Derived from
On-Chip VCO

Data Modulator &
Transfer' Buffer

Video
In

Slice
12
Level - - - 1 - - - - 4

Command'
Processor

Lock

R
6

Output
Logic
Hsync

G
B

4

Luma

Box

Filter

Reset
Config
Enable

Decoder
Control

CT/SData
Lang/SClk

Analog and Interface Integrated Circuits

4.8-14

Motorola Master Selection Guide

Video Circuits

(continued)

Enhanced Closed-Caption Decoder
MC144144P
Case 707
The MC144144 is a Line 21 closed--caption decoder for
use in television receivers or set-top decoders conforming to
the NTSC standard. Capability for processing and displaying
all of the latest standard Line 21 closed--caption format
transmissions is included. The device requires a closedcaption encoded composite video signal, a horizontal sync
signal, and an external keyer to produce captioned video.
RGB and box signal outputs are provided, which along with
the mode select, allow simple interfacing to either color or
black-and-white TV receivers.
Display storage is accomplished with an on--chip RAM. A
modified ASCII character set, which includes several
non-English characters, is decoded by an on--chip ROM. An
on-screen character appears as a white or colored dot matrix
on a black background.
Captions (video-related information) can be up to four rows
appearing anywhere on the screen and can be displayed in two
modes: roll-up, paint-on, or pop-on. With rollup captions, the
row scrolls up and new information appears at the bottom row
each time a carriage return is received. Pop-on captions work
with two memories. One memory is displayed while the other
is used to accumulate new data. A special command causes
the information to be exchanged in the two memories, thus
causing the entire caption to appear at once.
When text (non-video related information) is displayed, the
rows contain a maximum of 32 characters over a black box
which overwrites the screen. Fifteen rows of characters are
displayed in the text mode.

Motorola Master Selection Guide

4.8-15

An on--chip processor controls the manipulation of data for
storage and display. Also controlled are the loading,
addressing, and clearing of the display RAM. The processor
transfers the data received to the RAM during scan lines 21
through 42. The operation of the display RAM, character ROM,
and output logic circuits are controlled during scan lines 43
through 237. The functions of the MC144144 are controlled via
a serial port which may be configured to be either 12C or SPI.
• Conforms to FCC Report and Order as Amended by the
Petition for
Reconsideration on Gen. Doc. 91-1
• Conforms to EIA-608 for XDS Data Structure
• Supports Four Different Data Channels for Field 1 and
Five Different Data Channels for Field 2, Time
Multiplexed within the Line 21 Data Stream: Captions
Utilizing Languages 1 and 2, Text Utilizing Languages 1
and 2 and XDS Support
• Output LogiC Provides Hardware Underline Control and
Italics Slant Generation
• Single Supply, Operating Voltage Range: 4.75 to 5.25 V
• Supply Current: 20 mA (Preliminary)
• Operating Temperature Range: 0 to 70°C
• CompOSite Video Input Range: 0.7 to 1.4 Vpp
• Horizontal Input Polarity: Either Positive or Negative
• Internal Timing and Sync Signals Derived from On-Chip
VCO

Analog and Interface Integrated Circuits

<

~

a:

III

c8

~~~~-----------------,

~

Co

:rc

~
~

;;:

CD

III

~

'"
ill

~

a:

8<

Co

Q

g

CSYNCI

t

'"

;:

~

Q.

III

;:

i

(fl
CD

~

o

::l

G)
t:

c:
CD

8'

a
:::J

c

!

ft

..,.

~

o

I
I
I
I
I
I
I
I
I
I
I
I
I
I
I
I
I
I
I
I
I

I 1'"

~,

00

(.)

z

in
a.

::;:
0

(.)

• I ';." I

FLO

ADDR
DECODER

FLO

Ls

SFLP
????

_ _ _ _ _ _ _ _1 _

VS~

HIN

Td:

LOOP
~ FILTER

LINE & FLO
CTR

LINE AND FLO
DECODERS
11 _ _ _ _ _ ....!~
lSS(A)

RREF

-=

r;;l
~

17 3 2 18

Video Circuits

(continued)

Set-Top Block Diagram

TunerPlL
MC44817
MC44818

MC44824
MC44825

MC44826
MC44827

MC44828
MC44829

MC44864

~~--r>-<,.

R

I--H>---I>-@·G
I--H_-I>-@.B
Tunei'
MC44361'
t.1C44362'MC44365'

r,:±±:i:=,

JF

~

I - flGlitto
I Vidilo

i~
• Channel
3/4

Digital Sound Section

• In Development

Motorola Master Selection Guide

4.8-17

Analog and Interface Integrated Circuits

Video Circuits

(continued)

PLL Tuning Circuits with 3-Wire Bus
MC44817BD, 0
Case 751B
The MC44817/17B are tuning circuits for TV and VCR
tuner applications. They contain on one chip all the functions
required for PLL control of a VCO. The integrated circuits also
contain a high frequency prescaler and thus can handle
frequencies up to 1.3 GHz.
The MC44817 has programmable 51211024 reference
dividers while the MC44817B has a fixed reference divider of
1024.
The MC44817/17B are manufactured on a single silicon
chip using Motorola's high density bipolar process, MOSAICTM
(Motorola Oxide Self Aligned Implanted Circuits).
• Complete Single Chip System for MPU Control (3-Wire
Bus). Data and Clock Inputs are IIC Bus Compatible
• Divide-by-8 Prescaler Accepts Frequencies up to
1.3 GHz
• 15 Bit Programmable Divider Accepts Input Frequencies
up to 165 MHz

• Reference Divider: Programmable for Division Ratios 512
and 1024. The MC44817B has a Fixed 1024 Reference
Divider
• 3-State Phase/Frequency Comparator
• Operational Amplifier for Direct Tuning Voltage Output
(30 V)
• Four Integrated PNP Band Buffers for 40 mA (VCC1 to
14.4 V)
• Output Options for the Reference Frequency and the
Programmable Divider
• Bus Protocol for 18 or 19 Bit Transmission
• Extra Protocol for 34 Bit for Test and Further Features
• High Sensitivity Preamplifier
• Circuit to Detect Phase Lock
• Fully ESD Protected

Bands Out 30 rnA
(40 rnA at 0° to 80°C)

VCCI
5.0V

VTUN
VCC3

13

7

12 11

10

4 Amp In

B3 B2 Bl BO
Buffers
":"

Lock

EN~-I._-rL--...L.l.--,

~::;~~:=t--.,..-...,!~~_-.J

Data

CIOCkr-T---I'Lri=-[=~I_~~~I=D~~[-r~---'
XTAL

Preamp 2

Analog and Interface Integrated Circuits

4.8-1B

Motorola Master Selection Guide

Video Circuits

(continued)

PLL Tuning Circuit with 12C Bus
MC44818D
Case 751B
The MC44818 is a tuning circuit for TV and VCR tuner
• 15 Bit Programmable Divider Accepts Input Frequencies
up to 165 MHz
applications. It contains, on one chip, all the functions required
for PLL control of a VCO. This integrated circuit also contains
• Reference Divider: Programmable for Division Ratios 512
a high frequency prescaler and thus can handle frequencies
and 1024.
up to 1.3 GHz. The MC44818 is a pin compatible drop-in
• 3-State Phase/Frequency Comparator
replacementforthe MC44817, where the only difference is the
• Operational Amplifier for Direct Tuning Voltage Output
MC44818 has a fixed divide-by-8 prescaler (cannot be
(30 V)
bypassed) and the MC44817 uses the three wire bus.
• Four Integrated PNP Band Buffers for 40 mA (VCC1 to
The MC44818 has programmable 51211024 reference
14.4 V)
dividers and is manufactured on a single silicon chip using
• Output Options for the Reference Frequency and the
Programmable Divider
Motorola's high density bipolar process, MOSAIC'M (Motorola
Oxide Self Aligned Implanted Circuits).
• High Sensitivity Preamplifier
• Complete Single Chip System for MPU Control (12C Bus).
• Circuit to Detect Phase Lock
Data and Clock Inputs are 3-Wire Bus Compatible
• Fully ESD Protected
• Divide-by-8 Prescaler Accepts Frequencies up to
1.3 GHz
Bands Out 30 mA
(40 mA at 0° to BO°C)
VTUN
VCC1
S.OV

7

VCC3
13

B3

12 11

10

14
12V

6

4 Amp In

B2 B1 BO
Buffers

-=-

Lock

XTAL

Motorola Master Selection Guide

4.8-19

Analog and Interface Integrated Circuits

Video Circuits

(continued)

PLL Tuning Circuits with 12C Bus
MC44824125D
Case 751A, 751B
The MC44824/25 are tuning circuits for TV and VCR tuner
applications. They contain on one chip all the functions
required for PLL control of a VCO. The integrated circuits also
contain a high frequency prescaler and thus can handle
frequencies up to 1.3 GHz.
The MC44824125 are manufactured on a single silicon chip
using Motorola's high density bipolar process, MOSAICTM
(Motorola Oxide Self Aligned Implanted Circuits).
• Complete Single Chip System for MPU Control (12C Bus).
Data and Clock Inputs are 3-Wire Bus Compatible
• Divide-by-8 Prescaler Accepts Frequencies up to
1.3 GHz

• 15 Bit Programmable Divider
• Reference Divider: Programmable for Division Ratios 512
and 1024
• 3-State Phase/Frequency Comparator
• 4 Programmable Chip Addresses
• 3 Output Buffers (MC44824) respectively; 5 Output
Buffers (MC44825) for 10 mAl15 V
• Operational Amplifier for use with External NPN Transistor
• S0-14 Package for MC44824 and S0-16 for MC44825
• High Sensitivity Preamplifier
• Fully ESD Protected

UD

Vcc
5.0V

10 (12)

6(6)

67

-(7)

B4

8(9)

B2
Buffers

9(10)

B1

-(11)

14(16)
1(1)

BO

PD

2.7V

Gnd

XTAU
XTAL2

HF Input1
HF Input2...,----0/"

MC44825 Pin Numbers ( )

Analog and Interface Integrated Circuits

4.8-20

Motorola Master Selection Guide

Video Circuits

(continued)

PLL Tuning Circuit with 3-Wire Bus
MC44827DTB

Case 948F
The MC44827 is a tuning circuit for TV and VCR tuner
applications. This device contains on one chip all the functions
required for PLL control of a VCO. This integrated circuit also
contains a high frequency prescaler and thus can handle
frequencies up to 1.3 GHz.
The MC44827 is controlled by a 3-wire bus. It has the
same function as the MC44828 which is 12C bus controlled.
The MC44827 and MC44828 can replace each other to allow
conversion between 3-wire bus and 12C bus control.
The MC44827 is manufactured on a single silicon chip
using Motorola'S high density bipolar process, MOSAICTM
(Motorola Oxide Self Aligned Implanted Circuits).

The MC44827 has the same features as MC44817 with the
following differences:
• Lower Power Consumption, 200 mW Typical
• Improved Prescaler with Higher Margins for Sensitivity
and Temperature Range. (A typical device is functional in
a temperature range greater than -40 to 100°C.)
• Lock Detector with Push-Pull Output
• No Bypass of Divide-by-8 Prescaler
• TSSOP Package

PLL Tuning Circuit with 12C Bus
MC44828DTB

Case 948F
The MC44828 is a tuning circuit for TV and VCR tuner
applications. This device contains on one chip all the functions
required for PLL control of a VCO. This integrated circuit also
contains a high frequency prescaler and thus can handle
frequencies up to 1.3 GHz.
The MC44828 is controlled by an 12C bus. It has the same
function as the MC44827 which is 3-wire bus controlled. The
MC44827 and MC44828 can replace each other to allow
conversion between 3-wire bus and 12C bus control.
The MC44828 is manufactured on a single silicon chip
using Motorola's high density bipolar process, MOSAICTM
(Motorola Oxide Self Aligned Implanted Circuits).

Motorola Master Selection Guide

4.8-21

The MC44828 has the same features as MC44818 with the
following differences:
• Lower Power Consumption, 200 mW Typical
• Improved Prescaler with Higher Margins for Sensitivity
and Temperature Range. (A typical device is functional in
a temperature range greater than -40 to 100°C.)
• Lock Detector with Push-Pull Output
• TSSOP Package

Analog and Interface Integrated Circuits

Video Circuits

(continued)

PLL Tuning Circuit with 12C Bus
MC44829D
Case 751A
The MC44829 is a tuning circuit for TV and VCR tuner
applications. It contains, on one chip, all the functions required
for PLL control of a VCO. This integrated circuit also contains
a high frequency prescaler and thus can handle frequencies
up to 1.3 GHz. The circuit has a band decoder that provides
the band switching signal for the mixer/oscillator circuit. The
decoder is controlled by the buffer bits.
The MC44829 has programmable 51211024 reference
dividers and is manufactured on a single silicon chip using
Motorola's high density bipolar process, MOSAICTM (Motorola
Oxide Self Aligned Implanted Circuits).
• Complete Single Chip System for MPU Control (12C Bus)
• Divide-by-8 Prescaler Accepts Frequencies up to
1.3 GHz

• 15 Bit Programmable Divider
• Reference Divider: Programmable for Division Ratios 512
and 1024
• 3-State Phase/Frequency Comparator
• Operational Amplifier for Direct Tuning Voltage Output
(30 V)
• Four Programmable Chip Addresses
• Integrated Band Decoder for the Mixer/Oscillator Circuit
• Band Buffers with Low "On" Voltage (0.4 V Maximum at
5.0mA)
• Fully ESD Protected to MIL-STD-883C, Method 3015.7
(2000 V, 1.5 kn, 150 pF)

VTUN

Bands Out

VCC1
5.0V

5

r

VCC2

CL

8
14

2.7V

-=-

DTB1

Gnd

PHO

2

DTB2

POR

CA~----;~-::-'--'---'

SDA
SCL

11

CL

t---'=---.j

10

Analog and Interface Integrated Circuits

4.8-22

Motorola Master Selection Guide

Video Circuits

(continued)

Advanced PAUNTSC Encoder
MC130np,DW
Case 738, 7510
The MC13077 is an economical, high quality, RGB encoder
for PAL or NTSC applications. It accepts red, green, blue and
composite sync inputs and delivers either composite PAL or
NTSC video, and S-Video Chroma and Luma outputs. The
MC13077 is manufactured using Motorola'S high density,
bipolar MOSAIC® process.
• Single 5.0 V Supply
• Composite Output

•
•
•
•
•
•
•
•

S-Video Outputs
PAUNTSC Switch able
PAL Squarewave Output
PAL Sequence Resettable
Internal/External Burst Flag
Modulator Angles Accurate to 90 0
Burst Position/Duration Determined Digitally
Subcarrier Reference from a Crystal or External Source

Gnd

3.581

Divide By Four Ring
Counter

45"

Motorola Master Selection Guide

Divide By 256

4.43 MHz
Latch

0"

4.8--23

Analog and Interface Integrated Circuits

Consumer Electronic Circuits Package Overview

CASE 626
PSUFFIX

CASE 646
PSUFFIX

CASE 648
PSUFFIX

CASE 707
PSUFFIX

CASE 709
PSUFFIX

CASE 710
PSUFFIX

CASE 711
PSUFFIX

•

CASE 751
o SUFFIX

CASE 751E
OW SUFFIX

Analog and Interface Integrated Circuits

-

-

CASE 724
PSUFFIX

CASE 751A
o SUFFIX

CASE 738
H, PSUFFIX

CASE 751B
o SUFFIX

CASE 751F
OW SUFFIX

4.8-24

CASE 7510
OW SUFFIX

•

CASE 751G
OW SUFFIX

Motorola Master Selection Guide

Consumer Electronic Circuits Package Overview

• •

•

CASE 777
FNSUFFIX

CASE 824, 824A
FB, FU SUFFIX

CASE 859
BSUFFIX

..
•

CASE 904
FSUFFIX

Motorola Master Selection Guide

CASE948E
DTBSUFFIX

(continued)

CASE 824D
FTBSUFFIX

•

CASE 873
FUSUFFIX

•

CASE948F
DTBSUFFIX

4.8-25

CASE 824E
FBSUFFIX

CASE 898
FB, FU, P SUFFIX

CASE948J
DTBSUFFIX

CASE 967
MSUFFIX

Analog and Interface Integrated Circuits

Analog and Interface Integrated Circuits

4.8--26

Motorola Master Selection Guide

Automotive Electronic Circuits

In Brief ...
Motorola Analog has established itself as a global leader
in custom integrated circuits for the automotive market. With
multiple design centers located on four continents, global
process and assembly sites, and strategically located
supply centers, Motorola serves the global automotive
market needs. These products are key elements in the
rapidly growing engine control, body, navigation,
entertainment, and communication electronics portions of
modern automobiles. Though Motorola is most active in
supplying automotive custom designs, many of yesterday's
proprietary custom devices have become standard products
of today, available to the broad base manufacturers who
support this industry. Today, based on new technologies,
Motorola offers a wide array of standard products ranging
from rugged high current "smart" fuel injector drivers which
control and protect the fuel management system through the
rigors of the underhood environment, to the latest
SMARTMOSTM switches and series transient protectors.
Several devices are targeted to support microprocessor
housekeeping and data line protection. A wide range of
packaging is available including die, flip--chip, and SOICs for
high density layouts, to low thermal resistance multi-pin,
single-in-line types for high power controllCs.

Motorola Master Selection Guide

4.9-1

Page
Voltage Regulators ............................. 4.9--2
Electronic Ignition .............................. 4.9--2
Special Functions .............................. 4.9--3
Package Overview ............................ 4.9-13

Analog and Interface Integrated CirCUits

Automotive Electronic Circuits
Table 1. Voltage Regulators
Function

Suffix!
Package

Features

Device

Low Dropout Voltage
Regulator

Positive fixed and adjustable output voHage regulators which
maintain regulation with very low input to output voltage differential.

Zi29, T/221 A,
T/314D, TH/314A,
TV/314B,DT/369A,
DT-1/369,D2T/936,
D2T/936A,D/751

LM2931,C

Low Dropout Dual
Regulator

Positive low voltage differential regulator which features dual 5.0 V
outputs, with currents in excess of 750 rnA (switched) and 10 rnA
standby, and quiescent current less than 3.0 rnA.

T/314D, TH/314A,
TV/314B,D2T/936A

LM2935

Automotive Voltage
Regulator

Provides load response control, duty cycle limiting, under/overvoltage
and phase detection, high side MOSFET field control, voltage
regulation in 12 V aHernator systems.

DW/751 0

MC33092

Low Dropout Voltage
Regulator

Positive 5.0 V, 500 rnA regulator having on-chip power-up-reset
circuit with programmable delay, current limit, and thermal shutdown.

T/314D, TV/314B

MC33267

Low Dropout Voltage
Regulator

Positive 3.3 V, 5.0 V, 12 V, 800 rnA regulator.

0/751, DT/369A

MC33269

Suffix!
Package

Device

P/626, 0/751,
Flip-Chip

MC3334,
MCCF3334

Table 2. Electronic Ignition
Function

Features

Electronic Ignition
Circuit

Used in high energy variable dwell electronic ignition systems with
variable reluctance sensors. Dwell and spark energy are externally
adjustable. "Bumped" die for inverted mounting to substrate.

Electronic Ignition
Circuit

Used in high energy electronic ignition systems requiring differential
Hall Sensor control. "Bumped" die for inverted mounting to substrate.

DW/751G,
Flip-Chip

MC33093,
MCCF33093

Electronic Ignition
Circuit

Used in high energy electronic ignition systems requiring single Hall
Sensor control. "Bumped" die for inverted mounting to substrate.

DW/751G,
Flip-Chip

MC33094,
MCCF33094

Electronic Ignition
Circuit

Used in high energy electronic ignition systems requiring single Hall
Sensor control. Dwell feedback for coil variation. "Bumped" die for
inverted mounting to substrate.

DW/751G,
Flip-Chip

MC79076,
MCCF79076

Analog and Interface Integrated Circuits

4.9-2

Motorola Master Selection Guide

Table 3. Special Functions
Function

Features

Suffix!
Package

Device

Low Side Protected
Switch

Single automotive low side switch having CMOS compatible input,
1.0 A maximum rating, with overcurrent, overvo~age and thermal
protection.

T/221 A, T-1/314D,
DW/751G

MC3392

Low Current High-Side
Switch

Drives loads from positive side of power supply and protects against
high-voltage transients.

T/314D, DW/751G

MC3399

High-8ide TMOS Driver

Designed to drive and protect N-channel power MOSFETs used in
high side switching applications. Has internal charge pump, externally
programmed timer and fault reporting.

P/626, D/751

MC33091A

MI-Bus Interface
Stepper Motor
Controller

High noise immunity serial communication using MI-Bus protocol to
control relay drivers and motors in harsh environments. Four phase
signals drive two phase motors in either half or full-step modes.

DWn51G

MC33192

Quad Fuel Injector
Driver

Four low side sw~ches with parallel CMOS compatible input control,
,;;7.0 rnA quiescent current, 0.25 g rDS(on) at 25'C independent
outputs with 3.0 A current limiting and internal 65 V clamps.

T/821D, TV/821C

MC33293A

Octal Serial Output
Switch

Eight low side switches having 8-bit serial CMOS compatible input
control, serial fault reporting, ,;; 4.0 rnA quiescent current, independent
0.45 g rDS(on) at 25'C outputs with 3.0 A minimum current limiting and
internal 55 V clamps.

pn38, DWn51E

MC33298

Integral Alternator
Regulator

Control device used in conjunction with a Darlington device to monitor
and control the field current in alternator charging systems. "Bumped"
die for inverted mounting to substrate.

Dn51 A, Flip--Chip

MC33095
MCCF33095

Peripheral Clamping
Array

Protects up to six MPU 1/0 lines against voltage transients.

*/626, Dn51

TCF6000

Automotive Direction
Indicator

Detects defective lamps and protects against overvoltage in
automotive turn-signal applications. Replaces UAA1041 B in most
applications.

Dn51 , P/626

MC33193

Automotive Wash Wiper
Timer

Standard wiper timer control device that drives a wiper motor relay and
can perform the intermittent, afterwash and continuous wiper timer
functions.

Dn51 , P/626

MC33197A

Automotive ISO 9141
Serial Link Driver

Interface between the twer-wire asynchronous serial communication
interface (SCI) of a microcontroller and a special one-wire care
diagnosis system (DIA).

Dn51 A

MC33199

"No Suffix

Motorola Master Selection Guide

4.9-3

Analog and Interface Integrated Circuits

Quad Fuel Injector Driver
MC33293AT, MC33293ATV
TJ

=-40° to +150°C, Case 821 D, C

The MC33293AT is a monolithic quad low-side switching
device having CMOS logic, bipolar/ CMOS analog circuitry,
and DMOS power FETs. All inputs are CMOS compatible.
Each independent output is internally clamped to 65 V, current
limited to ~3.0A, and has an rDS(on) of sO.25n with VPWR
~ 9.0 V and may be paralleled to lower rDS(on). Fault output
reports existence of open loads (outputs "On" or "Off'),

shorted loads, and over temperature condition of outputs. A
shorted load condition will shut off only the specific output
involved while allowing other outputs to operate normally. An
overvoltage condition will shut off all outputs for the
overvoltage duration. A single/dual mode select pin allows
either independent input/output operation or paired output
operation.

(+VpWR)

....
'

r-""'-~-:--:-.7""~':::-:·-::-:;::""~r-···-'~-- "'"""""C"_~-"'~""""""i-~""'~"""::'''''''-:'--:-~''''''l

1
I

3 (Input 1) o-I~r-I

1

1
4 (Input2)

r---'~f'--"""*O 2 (Output 1)

1

.1

J

13 (Input 3) D-i-t-'o'I

. IJO 1 (Output 2)
'I

'}.o

12 (Input 4)

14 (Output 3)

1

5 (Input 1 + 2)

'IJO 15 (Output 4)

Open
Load
Detect

I
1

I

6 (Single/Dual Select) 0-..............04

I

I

Short
Circuit
Detect

11 (Input 3 + 4) 0-+-.......04

1
1

I
I

10 (Fault)

.
I
I .
~

__

.
Eoooder

'. '.

~_~

Analog and Interface Integrated Circuits

______

. '.
~

__

...,
~_~

4.9-4

.

_____

8 (Ground)

L....-....I--t-.J...()"

. . .. ' .. '

'::;' I
.1

":'

. Fr.om Detedoid,MI
~_~

__

~_~~J

Motorola Master Selection Guide

Octal Serial Switch
MC33298P, MC33298DW
TJ

= -40° to +150°C, Case 738, 751E

The MC33298 is a monolithic eight output low-side switch
with 8-bit serial input control. Incorporates CMOS logic,
bipolar/CMOS analog circuitry, and DMOS power FETs. All
inputs are CMOS compatible. It is designed to interface to a
microcontroller and switch inductive or incandescent loads.

Each independent output is internally clamped to 55 V, current
limited to ~3.0 A, and has an rDS(on) of s0.45Qwith VPWR
~ 9.0 V. This device has low standby current, cascadable fault
status reporting, output diagnostics, and shutdown for each
output.

17 (VPWR)
r--------------~

1
1

'

;:.=:;==~:::;t"c: 20 (Output 0)

~~--~

OE
18 (SFPD)

OF
SF
..... ~

OT,

13 (Reset)

~

19 (Output 1)

/-0

12(Output2)
11 (Output3)
10 (Output 4)
9 (Output 5)
2 (Output 6)

..._ . . ro
ro

14 (VDD)()-if-'-----+ '-_ _.--_-'

,
'.

1-0
l-o
,~1 (Output 7)

'1
1

I
1

8 (CSB)

I

.I

3 (SCLK)

1.o.io4-~~:::>

Short
Circuit
Detect

I
Over

Te":~~re

7 (SO)o-t---"'--"--'I

" ','

5 (Ground)
6 (Ground)
15 (Ground)
16 (Ground)

,I"
"1

L~~ __ ~:_~~_~ ___ ~~ __'~~~~~~t ___ ~~ __ ~_J

Motorola Master Selection Guide

4,9-5

Analog and Interface Integrated Circuits

Dual High-Side Switch
MC33143DW
TA

= -40° to +125°C, Case 751 E

The MC33143 is a dual high-side switch designed for
solenoid control in harsh automotive applications, but is well
suited for other environments. The device can also be used to
control small motors and relays as well as solenoids. The
MC33143 incorporates SMARTMOSTM technology, with
CMOS logic, bipolar/MOS analog circuitry, and DMOS power
outputs. An internal charge pump is incorporated for efficient
gate enhancement of the internal high-side power output
devices. The outputs are designed to provide current to low
impedance solenoids. The MC33143 provides individual
output fault status reporting along with internal Overcurrent
and Over Temperature protection. The device also has
Overvoltage protection, with automatic recovery, which
"globally" disables both outputs for the duration of an
Overvoltage condition. Each output has individual
Overcurrent and Over Temperature shutdown with automatic
retry recovery. Outputs are enabled with a CMOS logic high
signal applied to an input to providing true logic control. The
outputs, when turned on, provide full supply (battery) voltage
across the solenoid coil.
The MC33143 is packaged in an economical 24 pin surface
mount power package and specified over an operating voltage
of 5.5 V :;; VPwr < 26 V for -40°C :;; TA :;; 125°C.
• Designed to Operate Over Wide Supply Voltages of 5.5 V
t026V

• Dual High-8ide Outputs Clamped to -10 V for Driving
Inductive Loads
• Internal Charge Pump for Enhanced Gate Drive
• Interfaces Directly to a Microcontroller with Parallel Input
Control
• Outputs Current Limited to 3.0 A to 6.0 A for Driving
Incandescent Loads
• Chip Enable "Sleep Mode" for Power Conservation
• Individual Output Status Reporting
• Fault Interrupt Output for System Interrupt Use
• Output ON or OFF Open Load Detection
• Overvoltage Detection and Shutdown
• Output Over Temperature Detection and Shutdown with
Automatic Retry
• Sustained Current Limit or Immediate Overcurrent
Shutdown Output Modes
• Output Short to Ground Detection and Shutdown with
Automatic Retry
• Output Short to VPwr Detection

Simplified Internal Block Diagram
VPwr (9, 16)

r---------------~----~--~-----------,

I
I
I

I
I
I

-1

SFPD (14)

I
I
1

INI (1)

.\--.............,-'-+-0 OUT1

(24)

!--.,...,..---,.-'i,.......,... . . .-+o STATI (3)

VDD(II)
GTST(15) Of---i-t--CEN (2)

INT (23)
IN2 (12)

Fault Detection
e ON/OFF Open Load
:

I. _ _ _
~

NOTE:

~

____

~

__

g~~~~~~ho~ort.".

e Over Temperature
e VPwr Overvoltage

~_~

______

~_~~

....."'----+--+0 OUT2 (13)

1---...,......;.----,......--1-0 STAT2(10)
, I
_______ ___ J
~

Pins 5,6,7,8,17,18,19 and 20 should all be grounded so as to provide electrical as well as thermal heatsinking olthe device.

Analog and Interface Integrated Circuits

4.9-6

Motorola Master Selection Guide

Low Side Protected Switch
MC3392T, T-1, OW
T J = --40° to +150°C,
Case 221A, 3140, 751G
extremely high gain, low saturation Darlington transistor
having a CMOS input characteristic with added protection
features. In some applications, the three terminal version can
replace industry standard TIP100/101 NPN power Darlington
transistors.

Single low side protected switch with fault reporting
capability. Input is CMOS compatible. Output is short circuit
protected to 1.0 A minimum with a unique current fold-back
feature. Device has internal output clamp for driving inductive
loads with overcurrent, overvoltage, and thermal protection.
When driving a moderate load, the MC3392 performs as an

r------------------------------------,
~_

I

Vin

II

WI"I
I
I
1-

Fast

Vout

Turnoff
Overvonage
Detect

-=

I-I
I
I
I
I
I .
I······
I

Thermal
Shutdown

I'

Current
Limit

~Fault

~1!

l___~~__________________________~_~_~
I

Gnd

Motorola Master Selection Guide

4.9-7

Analog and Interface Integrated Circuits

High Side TMOS Driver
MC33091 AP, AD
TJ

=-40° to +150°C, Case 626,751

Offers an economical solution to drive and protect
N-channel power TMOS devices used in high side switching
configurations. Unique device monitors load resulting VDS.
TMOS voltage to produce a proportional current used to drive
an externally programmed over current timer circuit to protect
the TMOS device from shorted load conditions. TImer can be
programmed to accommodate driving incandescent loads.

Few external components required to drive a wide variety of
N-channel TMOS devices. A Fault output is made available
through the use of an open collector NPN transistor requiring
a single pull-up resistor for operation. Input is CMOS
compatible. Device uses s 3.0 IlA standby current and has an
internal charge pump requiring no external components for
operation.

RS

Vcc
---1

r~.-;7-----.---­

. I
I
I
I
I
I

[

f
Input
7

I
I
I
I
t
I

I
I
I

I

4

t'
IIIC33091

SRC

I

I
L,',
I
6

,....;...;~........-..~

I
I

I'
I
I

L--;r---------.

Analog and Interface Integrated Circu~s

.

_.

_ _ _ ' - _ _ _ _ _ _ _ ...:..-_ _

I
I
I
I
I
I

I
I
I

.;.....~_....;.....J

VT

4.9-8

Motorola Master Selection Guide

MI-Bus Interface Stepper Motor Controller
MC33192DW
TJ =-400 to +100°C, Case 751 G
Intended to control loads in harsh
automotive environments using a serial
communication
bus.
Can
provide
satisfactory real time control of up to eight
stepper motors using MI-Bus protocol. Use
of MI-Bus offers a noise immune system
solution for difficult applications involving
relays and motors. The stepper motor
controller provides four phase signals to
drive two phase motors in either half of
full-step modes. Designed to interface to a
microprocessor with minimal amount of
wiring, affording an economical and
versatile system.

- - - - - - - - - - - - - - - - - - - - +Vbat
7
A1
A2

VCC
1 MI

To other
devices

MC331920W
8 Osc

B1
B2

. . . . . . . . .--

-+-->--->--~

->--~ ->--~
Ground
Ceramic
Resonator
From MCU
MI-Bus _ - ' - - - - - - - - - - - - - - - - - MI-Bus

Automotive Direction Indicator
MC33193P, D
TA =-40° to +125°C, Case 626, 751
The MC33193 is a new generation industry standard
UAA 1041 "Flasher". It has been developed for enhanced EMI
sensitivity, system reliability, and improved wiring
simplification. The MC33193 is pin compatible with the
UAA1D41 and UAA1041B in the standard application
configuration as shown in Figure 9, without lamp short circuit
detection and using a 20 mil shunt resistor. The MC33193 has
a standby mode of operation requiring very low standby
supply current and can be directly connected to the vehicle's
battery. It includes a RF filter on the Fault detection pin (Pin 7)

" ",',

" ,, "

for EMI purposes. Fault detection thresholds are reduced
relative to those of the UAA1041 allowing a lower shunt
resistance value (20 mil) to be use.
• Pin Compatible with the UAA1041
• Defective Lamp Detection Threshold
• RF Filter for EMI Purposes
• Load Dump Protection
• Double Battery Capability for Jump Start Protection
• Internal Free Wheeling Diode Protection
• Low Standby Current Mode

Oscillator

!ot-'-~,..,...;..+<>

r:2~~~1~>~~~~!~L~~~~~~:WWL;Jt:2~~·~_·;: '~'j

Motorola Master Selection Guide

4.9-9

Analog and Interface Integrated Circuits

Automotive Wash Wiper Timer
MC33197AD
TA

=--40° to +105°C, Case 751

MC33197AP
TA

=--40° to +125°C, Case 626

The MC33197A is a standard wiper timer control device
designed for harsh automotive applications. The device can
perform the intermittent, after wash, and continuous wiper
timer functions. It is designed to directly drive a wiper motor
relay. The MC33197 A requires very few external components
for full system implementation. The intermittent control pin can
be switched to ground or Vbat to meet a large variety of
possible applications. The intermittent timing can be fixed or
adjustable via an external resistor. The MC33197A is built
using bipolar technology and parametrically specified over the
automotive ambient temperature range and 8.0 to 16 V supply
voltage. The MC33197A can operate in both front and rear
wiper applications.

• Adjustable TIme Interval of Less Than 500 ms to More
Than 30s
• Intermittent Control Pin Can Be Switched to Ground
or Vbat
• Adjustable After Wipe TIme
• Priority to Continuous Wipe
• Minimum Number of TIming Components
• Integrated Relay Driver With Free Wheeling Protection
Diode
• Operating Voltage Range From 8.0 to 16 V
• For Front Wiper and Rear Wiper Window Applications

\bb
Rl =2200
R2=22kO
R3= 1.5 to 22 kO
R4 =4.7 kO
R5 = 4.7 kO
Cl =471!F
C2=I00nF

1"

Switch
Water Pump Motor

1
-=

)--'VII'v-----,

Gnd

Analog and Interface Integrated Circuits

4.9-10

Motorola Master Selection Guide

Automotive ISO 9141 Serial Link Driver
MC33199D

TA =-40° to +125°C, Case 751A
The MC33199D is a serial interface circuit used in
diagnostic applications. It is the interface between the
microcontroller and the special K and L Lines of the ISO
diagnostic port. The MC33199D has been designed to meet
the "Diagnosis System ISO 9141" specification.
The device has a bi-directional bus K Line driver, fully
protected against short circuits and over temperature. It also
includes the L Line receiver, used during the wake up
sequence in the ISO transmission.
The MC33199 has a unique feature which allows
transmission baud rate up to 200 k baud.

Vee

• Electrically Compatible with Specification "Diagnosis
System ISO 9141"
• Transmission Speed Up to 200 k Baud
• Internal Voltage Reference Generator for Line
Comparator Thresholds
• TXD, RXD and La Pins are 5.0 V CMOS Compatible
• High Current Capability of DIA Pin (K Line)
• Short Circuit Protection for the K Line Input
• Over Temperature Shutdown with Hysteresis
• Large Operating Range of Driver Supply Voltage
• Full Operating Temperature Range
• ESD Protected Pins

Vs

REF-0UT 04-....;....,j
LO

REF-IN-!. o,.;;.;.,.;..,+,--",~",,;,,;;-!.
REF-IN-K ()oO.~""----"",.

Motorola Master Selection Guide

4.9-11

Analog and Interface Integrated Circuits

Alternator Voltage Regulator
MC33092DW
TJ = -40° to +125°C, Case 7510
Provides voltage regulation and load response control in
diode rectified 12 V alternator charging systems. Provides
externally programmed load response control of the altemator
output current to eliminate engine speed hunting and vibration
due to sudden electrical loads. Monitors and compares the
FB

system battery voltage to an externally programmed set point
value and pulse width modulates an N-channel MOSFET
transistor to control the average alternator field current. In
addition, has duty cycle limiting, under/overvoltage and phase
detection (broken belt) protective features.

Vec3

UV

Sense
(Remotel

Supply Reg

(Locail

Lamp Base

Ground

·"'1
1

.'*~ii~L·"--~~'~~5J

OscAdjust

9
Oscillator

Analog and Interface Integrated Circuits

Rate

4.9-12

Ground

Motorola Master Selection Guide

Automotive Electronic Circuits Package Overview

I

CASE 221A
TSUFFIX

CASE 29
ZSUFFIX

CASE 314D
T, T-1 SUFFIX

-

CASE 314B
TV SUFFIX

CASE 314A
THSUFFIX

, • •
#
•
•
CASE 369
DT-1 SUFFIX

CASE 369A
DTSUFFIX

CASE 626
P, NO SUFFIX

4#

CASE 738
PSUFFIX

CASE 751
DSUFFIX

•

CASE 751G
DWSUFFIX

CASE 751A
DSUFFIX

•

CASE 751E
DWSUFFIX

CASE 821D
TSUFFIX

CASE 821C
TV SUFFIX

•

CASE 936
D2TSUFFIX

Motorola Master Selection Guide

CASE 751D
DWSUFFIX

CASE 936A
D2TSUFFIX

4.9-13

Analog and Interface Integrated Circuits

Analog and Interface Integrated Circuits

4.9-14

Motorola Master Selection Guide

Other Analog Circuits

In Brief ...
Other analog circuits are provided for special
applications with both bipolar and CMOS technologies.
These circuits range from the industry standard analog
timing circuits and multipliers to specialized CMOS smoke
detectors. These products provide key functions in a wide
range of applications, including data transmission,
commercial smoke detectors, and various industrial
controls.

Motorola Master Selection Guide

4.10-1

Page

Timing Circuits ................................
Singles .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ..
Duals .....................................
Multipliers ....................................
Linear Four-Quadrant Multipliers ..............
Smoke Detectors (CMOS) ......................
Package Overview ............................

4.10-2
4.10-2
4.10-2
4.10-2
4.10-2
4.1 Q-3
4.1 Q-4

Analog and Interface Integrated Circuits

Timing Circuits

Multipliers

These highly stable timers are capable of producing
accurate time delays or oscillation. In the time delay mode of
operation, the time is precisely controlled by one extemal
resistor and capacitor. For a stable operation as an oscillator,
the free-running frequency and the duty cycle are both
accurately controlled with two external resistors and one
capacitor. The output structure can source or sink up to 200 mA
or drive TIL circuits. Timing intervals from microseconds
through hours can be obtained. Additional terminals are
provided for triggering or resetting if desired.

Linear Four-Quadrant Multipliers
Multipliers are designed for use where the output voltage is
a linear product of two input voltages. Typical applications
include: multiply, divide, square, root--mean-square, phase
detector, frequency doubler, balanced modulator/demodulator,
electronic gain control.

Multiplier Transfer Characteristics

Singles
~

MC1455P1, D
TA 0° to +70°C, Case 626, 751

Cl

MC1455BP1, D
TA -40° to +85°C, Case 626, 751

'3

=

=

Vee

UJ

~
~

!3o

.j -6.0~-+-"""''--+--+--+--I--+--4.0 -2.0 0
2.0 4.0
VX, INPUT VOLTAGE (V)

6.0

B.O

10

MC1494P
TA

= 0° to +70°C, Case 648

This device has all the necessary internal regulation and
references. The single-ended output is referenced to ground.

MC1495D, P
TA

Duals
MC3456P
TA 0° to +70°C, Case 646

=

NE556N, D
TA 0° to +70°C, Case 646, 751A

=

Analog and Interface Integrated Circuits

= 0° to +70°C, Case 751A, 646

Maximum versatility is assured by allowing the user to
select the level shift method.

MC1495BP
TA = -40° to +125°C, Case 646
Linearity and offset are actually tested over temperature.
This is an improved specification over previous versions.

4.10-2

Motorola Master Selection Guide

Smoke Detectors (CMOS)
These smoke detector ICs require a minimum number of
external components. When smoke is sensed, or a low battery
voltage is detected, an alarm is sounded via an external

piezoelectric transducer. All devices are designed to comply
with UL specifications.

Table 1. Smoke Detectors (CMOS)
Low
Battery
Detector

Recommended
Power Source

Unique
Feature

Ionization-Type
Smoke Detector

Battery

High Input Impedance
FET Comparator

Ionization-Type
Smoke Detector
with Interconnect

Battery

Photoelectric-Type
Smoke Detector
with Interconnect

Battery

Function

Line

Photo Amplifier,
Temporal Pattern

t!

High Input Impedance
FET Comparator,
Temporal Pattern

V

V
V
V
V
V
V
V
V

V

V

Line

V

Photo Amplifier

(1)

Line
Battery
Line

Ionization-Type
Smoke Detector

Battery

Ionization-Type
Smoke Detector with
Interconnect

Battery

V
V
-

Piezoelectric
Horn Driver

Complies
with
UL217
and UL268

(1)

Device
Number

Suffix!
Package

V
V
V
V
V
v'
v'
v'
V

MCl4467-1

Pl/646

MC14578

P/648

V

MC145018

MC14468
MC14470
MC145010
MC145011
MC145012
MC145013
MCl45017

P/648,
DWn51G
P/646,
DWn51G
P/648

(1) Low-supply detector.

Motorola Master Selection Guide

4.10-3

Analog and Interface Integrated Circuits

Other Analog Circuits Package Overview

CASE 626
P1 SUFFIX

N, P, P1 SUFFIX

CASE 751

CASE 751A

o SUFFIX

Analog and Interface Integrated Circuits

CASE 646

o SUFFIX

4.10-4

CASE 648
PSUFFIX

•

CASE 751G

OW SUFFIX

Motorola Master Selection Guide

Tape and Reel Options

In Brief ...
Motorola offers the convenience of Tape and Reel
packaging for our growing family of standard integrated circuit
products. Reels are available to support the requirements of
both first and second generation pick-and-place equipment.
The packaging fully conforms to the latest EIA-481A
specification. The antistatic embossed tape provides a
secure cavity, sealed with a peel-back cover tape.

Motorola Master Selection Guide

4.11-1

Page
Tape and Reel Configurations . . . . . . . . . . . . . . . . . .. 4.11-2
Tape and Reel Information Table ................. 4.11-4
Analog MPQ Table ............................ 4.11-5

Analog and Interface Integrated Circuits

Tape and Reel Configurations

Mechanical Polarization

SOIC and Micr0-8
DEVICES

PLCC DEVICES

User Direction of Feed
User Direction of Feed

DPAK and D2PAK
DEVICES
Typical

User Direction of Feed

SOT-23 (5 Pin)
DEVICES

SOT-89 (3 Pin)
DEVICES

SOT-89 (5 Pin)
DEVICES

Typical

Typical

Typical

~~t~

User Direction of Feed

User Direction of Feed

User Direction of Feed

Analog and Interface Integrated Circuits

4.11-2

Motorola Master Selection Guide

Tape and Reel Configurations

(continued)

T0-92 Reel Styles
STYLE A
(Preferred)

STYLE E

Feed

Rounded side of transistor and adhesive tape visible.

STYLE P
(Preferred)

Flat side of transistor and adhesive tape visible.

TO-92 Ammo Pack Styles
STYLE M

Adhesive Tape On
TopSide

Adhesive Tape On
TopSide

Rounded Side

Flat Side

Carrier
Strip

Carrier
Strip

Flat side of transistor and
adhesive tape visible.

Rounded side of transistor and
adhesive tape visible.

Style P ammo pack is equivalent to Styles A and B of reel pack
dependent on feed orientation from box.

Style M ammo pack is equivalent to Style E of reel
pack dependent on feed orientation from box.

TO-92 EIA Radial Tape in Fan Fold Box or On Reel

H2B

Motorofa Master Selection Guide

4.11-3

Analog and Interface Integrated Circuits

Tape and Reel Information Table
Tape Width
(mm)

Devices(l)
per Reel

Reel Size
(Inch)

Device
Suffix

S0-8, SOP-8
SO-14
SO-16

12
16
16

2,500
2,500
2,500

13
13
13

R2
R2
R2

SO-16L, S0-8+8L WIDE
S0-20LWIDE
S0-24LWIDE
S0-28LWIDE
S0-28LWIDE

16
24
24
24
32

1,000
1,000
1,000
1,000
1,000

13
13
13
13
13

R2
R2
R2
R2
R3

Micro-8

12

2,500

13

R2

PLCC-20
PLCC-28
PLCC-44

16
24
32

1,000
500
500

13
13
13

R2
R2
R2

PLCC-52
PLCC-68
PLCC-64

32
44
44

500
250
250

13
13
13

R2
R2
R2

TO-226M (T0-92)(2)

18

2,000

13

RA, RE, RP, or RM
(Ammo Pack) only

DPAK

16

2,500

13

RK

D2PAK

24

800

13

R4

SOT-23 (5 Pin)

8

3,000

7

TR

SOT-89 (3/5 Pin)

12

1,000

7

T1

Package

(1) Minimum order quantity is 1 reel. Distributors/OEM customers may break lots or reels at their option, however broken reels may not be returned.
(2) Integrated circuits in To-226AA packages are available in Styes A and E only, with optional "Ammo Pack" (Suffix AP or AM). The AA and AP configurations
are preferred. For ordering infoonation please contact your local Motorola Semiconductor Sales Office.

Analog and Interface Integrated

Circu~s

4.11-4

Motorola Master Selection Guide

Analog MPQ Table
Tape/Reel and Ammo Pack

I

Package Type

Package Code

MPQ

Case 775
Case 776
Case 777

0802
0804
0801

1000/reel
500/reel
500/reel

Case 751
Case 751A
Case 7518
Case 751G
Case 7510
Case 751E
Case 751F

0095
0096
0097
2003
2005
2008
2009

2500lreel
2500/reel
2500/reel
1000/reel
1000lreel
1000/reel
1000/reel

PLCC

SOIC

Micr0-8
Case 846A

2500/reel

TO-92
Case 29
Case 29

0031
0031

2000/reel
2000/Ammo Pack

OPAK
Case 369A

2500/reel

Case 936

800/reel

02PAK

SOT-23 (5 Pin)

I

Case 1212

3000/reel

SOT-89 (3 Pin)

I

Case 1213

1000/reel

SOT-89 (5 Pin)

I

Case 1214

Motorola Master Selection Guide

1000/reel

4.11-5

Analog and Interface Integrated Circuits

Analog and Interface Integrated Circuits

4.11-6

Motorola Master Selection Guide

Communications, Power and
Signal Technologies Group Products

In Brief ...
Page

Many leading semiconductor manufacturers have either
de-emphasized or eliminated discrete components from
their product portfolio. At Motorola, exceptional long-term
growth and outstanding customer acceptance of our
portfolio are the most significant effects of Motorola's
superiority in providing bipolar and MOS transistors, diodes,
thyristors, zeners, opto, RF, rectifier, and sensor devices.
Consistent, ongoing improvements in product
development and packaging processing continue to ensure
Motorola's position as the most broad-based discrete
supplier in the world. The increased use of automatic
placement equipment has driven the trend towards surface
mount packaging.
Motorola continues to expand upon a broad offering of
surface mount packages which continue to advance
state-of-the-art deSigns that cannot be accomplished with
insertion technology. Surface mount technology is cost
effective, allowing users the opportunity to utilize smaller
units and increased functions with less board space. In
many electronic applications, complex integrated solutions
with a multitude of functions can replace several active and
passive components.
SMARTDISCRETES, RF hybrid amplifiers and modules
and RF monolithic integrated circuits, pressure and
temperature sensors, optoelectronics and hybrid power
modules are a few of the exciting new products which
provide more reliable, intelligent discrete devices. Key
initiatives to raise products and services to a Six Sigma
standard (99.9997% defect-free), reduce total cycle time in
all activities, and provide leadership in the areas of product
and manufacturing ensure that Motorola will continue to be
the manufacturer of choice for all your discrete
semiconductor requirements.

Motorola Master Selection Guide

Small Signal Transistors, FETs and Diodes
5.1-1
TVS/Zeners
Transient Voltage Suppressors
Zener Regulator and Reference Diodes ......... 5.2-1
Hybrid Power Module Operation .................. 5.3-1
TMOS Power MOSFETs Products ................ 5.4-1
Bipolar Power Transistors ....................... 5.5-1
Rectifiers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.6-1
Thyristors and Triggers .......................... 5.7-1
Optoelectronic Devices .......................... 5.8-1
Sensors ....................................... 5.9-1
RF Products ............................... . .. 5.10-1
Surface Mount Information ...................... 5.11-1
Tape and Reel Specifications
and Packaging Specifications .................. 5.12-1

5.0-1

Communications, Power and
Signal Technologies Group Products

Communications, Power and
Signal Technologies Group Products

5.0-2

Motorola Master Selection Guide

Small Signal Transistors,
FETs and Diodes

In Brief . ..
New in this revision is Motorola's GreenLine™ portfolio of
devices. They feature energy-conserving traits superior to
those of our existing line of standard parts for the same
usage. GreenLine devices can actually help reduce the
power demands of your products.
Also new are the Small Signal MUlti-integrated devices.
These are intended to save board space by reduced part
count and functionality. Four to six devices have been
integrated into one small package.
Also, this section highlights semiconductors that are
the most popular and have a history of high usage for the
most applications.
It covers a wide range of Small Signal plastic and
metal-can semiconductors.
A large selection of encapsulated plastic transistors,
FETs and diodes are available for surface mount and
insertion assembly technology. Plastic packages include
TO-92 (TO-226AA), 1 WattT0-92 (TO-226AE), SOT-23,
SC-59, SC-70/S0T-323 and SOT-223. Plastic multiples
are available in 14-pin and 16-pin dual in-line packages for
insertion applications: S0-8, S0-14, and S0-16 for
surface mount applications.

Motorola Master Selection Guide

Page

Bipolar Transistors .............................. 5.1-2
Plastic-Encapsulated Transistors .............. 5.1-2
Plastic-Encapsulated Multiple Transistors ....... 5.1-8
Plastic-Encapsulated Surface
MountTransistors .......................... 5.1-10
Metal-Can Transistors ...................... 5.1-18
Field-Effect Transistors ........................ 5.1-20
JFETs ..................................... 5.1-20
MOSFETs ................................. 5.1-22
Surface Mount FETs ........................ 5.1-23
Tuning and SWitching Diodes ................... 5.1-25
Tuning Diodes - Abrupt Junction ............. 5.1-25
Tuning Diodes - Hyper-Abrupt Junction ...... 5.1-29
Schottky Diodes ............................ 5.1-33
Switching Diodes ........................... 5.1-35
Multiple Switching Diodes .................... 5.1-39
GreenLine Devices ............................ 5.1-41
Small Signal Multi-integrated Devices . . . . . . . . . . .. 5.1-43

5.1-1

Small Signal Transistors, FETs and Diodes

t

Bipolar Transistors

1
23

Plastic-Encapsulated
Transistors

ASE2!H15
TQ-226AE

1-WATT (T0-92)

J

Motorola's Small Signal TD-226 plastic transistors
encompass hundreds of devices with a wide variety of
characteristics for general-purpose, amplifier and switching
applications. The popular high-volume package combines
proven reliability, performance, economy and convenience to
provide the perfect solution for industrial and consumer design
problems. All devices are laser marked for ease of
identification and shipped in antistatic containers, as part of
Motorola's ongoing practice of maintaining the highest
standards of quality and reliability.

ASE29-04
TQ-226AA

12

(T0-92)

3

Table 1. Plastic-Encapsulated General-Purpose Transistors
These general-purpose transistors are designed for small-signal amplification from dc to low ratio frequencies. They are
also useful as oscillators and general-purpose switches. Complementary devices shown where available (Tables 1-4).

NPN

PNP

V(BR)CEO
Volts
Min

IT@ IC
MHz
Min

Case 29-04 - TO-22SAA (T0-92)
MPS8099
MPSA06

2N441 0
BC546
BC546A
BC546B
MPSA05
BC182
BC237B
BC337
BC547
BC547A
BC547B
BC547C
MPSA20

MPS8599
MPSA56

-

BC556
BC556B
MPSA55

65

60

MPS2907A

60

BC212
BC307B
BC327
BC557
BC557A
BC557B
BC557C
MPSA70

50
45
45
45
45
45
45
40
40
40
40
40
40
40
30
30
30
30
30
25
25

-

MPS2222A
2N4401

2N4403

2N4400

2N4402

MPS6602

MPS6652

2N3903

2N3905

2N3904

2N3906

BC548
BC548A
BC548B
BC548C
2N4123
2N4124
BC338

80
80
80
65
65

-

BC558B
2N4125
2N4126
BC328

I

150
100
60

150
150
150
100
200
200(1)
150
210(1)
150
150
150
150
125
300
200
150
100
200
250
300(1)
300(1)
300(1)
300
200
250
210(1)

hFE@IC

mA

IC
mA
Max

Min

10
10
10
10
10
10
10
50
10
10
10
10
10
10
10
5.0
20
20
20
50
10
10
10
10
10
10
10
10
10

500
500
250
100
100
100
500
600
100
100
800
100
100
100
100
100
600
600
600
1000
200
200
100
100
100
100
200
200
800

100
100
60
120
120
180
100
100
120
200
100
120
120
180
380
40
100
100
50
50
50
100
110
120
200
420
50
120
100

I

Max

300
400
450
220
450
300
500
460
630
800
220
450
800
400
300
300
150

-

150
300
800
220
450
800
150
360
630

I

mA

1.0
100
10
2.0
2.0
2.0
100
150
2.0
2.0
100
2.0
2.0
2.0
2.0
5.0
150
150
150
500
10
10
2.0
2.0
2.0
2.0
2.0
2.0
100

NF
dB
Max

Style

-

1
1
1

10
10
10

17

-

10
10

10
10
10
10

-

6.0
5.0
10
10
10
10
6.0
4.0

-

17
17
1
1
14
17
17
17

17
17
17
1
1
1
1
1
1
1
17

17
17
17
1
1
17

(1) Typical

Devices listed in bold, italic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes

5.1-2

Motorola Master Selection Guide

Plastic-Encapsulated Transistors (continued)
Table 1. Plastic-Encapsulated General-Purpose Transistors (continued)

NPN

PNP

V(BR)CEO
Volts
Min

tr@IC
MHz
Min

I

hFE@IC

mA

IC
A
Max

Min

200
200
200
200
200

O.S
O.S
O.S
O.S
O.S

40
40
40
80
80

VCE(sat) @ IC @ IB

I I
Max

mA

Volts
Max

400
400
400

100
100
100
SO
SO

0.7
0.7
0.7
O.S
0.4

I I
mA

mA

Style

1000
1000
1000
2S0
2S0

100
100
100
10
10

1
14
1
1
1

Case 29-05 - TQ-226AE (l-WATT TQ-92)
BDC01D
BDB01C
MPS6717
MPSW06

BDB02D
BDC02D
BDB02C

MPSW56

100
100
80
80
80

SO
SO
SO
SO
SO

-

-

Table 2. Plastic-Encapsulated Low-Noise and Good hFE Linearity
These devices are designed to use on applications where good hFE linearity and low-noise characteristics are required:
Instrumentation, hi-fi preamplifier.
hFE@IC

NPN

PNP

V(BR)CEO
Volts

Min

Case 29-04 - TO-226AA (TO-92)

MPS6428
BC239
BC550B
BCS50C
MPSA18
MPS3904

-

BCS49B
BCS49C
2N5088
2NS089(6)
MPS6521
(1)
(2)
(4)
(5)
(7)
(8)

2N5087
2N5086

-

BC560B
BC560C

-

MPS3906
MPS4250
BC559B
BC559C

MPS6S23

SO
50
50

45
45
4S
4S
40
40
30
30
30
2S
25

I

250
150
250
120
180
380
500
100
250
200
380
350
450
300

Max

I

800
500
6S0
800
4S0
800

V~4)
mV

mA

0.1
0.1
0.1
2.0
2.0
2.0
1.0
10
10
2.0
2.0
1.0
1.0
2.0

-

300

450
800

-

600

Typ

-

7.0(7)
9.5

-

6.5(1)

-

-

NF(5)
dB
Max

tr
MHz
Typ

2.0
3.0
3.5(8)
2.0(1)
2.S
2.S

40(2)
40(2)
100(2)

-

5.0
2.0
2.S
2.5
3.0
2.0
3.0

280
250
250
160
200(2)

250
250
SO
50

-

Style

1
1
1
17
17
17
1
1
1
17
17
1
1
1

Typical
Min
VT: Total Input Noise Voltage (see BC4131BC414 and BC41518C416 Data Sheets) at RS = 2.0 kn, IC = 2oo~, VCE = 5.0 Vo~s.
NF: Noise Figure at RS = 2.0 k.Q, IC = 200~, VCE = 5.0 Vo~. f = 30 Hz to 15 kHz.
RS = 10 kn, BW = 1.0 Hz, f = 100 MHz
RS = 500 n, BW = 1.0 Hz, f = 10 MHz

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.1-3

Small Signal Transistors, FETs and Diodes

Plastic-Encapsulated Transistors (continued)
Table 3. Plastic-Encapsulated Darlington Transistors
Darlington amplifiers are cascade transistors used in applications requiring very high-gain and input impedance. These
devices have monolithic construction.
hFE@IC

NPN

PNP

V(SR)CEO
Volts

IC
Msx

Min

I I

tr@lc

VCE(sat) @ IC & 18

Max

mA

Volts
Max

160K

100
100
100
200
100
100
100
100
100
20

1.5
1.1
1.5
1.1
1.5
1.5
1.5
1.5
1.5
1.0

I I
mA

mA

Min

100
250
100
200
100
500
500
100
100
100

0.1
0.25
0.1
0.2
0.1
0.5
0.5
0.1
0.1
0.1

I

mA

Style

125
100

-

10
100

150

500

125
125
125
200(1)

10
10
10
10

1
1
1
17
1
1
1
1
1
17

Case 29-05 - TO-226AE (1-WATT T0-92)

Case 29-04 - TO-226AA (TQ-92)
MPSA29

BC373
MPSA27
BC618

-

-

MPSA77

-

2N6427
2N6426

MPSA75
-

MPSA14

MPSA64

MPSA13
BC517

MPSA63
-

100
80
60
55
40
40
40
30
30
30

500
1000
500
1000
500
500
500
500
500
1000

10K
10K
10K
10K
10K
20K
30K
20K
10K
30K

-

50K
200K
300K

-

-

Table 4. Plastic-Encapsulated High-Current Transistors
The following table is a listing of devices that are capable of handling a higher current range for small-signal transistors.
tr@lc

NPN

PNP

V(SRlCEO
Vots
Min

MHz
Min

I

mA

IC
mA
Max

50
10
50
50
10

1000
500
2000
2000
1000

hFE@IC
Min

VCE(sat) @ IC & 18

I I

I I

Max

mA

Volts
Max

400
160

100
150
1000
1000
1000

0.310.5
0.5
0.5
0.5
0.5

mA

mA

Style

1000
500
2000
2000
1000

100
50
200
200
100

17
14
1
1
1

Case 29-05 - TO-226AE (1-WATT TQ-92)

Case 29-04 - TQ-226AA (TQ-92)
BC489
BC639

BC490
BC640

MPS651

MPS751

MPS650
BC368

MPS750
BC369

80
80
60
40
20

200/150(1)
60
75
75
65

60

40
75
75
60

-

(1) Typical

Devices listed in bold, italic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes

5.1-4

Motorola Master Selec1ion Guide

Plastic-Encapsulated Transistors (continued)

Table 5. Plastic-Encapsulated High-Voltage Amplifier Transistors
These high-voltage transistors are designed for driving neon bulbs and indicator tubes, for direct line operation, and for
other applications requiring high-voltage capability at relatively low collector current. These devices are listed in order of
decreasing breakdown voltage (V(BR)CEO).

Device
Type

V(BR)CEO
Volts
Min

hFE@IC

IC
Amp
Max

I

Min

mA

Case 29-05 - T0-226AE (1-WATT T0-92) - NPN

Case 29-05 - T0-226AE (1-WATT T0-92) -

IMPSW92 I

300

0.5

25

tr@IC

VCE(sat) @ IC & IB
Volts
Max

I

mA

I

mA

MHz
Min

I

Style

mA

PNP
30

0.5

20

2.0

50

10

10
100
30
10
10
10

0.5
0.75
0.3
0.2
0.5
0.15

10
50
10
20
20
10

1.0
5.0
1.0
2.0
2.0
1.0

-

-

40
50
50
100

10
10
10
10

1
1
1
1
1
1

10
30
10
30
10

20
0.3
0.5
0.3
0.2

20
10
20
10
10

2.0
1.0
2.0
1.0
1.0

50
40
50
40
100

10
10
10
10
10

1
1
1
1
1

Case 29-04 - T0-226AA (T0-92) - NPN
BF844
MPSA44
2N6S17

BF393
MPSA42
2N5551

400
400
350
300
300
160

0.3
0.3
0.5
0.5
0.5
0.6

50
40
30
40
40
80

-

Case 29-04 - T0-226AA (T0-92) - PNP
BF493S
2N6S20
MPSA92

2N6519
2N5401

350
350
300
300
150

0.5
0.5
0.5
0.5
0.6

40
30
40
45
60

Case 29-04 - T0-226AA (T0-92)

NPN
BF420
BF422

PNP

hFE@IC

V(BRWEO
Vo s
Min

IC
Amp
Cont

Min

300
250

0.5
0.5

50
50

BF421
BF423

tr@IC

VCE(sat) @ IC & IB

mA

Volts
Max

mA

25
25

2.0
2.0

20
20

mA

MHz
Min

mA

Style

2.0
2.0

60
60

10
10

14
14

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.1-5

Small Signal Transistors, FETs and Diodes

Plastic-Encapsulated Transistors (continued)

Table 6. Plastic-Encapsulated RF Transistors
The RF transistors are designed for small-signal amplification from RF to VHFIUHF frequencies. They are also used as
mixers and oscillators in the same frequency ranges.

Device
Type

hFE@ IC

IC
rnA
Max

V(BRICEO
Vots
Min

Min

Case 29-04 - T0-226AA (T0-92) - NPN
BF224
MPSH24
MPSH20

MPSH07A(9)
MPS3866
MPSH11
MPSH10

BF199
BF959
MPSH17
MPS918
MPS5179

MPS3563
MPS6595

30

50

30
30
30
30
25
25
25
20
15
15
12
12
12

50
100
25
400

I

30
30
25
20
10
60
60
40
40
25
20
25
20
25

-

100
100

-

50
50
50
50

rnA

I

VCE
V

tr
MHz
Typ

CRE/CRB
pF
Max

NF
dB
Typ

f
MHz

10
10
10
10
5.0
10
10
10
10
10
10
1.0
10
5.0

600
400(2)
400(2)
400(2)
500(2)
650(2)
650(2)
750
600(2)
800(2)
600(2)
2000(3)
800
1200(2)

0.28
0.36
0.65
0.3

2.5

100

-

-

7.0
8.0
4.0
3.0
50
4.0
4.0
7.0
20
5.0
8.0
3.0
8.0
10

-

3.2(3)

-

0.9
0.65
0.35
0.65
0.9
1.7

100

-

-

1.7
1.3

-

2.5
3.0
6.0(3)
6.0(3)
5.0(3)
6.0(3)

35
200
200
60
200
60

-

-

Style

21
2
2
1
1
2
2
21
21
2
1
1
1
1

Case 29-04 - T0-266AA (T0-92) - PNP

Table 7. Plastic-Encapsulated High-Speed Saturated SWitching transistors
ton & toff @ IC
Device
Type

ns
Max

I I
ns
Max

V(BR)CEO
Volts
Min

rnA

hFE@IC

I

Min

tr@IC

VCE(sat) @ IC & 'B

rnA

Volts
Max

I I
rnA

rnA

MHz
Min

I

rnA

Style

10
10
30

1
1
1
1

Case 29-04 - T0-226AA (T0-92) - NPN
2N4264
2N4265
MPS3646
MPS2369A

25
25
18
12

10
10
300
10

35
35
28
18

15
12
15
15

40
100
30
40

10
10
30
10

0.22
0.22
0.2
0.2

10
10
30
10

1.0
1.0
3.0
1.0

300
300
350

-

-

12

30

50

0.15

10

1.0

700

10

Case 29-04 - T0-226AA (T0-92) - PNP

IMPS4258 I

15

I

20

I

10

I

(2) Min
(3) Max
(9) AGC Capable

Devices listed in bold, italic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes

5.H'

Motorola Master Selection Guide

Plastic-Encapsulated Transistors (continued)
Table 8. Plastic-Encapsulated Choppers
D
·
eVlces
are rISted ·Ind ecreaslng V'(BR)EBO.
hFE@ IC

IC
Amp(1)
Max

V(BR)EBO
Volts
Min

Device
Type

Min

I

fT@IC

VCE(sat) @ IC & IB

mA

Volts
Max

-12

-0.2

I

mA

I

MHz
Min

mA

I

mA

Style

Case 29-()4 - T0-226AA (T0-92) - NPN

Case 29-()4 - TO-266AA (TO-92) -

PNP

-25

30

I

MPS404A

I

I

-150

I

-24

1.0

Table 9. Plastic-Encapsulated Telecom Transistors
These devices are special product ranges intended for use in telecom applications.

Device
Type

V(BR)CEO
Volts

PomW
25'C
Amb

IC
mA
Cont

hFE@IC@VCE
Min

Case 29-()4 - T0-226AA (10-92) - NPN

I

Max

I

mA

fT

I

Volts

MHz
Min

Style

P2N2222A
PBF259,S(10)

Case 29-()4 - T0-226AA (10-92) - PNP
P2N2907A
PBF493,S(11)
(1) Typical
(10)"S" version, hFE Min 60 @ le=20 rnA, veE = 10 v.
(11)"S" version, hFE Min 40 @ Ie = 0.1 rnA, VeE = 1.0 V.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.1-7

Small Signal Transistors, FETs and Diodes

Plastic-Encapsulated
Multiple Transistors
The manufacturing trend has been toward printed circuit
board design with requirements for smaller packages with
more functions. In the case of discrete components the use of
the multiple device package helps to reduce board space
requirements and assembly costs.
Many of the most popular devices are offered in the
standard plastic DIP and surface mount Ie packages. This
includes small-signal NPN and PNP bipolar transistors,
N-channel and P-channel FETs, as well as diode arrays.

1

CA5E646-06
(T0-116)
STYLE 1

CA5E751B~5

50-16
STYLE 4

Specification Tables
The following short form specifications include Quad and Dual transistors listed in alphanumeric order. Some columns
denote two different types of data indicated by either bold or italic typeface. See key and headings for proper identification.
This applies to Table 10 and 11 of this section only.

KEY
L\VBE

Ref. Point

Po
TYPE NO.

10

Watts
One
Die
Only

mV
Max

Unit

Subscript

IT

IC
VCE
Volts

Alphanumeric listing
type numbers
Identification Code
First Letter: Polarity
C - both types in multiple device
N - NPN
P - PNP
Second Letter: Use
A - General Purpose Amplilier
E - low Noise Audio Ampl.ier
F - low Noise RF Amplifier
G - General Purpose Amplilier
and SWITch
H - Tuned RFIIF Ampl_ier
M - Differential Amplifier
S - High Speed Switch
D - Darlington

Power DiSSipation spec.ied at 25°C. Single
die rating.
Ref. Point: A - AmbientTemperature
C - Case Temperature

Small Signal TranSistors, FETs and Diodes

Amp
Max

hFE @ IC
Min l

MHz
Min

Common-emitter
DC Current Gain.
Units for test Current:
A - ampere
m - mA

u-!1A

Current-Gain-Bandwidth
Product

Cob
pF
Max

bn
ns

Max

bff
ns

Max

Gp

NF

dB
Min

dB
Max

VeE
(sat) @
Volts

Max

I

I I: I
I

f

@

& Ie

Unit

Gp- Power Gain
NF - Noise Figure
I - Test Frequency
AUD -10-15 kHz
Frequency Units:
H-Hertz M-MHz
K-kHz
G-GHz
VCE(sat) - Collector-Emitter
Satura"on Voltage
IC - Test Current
CurrentUnits: u - !1A

m -mA
A -Amp

Continuous (DC) Collector Current

Rated Minimum Collector-Emitter Voltage
Subscript letter identifies base termination
listed beiow in order of preference.
SUBSCRIPT:
o - VCEO, open

5.1-8

hFElIhFE2 - Current Geln Ratio
VBE - Differential Base Vottage IVBEI - VBE21·
Differential Amplifiers
bn - turn-on time
bff - turn-off Ume

Output CapaCitance, common-base. Shown without distinction:
Coo - Collector-Base CapaCitance
Cre - Common-EmiHer Reverse Transfer Capacitance

Motorola Master Selection Guide

Plastic-Encapsulated Multiple Transistors (continued)
Table 10. Plastic-Encapsulated Multiple Transistors - Quad
The following table is a listing of the most popular multiple devices available in the plastic DIP package. These devices are
available in NPN, PNP, and NPN/PNP configurations. (See note.)

Device

10

Po
Watts
One
Die
Only

VCEO
Volts

0.65
0.5
0.625
0.625
0.65
0.75
1.0
0.75
0.625
0.625
0.5
0.5
0.65
0.65
0.5
0.5
0.65
0.65
0.5
0.5
0.75
0.75
0.75
0.75
0.75

40
15
40
40
60
40
40
40
40
60
40
40
30
30
45
30
30
30
45
40
40
250
200
150
250

IC
Amp
Max

IT

hFE @ IC
Min I

MHz
Min

hFE2

Ion
ns
Max

toff
ns
Max

8.0
4.0

35(1)
9.0(1)

285(1)
15(1)

0.3
0.25

8.0
25
10
15
4.0
4.0
4.0
4.5
8.0
8.0
4.0
8.0
8.0
8.0
4.0
4.5
4.5
5.0
5.0
6.0
5.0

45(1)
40
35
50

180(1)
90
60
120

0.4
0.5
0.45
0.55

37(1)
43(1)
30(1)
30(1)

136(1)
155(1)
225(1)
225(1)

0.2
0.25
0.4
0.4

Cob
pF
Max

NF @
dB
Max
Typ(1)

Gp
dB
Min

LlVBE
mV
Max

hFE1

--

VCE
(sat)
Volts
Max

@

f

Ie

I-Is-

le

Case 646-06 - TO-116
MPQ2222A
MPQ2369
MPQ2483
MPQ2484
MPQ2907A
MPQ3467
MPQ3725
MPQ3762
MPQ3798
MPQ3799
MPQ3904
MPQ3906
MPQ6001
MPQ6002
MPQ6100A
MPQ6426
MPQ6501
MPQ6502
MPQ6600A1
MPQ6700
MPQ6842
MPQ7043
MPQ7042
MPQ7051
MPQ7093

NA
NS
NA
NA
PA
PS
NS
PS
PA
PA
NG
PG
CG
CG
CA
NO
CG
CG
CA
CA
CA
NA
NA
CG
PA

0.5
0.5
0.05
0.05
0.6
1.0
1.0
1.5
0.05
0.05
0.2
0.2
0.5
0.5
0.05
0.5
0.5
0.5
0.05
0.2
0.5
0.5
0.5
0.5
0.5

100
40
150
300
100
20
25
35
150
300
75
75
40
100
150
10K
40
100
150
70
70
25
25
25
25

150m
10m
100m
1.0 m
150m
500m
500m
150m
0.1 m
0.1 m
10m
10m
150m
150m
1.0m
100m
150m
150m
1.0m
10m
10m
1.0m
1.0 m
1.0m
1.0 m

200
450
50
50
200
125
250
150
60
60
250
200
200
200
50
125
200
200
50
200
300
50
50
50
50

-

-

30(1)
30(1)
0.8

225(1)
225(1)
20

45

150

1.5
0.4
0.4
0.25
0.25
0.15
0.5
0.5
0.7
0.5

10
10
3.0(1)
2.0(1)
10
10
10
10
3.0(1)
2.0(1)
10
10
10
10
4.0(1)

10
10
10
10

10
10
10
10
10
10

150m
10m
AUD
AUD
150m
SOOm
500m
500m
AUD
AUD
10m
10m
150m
150m
AUD
100m
150m
150m
1.0m
1.0 m
0.5m
20m
20m
20m
20m

Table 11. Plastic-Encapsulated Multiple Transistors - Quad Surface Mount
The following table is a listing of the most popular multiple devices available in the plastic sOle surface mount package.
These devices are available in NPN, PNP, and NPN/PNP configurations.

Device

Case 7518-05 - SO-16
MMPQ2222A
MMPQ2369
MMPQ2907A
MMPQ3467
MMPQ3725
MMPQ3799
MMPQ3904
MMPQ3906
MMPQ6700(12)

40
15
50
40
40
60
40
40
40

75
40
60
40
60
60
60
40
40

40
20
50
20
25
300
75
75
70

500
100
500
500
500
0.5
10
10
10

200
450
200
125
250
60
250
200
200

20
10
50
50
50
1.0
10
10
10

(1) TYPical
(12) NPN/PNP
NOTE: Some columns show 2 different types of data indicated by either bold or italic typefaces. See key and headings.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.1-9

Small Signal Transistors, FETs and Diodes

Plastic-Encapsulated
Surface Mount Transistors
This section of the selector guide lists the small-signal plastic
devices that are available for surface mount applications.
These devices are encapsulated with the latest
state-of-the-art mold compounds that enhance reliability and
exhibit excellent performance in high temperature and high
humidity environments. This package offers higher power
dissipation capability for small-signal applications.

CASE31H8
TO-236AB
SOT-23

*

2
CASE 419-02
SC-701S0T-323

CASE 3180-04
SC-59

CASE 318E-Il4
SOT-223

1tJ4 ~2
2 3

CASE 419B-01
SOT-363

1
CASE 463-01
SOT-4161SC-90

Table 12. Plastic-Encapsulated Surface Mount General-Purpose Transistors
The following tables are a listing of small-signal general-purpose transistors in the SOT-23, SC-59, SOT-223, SC-70,
SC-90, and SOT-363 surface mount packages. These devices are intended for small-signal amplification for DC, audio,
and lower RF frequencies. They also have applications as oscillators and general-purpose, low voltage switches.

Pinout: 1-Base, 2-Emitter, 3-Collector
Devices are listed in order of descending breakdown voltage.

fT
Device

Marking

V(BR)CEO

Min

Max

rnA

MHz Min

110
200
100
160
250
110
200
420
100
100
100
110
200
420

220
450
250
400
600
220
450
800
300
300
220
450
800

2.0
2.0
100
100
100
2.0
2.0
2.0
150
10
150
2.0
2.0
2.0

100
100
200
200
200
100
100
100
200
200
250
100
100
100

100
125
220
100
100
160
250
125
220
100
100
125
220
420

300
250
475
300
250
400
600
250
475
300
300
250
475
800

1.0
2.0
2.0
150
100
100
100
2.0
2.0
10
150
2.0
2.0
2.0

150
100
100
200
200
200
200
100
100
250
200
100
100
100

Case 318-08 - TO-236AB (SOT-23) - NPN
BC846ALT1
BC846BLT1

6C817-16LT1
6C817-25LT1
6C817-40LTl
BC847ALT1
BC847BLT1
BC847CLT1
MMBT2222ALT1
MMBT3904LT1
MMBT4401LT1
BC848ALT1
BC848BLT1
BC848CLT1

lA
16
6A
66
6C
lE
IF
1G
lP
lAM
2X
lJ
lK
lL

65
65
45
45
45
45
45
45
40
40
40

30
30
30

300

Case 318-08 - TQ-236AB (SOT-23) - PNP
MM6T8599LTl
BC856ALT1
BC856BLT1
MMBT2907ALT1

6C807-16LTl
BC807-25LT1
BC807-40LT1
BC857ALT1
BC857BLT1
MMBT3906LT1
MMBT4403LT1
BC858ALT1
BC858BLT1
BC858CLT1

2W
3A
36
2F
5A
56
5C
3E
3F
2A
2T
3J
3K
3L

80
65
65
60
45

45
45
45
45
40
40
30
30
30

Devices listed in bold, italic are Motorola preferred devices.
Small Signal Transistors, FETs and Diodes

5.1-10

Motorola Master Selection Guide

Plastic-Encapsulated Surface Mount Transistors (continued)
Table 12. Plastic-Encapsulated Surface Mount General-Purpose Transistors (continued)
Pinout: 1-Base, 2-Emitter, 3-Collector
Devices are listed in order of descending breakdown voltage.
hFE
Device

Case 3180-04 MSD601-RT1

MSD601-5T1
MSD602-RTf

MSD1328-RT1

Marking

SC-Sg -

MSB709-ST1
MSB71O-QT1
MSB71O-RTf

Case 41 9-{)2 BCB1BWT1
BCB1B-25WT1
BCB18-40WT1
BCB46AWT1
BCB46BWT1
BCB47AWT1
BCB47BWT1
BCB47CWT1
BCB4BAWT1
BCB4BBWT1
BCB4BCWT1
MMBT2222AWT1
MMBT3904WT1
MSC3930-BT1
MSD1B19A-RTf

IC

tr

Min

Max

rnA

MHz Min

25
25
25
20

210
290
120
200

340
460
240
350

2.0
2.0
150
500

150(1)
150(1)
200(1)
200(1)

25
25
25
25

210
290
B5
120

340
460
170
240

2.0
2.0
150
150

100(1)
100(1)
200(1)
200(1)

45
45
45
65
65

600
400
600
220
450
220
450
BOO
220
450
BOO
300
300
140
340

100
100
100
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
150
10
1.0
2.0

-

45
45
30
30
30
40
40
20
50

100
160
250
110
200
110
200
420
110
200
420
100
100
70
210

45
45
65
65
45
45
30
30
30
60
40
45

160
250
125
220
125
220
110
200
420
100
100
210

400
600
250
475
250
475
220
450
BOO
300
300
340

100
100
2.0
2.0
2.0
2.0
2.0
2.0
2.0
150
10
2.0

100
100
100
100
100
100
100
200
250
-

40
40

100
100

300
300

10
10

300
300

-40
-40

100
100

300
300

10
10

250
250

NPN

YR
YS
WR
1DR

Case 3180-04 - SC-Sg MSB709-RTf

@

V(BR)CEO

PNP

AR
AS
CO
CR
SC-70/S0T-323 -NPN
61

6F
6G
1A
1B
1E
1F
1G
1J
1K
1L
1P
AM
VB
ZR

45

100
100
100
100
100
100
100
100
300
300
150

-

Case 419-02 - SC-70/S0T-323 -PNP
BCBOB-25WT1
BCBOB-40WT1
BC856AWT1
BC856BWT1
BC857AWT1
BC857BWT1
BC85BAWTf
BCB5BBWT1
BC85BCWT1
MMBT2907AWT1
MMBT3906WT1
MSB121BA-RT1

5F
6F
3A
3B
3E
3F
3J
3K
3L
20
2A
BR

Case 419B-{)1 - SOT-363 MBT3904DW1Tf
MBT3904DW9T1

MBT3906DW1Tf
MBT3906DW9Tf

Dual NPN

MA
MB

Case 419B-{)1 - SOT-363 -

A2
A3

-

-

Dual PNP

(1) Typical

Devices listed in bold, italic are Motorola preferred devices.
Motorola Master Selection Guide

5.1-11

Small Signal Transistors, FETs and Diodes

Plastic-Encapsulated Surface Mount Transistors (continued)
Table 12. Plastic-Encapsulated Surface Mount General-Purpose Transistors (continued)
Pinout: 1-Base, 2-Emltter, 3-Collector
Devices are listed in order of descending breakdown voltage.
hFE@IC
Device

Marking

Min

tr

Max

mA

MHz Min

Case 419B-01 - SOT-363 - Dual Combination NPN and PNP

IMBT3946DW1T1

46

40

100

300

10

250

50

120

560

1.0

lBO

50

120

560

1.0

140

Case 463-01 - SOT-4161Se-90 - NPN
89

12SC4617

Case 463-01 - SOT-4161Se-90 - PNP
F9

12SA1774

C
B
(IN)

Table 13. Plastic-Encapsulated Surface Mount Bias Resistor Transistors
for General Purpose Applications

~

(OUT)

Rl

R2

E

(GNO)

Pinout: 1-Base, 2-Emitter, 3-Collector
These devices include bias resistors on the semiconductor chip with the transistor. See the BRT diagram for orientation
of resistors.
Device

NPN

I

Marking

PNP

NPN

I

hFE@IC
mA

IC
mA
Max

R1
Ohm

R2
Ohm

35
60
BO
BO
160
160
3.0
B.O
15
BO
BO

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

100
100
100
100
100
100
100
100
100
100
100

10K
22K
47K
10K
10K
4.7K
1.0K
2.2K
4.7K
4.7K
22K

10K
22K
47K
47K

35
60
BO
BO
160
160
3.0
B.O
15
BO
80

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

100
100
100
100
100
100
100
100
100
100
100

10K
22K
47K
10K
10K
4.7K
1.0K
2.2K
4.7K
4.7K
22K

PNP

V(BR)CEO
Volts
(Min)

Min

6A
68
6C
60
6E
6F
6G
6H
6J
6K
6L

50
50
50
50
50
50
50
50
50
50
50

A6A
A68
A6C
A6D
A6E
A6F
A6G
A6H
A6J
A6K
A6L

50
50
50
50
50
50
50
50
50
50
50

I

Case 3180-04 - Se-59
MUN2211T1
MUN2212T1
MUN2213T1
MUN2214T1
MUN2215T1
MUN2216T1
MUN2230T1
MUN2231T1
MUN2232T1
MUN2233T1
MUN2234T1

BA
BB
BC
BO
8E
BF
BG
BH
8J
BK
8L

MUN2111T1
MUN2112T1
MUN2113T1
MUN2114T1
MUN2115T1
MUN2116T1
MUN2130T1
MUN2131T1
MUN2132T1
MUN2133T1
MUN2134T1

~

~

1.0K
2.2K
4.7K
47K
47K

Case 318-08 - T0-236AB (SOT-23)
MMUN2211LT1
MMUN2212LT1
MMUN2213LT1
MMUN2214LT1
MMUN2215LT1
MMUN2216LT1
MMUN2230LT1
MMUN2231LT1
MMUN2232LT1
MMUN2233LT1
MMUN2234LT1

MMUN2111LT1
MMUN2112LT1
MMUN2113LT1
MMUN2114LT1
MMUN2115LT1
MMUN2116LT1
MMUN2130LT1
MMUN2131LT1
MMUN2132LT1
MMUN2133LT1
MMUN2134LT1

A8A
AB8
ABC
ABO
ABE
ABF
A8G
ABH
ABJ
ABK
ABL

10K
22K
47K
47K
~

~

1.0K
2.2K
4.7K
47K
47K

Devices listed in bold, italic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes

5.1-12

Motorola Master Selection Guide

Plastic-Encapsulated Surface Mount Transistors (continued)
Table 13. Plastic-Encapsulated Surface Mount Bias Resistor Transistors
for General Purpose Applications (continued)
Pinout: 1-Base, 2-Emitter, 3-Collector
Device

I

NPN

Marking

PNP

hFE@IC

PNP

V(BR)CEO
Volts
(Min)

Min

SA
SB
SC
SO
SE
SF
SG
SH
SJ
SK
SL

6A
6B
6C
60
6E
6F
6G
6H
6J
6K
6L

50
50
50
50
50
50
50
50
50
50
50

7A
7B
7C
70
7E
7F
7G
7H
7J
7K
7L
7M

SA
SB
SC
SO
SE
SF
SG
SH
SJ
SK
SL
SM

50
50
50
50
50
50
50
50
50
50
50
50

NPN

I

IC

rnA
rnA

Max

Rl
Ohm

R2
Ohm

35
60
SO
SO
160
160
3.0
S.O
15
SO
SO

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

50
50
50
50
50
50
50
50
50
50
50

10K
22K
47K
10K
10K
4.7K
1.0K
2.2K
4.7K
4.7K
22K

10K
22K
47K
47K

35
60
SO
SO
160
160
3.0
S.O
15
SO
SO
SO

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

100
100
100
100
100
100
100
100
100
100
100
100

10K
22K
47K
10K
10K
4.7K
1.0K
2.2K
4.7K
4.7K
22K
2.2K

I

Case 419-02 - SC-701S0T-323
MUN5211Tf
MUN5212Tf
MUN5213T1
MUN5214T1
MUN5215T1
MUN5216T1
MUN5230T1
MUN5231T1
MUN5232Tf
MUN5233T1
MUN5234T1

MUN5111Tf
MUN5112Tf
MUN5113Tf
MUN5114Tf
MUN5115T1
MUN5116T1
MUN5130Tf
MUN5131Tf
MUN5132T1
MUN5133T1
MUN5134Tf

=
=

1.0K
2.2K
4.7K
47K
47K

Case 419B-01 - SOT-363 Duals
MUN5211DWIT1
MUN5212DWIT1
MUN5213DW1T1
MUN5214DWm
MUN5215DWm
MUN5216DWIT1
MUN5230DWIT1
MUN5231DW1T1
MUN5232DWIT1
MUN5233DWIT1
MUN5234DWIT1
MUN5235DW1T1

MUN5111DWIT1
MUN5112DW1T1
MUN5113DWIT1
MUN5114DWIT1
MUN5115DWIT1
MUN5116DWIT1
MUN5130DWIT1
MUN5131DW1T1
MUN5132DWIT1
MUN5133DWm
MUN5134DW1 T1
MUN5135DWIT1

hFE@IC
Device

Marking

V(BR)CEO

Min

I

10K
22K
47K
47K
=
=

1.0K
2.2K
4.7K
47K
47K
47K

IC

rnA
rnA

Max

Rl
Ohm

R2
Ohm

5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0
5.0

100
100
100
100
100
100
100
100
100
100
100
100

10K
22K
47K
10K
10K
4.7K
1.0K
2.2K
4.7K
4.7K
22K
2.2K

10K
22K
47K
47K

Case 419B-01 - SOT-363 - Dual Combination NPN and PNP
11
12
13
14
15
16
3X
31
32
33
34
35

MUN5311DW1Tf
MUN5312DW1T1
MUN5313DW1T1
MUN5314DWIT1
MUN5315DW1T1
MUN5316DW1T1
MUN5330DW1Tf
MUN5331DWITI
MUN5332DW1Tf
MUN5333DW1T1
MUN5334DW1T1
MUN5335DWITf

50
50
50
50
50
50
50
50
50
50
50
50

Device

NPN

I

Marking

PNP

NPN

I

PNP

35
60
SO
SO
160
160
3.0
S.O
15
SO
SO
SO
V(BR)CEO
Volts
(Min)

hFE@IC
Min

I

=
=

1.0K
2.2K
4.7K
47K
47K
47K

IC

rnA
rnA

Max

Rl
Ohm

R2
Ohm

Case 463-01 - SOT-416/SC-SO

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.1-13

Small Signal Transistors, FETs and Diodes

Plastic-Encapsulated Surface Mount Transistors (continued)

Table 14. Plastic-Encapsulated Surface Mount Switching Transistors
The following tables are a listing of devices intended for high-speed, low saturation voltage, switching applications. These
devices have very fast switching times and low output capacitance for optimized switching performance.

Pinout: 1-Base, 2-Emitter, 3-Collector
Device

Ion

Case 318-08 - TQ-236AB (SOT-23) - NPN
MMBT2369LT1
MMBT2369ALT1
BSV52LT1

M1J
1JA
B2

12
12
12

18
18
18

15
15
12

20
20
40

25

35

12

20

20

40

20

40

-

120

-

100
100
10

400

50

500

1.0

200

Case 318-08 - TQ-236AB (SOT-23) - PNP

I

I

MMBT3640LT1

2J

I

Pinout: 1-Emitter, 2-Base, 3-Collector
Case 3180-04 - SC-59 - NPN

I

RB

MSC1621T1

180

Table 15. Plastic-Encapsulated Surface Mount VHFIUHF Amplifiers, Mixers, Oscillators
The following table is a listing of devices intended for small-signal RF amplifier applications to VHF/UHF frequencies. These
devices may also be used as VHF/UHF oscillators and mixers.

Pinout: 1-Base, 2-Emitter, 3-Collector
C c b(13)

Device

Marking

V(BR)CEO

pFMax

GHzMin

rnA

25
15
30

0.7
1.7(14)
0.45

0.65
0.6
0.4

4.0
4.0
8.0

20
15

0.85
0.35(13)

0.6
2.0

5.0
10

20
20
20
10

1.5(13)
1.5(13)
1.0(13)

-

0.15
0.15
0.45
1.4

1.0
1.0
1.0
5.0

20
20

2.0(13)
2.0(13)

0.15
0.15

1.0
1.0

20

0.85(13)

0.6

5.0

Case 318-08 - TO-236AB (SOT-23) - NPN
MMBTH10LT1
MMBT918LT1
MMBTH24LT1

3EM
M3B
M3A

Case 318-08 - TQ-236AB (SOT-23) - PNP
MMBTH81LT1
MMBTH69LT1

3D
M3J

Pinout: 1-Emitter, 2-Base, 3-Collector
Case 3180-04 - SC-59 - NPN
MSC2295-BT1
MSC2295-CT1
MSC2404-cT1
MSC3130T1

VB
VC
UC
1S

Case 3180-04 - SC-59 - PNP
MSA1022-BT1
MSA1022-CT1

EB
EC

Case 419-02 - SC-70/s0T-323 - PNP

I

MSB81T1

(13) C
(14) c~~

I

J3D

I

Devices listed in bold. italic are Motorola preferred devices.

Small Signal Transistors. FETs and Diodes

5.1-14

Motorola Master Selection Guide

Plastic-Encapsulated Surface Mount Transistors (continued)
Table 16. Plastic-Encapsulated Surface Mount Choppers
The following table is a listing of small-signal devices intended for chopper applications where a higher than normal
V(BR)CEO is required in the circuit application.
Pinout: l-Base, 2-Emitter, 3-Collector
hFE@le

Device

Marking

V(BR)CEO

V(BR)EBO

Min

Max

rnA

25

30

400

12

Case 318-08 - T0-236AB (SOT-23) - PNP

IMMBT404ALTf

I

I

2N

35

Table 17. Plastic-Encapsulated Surface Mount Darlingtons
The following table is a listing of small-signal devices that have very high hFE and input impedance characteristics. These
devices utilize monolithic, cascade transistor construction.
Pinout: 1-Base, 2-Emitter, 3-Collector
Devices are listed in order of descending hFE.

Device

Marking

MMBTA14LT1
MMBTAI3LT1

IN
1M

30
30

hFE@IC

VCE(sat)
Volts Max

Min

1.5
1.5

20K
10K

100
100

1.5

20K

100

Max

rnA

Case 318-08 - TO-236AB (SOT-23) - PNP

IMMBTA64LTf

I

I

2V

30

Table 18. Plastic-Encapsulated Surface Mount Low-Noise Transistors
The following table is a listing of small-signal devices intended for low noise applications in the audio range. These devices
exhibit good linearity and are candidates for hi-fi and instrumentation equipment.
Pinout: l-Base, 2-Emltter, 3-Collector
Devices are listed in order of ascending NF.

MMBT5089LTf
MMBT2484LT1
MMBT6428LT1
MMBT6429LT1

2.0(15)
3.0(15)

lR
lU
lKM
lL

3.0
3.0

Case 318-08 - T0-236AB (SOT-23) - PNP

I

MMBT5087LT1

I

2Q

I

2.0(15)

I

25
60
50
45

250
500

50

250

400

-

800

-

10
10
10
10

50
100
100

10

40

-

(15) Max

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.1-15

Small Signal Transistors, FETs and Diodes

Plastic-Encapsulated Surface Mount Transistors (continued)
Table 19. Plastic-Encapsulated Surface Mount High-Voltage Transistors

The following table is a listing of small-signal high-voltage devices designed for direct line operation requiring high voltage
breakdown and relatively low current capability.
Pinout: 1-Base, 2-Emitter, 3-Collector

Devices are listed in order of descending breakdown voltage.
Marking

Device

Case 318-08 -

TQ-236AB (SOT-23) -

350
300
160

Case 318-08 - TQ-236AB (SOT-23) -

IT

mA

MHz Min

40

15
40
30

-

100
30
50

100

15
25
50

-

100
30
50

40
50
100

50

PNP

2Z
2D
2L

MMBT6520LTt
MMBTA92LT1
MMBT5401LTt

Max

NPN

lZ
10
Gl

MMBT6517LT1
MMBTA42LT1
MMBT5551LTt

Min

V(BR)CEO

350
300
150

Table 20. Plastic-Encapsulated Surface Mount Drivers

The following is a listing of small-signal devices intended for medium voltage driver applications at fairly high current levels.
Pinout: 1-Base, 2-Emitter, 3-Collector

Device

Marking

V(BR)CEO

Case 318-08 - TQ-236AB (SOT-23) -

lGM
AM

MMBTA06LT1

BSS64LTl

NPN

80
80

Case 318-08 - TO-236AB (SOT-23) -

PNP

BSS63LTl

100
80

T1
2GM

MMBTA56LTt

The following devices are designed to conserve energy. They offer ultra-low collector saturation voltage.
Case 318-08 - TO-236AB (SOT-23) -

IMMBT1010LTt I
Case 318-03 -

IMSD1010Tt

I

GLP

SC-59 -

I

PNP

15

0.1

1.1

300

600

100

15

0.1

1.1

300

600

100

PNP

GLP

Table 21. Plastic-Encapsulated Surface Mount General Purpose Amplifiers
Pinout: 1-Base, 2-Collector, 3-Emltter, 4-Collector
hFE@IC
Device

Case 318E-Q4 -

SOT-223 -

Marking

BH

V(BR)CEO

Min

Max

mA

80

40

250

150

80

40

25

150

NPN

I

BCP56Tt

Case 318E-Q4 - SOT-223 - PNP
Pinout: 1-Gate, 2-Drain, 3-Source, 4-Drain

I

BCP53Tt

AH

Devices listed in bold, italic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes

5.1-16

Motorola Master Selection Guide

Plastic-Encapsulated Surface Mount Transistors (continued)
Table 22. Plastic-Encapsulated Surface Mount SWitching Transistors
Pinout: 1-Base, 2-Collector, 3-Emitter, 4-Collector

Device

Case 318E-Q4 -

SOT-223 -

NPN

SOT-223 -

PNP

IPZT2222AT1

Pl F

Case 318E-Q4 -

IPZT2907AT1

P2F

35

285

40

100

300

20

300

45

100

60

100

300

50

200

Table 23. Plastic-Encapsulated Surface Mount Darllngtons
Pinout: 1-Base, 2-Collector, 3-Emitter, 4-Collector

Device

Case 318E-04 -

SOT-223 - NPN

BSP52T1
PZTA14T1

Case 318E-Q4 -

SOT-223 -

PNP

BSP62T1
PZTA64T1

Table 24. Plastic-Encapsulated Surface Mount High-Voltage Transistors
Pinout: 1-Base, 2-Collector, 3-Emitter, 4-Collector

i

Device

1'/

Case 318E-Q4 -

SOT-223 -

NPN

BSP19AT1
PZTA42T1
BF720T1
BSP20AT1

Case 318E-04 -

SOT-223 -

Ii

II

SP19A
P1D
BF720
SP20A

350
300
250
250

40
40
50
40

-

20
10
10
20

70
50
60
70

ZTA96
P2D
BSP16
BF721

450
300
300
250

50
40
30
50

150

10
10
10
10

50
50
15

-

PNP

PZTA96T1
PZTA92T1
BSP16T1
BF721T1

-

150
-

60

Table 25. Plastic-Encapsulated Surface Mount High Current Transistors
Pinout: 1-Base, 2-Collector, 3-Emitter, 4-Collector

Device

Case 318E-Q4 -

SOT-223 -

NPN

SOT-223 -

PNP

PZT651T1
BCP68T1

Case 318E-Q4 PZT751T1
BCP69T1

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.1-17

Small Signal TranSistors, FETs and Diodes

!

Metal-Can
Transistors

,I I

Metal-can packages are intended for use in industrial
applications where harsh environmental conditions are
encountered. These packages enhance reliability of the end
products due to their resistance to varying humidity and
extreme temperature ranges.

1
CASE 22-03
TO-20SAA
(TO-18)
STYLE 1

3 2
1

CASE 79-04
T0-205AD
(T0-39)
STYLE 1

Table 26. Metal-Can General-Purpose Transistors
These transistors are designed for DC to VHF amplifier applications, general--purpose switching applications, and
complementary circuitry. Devices are listed in decreasing order of V(BR)CEO within each package group.

Device
Type

V(BR)CEO
Volts
Min

fT@lc
rnA

Min

50
10
10
20
10

1000
200
200
800
200

50
110
200
100
420

50
50
10
10

600
600
200
200

50
50
50
20

-

-

60
200
200

50
50
50

MHz
Min

Case 22--03 - TO-206AA (TO-18) - NPN
2N3700

BC10l
BC10lB
2N2222A

BC109C

80
45
45
40
25

hFE@ IC

IC
rnA
Max

I

80
150
150
300
150

I

Max

-

I

rnA

450
450
300
800

500
2.0
2.0
150
2.0

100
100
180

120
300
300
460

150
150
10
2.0

1000
1000
500
800

100
40
40
100

300
120
120
300

150
150
150
150

1000
1000
600
600
1000

25
40
40
100
40

140
120
300

1000
150
150
150
1000

Case 22--03 - TQ-206AA (TQ-18) - PNP

2N2906A
2N2907A
2N3251A

BCI77B

60
60
60
45

200
200
300
200

40

Case 79-04 - TQ-205AD (T0-39) - NPN
2N3019

2N3020
2N1893
2N2219A

80
80
80
40

100
80
50
300

Case 79-04 - TO-205AD (T0-39) - PNP

2N4033
2N4036
2N2904A
2N2905A

2N4032

80
65
60
60
60

-

-

-

Devices listed in bold, italic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes

5.1-18

Motorola Master Selection Guide

Metal-Can Transistors (continued)
Table 27. Metal-Can High-GainlLow-Noise Transistors
These transistors are characterized for high-gain and low-noise applications. Devices are listed in decreasing order of NF.

Device
Type

NF
Wideband
dB
TypMax

Case 22-03 - TO-20SAA (10-18) 2N2484

2N930A
2N930

8.0(1)
3.0
3.0

Case 22-03 - TO-20SAA (10-18) -

I

Min

NPN

60
45
45

fT@IC

hFE@IC

IC
rnA
Max

V(BR)CEO
Volts
Min

50
30
30

I

Max

100

500
600
600

-

IlA
rnA

MHz
Min

10
10
10

15
45
30

I

rnA

0.05
0.5
0.5

PNP

Table 28. Metal-Can High-VoltagelHigh-Current Transistors
The following table lists Motorola standard devices that have high collector-emitter breakdown voltage. Devices are listed in
decreasing order of V(BR)CEO within each package type.

Device
Type

hFE @IC

IC
rnA
Max

V(BR)CEO
Volts
Min

Case 22-03 - T0-206AA (10-18) -

NPN

Case 22-03 - T0-20SAA (10-18) -

PNP

! BSS76

300

500

35

Case 79-04 - T0-205AD (10-39) !2N3637

175

I

Min

1000

fT@lc

VCE(sat) @ IC & IB

rnA

VoHs
Max

30

0.5

50

0.5

I

I

I

rnA

MHz
Min

50

5.0

50

20

50

5.0

200

30

rnA

rnA

PNP
100

Table 29. Metal-Can Switching Transistors
The following devices are intended for use in general-purpose switching and amplifier applications. Within each package
group shown, the devices are listed in order of decreasing turn-on time (ton).

ton & toff @IC
Device
Type

ns
Max

I I
ns
Max

rnA

V(BR)CEO
Volts
Min

Case 22-03 - TO-20SAA (10-18) -

NPN

Case 79-04 - T0-205AD (T0-39) -

PNP

!2N3467

40

90

500

40

hFE @IC

IC
rnA
Max

Min

1000

40

I

VCE(sat) @IC @ IB

rnA

Volts
Max

500

0.5

I I
rnA

rnA

fT
MHz
Min

IC
rnA

500

50

175

50

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.1-19

Small Signal Transistors, FETs and Diodes

:!

Field-Effect Transistors
JFETs
JFETs operate in the depletion mode. They are available in
both P- and N--channel and are offered in both Through-hole
and Surface Mount packages. Applications include generalpurpose amplifiers, switches and choppers, and RF amplifiers
and mixers. These devices are economical and very
rugged. The drain and source are interchangeable on many
typical FETs.

CASE 29-04
TQ-226AA
(TQ-92)

Table 30. JFET Low-Frequency/Low-Noise
The following table is a listing of small-signal JFETs intended for low-noise applications in the audio range. These devices
exhibit good linearity and are candidates for hi-fi and instrumentation equipment.
RelYfsl
Oevice

rnrnho
Min

I

@f

kHz

RelYosl@f
I1rnho
Max

I

kHz

Ciss
pF
Max

Crss
pF
Max

V(BR)GSS
V(BR)GOO
Volts
Min

lOSS
rnA

VGS(off)
Volts
Min

I

I

Max

Style

0.9
2.0
0.5
1.0
4.0

4.5
9.0
2.5
5.0
16

5
5
5
5
5

1.0
2.0
4.0

5.0
9.0
16

7
7
7

Max

Min

0.5
2.0

4.0
7.0
4.0
6.0
8.0

0.75
1.0
1.8

6.0
7.5
9.0

Case 29-{)4 - T0-226AA (T0-92) - N-Channel
J202

-

-

-

-

-

-

40

2N5458

1.5
1.5
1.0
2.0

1.0
1.0
1.0
1.0

50
10
50
50

1.0
1.0
1.0
1.0

7.0
6.0
7.0
7.0

3.0
3.0
3.0
3.0

25
50
25
25

7.0
7.0
7.0

2.0
2.0
2.0

40
40
40

MPF3821
2N5457
2N5459

0.8
1.0

-

Case 29-{)4 - T0-226AA (T0-92) - P-Channel
1.0
1.5
2.0

2N5460
2N5461
2N5462

1.0
1.0
1.0

75
75
75

1.0
1.0
1.0

Table 31. JFET High-Frequency Amplifiers
The following is a listing of small-signal JFETs that are intended for hi-frequency applications. These are candidates for
VHF/UHF oscillators, mixers and front-end amplifiers.
RelYfsl@f
Device

rnrnho
Min

I

MHz

RejYosl @f
rnho
I1Max

I

MHz

Ciss
pF
Max

Crss
pF
Max

NF@ RG= 1K
dB
Max

I

f
MHz

VGS(off)
Volts

V(BR)GSS
V(BR)GOO
Volts
Min

Min

25
25
25
25
25
25
25
25

0.2
0.3
0.5
2.0
1.0
1.0
2.0

I

lOSS
rnA

Max

Min

8.0
4.0
3.0
4.0
6.0
6.5
4.0
6.5

2.0
1.0
1.0
4.0
8.0
12
12
24

I

Max

Style

20
5.0
5.0
10
20
60
30
60

5
5
5
5
5
5
5
5

Case 29-{)4 - TO-226AA (T0-92) - N-Channel
MPF102
2N5668
2N5484
2N5485
2N5486
J308
J309
J310

1.6
1.0
2.5
3.0
3.5
12(1)
12(1)
12(1)

100
100
100
400
400
100
100
100

200
50
75
100
100
250(1)
250(1)
250(1)

100
100
100
400
400
100
100
100

7.0
7.0
5.0
5.0
5.0
7.5
7.5
7.5

3.0
3.0
1.0
1.0
1.0
2.5
2.5
2.5

-

-

2.5
3.0
4.0
4.0
1.5(1)
1.5(1)
1.5(1)

100
100
400
400
100
100
100

-

(1) TYPical

Devices listed in bold, italic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes

5.1-20

Motorola Master Selection Guide

JFETs (continued)

Table 32. JFET Switches and Choppers
The following is a listing of JFETs intended for switching and chopper applications.
VGS(off)
Volts

ROS(on)@IO
Oevice

M~X

I

mA

Min

J

Max

lOSS
mA

Min -'

Max

V(BR)GSS
V(BR)GOO
Volts
Min

Clss

Crss

pF

pF

Max

Max

18
18
28
18
18
28
10
10
18
10
10
28
5.0

8.0
8.0
5.0
8.0
8.0
5.0
3.5
4.0
8.0
3.5
4.0
5.0
1.2

toff

ton
ns
Max

ns
Max

9.0
9.0

25
25

10
10

50
50

Style

Case 29-04 - TO-226AA (T0-92) - N-Channel
MPF4856
MPF4859

JIll
MPF4857
MPF4860

J112
MPF4392

2N5639
MPF4861
MPF4393

2N5640
J113
2N5555
BF246A
BF246B
Jll0

25
25
30
40
40
50
60
60
60
100
100
100
150
35(1)
50(1)
18

-

-

1.0
1.0
1.0
1.0

-

4.0
4.0
3.0
2.0
2.0
1.0

10
10
10
6.0
6.0
5.0

-

-

O.S

-

0.5

0.6
0.6
0.5

(S.O)(I)
4.0
(12)(1)
(6.0)(1)
3.0
1.0(16)
14
14
4.0

50
50
20
20
20
5.0
25
25
8.0
5.0
5.0
2.0
15
30
60
10

-

100
100

75
-

80
30

-

80
140

-

40
30
35
40
30
35
30
30
30
30
30
35
25
25
25
25

-

-

-

-

-

-

15

35

-

-

20
15
18

100
55
45

10
-

-

25

5
5
5
5
5
5
5
5
5
5
5
5
5
22
22
5

Case 29-04 - T0-226AA (T0-92) - P-Channel

(1) Typical
(16) VGS(f)

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.1-21

Small Signal Transistors, FETs and Diodes

TMOS FETs

CASE2~5

TQ-226AE
1-WATT (T0-92)

/
1
23

D

CASE 29-04
TQ-226AA
(TQ-92)

"2 3

G

s

Table 33. TMOS Switches and Choppers
The following is a listing of small-signal TMOS devices that are intended for switching and chopper applications. These
devices offer low ROS(on) characteristics.
VGS(th)
Volts

ROS(on)@ 10
Q

Device

Max

I

A

Min

I

Max

V(BRI!!SS
Vo
Min

Ciss
pF
Max

Crss
pF
Max

ton
ns
Max

toft
ns
Max

20(1)
20(1)
4(1)
20(1)
4(1)
4(1)

15
15
5.0
15
5.0
5.0

15
15
5.0
15
5.0
5.0

Style

Case 29-05 - TQ-226AE (1-WATT TQ-92) - N-Channel
MPF930
MPF960

MPF6659
MPF990
MPF6660
MPF6661

MPF910
VN10LM

1.4
1.7
1.8
2.0
3.0
4.0
5.0
5.0

1.0
1.0
1.0
1.0
1.0
1.0
0.5
0.5

1.0
1.0
0.8
1.0
0.8
0.8
0.3
0.8

3.5
3.5
2.0
3.5
2.0
2.0
2.5
2.5

35
60
35
90
60
90
60
60

70(1)
70(1)
30(1)
70(1)
30(1)
30(1)

-

-

60

5.0

10

10

22
22
22
22
22
22
22
22

2.5
3.0
3.0
2.5
2.0
2.0
2.7
3.0
2.5
2.5
2.0
3.0

60
60
60
60
170
240
200
200
60
60
240
200

100
60
25(1)
60
125
125
72(1)
60(1)
50
60
125
60(1)

25
5.0
3.0(1)
5.0
20
20
3.0(1)
6.0(1)
5.0
5.0
20
6.0(1)

30
10
10
10
8.0
8.0
6.0(1)
15
20
10
8.0
15

30
10
10
10
18
23
12(1)
15
20
10
23
15

22
22
30
22
22
22
7
30
22
22
22
30

-

-

Case 29-04 - TQ-226AA (TQ-92) - N-Channel
VN0300L
2N7000
B5170
VN0610LL
VN1706L
VN2406L

6SS89
B5107A
2N700B
VN2222LL
VN2410L

6S107

1.2
5.0
5.0
5.0
6.0
6.0
6.0
6.4
7.5
7.5
10
14

1.0
0.5
0.2
0.5
0.5
0.5
0.30
0.25
0.5
0.5
0.5
0.2

0.8
0.8
0.8
0.8
0.8
0.8
1.0
1.0
1.0
0.6
0.8
1.0

(1) Typical

Devices listed in bold, italic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes

5.1-22

Motorola Master Selection Guide

Surface Mount FETs

~

This section contains the FET plastic packages available for
surface mount applications. Most of these devices are the
most popular metal-can and insertion type parts carried over
to the new surface mount packages.

1

CASE 318-08
TO-236AB
SOT-23

1.4 CASE31~~
2

CASE 4 1 9 - 0 .
SC-701S0T-323

2 3

CASE 419B-01
SOT-363

SOT-223

Table 34. Surface Mount RF JFETs
The following is a list of surface mount FETs which are intended for VHF/UHF RF amplifier applications.

Pinout: 1-Drain, 2-Source, 3-Gate

NF
dB
Device

Typ

Marking

I

Yfs@VDS
f
MHz

mmhos
Min

Case 318-08 - T0-236AB (SOT-23) - N-Channel
MMBFJ309LT1
MMBFJ310LT1
MMBFU310LT1
MMBF4416LT1
MMBF5484LT1
MMBF5486LT1

1.5
1.5
1.5
2(3)
2.0
2.0

6U
6T
M6C
M6A
M6B
6H

I

mmhos
Max

I

Volts

V(BR)GSS

Style

450
450
450
100
100
100

10
8.0
10
4.5
3.0
4.0

20
18
18
7.5
6.0
8.0

10
10
10
15
15
15

25
25
25
30
25
25

10
10
10
10
10
10

100

4.5

7.5

15

30

7

Case 419B-01 - SOT-363- Dual N-Channel

IMBF4416DW1T1

M6

2.0

(3) Max

Table 35. Surface Mount General-Purpose JFETs
The following table is a listing of surface mount small-signal general purpose FETs. These devices are intended for
small-signal amplification for DC, audio, and lower RF frequencies. They also have applications as oscillators and
general-purpose, low-voltage switches.

Pinout: 1-Drain, 2-5ource, 3-Gate
Yfs@VDS
Device

Marking

V(BR)GSS

mmhos
Min

l

mmhos
Max

IDSS

l

Volts

mA
Min

Case 318-08 - T0-236AB (SOT-23) - N-Channel

1

mA
Max

Style

MMBF5457LT1
MMBF5459LT1

Case 318-08 - TO-236AB (SOT-23) - P-Channel

I
I M6E I 40 I
Case 4198-01 - SOT-363 - Dual N-Channel
60
25
I
MMBF5460LT1

1.0

4.0

15

1.0

5.0

10

MBF5457DW1T1

1.0

5.0

15

1.0

5.0

7

(3) Max

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.1-23

Small Signal Transistors, FETs and Diodes

Surface Mount FETs (continued)
Table 36. Surface Mount ChopperslSwltches JFETs
The following is a listing of small-signal surface mount JFET devices intended for switching and chopper applications.

Pinout: 1-Drain, 2-5ource, 3-Gate

Device

Marking

toff
ns
Max

ROS(on)
Ohms
Max

V(BR)GSS

40
30
30
30
30

-4.0
-4.0
-2.0
-2.0
-{l.5

Case 318-08 - TO-236AB (SOT-23) - N-Channel
AM
6J
6F
6K
6G

MMBF4856LTf
MMBF4391LTf
MMBF4860LTf
MMBF4392LTf
MMBF4393LT1

25
30
40
60
100

25
20
50
35
50

lOSS

VGS(off)
Volts
Min

I

Volts
Max

mA
Min

-10
-10
-6.0
-5.0
-3.0

50
50
20
25
5.0

I

mA
Max

150
100
75
30

Style

10
10
10
10
10

Case 318-08 - T0-236AB (SOT-23) - P-Channel
MMBFJ175LT1
MMBFJ177LT1

Table 37. TMOS FETs
The following is a listing of small-signal surface mount TMOS FETs which exhibit low ROS(on) characteristics.

Pinout: 1-Gate, 2-Source, 3-Drain
ROS(on)@IO
Device

Marking

Ohm

J

6Z
SA
Jl
702
Nl
N2
N3

5.0
6.0
3.5
7.5
1.0
0.085
0.09

I

VOSS

60
100
50
60
20
20
30

0.8
0.8
0.5
1.0
1.0
1.0
1.0

3.0
2.8

50
20
20
20

1.0
1.0
1.0
0.7

mA

VOSS

Volts
Min

1000
1000
200
200

60
90
240
200

1.0
0.8
0.8
1.0

3.5
2.0
2.0
3.0

20

1.0

20

1.0

mA

Case 318-08 - T0-236AB (SOT-23) - N-Channel
MMBF170LTl
BSS123LT1
BSS138LTf
2N7002LTf
MMBF0201NLTf
MGSF1N02LT1
MGSF1N03LT1

Switching Time

VGS(th)
Volts
Min

200
100
200
500
300
1200
1200

Volts
Max

I

toff ns

Style

2.5
2.4
2.4
2.4

10
20
20
20
2.5
2.5
2.5

10
40
20
20
15
16
16

21
21
21
21
21
21
21

2.4
2.0
2.4
1.0

2.5
2.5
2.5
2.5

16
16
16
16

21
21
21
21

1.5

ton ns

Case 318-08 - TO-236 (SOT-23) - P-Channel
BSS84LTl
MMBF0202PLT1
MGSF1P02LT1
MGSF1 P02ELT1

PO
P3
PC
PE

6.0
1.4
0.35
0.16

100
200
1500
1500

Pmout: 1-Gate, 2-Dram, 3-5ource, 4-Draln
ROS(on)
Device

Marking

Ohm

Case 318E-04- SOT-223 - N-Channel
FT960
T6661
T2406
FTl07

MMFT960T1
MMFT6661Tf
MMFT2406Tf
MMFT10m

I

1.7
4.0
10
14

Switching Time

VGS(th)

I

Volts
Max

I

toff ns

Style

15
5.0

15
5.0

15

15

3
3
3
3

2.4

2.5

15

8

2.4

2.5

16

8

ton ns

-

-

Case 419-02 - SC-701S0T-323 - N-Channel

IMMBF2201NT1

I

Nl

I

1.0

I

300

Case 419-02 - SC-70/S0T-323 - P-Channel

IMMBF2202PT1

I

P3

I

2.2

I

200

Devices listed in bold, italic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes

5.1-24

Motorola Master Selection Guide

,I,
,I

Tuning and Switching Diad es
Tuning Diodes Abrupt Junction
Motorola supplies voltage-variable capacitance diodes se rving
the entire range of frequencies from HF through UHF. Used inRF
receivers and transmitters, they have a variety of applications,
including:
• Phase-locked loop tuning systems
• Local oscillator tuning
• Tuned RF preselectors
• RF filters
• RF phase shifters
• RF amplifiers
• Automatic frequency control
• Video filters and delay lines
• Harmonic generators
• FM modulators
Two families of devices are available: Abrupt Junction and Hyper
Abrupt Junction. The Abrupt Junction family includes devices
suitable for virtually all tuned-circuit and narrow-range t uning
applications throughout the spectrum.

1~!1+-o3

CASE2!H14
TQ-226AA
(TO-92)

2
STYLE 15

23

1

CASE 51-Q2
DO-204AA
(00-7)

1---11+-2
STYLE 1

2 o---ll+--o 1
Cathode
Anode
STYLE 1

CASE 182-Q2
To-226AC
(TQ-92)

3 o---ll+--o 1
Cathode
Anode
STYLE 8

2

,~
~2

CASE 318-08
TO-236AB
SOT-23

1~~1+-o2
3

STYLE 9

2

1

Typical Characteristics

CASE 463-Q1
SOT-4161SC-90

Diode CapaCitance versus Reverse Voltage
100

1000

70

u:.s sa
UJ

0

z 30
~
<3 20

........

10

CI

7

0

5

Q

,..:.

u:.s

;- ...

<3

N514

i"E
«
0

UJ
CI

lNSI48,A

~

N5450A
MV1638

lN5452A
MV1642

lN5456A
MV1650

UJ

~

~ 100

i"E

(See Tables 38 Thru 40)

<3

...........

LU

8c;

N5140,A S

10

,..:.

TA ~ 25°C
f~1 MHz

o

lN5441
MV1620

3

1

2
0.6 1
2
4 6 10
20 40 60
VR, REVERSE VOLTAGE (VOLTS)

0.1

5445
MV1628

1
10
VR, REVERSE VOLTAGE (VOLTS)

100

1000
MV21 09
- MMBV2109LTI

u:.s

100

~

: Mv21i5

UJ

o

~ 100
<3

i"E

o
z
~

E~

t-.
I"-~

~

~t--...

<3 40

i"E

<3

<3

§

§

UJ
CI

10
M 2101
0 ........
MMBV2101LTI
MMBV210SLTI
III
1111
1
0.1
1
10
VR, REVERSE VOLTAGE (VOLTS)

Mll04G..........

100

f--

MBV 32L 1 -

100.3

-......;

A ~2So
MHz
EACH DIODE

f~l

O.S

(See Tables 41 and 42)

Motorola Master Selection Guide

~~

~~104

c; 20
,..:.
o

,..:.

o

70

1
2
3
S
10
VR, REVERSE VOLTAGE (VOLTS)

~~
-.....;::
20

30

(See Table 43)

5.1-25

Small Signal Transistors, FETs and Diodes

Tuning Diodes -

Abrupt Junction (continued)

Table 38. General-Purpose Glass Abrupt Tuning Diodes
High Q Capacitance Ratio @ 4.0 VoltS/50 Volts
The following is a listing of axial leaded, general-purpose, abrupt tuning diodes. These devices exhibit high Q characteristics.

Or @ VR =4.0 V, 1.0 MHz
Device(19)

Case 51-02 -

pF
Min

D0-204AA (00-7)

lN5139
lN5140
lN5143
lN5144
lN5145
lN5148

I

6.1
9.0
16.2
19.8
24.3
42.3

pF
Nominal

I

6.8
10
18
22
27
47

pF
Max

V(BR)R
Volts

Cap Ratio
C4IC60
Min

Q
4.0 V, 50 MHz
Min

7.5
11
19.8
24.2
29.7
51.7

60
60
60
60
60
60

2.7
2.8
2.8
3.2
3.2
3.2

350
300
250
200
200
200

Table 39. General-Purpose Glass Abrupt Tuning Diodes
High Q Capacitance Ratio @ 2.0 Voltsl30 Volts
The following is a listing of axial leaded, general-purpose, abrupt tuning diodes. These devices exhibit very high Q
characteristics.

Or @ VR =4.0 V, 1.0 MHz
Device(20)

Case 51-02 -

pF
Min

D0-204AA (00-7)

1 N5441 A
lN5444A
lN5446A
lN5448A
lN5449A
lN5450A
lN5451A
lN5452A
lN5453A
lN5455A
lN5456A

6.1
10.8
16.2
19.8
24.3
29.7
35.1
42.3
50.4
73.8
90

I

pF
Nominal

I

6.8
12
18
22
27
33
39
47
56
82
100

pF
Max

V~BR)R
olts

Cap Ratio
C2IC30
Min

Q
4.0 V, 50 MHz
Min

7.5
13.2
19.8
24.2
29.7
36.3
42.9
51.7
61.6
90.2
110

30
30
30
30
30
30
30
30
30
30
30

2.5
2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.6
2.7
2.7

450
400
350
350
350
350
300
250
200
175
175

(19)SuffixA~ 10.0%
(20)Sufflx B ~ 5.0%

Small Signal Transistors, FETs and Diodes

5.1-26

Motorola Master Selection Guide

Tuning Diodes -

Abrupt Junction (continued)

Table 40. General-Purpose Glass Abrupt Tuning Diodes
Capacitance Ratio @ 2.0 VoltS/20 Volts
The following is a listing of axial leaded, general-purpose, abrupt tuning diodes. These devices exhibit high Q characteristics.
CT @ VR = 4.0 V, 1.0 MHz
Device

Case 51-{)2 -

I

pF
Min

DO-204AA (00-7)

MV1620
MV1624
MV1626
MV1628
MV1630
MVI634
MV1636
MV1638
MV1640
MV1642
MV1644
MV1648
MV1650

pF
Nominal

6.1
9.0
10.8
13.5
16.2
19.8
24.3
29.7
35.1
42.3
50.4
73.8
90

I

6.8
10
12
15
18
22
27
33
39
47
56
82
100

pF
Max

V(BR)R
Volts

Cap Ratio
C2IC20
Min

Q
4.0 V, 50 MHz
Typ

7.5
11
13.2
16.5
19.8
24.2
29.7
36.3
42.9
51.7
61.6
90.2
110

20
20
20
20
20
20
20
20
20
20
20
20
20

2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0
2.0

300
300
300
250
250
250
200
200
200
200
150
150
150

Table 41. General-Purpose Plastic Abrupt Tuning Diodes
Capacitance Ratio @ 2.0 VoltS/30 Volts
The following is a listing of plastic package, general-purpose, abrupt tuning diodes. These devices exhibit high Q
characteristics.
CT @ VR
Device

pF
Min

Case 182-{)2 - TO-226AC (T0-92) - 2-Lead
MV2101

MV21 03
MV2104

MV21 05
MV21 07
MV210B
MV2109
MV2111
MV2113

MV2114
MV2115

l

6.1
9.0
10.8
13.5
19.8
24.3
29.7
42.3
61.2
73.8
90

=4.0 V, 1.0 MHz

pF
Nominal

I

6.8
10
12
15
22
27
33
47
68
82
100

pF
Max

VR(BR)R
Volts

Cap Ratio
C4IC30
Min

Q
4.0 V, 50 MHz
Typ

7.5
11
13.2
16.5
24.2
29.7
36.3
51.7
74.8
90.2
110

30
30
30
30
30
30
30
30
30
30
30

2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.5
2.6

400
350
350
350
300
250
200
150
150
100
100

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.1-27

Small Signal Transistors, FETs and Diodes

Tuning Diodes - Abrupt Junction (continued)
Table 42. Surface Mount Abrupt Tuning Diodes
Capacitance Ratio @ 2.0 VoltsJ30 Volts
The following is a listing of surface mount abrupt junction tuning diodes intended for general-purpose variable capacitance
circuit applications.
CT @ VR
pF

I

Min

Device

Case 318-08 - DQ-236AB (SOT-23)

pF

I

Nominal

6.1
9.0
10.8
13.5
19.8
24.3
29.7

MMBV2101LT1
MMBV2103LT1
MMBV2104LT1
MMBV2105LT1
MMBV2107LT1
MMBV2108LT1
MMBV2109LT1

=4.0 V, 1.0 MHz

6.8
10
12
15
22
27
33

pF
Max

VR(BR)R
Volts

7.5
11
13.2
16.5
24.2
29.7
36.3

30
30
30
30
30
30
30

Q

Cap Ratio
C2IC30

4.0 V, 50 MHz

Min

Typ

2.5
2.5
2.5
2.5
2.5
2.5
2.5

400
350
350
350
300
250
200

Device
Marking

Style

Table 43. Abrupt Tuning Diodes for FM Radio - Dual
The following is a listing of abrupt tuning diodes that are available as dual units in a single package.
CT@VR(22)
Device

pF
Min

I

pF
Max

Case 29-04 - TO-226AA (TQ-92)

I

MV104

42

37

I

Q

Volts

Cap Ratio
C3IC30
Min

3.0 V, 50 MHz
Min

V(BR)R
Volts

3.0

2.5

100

32

2.0

1.5(21)

100

14

15

Case 318-08 - TO-236AB (SOT-23)

I

MMBV432LT1

43

48.1

M4B

9

(21)C2ICB
(22)Each Diode

Devices listed in bold, italic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes

5.1-28

Motorola Master Selection Guide

Tuning Diodes Hyper-Abrupt Junction
CASE 51-t12

The Hyper-Abrupt family exhibits higher capacitance, and a
much larger capacitance ratio. It is particularly well suited for
wider-range applications such as AM/FM radio and TV tuning.

00-204AA
(00-7)

Calhode

CASE 182-t12
TO-226AC
(T0-92)

Anode

Anode
STYLE 1

2 o---ll4----o 1
Cathode
STYLE 1

3 o---ll4----o 1
Cathode
Anode

CASE 318-t18

TO-236AB
SOT-23

STYLES

2

1

~

~

1~1---o 2,4

CASE318E-tl4
SOT-223

3

STYLE 2

Typical Characteristics
Diode Capacitance versus Reverse Voltage
20
18

~ 16
~ 14

-

:-......

6

'-'

2

o

I I I IJ I

~

MMBV105GLTl

I'

'-'
z 24
~ 20
(3
16
1t
«
'-' 12
,.:.
'-'

r-..
....... 10-

II
0.5

1

2

3

5

10

20

I I

"\

30

I

MMBV109LTI
MV209

'\

r- :-

4

II

0.3

32
28

lJ.J

TA = 25°C
f= 1 MHz

lJ.J

4

I

r"-

~ 1~
Cl
I-

I I

r...

;:5 12

~

40
36

II I

10

30

VR, REVERSE VOLTAGE (VOLTS)

VR, REVERSE VOLTAGE (VOLTS)

Figure 1. Diode CapaCitance

Figure 2. Diode CapaCitance

Motorola Master Selection Guide

5.1-29

100

Small Signal Transistors, FETs and Diodes

Tuning Diodes -

Hyper-Abrupt Junction (continued)

40

10
9

~ 32

~ 8

"'

w

0

z
~ 24

w

"

~

<3

16

w
Q

~

r-..

~

MMBV409LTI
MV409

(5

<3
w

0

4

8o

......

0

'"""

if.

,.: 8

a

I"-..

3

1

40
36
i2
-3- 32

10
20
VR, REVERSE VOLTAGE (VOLTS)

-

2

~

S
o

b...

20
16
12

o

0.5

1

z
~ 30

~
"'0w"

'"

20

0

.......

10

20

01

30

30

40

MVAM1091MV7005T1
1000

,......

500

~

........
~

~
~ 100

~

r-..

........

w

r.....

r-....

o

(5

if.

<3

......

7
10
20
5
3
VR, REVERSE VOLTAGE (VOLTS)

MVAM10S

~ 100

t-.

Figure 6. Diode Capacitance
Each Die

1000

~

'" f'.

,.: 10

'""" - I -

2

MMBV609LTI

0

Figure 5. Diode Capacitance

500

"

"'-

a

VR, REVERSE VOLTAGE (VOLTS)

i2
-3w

II

f=IMHz-

0

I 1 JI

0.3

r-....

0

......

TA = 25°C
f= 1 MHz

4

i2
-3- 40
w

~JB~3~ O~L~\

:--..

,.:

o

50

I II

I'

3 4 5
8 10
15
VR, REVERSE VOLTAGE (VOLTS)

Figure 4. Diode Capacitance

IIII

28
24

w

-

t-- ..

Figure 3. Diode Capacitance

~

MMBV809LTf

,.:
o

0

w
~

.......

......

50

I-

if.

<3,.:

r-...

50

o

o

10

10

4
8
VR, REVERSE VOLTAGE (VOLTS)

Figure 7. Capacitance versus Reverse Voltage

Small Signal Transistors, FETs and Diodes

3

9

VR, REVERSE VOLTAGE (VOLTS)

Figure S. Capacitance versus Reverse Voltage

5.1-30

Motorola Master Selection Guide

Tuning Diodes -

Hyper-Abrupt Junction (continued)
MVAM115

MVAM125

1000

1000

500

.....

~

500

.....

u:S,

'"

~
z

~ 100

(3

.....

'"I'"

LU

<..>

z
~ 100

...........

(3

Cf.

Cf.

--

c(

(§ 50
,.:.

<..>

,.:.

....... I-

<..>

10

50

<..>

6
10
14
VR. REVERSE VOLTAGE (VOLTS)

t-

10

18

Figure 9. Capacitance versus Reverse Voltage

6

u:s,

300
200

=

I..............

<..>

z
~

Cf.

c(

<..>

LU
Cl

§

,.:.

<..>

TA=25°C
1=1 MHz _

.......
.......

""

100

(3

..l'-

= 1= MV1405
50 30
20

:J'.

....... .......

....

1'0.

-

I- MV140{

10 -

26

Figure 10. Capacitance versus Reverse Voltage

500

LU

10
14
18
22
VR. REVERSE VOLTAGE (VOLTS)

/

I' ....... ............. t-....

/

.....

1/

/

I- MV1404

....... i""'--..

r---..: c::-

MV7404T1
4

10

2
6
7
VR. REVERSE VOLTAGE (VOLTS)

Figure 11. Diode Capacitance versus Reverse Voltage
Table 44. Hyper-Abrupt Tuning Diodes for Telecommunications - Single
The following is a listing of hyper-abrupt tuning diodes intended for high frequency. FM radio, and TV tuner applications.
CT @ vR (f
pF
Min

Device

=1.0 MHz)

I I
pF
Max

Cap Ratio @ VR

Volts

Min

25
3.0
3.0
2.0
3.0

3.0

I I

Q
Device
Markin9

Case
Style

CV
Curve
Fig

30
30
20
20
30

M4E
M4A
X5
5K
M4C

8
8
8
8
8

1
2
3
4
5

30

M4A

8

3.0V 150MHZ V(BR)R
Min
Max
Volts

Max

Volts

4.0
5.0
1.5
1.8
4.5

6.5
6.5
1.9
2.6

3/25

-

3/8
2/8
3/25

200
200
200
300
200

5.0

6.5

3125

200

Case 182-02 - TQ-226AC (T0-92)
MV209
MV409

Case 31 B-08 - TO-236AB (SOT-23)
1.5
26
26
4.5
20

MMBV105GLT1
MMBV109LT1
MMBV409LT1
MMBV809LT1

MMBV3102LT1

2.8
32
32
6.1
25

3125

-

-

Case 419-02 - SC-701S0T-323

IMBV109T1

I

26

I

32

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.1-31

Small Signal TranSistors, FETs and Diodes

Tuning Diodes -

Hyper-Abrupt Junction (continued)

Table 45. Hyper-Abrupt Tuning Diodes for Communications - Dual

Or @ VR (f: 1.0 MHz)
pF
Min

Device

I

Cap Ratio @ VR

J Volts

pF
Max

Min

J Max

1.8

2.4

J

Volts

Q

3.0 V .150 MHz
Min
Max

V(BR)R
Volts

Device
Marking

Case
Style

CV
Curve
Fig

20

5L

9

6

Case 318-08 - T0-236AB (SOT-23)

I

MMBV609LTt

I

26

I

32

3.0

250

3/8

Table 46. Hyper-Abrupt Tuning Diodes for Low Frequency Applications - Single
The following is a listing of AM, hyper-abrupt tuning diodes that have a large capacity range and are designed for low
frequency circuit applications.
CT@1.0MHz
pF
Min

Device

l

pF
Max

Case 182-02- T0-226AC (T0-92)
440
400
440
440

MVAM108
MVAM109
MVAM115
MVAM125

I

560
520
560
560

Cap Ratio @ VR
Volts

Min

1.0
1.0
1.0
1.0

15
12
15
15

I

Volts

V(BR)R
Volts

Style

CV
Curve
Figure

1.0/8.0
1.0/9.0
1.0/15
1.0/25

12
15
18
28

1
1
1
1

7
8
9
10

Table 47. Hyper-Abrupt High Capacitance Voltage Variable Diode - Surface Mount
The following are high capacitance voltage variable diodes intended for low frequency applications and circuits requiring
large tuning capacitance.
CT @ 1= 1.0 MHz
Device

Min
pF

IR
nA

V(BR)R
Volts

Case 318E-04- SOT-223

I

Max
pF

Cap Ratio
Min

Q
Min

Style

CV
Curve
Figure

Pinout: 1-Anode, 2, 4-Cathode, 3-NC
MV7005Tt
MV7404T1

Table 48. Hyper-Abrupt High Capacitance Tuning Diodes CT@VR
pF
Min

Device

I

pF
Max

Case 51-02 - DO-204AA (DO-7)
MV1404
MV1403
MV1405

96
140
200

144
210
300

I

Axial Lead Glass Package

Volts

Cap Ratio
C2/Cl0
Min

2.0 V, 1.0 MHz
Min

V(BR)R
Volts

Style

CV
Curve
Figure

2.0
2.0
2.0

10
10
10

200
200
200

12
12
12

1
1
1

11
11
11

Q

v

(26) VR = 1.0VNR = 9.0
(27) VR = 2.0 VNR = 10 V
(28) VR = 1.0 V. f= 1.0 MHz
(29) VR= 2.0 V, f= 1.0 MHz

Devices listed in bold, italic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes

5.1-32

Motorola Master Selection Guide

!/

Schottky Diodes
Schottky diodes are ideal for VHF and UHF mixer and detector
applications as well as many higher frequency applications.
They provide stable electrical characteristics by eliminating
the pOint-contact diode presently used in many applications.

C~2:!~2

1

1 o---lJ4---0 2
Cathode
Anode

o---lJ4---0

2

Cathode

Anode

CASE 419-02
SC-701S0T-323

•

.1

10

1

03

4J

3

6 5 CASE 419B-{)1,
4
STYLE 6
SOT-363

•
2 3

Single

1

S00-123
STYLE 1

STYLE 1

2

CASE42~4

....
~

(TCl-92)

CASE 318-08
TD-236AB
SOT-23

2
1

0

STYLE 8

STYLE 9

~

0 3 1 0......

STYLE 11

. .lHI4__0 2

l-to14~0 2 1 0....,~..-

+-1....

b

b

3
Common Cathode

3

Single

STYLE 19

STYLE 12

Cathode

::
Typical Characteristics

Series

::

10

14 1 14
b

02

Series

Cathode

Capacitance versus Reverse Voltage

~ 0.9

~

:z
j5

<3 0.8

,

I

.............

r--....

rE

t§

ii 0.7

I --

MBD10l
MMBD101LTl
MMBD352LT1'
MMBD353LT1'
MMBD354LT1'

---

2.8

I
TA=25°CCC'

2

w
:z
j5

1.6

.s
(;)

<3

10-.

I

rE

1.2

<
(;)
,::. 0.8

I

TA=25°C -

2.4

~"-

.....

MBD301.
MMBD301LTl
' ..........

(;)

.........

r---

0.4 r- MBD701. MMBD701LTl

1
VR. REVERSE VOLTAGE (VOLTS)

4

3

o

I
0

'EACH DIODE

5

10

I

I

15

20

25

30

35

40

45

50

VR. REVERSE VOLTAGE (VOLTS)

(See Table 49)

Devices listed in bold. italic are Motorola preferred devices.

Motorola Master Selection Guide

5.1-33

Small Signal Transistors. FETs and Diodes

Schottky Diodes (continued)

Table 49. Schottky Diodes
The following is a listing of Schottky diodes that exhibit low forward voltage drop for improved circuit efficiency.
Cr@VR
pF
Max

VF@10mA
Volts
Max

IR@VR
nA
Max

Minority
Lifetime
pS(TYP)

Device
Marking

70
30
7.0
7.0
7.0
7.0
7.0
30

1.0@20V
1.5@15V
1.0@OV
1.0@OV
1.0@OV
1.0@OV
1.0@OV
1.5@ 1.5V

1.0
O.S
O.S
O.S
O.S
O.S
O.S
O.S

200@35V
200@25V
250@3.0V
250 @3.0V
250@ 3.0V
250@3.0V
250 @ 3.0V
200@25V

15
15
15
15
15
15
15
15

5H
4T
4M
M5G
M4F
MSH
MJl
5N

70
30
4

1.0@20V
1.5@ 15V
1.0 @ OV

1.2
O.S
O.S

V(BR)R
Volts

Device

Style

Case 182-02 - T0-226AC (T0-92)

MMBD701LT1
MMBD301LTf
MMBD101LTf
MMBD352LTf (23)
MMBD353LTf (23)

MMBD354LTl (23)
MMBD355LTl (23)
MMBD452LT1 (23)

8
8
8
11
19
9
12
11

Case 425-04 - (SOD-123)
MMSD701Tl
MMSD301Tl
MMSD101Tl

Case 419-02 - (SC-701S0T-323)
MMBD330Tf
MMBD770T1
(23) Dual Diodes

Case 4198-01 - SOT-363 - Duals
IR

V(BR)R
Device

VF

C~30)

Marking

Min
Volts

@ISR
(ItA)

Max
(ItA)

@VR
Volts

Min
Volts

Max
Volts

@IF
(mA)

Max
(pF)

trr
Max
(ns)

Case
Style

M4
T4
H5

7
30
70

10
10
10

200
200
200

25
25
25

-

O.S
0.4
0.5

1.0
1.0
1.0

1.0
1.5
1.0

-

S
S
S

MBD110DWT1
MBD330DWT1
MBD770DWT1
(30) VR =OV, f= 1.0 MHz

Devices listed in bold, italic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes

5.1-34

Motorola Master Selection Guide

Switching
Diodes
Small-signal switching diodes are intended for low current
switching and steering applications. Hot-Carrier, PIN and
general--purpose diodes allow a wide selection for specific
application requirements.

'CME~ 1!~1~
TO-226AA
(T0-92)

1
23

2

STYLE 3

10

02

1II1II

.1

I

STYLE 1

2 0--114---0 1
Cathode
Anode

Typical Characteristics
Capacitance versus Reverse Voltage

STYLE 4

10

10

TA=25°C :::::::
1=1 MHz -

u::-

TQ-226AC
(TQ-92)

1

1II1II

~

I

S

02

llJ

~
~

2

i

,~

MPN3404

llJ
CI

I

o

is

,.::. 0.5
'-' 0.3
0.2

MMBV3401 LTI
20 V MAXVR

o

12

18

MPN3700
MMBV3700LTI
24

30

36

42

48

CASE 318-08
TQ-236AB
SOT-23

2

=
=

STYLE 8

10

STYLE 12

10

03

.1

~

1II1II

3
COMMON ANODE

VR. REVERSE VOLTAGE (VOLTS)

(See Table 50)
STYLE 9
STYLE 1

1

0--114---0

Cathode

10

Anode

~

2

ANODE
STYLE 5

10

I3

14

02

STYLE 4

STYLE 2

.1

14

2~

CASE 3180-04
Sc-59

2

I3
SERIES

SERIES

03

20

14

03

SINGLE

SINGLE

SC-701S0T~23

SINGLE

o. . . . . .I -...I-oiI14l-o 2
3
COMMON CATHODE

Motorola Master Selection Guide

STYLES

STYLE 3

10

STYLE 4

STYLES

1

02

.1

CATHODE
3

CASE 419-02
03

STYLE 19

STYLE 11

20
10

03

1II1II
SINGLE

.1

~~

STYLE 2

20

COMMON CATHODE

ANODE

CATHODE
STYLE 4

02

I3
10

1
CASE 463-01
SOT-416JSC-90

STYLE 18

1II1II

.1

2

CASE 42S-04
SOo-123

~2

02

I

SINGLE

54

10

14

I

~

02

~

I

1II1II

02

3
COMMON CATHODE

3
COMMON ANODE

S.I~S

10

14

I

~

02

3
COMMON ANODE

Small Signal Transistors. FETs and Diodes

Switching Diodes (continued)
Table 50. PIN Switching Diodes
The following PIN diodes are designed for VHF band switching and general-purpose low current switching applications.

Or @ VR @ 1.0 MHz
V(BR)R
Volts
Min

Device

pF
Max

Case 182-02 - T0-226AC (T0-92)

I

IR@VR
).IA
Max

Volts

Series
Resistance
Device
Marking

Ohm
Max

Style

MPN3700
MPN3404

MMBV3700LTl
MMBV3401LT1

Table 51. General-Purpose Signal and Switching Diodes - Single
The following is a listing of small-signal switching diodes in surface mount packages. These diodes are intended for low
current switching and signal steering applications.
IR

V(BR)R
Device

Marking

Min
Volts

I

@IBR
!itA)

Max
(jtA)

Case 318-08 - T0-236AB (SOT-23)
BAS21LTt
MMBD914LT1
BAS16LTt
MMBD6050LT1
BAL99LT1

JS

5D
A6
5A
JF

C,.(30)

trr

Max
Volts

@IF
(mA)

Max
(pF)

Max
(ns)

Case
Style

VF

I

@VR
Volts

Min
Volts

I I

100
100
100
100
100

0.1
5.0
1.0
0.1
2.5

200
75
75
50
70

0.85
-

1.0
1.0
1.0
1.1
1.0

100
10
50
100
50

5.0
4.0
2.0
2.5
1.5

50
4.0
6.0
4.0
6.0

8
8
8
8
18

75
40
80
100

1.0
100
100
100

0.02
0.1
0.1
5.0

20
35
75
75

-

1.25
1.2
1.2
1.0

150
100
100
10

2.0
2.0
2.0
4.0

6.0
3.0
3.0
4.0

2
2
2
2

100
100

100
100

5.0
5.0
0.5

75
75
80

-

1.0
1.0
1.2

10
10
100

4.0
4.0
2.0

4.0
4.0
4.0

1
1
1

250
100
75
70
70

Case 3180-04 - SC-59

Case 419-02 - SC-70/S0T-323
BAS16WT1
M1MA141KT1
M1MA142KT1
M1MA174T1

A6
MH
MI
J6

Case 425-04-- SOD-123
MMSD914T1

MMSD4148Tl
MMSD71RKTl

5D
51
6S

-

-

(30) VR ; 0 V. I ; 1.0 MHz

Devices listed in bold, italic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes

5.1-36

Motorola Master Selection Guide

Switching Diodes (continued)
Table 52. General-Purpose Signal and Switching Diodes - Dual
The following is a listing of small-signal switching diodes in surface mount packages. These diodes are intended for low
current switching and signal steering applications.
IR

V{BR)R
Device

t

Min
Volts

Marking

@IBR
(IIA)

Max
(IlA)

Case 318-08 - T0-236AB (SOT-23)
MMBD7000LT1

M5C
A2
A6
A4
A7
Al
5BM
JA
A3
A5

MMBD2836LTl
MMBD2838LTl
BAV70LTf
BAV99LTf
BAW56LT1

MMBD6100LTl
BAV74LTl
MMBD2835LTl
MMBD2837LTl

100
75
75
70
70
70
70
50
35
35

I

VF

@VR
Volts

Min
Volts

I I

CT(30)

trr

Max
Volts

@IF
(rnA)

Max
(pF)

Max
(ns)

Case
Style

100
10
10
50
50
50
100
100
10
10

1.5
4.0
4.0
1.5
1.5
2.0
2.5
2.0
4.0
4.0

4.0
4.0
4.0
6.0
4.0
6.0
4.0
4.0
4.0
4.0

11
12
9
9
11
12
9
9
12
9

5
4
4
5
9
10
5
4

100
100
100
100
100
100
100
5.0
100
100

1.0
0.1
0.1
5.0
2.5
2.5
0.1
0.1
0.1
0.1

50
50
50
70
70
70
50
50
30
30

0.75

-

1.1
1.0
1.0
1.0
1.0
1.0
1.1
1.0
1.0
1.0

100
100
100
100
100
100
100
100

0.1
0.1
2.5
5.0
2.5
2.5
0.1
0.1

75
75
70
70
70
70
35
35

-

1.2
1.2
1.0
1.0
1.0
1.0
1.2
1.2

100
100
50
50
50
50
100
100

2.0
15
2.0
1.5
1.5
1.5
2.0
15

3.0
10
6.0
6.0
6.0
6.0
3.0
10

70

1.2

100

3.5

4.0

I

4

70

1.2

100

3.5

4.0

I

5

-

0.85

-

Case 3180-04 - SC-59
M1MA151WAT1
M1MA151WKT1

Case 41 H2 - SC-70/S0T-323
MU
MO
Al
A4
A7
F7
MT
MN

M1MA142WKT1
M1MA142WATf
BAW56WT1
BAV70WT1
BAV99WT1
BAV99RWT1
M1MA 141 WKT1
M1MA141WATf

80
80
70
70
70
70
40
40

Case 463-01 - SOT-4161SC-90 (Common Anode)

IDAP222

P9

I

100

80

100

Case 463-01 - SOT-4161SC-90 (Common Cathode)

IDAN222

N9

I

100

80

100

Table 53. Low-Leakage Medium Speed Switching Diodes - Single

Device

Min
Volts

Marking

I

@IBR
lilA)

VF

IR

V{BR)R
Max
(nA)

Case 318-08 - TO-236AB (SOT-23)

I

@VR
Volts

Min
Volts

I I

crl30)

trr

Max
Volts

@IF
(rnA)

Max
(pF)

Max
(ns)

Case
Style

BAS116LTf
MMBD1000LTf

Case 41 H2 - (SOT-323)1(SC-70)

I

MMBD2000Tf

I

DH

I

100

0.5

30

0.95

10

2.0

3000

I

2

30

100

0.5

30

0.95

10

2.0

3000

I

2

30

100

0.5

30

0.95

10

2.0

3000

30

I

Case 3180-04 - (SC-59)

I

MMBD3000T1

I

XP

I

Case 425-04 - (S00-123)

I

MMSD1000Tf

I

4K

I

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.1-37

Small Signal Transistors, FETs and Diodes

Switching Diodes (continued)
Table 54. Low-Leakage Medium Speed Switching Diodes - Dual
IR

V(BR)R
Device

Marking

Min
Volts

J

(jJA)

Max
(nA)

100
100
100
100
100

5.0
5.0
5.0
0.5
0.5

@IBR

Case 318-08 - TO-236AB (SOT-23)
BAV170LTf
BAV199LT1
BAW156LTf
MMB01005LTf
MMB01010LTf

JX
JY
JZ

A3
AS

70
70
70
30
30

I

VF

@VR
Volts

Min
Volts

70
70
70
30
30

-

I I

c-r!30)

trr

Max

Max
Volts

@IF
(mA)

(pF)

Max
(ns)

Case
Style

1.0
1.0
1.0
0.95
0.95

10
10
10
10
10

2.0
2.0
2.0
2.0
2.0

3000
3000
3000
3000
3000

9
11
12
12
9

Case 419-02 - (SOT-323)1(SC-70) - DUAL
MMB02005T1
MMB02010Tf

Case 3180-04 - (SC-59) - DUAL
MMB03005T1
MMB03010Tf
(30) VR =OV, f= 1.0 MHz

Devices listed in bold, italic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes

5.1-38

Motorola Master Selection Guide

Multiple
Switching Diodes
Multiple diode configurations utilize monolithic structures fabricated by the planar process. They are designed to satisfy fast
switching requirements as in core driver and encoding/decoding applications where their monolithic configurations offer lower cost,
higher reliability and space savings.

,.
1

CASE 646-06
PIN DIP
PLASTIC

CASE641Hl8
PIN DIP
PLASTIC

CASE 7518-05
S0-16
PLASTIC

CASE 751A-03
S0-14
PLASTIC

Diode Array Diagrams

7

4
Dual 10
Diode
Array

8~:; @ltllllll

(Common
Cathode)

2

NC Pin 1, 4, 6, 10, 13

2
Dual 10
Diode
Array

12

"ffi[

(C:=~ @ll£lllll
Anode)

NC Pin 1, 4, 6, 10, 13

IIII!I!I
8

Isolated
7 Diode
Array

III I!!!

6

"ffiC

Dual 8
Diode
Array

NC Pin 6,13

NC Pin 4,6,10,13

Motorola Master Selection Guide

Isolated
Diode
Array

5

3
16
Diode

8

5.1-39

Small Signal Transistors, FETs and Diodes

Multiple Switching Diodes (continued)
Table 55. Diode Arrays
Case 646 - TO-116
Device

Function

MAD130P
MAD1103P
MAD1107P
MAD1109P

Dual 10 Diode Array
16 Diode Array
Dual 8 Diode Array
7 Isolated Diode Array

Pin Connections
Diagram Number
1

3
6
8

Case 648-08

I

MAD1108P

18 Isolated Diode Array

7

Case 751A-03- 50-14
MMAD130
MMAD1103
MMADll05
MMADll06
MMAD1107
MMAD1109

Dual 10 Diode Array
16 Diode Array
8 Diode Common Cathode Array
8 Diode Common Anode Array
Dual 8 Diode Array
7 Isolated Diode Array

2
3
4
5

6
8

Case 751B-D5 - 50-16
IMMAD1108

18 Isolated Diode Array

7

Devices listed in bold, italic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes

5.1-40

Motorola Master Selection Guide

1~

GREEN@LINE™

*
2

2

CASE 31S-oS
TD-236AB
SOT-23

CASE 31SD-04
SC-S9

..

Plastic-Encapsulated
Surface Mount Devices

."

"

1_

~

2

CASE 42S-04
SOD-123

Energy. It's something Motorola is putting a lot of energy into
helping save. That's why we're introducing our GreenLine™
portfolio of devices, featuring energy-conserving traits
superior to those of our existing line of standard parts for the
same usage. GreenLine devices can actually help reduce the
power demands of your products.

CASE 419-Q2
SC-701S0T-323

• Low-Leakage SWitching Diodes: With reverse leakage
specifications guaranteed to 500 pA, they help extend battery
life, making them ideal for small battery-operated systems in
which standby power is essential. Applications include ESD
protection, reverse voltage protection, and steering logic.

• Small Signal HDTMOSTM: These devices provide our
lowest ever drain-source resistance versus package size.
Lower rDS(on) means less wasted energy through dissipation
loss, making them especially effective for low-current
applications where energy conservation is crucial, such as low
current switch mode power supplies, uninterruptable power
supplies (UPS), power management systems, and bias
switching. This makes them ideal for portable computer-type
products or any system where the combination of power
management and energy conservation is key.

• Bipolar Output Driver Transistors: Offering ultra-low
collector saturation voltage, they deliver more energy to the
intended load with less power wasted through dissipation loss.
They are especially effective in today's lower voltage
battery-powered applications, and prolong battery life in
portable and hand-held communications and personal digital
equipment.

Save Energy - Save Money
In an increasingly power-hungry world, Motorola'S
GreenLine portfolio makes powerful sense. So much sense
that we plan to continue adding devices to the portfolio.
Chances are, there are Motorola GreenLine devices
applicable to one or more of your products - ones that can
help save energy, dollars - and the environment.

Wide Range of Applications
Currently, our portfolio consists of three families.

Table 56. Bipolar Driver Transistor - PNP
These offer ultra-low collector saturation voltage.
Pinout: 1-Base, 2-Emitter, 3-Collector
hFE@

Device Type
MMBT1010LT1
MS01010T1

Marking

Case

V(BR)CEO

VCE(sat)

GLP
GLP

SOT-23
SC-59

15
15

0.1
0.1

Ie

VBE(sat)

Min

Max

rnA

1.1
1.1

300
300

600
600

100
100

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.1-41

Small Signal Transistors, FETs and Diodes

GreenLine (continued)

Table 57. Low Leakage Switching Diodes
These offer reverse leakage specifications guaranteed to 500 pA. Versions available in single and dual.
IR

V{BR)R
Marking

Case

Style

Min
Volts

MMBD1000LT1
MMBD1005LT1
MMBD1010LT1

AY
A3
A5

SOT-23
SOT-23
SOT-23

Single
Dual Anode
Dual Cathode

30
30
30

100
100
100

0.5
0.5
0.5

30
30
30

MMBD2000T1
MMBD2OO5T1
MMBD2010T1

DH
01
DP

SC-70
SC-70
SC-70

Single
Dual Anode
Dual Cathode

30
30
30

100
100
100

0.5
0.5
0.5

30
30
30

MMBD3000T1
MMBD3005T1
MMBD3010T1

XP
XQ
XS

SC-59
SC-59
SC-59

Single
Dual Anode
Dual Cathode

30
30
30

100
100
100

0.5
0.5
0.5

30
30
30

MMSD1000T1

4K

SOO--123

Single

30

100

0.5

30

Device Type

UtA)

Max
InA)

@VR
Volts

@IBR

Table 58. Small Signal HDTMOSTM
These provide the lowest drain-source resistance versus package size.

Device Type

Marking

Channel

Case 318-08 - TO-236AB (SOT-23) MMBF0201NLT1
MMBF0202PLT1
MGSF1N02LT1
MGSF1N03LT1
MGSF1P02LT1
MGSF1P02ELT1

Nl

N

P3

P

-

-

N
N
P
P

Ohm

I

mA

I

Switching Time

VGS(th)

ROS(on)
VOSS

Volts
Min

Volts
Max

t(on)
ns

2.4
2.4
2.4
2.4
2.4
1.0

2.5
2.5
2.5
2.5
2.5
2.5

I

t{off)
ns

Style

15
16
16
16
16
16

21
21
21
21
21
21

P-Channel and N-Channel
1.0
1.4
0.08
0.09
0.20
0.16

300
200
2000
2000
1500
1500

20
20
20
30
20
20

1.0
1.0
1.0
1.0
1.0
0.7

Case 419-02 - SC-70/S0T-323
MMBF2202PT1
MMBF2201NT1

Devices listed in bold, italic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes

5.1-42

Motorola Master Selection Guide

Small Signal
Multi-integrated Devices
CASE318A~5

CASE 31H8
SOT-23

SOT-l43

-----,I

r--'+--.

I
Vin
I
I
(1)
I
I
I Vref(4)

1.0 k
33 k

1.4
2 3

CASE 4196-01
SOT-363

INTERNAL CIRCUIT DIAGRAMS

Table 59. Low Voltage Bias Stabilizer
A silicon SMALLBLOCKTM integrated circuit which maintains stable bias current in various discrete bipolar junction and field
effect transistors.
Marking

Device Type

Case 318A-05 - SOT-143

I

MDCSOOOT1

E5

1.8

10

200

2.1

±50

E6

1.8

10

200

2.1

±SO

Case 419B-01 - SOT-363

IMDC5001T1

Table 60. Integrated Relay/Solenoid Driver
Monolithic circuit block to switch 3.0 V to 5.0 V relays. It is intended to replace an array of three to six discrete components.
VCC(Volls)

lin
(rnA)

Device Type

Case 318-08 - SOT-23

IMDC3105LT1

2.0

5.5

2.0

5.S

0.4

2.5

250

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.1-43

Small Signal Transistors, FETs and Diodes

Devices listed in bold, italic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes

5.1-44

Motorola Master Selection Guide

TVSlZeners
Transient Voltage Suppressors
Zener Regulator and Reference Diodes

In Brief ...
Page
TVS (Transient Voltage Suppressors) ............. 5.2-2
General-Purpose . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.2-2
Axial Leaded for Through-hole Designs ...... 5.2-2
Surface Mount Packages ..................... 5.2-9
Overvoltage Transient Suppressors ........... 5.2-18
Zener Diodes ................................. 5.2-19
Voltage Regulator Diodes .................... 5.2-19
Notes - Axial Leaded Chart ................. 5.2-23
Notes - Surface Mount Chart. . . . . . . . . . . . . . .. 5.2-26
Voltage Reference Diodes ................... 5.2-34
Current Regulator Diodes . . . . . . . . . . . . . . . . . . .. 5.2-34

Motorola's standard TVS (Transient Vonage Suppressors)
and Zener diodes comprise the largest inventoried line in the
industry. Continuous development of improved manufacturing
techniques have resulted in computerized diffusion and test, as
well as critical process controls learned from surface-sensitive
MOS fabrication. Resultant high yields lower factory costs.
Check the following features for application to your specific
requirements:
• Wide selection of package materials and styles:
-

Plastic (Surmetic) for low cost, mechanical ruggedness
Glass for high reliability, low cost
Surface Mount packages for state of the art designs

• Power Ratings from 0.25 to 5.0 Watts
• Breakdown voltages from 1.8 to 400 Volts in
approximately 10% steps
• TVS from 24 to 1500 Watts and from 6.2 to 250 Volts
• ESD protection devices
• Special selection of electrical characteristics available
at low cost due to high-volume lines (check your
Motorola sales representative for special quotations)
• UL Recognition on many TVS device types
• Tape and Reel options available on all axial leaded and
surface mount types

Note: Any TVS/Zener device not listed in this Master Selection Guide may
be available with a special order. Please contact your Motorola
representative for details.

Motorola Master Selection Guide

5.2-1

TVS/Zeners

TVS (Transient Voltage Suppressors)
General-Purpose
Transient Voltage Suppressors are designed for applications
requiring protection of voltage sensitive electronic devices in
danger of destruction by high energy voltage transients. Many
of the zener voltage regulator diodes listed in the previous
charts are in fact used in circuits as transient voltage
suppressors. The purpose of this section is to present the
families of Motorola Zeners that are specified with the key
transient voltage suppressor parameters and limits, e.g.,
maximum clamping voltage at maximum surge current rating
and working peak reverse (stand-off) voltage.

Selection sequence:
1. Package type (axial or surface mount)
2. Peak surge power expected for the application
3. Working peak reverse stand-off voltage (or the breakdown
voltage)
4. Maximum reverse clamping voltage
Consult the factory for special electrical selections if there is
no standard device type available to fit the application.

Axial Leaded for Through-hole Designs
Table 1. Peak Power Dissipation(1) (500 Watts @ 1 ms Surge - Figure 1)
Case 59-04 - Mini Mosorb
IRSM
IRSM
-2
CASE 59-04 (Mini Mosorb™)
PLASTIC
Cathode = Polarity Band

~ure1
0

1

2 3

4

5

6

Time_ (ms)
Surge Current Characteristics

ELECTRICAL CHARACTERISTICS (TA = 25°C unless otherwise noted) VF = 3.5 V Max, IF = 35 A Pulse
(except bidirectional devices).
Working Peak
Reverse
Voltage
VRWM
(Volts)

Breakdown Voltage
VBR
(Volts)

Maximum
Reverse
Leakage
@VRWM
IR (1lA)

Maximum
Reverse Surge
Current IRSM
Figure 1
(Amps)

Maximum
Reverse Voltage
@IRSM
(Clamping Voltage)
VRSM (Volts)

Min

Max

@IT
Pulse
(rnA)

5
6
7
8

SA5.0A
SA6.0A
SA7.0A
SA8.0A

6.4
6.67
7.78
8.89

7
7.37
8.6
9.83

10
10
10
1

600
600
150
25

54.3
48.5
41.7
36.7

9.2
10.3
12
13.6

11
12
13
14

SA11A
SA12A
SA13A
SA14A

12.2
13.3
14.4
15.6

13.5
14.7
15.9
17.2

1
1
1
1

1
1
1
1

27.4
25.1
23.2
21.5

18.2
19.9
21.5
23.2

15
16
17

SA15A
SA16A
SA17A

16.7
17.8
18.9

18.5
19.7
20.9

1
1
1

1
1
1

20.6
19.2
18.1

24.4
26
27.6

Device(2)

(1) Steady state power dissipation = 3 watt max rating
(2) For bidirectional types use CA suffix, SA6.5CA, SA 12CA, SA 1SCA lind SA 1SCA are Motorola preferred devices.
Have cathode polarity band on each end. (Consult factory for availability).

Devices listed in bold, italic are Motorola preferred devices.

TVS/Zeners

5.2-2

Motorola Master Selection Guide

TVS
Axial Leaded for Through-hole Designs (continued)
Table 1. Peak Power Dissipation(1) (500 Watts @ 1 ms Surge - Figure 1)
Case 59-04 - Mini Mosorb (continued)
ELECTRICAL CHARACTERISTICS (TA = 25°C unless otherwise noted) VF = 3.5 V Max, IF = 35 A Pulse
(except bidirectional devices).
Working Peak
Reverse
Voltage
VRWM
(Volts)

Breakdown Voltage
VBR
(Volts)

Maximum
Reverse
Leakage
@VRWM
IR (flA)

Maximum
Reverse Surge
Current IRSM
Figure 1
(Amps)

Maximum
Reverse Voltage
@IRSM
(Clamping Voltage)
VRSM (Volts)

Min

Max

@IT
Pulse
(mA)

20
24
26
28

SA20A
SA24A
SA26A
SA28A

22.2
26.7
28.9
31.1

24.5
29.5
31.9
34.4

1
1
1
1

1
1
1
1

15.4
12.8
11.9
11

32.4
38.9
42.1
45.4

30
36
51
58

SA30A
SA36A
SA51 A
SA58A

33.3
40
56.7
64.4

36.8
44.2
62.7
71.2

1
1
1
1

1
1
1
1

10.3
8.6
6.1
5.3

48.4
58.1
82.4
93.6

60
75
78
90

SA60A
SA75A
SA78A
SA90A

66.7
83.3
86.7
100

73.7
92.1
95.8
111

1
1
1
1

1
1
1
1

5.2
4.1
4
3.4

96.8
121
126
146

110
130
160
170

SAll0A
SA130A
SA160A
SA170A

122
144
178
189

135
159
197
209

1
1
1
1

1
1
1
1

2.8
2.4
1.9
1.8

177
209
259
275

Device(2)

(1) Steady state power dissipation = 3 watt max rating
(2) For bidirectional types, use CA suffix.
Have cathode polarity band on each end. (Consult factory for availability).

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.2--3

TVS/Zeners

TVS
Axial Leaded for Through-hole Designs (continued)
Table 2. Peak Power Disslpation(2) (600 Watts @ 1 ms Surge - Figure 1)
Case 17-02 - Surmetic 40
IRSM
IRSM
-2

~FigUre1

0

1

2

3

4

5

6

Time_ (ms)
Surge Current Characteristics

CASE 17-02
PLASTIC
Cathode Polarity Band

=

ELECTRICAL CHARACTERISTICS (TA = 25°C unless otherwise noted) VF =3.5 V Max, IF =50 A Pulse
(except bidirectional devices).
Breakdown
Voltage(3)
VBR
(Volts)

Working Peak
Reverse
Voltage
VRWM
(Volts)

Maximum
Reverse
Leakage
@VRWM
IR (llA)

Maximum
Reverse Surge
Current IRSM
Figure 1
(Amps)

Maximum
Reverse Voltage
@IRSM
(Clamping Voltage)
VRSM (Volts)

Nom

@IT
Pulse
(mA)

6.8
7.5
8.2
9.1

10
10
10
1

P6KE6.8A
P6KE7.5A
P6KE8.2A
P6KE9.1A

5.8
6.4
7.02
7.78

1000
500
200
50

57
53
50
45

10.5
11.3
12.1
13.4

10
11
12
13

1
1
1
1

P6KE10A
P6KE11A
P6KE12A
P6KE13A

8.55
9.4
10.2
11.1

10
5
5
5

41
38
36
33

14.5
15.6
16.7
18.2

15
16
18
20

1
1
1
1

P6KE15A
P6KE16A
P6KE18A
P6KE20A

12.8
13.6
15.3
17.1

5
5
5
5

2B
27
24
22

21.2
22.5
25.2
27.7

22
24
27
30

1
1
1
1

P6KE22A
P6KE24A
P6KE27A
P6KE30A

18.B
20.5
23.1
25.6

5
5

20
18
16
14.4

30.6
33.2
37.5
41.4

33
36
39
43

1
1
1
1

P6KE33A
P6KE36A
P6KE39A
P6KE43A

28.2
30.8
33.3
36.8

5

13.2
12
11.2
10.1

45.7
49.9
53.9
59.3

47
51
56
62

1
1
1
1

P6KE47A
P6KE51A
P6KE56A
P6KE62A

40.2
43.6
47.8
53

5
5
5
5

9.3
8.6
7.B
7.1

64.8
70.1

68
75
82
91
120

1
1
1
1
1

P6KE6BA
P6KE75A
P6KE82A
P6KE91A
P6KE120A

58.1
64.1
70.1
77.8
102

5
5
5
5
5

6.5
5.8
5.3
4.8
3.6

92
103
113
125
165

Devlce(1, 4)

5
5
5
5

5

77
85

(I) For bidirectional types use CA suffix, PBKE7.5CA and PBKE11CA are Motorola preferred devices.
Have cathode polarity band on each end. (Consult factory for availability).
(2) Steady state power dissipation = 5 watt max rating.
(3) Breakdown voltage tolerance is ± 5% for A suffix.
(4) UL recognijion for classification of protectors (QVGV2) under the UL standard for safely 497B and file #E11611 0 for entire senes including CA suffixes.

Devices listed in bold, italic are Motorola preferred devices.

TVSlZeners

5.2-4

Motorola Master Selection Guide

TVS
Axial Leaded for Through-hole Designs (continued)
Table 2. Peak Power Dissipation(2) (600 Watts @ 1 ms Surge - Figure 1)
Case 17-02 - Surmetic 40 (continued)
ELECTRICAL CHARACTERISTICS (TA = 25°C unless otherwise noted) VF = 3.5 V Max, IF = 50 A Pulse
(except bidirectional devices).
Breakdown
Voltage(3)
VBR
(Volts)

(1)
(2)
(3)
(4)

Nom

@IT
Pulse
(mA)

130
150
160
180
200

1
1
1
1
1

Devlce(1, 4)
P6KE130A
P6KE150A
P6KE160A
P6KE180A
P6KE200A

Working Peak
Reverse
Voltage
VRWM
(Volts)

Maximum
Reverse
Leakage
@VRWM
IR UtA)

Maximum
Reverse Surge
Current IRSM
Figure 1
(Amps)

Maximum
Reverse Voltage
@IRSM
(Clamping Voltage)
VRSM (Volts)

111
128
136
154
171

5
5
5
5
5

3.3
2.9
2.7
2.4
2.2

179
207
219
246
274

For bidirectional types use CA suffix. Have cathode polarity band on each end. (Consult factory for availability).
Steady state power dissipation = 5 watt max rating.
Breakdown voltage tolerance is ±5% for A suffix.
UL recognition for classification of protectors (QVGV2) underthe UL standard for safety 497B and file #E116110 for entire series including CA suffixes.

:i

Motorola Master Selection Guide

5.2-5

TVS/Zeners

TVS
Axial Leaded for Through-hole Designs (continued)
Table 3. Peak Power Dlssipation(1) (1500 WATTS@ 1 ms Surge- Figure 1)
Case 41 A-02 - Mosorb
IRSM
IRSM
2

~~N1
0

CASE 41A-02
PLASTIC
Cathode
Polarity Band

1 2

3

4

5

6

1ime- (ms)
Surge Current Characteristics

=

ELECTRICAL CHARACTERISTICS (TA =25'C unless otherwise noted) VF = 3.5 V Max, IF = 100 A Pulse)
(C suffix denotes standard back to back bidirectional versions. Test both polarities)
Clamping Voltage(3)
Breakdown
Voltage

Maximum
Reverse
Stand-Off
Voltage
VRWM
(Volts)

JEDEC(2)
Device

5

1N5908

Device(2)

VBR
Volts
Min

@IT
Pulse
(mA)

Maximum
Reverse
Leakage
@VRWM
IR (!-LA)

6

1

300

Maximum
Reverse
Surge
Current
Figure 1
IRSM
(Volts)

Maximum
Reverse
Voltage@
IRSM
(Clamping
VoHage)
VRSM
(VoHs)

Peak Pulse
Current@
Ipp1 = 1 A
Figure 1
VC1
(Volts max)

Ip~2= 10A
igure 1
VC2
(Volts max)

120

8.5

7.6@30A

8@60A

Peak Pulse
Current @

(1) Steady state power dissipation ~ 5 watts max rating.
(2) 1N6382 thru 1N6389 and C suffix ICTEIMPTE device types are bidirectional. Have cathode polarity band on each end. All other device types are unidirectional
only. (Consult factory for availability)
(3) Clamping voltage peak pulse currents for 1N5908 are 30 Amps and 60 Amps.

Devices listed in bold, italic are Motorola preferred devices.

TVSlZeners

5.2-6

Motorola Master Selection Guide

TVS
Axial Leaded for Through-hole Designs (continued)
Table 4. Peak Power Dissipation(1) (1500 Watts @ 1 ms Surge - Figure 1)
Case 41A-02 - Mosorb
IRSM
IRSM

2

~Figure 1
_

,

, ,

0123456

CASE 41A-02
PLASTIC
Cathode = Polarity Band

Time_ (ms)
Surge Current Characteristics

ELECTRICAL CHARACTERISTICS (TA = 25°C unless otherwise noted) VF = 3.5 V Max, IF = 100 A Pulse
Breakdown Voltage(2)
VBR
Volts
Nom

6.8
7.5
8.2
10

@IT
Pulse
(mA)

JEDEC
Device

Device(3, 4)

VRWM
(Volts)

Maximum
Reverse
Leakage
@VRWM
IR (1lA)

10

10.2
11.1
12.8

5
5
5
5

96
90
82
71

15.6
16.7
18.2

1.5KE16A
1.5KE18A
1.5KE20A
1.5KE22A

13.6
15.3
17.1
18.8

5
5
5
5

67
59.5
54

1N6280A
1N6281A
1N62B2A
1N6283A

1.5KE24A
1.5KE27A
1.5KE30A
1.5KE33A

20.5
23.1
25.6
28.2

5
5
5
5

36
33

41.4

lN6284A
1N6285A
lN6286A
lN6287A

1.5KE36A
1.5KE39A
1.5KE43A
1.5KE47A

30.8
33.3
36.8
40.2

5
5
5
5

30
28
25.3
23.2

49.9

1N62BBA
lN6289A
lN6290A
lN6291A

1.5KE51A
1.5KE56A
1.5KE62A
1.5KE68A

43.6
47.8

21.4

58.1

5
5
5
5

17.7
16.3

70.1
77
85
92

1.5KE75A
1.5KE82A
1.5KE91A
1.5KE100A

64.1
70.1
77.8
85.5

5
5
5
5

14.6
13.3

103
113

12

125

100

lN6292A
lN6293A
lN6294A
lN6295A

11

137

110
120
130

lN6296A
lN6297A
lN6298A

1.5KE110A
1.5KE120A
1.5KE130A

94
102
111

5
5
5

9.9
9.1
8.4

152
165
179

11
13

15
16
18

20
22

24
27

30
33
36
39

43
47

51
56

62
68
75
82

91

1.5KE6.8A
1.5KE7.5A
1.5KE8.2A
1.5KE10A

5.8
6.4
7.02
8.55

1000
500

lN6272A
lN6273A
lN6274A
1N6275A

1.5KE11A
1.5KE12A
1.5KE13A
1.5KE15A

9.4

lN6276A
lN6277A
lN6278A
lN6279A

VRSM
(Volts)

10.5
11.3
12.1
14.5

1

1N6267A
lN6268A
lN6269A
1N6271 A

Maximum
Reverse
Voltage
@IRSM
(Clamping
Voltage)

143
132
124
103

12

10
10
10

Working
Peak
Reverse
Voltage

Maximum
Reverse
Surge
Current
Figure 1
IRSM
(Amps)

53

200

49
45

40

19.5

21.2
22.5
25.2
27.7
30.6
33.2
37.5
45.7
53.9
59.3
64.8

(1) Steady state power dissipation = 5 watts max rating.
(2) Breakdown voltage tolerance is ±5% for A suffix.
(3) For bidirectional types use CA suffix on 1.5KE series only. Have cathode polarity band on each end. (Consult factory for availability)
1N6267-6303A series do not have CA option since the CA is not included in EIA Registration.
(4) UL recognition for classnication of protectors (QVGV2) under the UL standard for safety 497B and file IIE116110 for 1.SKE6.BA.CA thru 1.5KE250A,CA.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.2-7

TVSlZeners

TVS
Axial Leaded for Through-hole Designs (continued)
Table 4. Peak Power Dissipatlon(1) (1500 Watts @ 1 ms Surge - Figure 1)
Case 41A-02 - Mosorb (continued)
ELECTRICAL CHARACTERISTICS (TA = 25°C unless otherwise noted) VF = 3.5 V Max, IF = 100 A Pulse

Working
Peak
Reverse
Voltage
VRWM
(Volts)

Maximum
Reverse
Leakage
@VRWM
IR (IIA)

Maximum
Reverse
Surge
Current
Figure 1
IRSM
(Amps)

Breakdown Voltage(2)
VBR
Volts

Maximum
Reverse
Voltage
@IRSM
(Clamping
VoHage)
VRSM
(Volts)

Nom

@IT
Pulse
(mA)

150
160
170
180

1
1
1
1

lN6299A
lN6300A
lN6301A
lN6302A

1.5KE150A
1.5KE160A
1.5KE170A
1.5KE180A

128
136
145
154

5
5
5
5

7.2
6.8
6.4
6.1

207
219
234
246

200
220
250

1
1
1

lN6303A

1.5KE200A
1.5KE220A
1.5KE250A

171
185
214

5
5
5

5.5
4.6
5

274
328

JEDEC
Device

Device!3, 4)

344

(1) Sleady slate power dissipation = 5 watts max rating.
(2) Breakdown vo~age tolerance is ±S% for A suffix.
(3) For bidirectional types use CA suffix. Have cathode polarity band on each end. (Consu~ factory for availability).
1N6267-{)303A series do not have CA option since the CA is not included in EIA Regislratlon.
(4) UL recognHion for classHication of protectors (QVGV2) under the UL s1andard for safety 497B and file #El1611 0 for 1.5KE6.8A,CA thru 1.5KE250A,CA.

TVSlZeners

5.2-8

Motorola Master Selection Guide

Surface Mount Packages
Table 5. Peak Power Dissipation (40 Watts @ 1 ms Surge - Figure 1 )(1)
Case 318-08 - Common Cathode
MMBZ15VDLTf, MMBZ27VCLTf(2) - SOT-23 Dual Monolithic Common Cathode Bipolar Zener (for ESD protection)

1~3

Pinout:

TERMINAL 1 - ANODE
TERMINAL 2 - ANODE
TERMINAL 3 - COMMON CATHODE

2
CASE 318-08, STYLE 9
TO-236AB
LOW PROFILE SOT-23
PLASTIC

IRSM
IRSM
2

~Figure 1

,,
,

I

0123456
Time_ (ms)
Surge Current Characteristics

ELECTRICAL CHARACTERISTICS (TA = 25°C unless otherwise noted)
BIDIRECTIONAL (Circuit tied to pins 1 and 2)
Breakdown Voltage

Max

@IT
(rnA)

Working Peak
Reverse
Voltage
VRWM
(Volts)

VBR(3)
(Volts
Min

Nom

Maximum Reverse
Leakage Current
IRWM
IR(nA)

Maximum Reverse
Surge Current
IRSM
(Amps)

Maximum Reverse
Voltage @ IRMS
(Clamping Voltage)
VRSM
(Volts)

Maximum
Temperature
Coefficient
ofVBR
(mV/"C)

14.3

15

15.8

1.0

12.8

100

1.9

21.2

12

25.65

27

28.35

1.0

22

50

1.0

38

26

Table 6. Peak Power Dissipation (24 Watts @ 1 ms Surge - Figure 1 )(1)
Case 318-08 - Common Anode
MMBZ5V6ALTf, MMBZ6V2ALTf, MMBZ15ALTf,MMBZ20ALTf(2) - SOT-23 Dual Monolithic Common Anode Zener
(for ESD Protection)

1~3

::

2
CASE 318-08, STYLE 12
TO-236AB
LOW PROFILE SOT-23
PLASTIC

IRSM
IRSM

~Figure 1

2

,

,
,

i

0123456
Time_ (ms)
Surge Current Characteristics

PIN 1. CATHODE
2. CATHODE
3. COMMON ANODE

ELECTRICAL CHARACTERISTICS (TA = 25°C unless otherwise noted)
UNIDIRECTIONAL (Circuit tied to pins 1 and 3 or Pins 2 and 3) (VF =0.9 V Max @ IF =10 rnA)
Max Reverse
Leakage
Current

Breakdown Voltage
VBR(3)
(Volts)
Min

Nom

Max

@IT
(rnA)

IR @ VR
(V)
«(.LA)

Max Zener Impedance(4)

ZZT @ IT
(nA)
(rnA)

ZZK @ IZK
(rnA)
(0)

Max
Reverse
Surge
Current
IRSM
(A)

Max
Reverse
Voltage@
IRSM
(Clamping
Voltage)
VRSM(V)

Maximum
Temperature
Coefficient
ofVBR
(mV/"C)

5.32

5.6

5.88

20

5.0

3.0

11

3.0

8.0

1.26

5.89

6.2

6.51

1.0

0.5

3.0

220

2.76

8.7

2.80

14.25

15

15.75

1.0

0.05

12

100

1.9

21

12.3

19

20

21

1.0

0.05

17

100

1.4

28

17

1600

0.25

(1) Other vottages may be available upon request. Contact your Motorola representative.
(2) Tl suffix designates tape and reel of 3000 units.
(3) VSR measured at pulse test current IT at an ambient temperature of 25'C.
(4) ZZT and ZZK are measured by dividing the AC voltage drop across the device by the AC current supplied.
The specified limits are IZ(AC) = 0.1 IZ(DC). with AC frequency = 1 kHz.

Motorola Master Selection Guide

5.2-9

TVS/Zeners

TVS
Surface Mount Packages (continued)
Table 7. Peak Power Dissipation (24 Watts @ 1 rns Surge - Figure 1)
Case 318F-01-Monollthic 4-Function Device
MMQA5V6T1, MMQA20VT1(1) - SC-59 Quad Transient Voltage Suppressor (for ESD Protection)
'RSM t h : F i g u r e 1

6

~4

PIN I.
2.
3.
4.
5.
6.

~§2

I~
3

4

CASE 318F-Q2
SC-59
PLASTIC

5

6

CATHODE
ANODE
CATHODE
CATHODE
ANODE
CATHODE

'RSM

2

,,
i

Time_ (ms)
Surge Current Characteristics

=

ELECTRICAL CHARACTERISTICS (TA 25°C unless otherwise noted)
UNIDIRECTIONAL (Circuit tied to pins I, 2, and 5; Pins 2, 3, and 5; Pins 2, 4, and 5; or Pins 2, 5, and 6) (VF
Max Reverse
Leakage Current

Breakdown Voltage

Max Zener
Impedance(2)

Device

Min

Nom

Max

@Izr
(mA)

(~A)

VR
(Volts)

Zzr @ Izr
(0)

Max Reverse
Surge
Current
IRSM(4)
(Amps)

MMQA5V6TI,T3
MMQA6V2Tt,T3
MMQA6V8TI,T3
MMQA12VTI,T3

5.32
5.89
6.46
11.4

5.6
6.2
6.8
12

5.88
6.51
7.14
12.6

1.0
1.0
1.0
1.0

2000
700
500
75

3.0
4.0
4.3
9.1

400
300
300
80

MMQA13VTt,T3
MMQA15VTI,T3
MMQA18VTt,T3
MMQA20VT1,T3

12.4
14.3
17.1
19

13
15
18
20

13.7
15.8
18.9
21

1.0
1.0
1.0
1.0

75
75
75
75

9.8
11
14
15

MMQA21VT1,T3
MMQA22VT1,T3
MMQA24VT1,T3
MMQA27VT1,T3

20
20.9
22.8
25.7

21
22
24
27

22.1
23.1
25.2
28.4

1.0
1.0
1.0
1.0

75
75
75
75

MMQA30VTt,T3
MMQA33VT1,T3

28.5
31.4

30

31.5
34.7

1.0
1.0

75
75

33

=0.9 V Max @ 'F =lOrnA)

Max Reverse
Voltage@
IRSM
(Clamping
Voltage)
VRSM
(Volts)

Maximum
Temperature
Coefficient
ofVZ
(mV/"C)

3.0
2.66
2.45
1.39

8.0
9.0
9.8
17.3

1.26
10.6
10.9
14

80
80
80
80

1.29
1.1
0.923
0.84

18.6
21.7
26.0
28.6

15
16
19
20.1

16
17
18
21

80
80
100
125

0.792
0.758
0.694
0.615

30.3
31.7
34.6
39

21
22
25
28

23
25

150
200

0.554
0.504

43.3
47.6

32
37

vzr(1)
(Volts)
IR

I

0123456

(1) Vz measured at pulse test current 'T at an ambient temperature of 25°C.
(2) ZZT is measured by dividing the AC vonage drop across the device by the AC current supplied. The specified limits are IZ(AC) = 0.1 IZ(DC), wnh AC
frequency = 1 kHz.

Devices listed in bold, ItaliC are Motorola preferred devices.

TVSlZeners

5.2-10

Motorola Master Selection Guide

TVS
Surface Mount Packages (continued)

Table 8. Peak Power Dissipation - Case 4038-01
IRSM ~Figure 1
IRSM
2

,,

CASE403B~1

,

r

0123456

SMA
PLASTIC

Time_ (ms)
Surge Current Characteristics

ELECTRICAL CHARACTERISTICS (VF: 3.5 Volts @ IF: 40 A for all types)

Device

Reverse
Stand-off
Voltage VRWM
(Volts)

Breakdown Voltage
VBR
Volts
(Min)

IT
rnA

Maximum
Reverse Voltage
@IRSM
(Clamping Voltage)
VRSM (Volts)

Maximum
Reverse
Surge Current

9.2
10.3
11.2
12.0

43.5
38.8
35.7
33.3

400
400
250
250

IRSM
(Amps)

Maximum
Reverse Leakage
@VRWM
IR{J.1A)

Device
Marking

1SMA5.0AT3
1SMA6.0AT3
1SMA6.5AT3
1SMA7.0AT3

5.0
6.0
6.5
7.0

7.78

1SMA7.5AT3
1SMA8.0AT3
1SMA8.5AT3
1SMA9.0AT3

7.5
8.0
8.5
9.0

8.33
8.89
9.44
10

12.9
13.6
14.4
15.4

31.0
29.4
27.8
26.0

50
25
5.0
2.5

1SMA10AT3
1SMA11AT3
1SMA12AT3
1SMA13AT3

10
11
12
13

11.1
12.2
13.3
14.4

17.0
18.2
19.9
21.5

23.5
22.0
20.1
18.6

2.5
2.5
2.5
2.5

1SMA14AT3
1SMA15AT3
1SMA16AT3
1SMA17AT3

14
15
16
17

15.6
16.7
17.8
18.9

23.2
24.4
26.0
27.6

17.2
16.4
15.4
14.5

2.5
2.5
2.5
2.5

1SMA18AT3
1SMA20AT3
1SMA22AT3
1SMA24AT3

18
20

20

22.2
24.4
26.7

13.7
12.3
11.3
10.3

2.5
2.5
2.5
2.5

RT
RV

24

29.2
32.4
35.5
38.9

1SMA26AT3
1SMA28AT3
1SMA30AT3
1SMA33AT3

26
28
30
33

28.9
31.1
33.3
36.7

42.1
45.4
48.4
53.3

9.5
8.8
8.3
7.5

2.5
2.5
2.5
2.5

SE
SG
SK
SM

1SMA36AT3
1SMA40AT3
1SMA43AT3
1SMA45AT3

36
40
43
45

40
44.4
47.8
50

58.1
64.5
69.4
72.2

6.9
6.2
5.8
5.5

2.5
2.5
2.5
2.5

SP
SR
ST
SV

1SMA48AT3
1SMA51AT3
1SMA54AT3
1SMA58AT3

48
51
54
58

53.3
56.7
60
64.4

77.4
82.4
87.1
93.6

5.2
4.9
4.6
4.8

2.5
2.5
2.5
2.5

SX
SZ
TE
TG

1SMA60AT3
1SMA64AT3
1SMA70AT3
1SMA75AT3

60
64
70
75

66.7
71.1
77.8
83.3

96.8
103.0
113.0
121.0

4.1
3.9
3.5
3.3

2.5
2.5
2.5
2.5

TK
TM
TP
TR

22

Motorola Master Selection Guide

6.4
6.67

7.22

10
10
10
10

5.2-11

OE

OG
OK
OM

OP
OR

OT
OV
OX

OZ
RE
RG

RK
RM
RP
RR

RX
RZ

TVS/Zeners

TVS
Surface Mount Packages (continued)
Table 8. Peak Power Dissipation -

Case 4038-01 (continued)

•

IRSM h:--:Fi9ure 1
IRSM

2

CASE 403B-01
SMA
PLASTIC

ELECTRICAL CHARACTERISTICS (VF = 3.5 Volts

Device

Reverse
Stand-off
Voltage VRWM
(Volts)

,

,
:

I

0123456

TIme_ (ms)
Surge Current Characteristics
@

IF = 40 A for all types)

VBR
Volts
(Min)

Maximum
Reverse Voltage
@IRSM
(Clamping Voltage)
VRSM (Volts)

Maximum
Reverse
Surge Current

Breakdown Voltage

IRSM
(Amps)

Maximum
Reverse Leakage
@VRWM

Device
Marking

IR(~)

axc
azc

1SMA10CAT3
1SMA11CAT3
1SMA12CAT3
1SMA13CAT3

10
11
12
13

11.1
12.2
13.3
14.4

17.0
18.2
19.9
21.5

23.5
22.0
20.1
18.6

2.5
2.5
2.5
2.5

1SMA14CAT3
1SMA15CAT3
1SMA16CAT3
1SMA17CAT3

14
15
16
17

15.6
16.7
17.8
18.9

23.2
24.4
26.0
27.6

17.2
16.4
15.4
14.5

2.5
2.5
2.5
2.5

RKC
RMC
RPC
RRC

1SMA18CAT3
1SMA20CAT3
1SMA22CAT3
1SMA24CAT3

18
20
22
24

20
22.2
24.4
26.7

29.2
32.4
35.5
38.9

13.7
12.3
11.3
10.3

2.5
2.5
2.5
2.5

RTC
RVC
RXC
RZC

1SMA26CAT3
1SMA28CAT3
1SMA30CAT3
1SMA33CAT3

26
28
30
33

28.9
31.1
33.3
36.7

42.1
45.4
48.4
53.3

9.5
8.3
7.5

2.5
2.5
2.5
2.5

SEC
SGC
SKC
SMC

1SMA36CAT3
1SMA40CAT3
1SMA43CAT3
1SMA45CAT3

36
40
43
45

40
44.4
47.8
50

58.1
64.5
69.4
72.2

6.9
6.2
5.8
5.5

2.5
2.5
2.5
2.5

SPC
SRC
STC
SVC

1SMA48CAT3
1SMA51CAT3
1SMA54CAT3
1SMA58CAT3

48
51
54
58

53.3
56.7
60
64.4

77.4
82.4
87.1
93.6

5.2
4.9
4.6
4.3

2.5
2.5
2.5
2.5

SXC
SZC
TEC
TGC

1SMA60CAT3
1SMA64CAT3
1SMA70CAT3
1SMA75CAT3
1SMA78CAT3

60
64
70
75
78

66.7

96.8
103.0
113.0
121.0
126.0

4.1
3.9
3.5
3.3
3.2

2.5
2.5
2.5
2.5
2.5

TKC
TMC
TPC
TRC
TTC

TVS/Zeners

71.1
77.8
83.3
86.7

5.2-12

8.8

REC
RGC

Motorola Master Selection Guide

TVS
Surface Mount Packages (continued)

Table 9. Peak Power Dissipation (600 Watts @ 1 ms Surge - Figure 1) Case 403A~3

•

IRSM
IRSM
-2

5MB
CASE 403A-Q3
PLASTIC
Cathode = Notch

~gUre1
2 3 4 5 6
Time_(ms)
Surge Current Characteristics

0

1

ELECTRICAL CHARACTERISTICS (TA = 25°C unless otherwise noted)
Breakdown Voltage

Reverse
Stand-Off
VR(Volts)(1)

Volts Min

PulsemA

Maximum
Clamping
Voltage
VC@
Ipp Volts

VBR@IT
Device(2, 3)

Peak
Pulse
Current
(See Figure 1)
IppAmps

Maximum
Reverse
Leakage
@VRIRuA

Device
Marking

5
6
6.5
7
7.5
8

1SMB5.0AT3
1SMB6.0AT3
1SMB6.5AT3
1SMB7.0AT3
ISMB7.5AT3
ISMB8.0AT3

6.4
6.67
7.22
7.78
8.33
8.89

10
10
10
10
1
1

9.2
10.3
11.2
12
12.9
13.6

65.2
58.3
53.6
50
46.5
44.1

800
800
500
200
100
50

KE
KG
KK
KM
KP
KR

8.5
9
10
11

ISMB8.5AT3
ISMB9.0AT3
ISMB10AT3
ISMBllAT3

9.44
10
11.1
12.2

1
1
1
1

14.4
15.4
17
18.2

41.7
39
35.3
33

10
5
5
5

KV
KX

12
13
14
15
16

1SMB12AT3
ISMB13AT3
ISMB14AT3
1SMB15AT3
1SMB16AT3

13.3
14.4
15.6
16.7
17.8

1
1
1
1
1

19.9
21.5
23.2
24.4
26

30.2
27.9
25.8
24
23.1

5
5
5
5
5

LE
LG
LK
LM
LP

18
20
22
24
26

1SMB18AT3
ISMB20AT3
1SMB22AT3
1SMB24AT3
ISMB26AT3

20
22.2
24.4
26.7
28.9

1
1
1
1
1

29.2
32.4
35.5
38.9
42.1

20.5
18.5
16.9
15.4
14.2

5
5
5
5
5

LT
LV
LX
LZ
ME

28
30
36
40
43

1SMB28AT3
1SMB30AT3
ISMB36AT3
1SMB40AT3
1SMB43AT3

31.1
33.3
40
44.4
47.8

1
1
1
1
1

45.4
48.4
58.1
64.5
69.4

13.2
12.4
10.3
9.3
8.6

5
5
5
5
5

MG
MK
MP
MR
MT

45
48
51
54
58
60

ISMB45AT3
ISMB48AT3
ISMB51AT3
1SMB54AT3
1SMB58AT3
ISMB60AT3

50
53.3
56.7
60
64.4
66.7

1
1
1
1
1
1

72.7
77.4
82.4
87.1
93.6
96.8

8.3
7.7
7.3
6.9
6.4
6.2

5
5
5
5
5
5

MV
MX
MZ
NE
NG
NK

KT

KZ

(1) A transient suppressor IS normally selected according to the reverse "Stand Off Vottage" (VR) which should be equal to or greater than
the DC or continuous peak operating voltage level.
(2) T3 suffix deSignates tape and reel of 2500 units.
(3) Bidirectional version available for 15MB10AT3 thru 15MB78AT3. electrical characteristics apply in both directions except for VFI. Use CAT3 suffix.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.2-13

TVS/Zeners

TVS
Surface Mount Packages (continued)
Table 9. Peak Power Dissipation (600 Watts @ 1 rns Surge - Figure 1) Case 403A-G3 (continued)

•

IRSM h;:Figure 1
IRSM

2

5MB
CASE 403A-03
PLASTIC
Cathode Notch

,
,

I

0123456

lime- (ms)
Surge Current Characteristics

=

ELECTRICAL CHARACTERISTICS (TA = 25°C unless otherwise noted)
Breakdown Voltage

Reverse
Stand-Qff
VR(Volts)(I)

Device(2, 3)

Volts Min

PulsemA

Maximum
Clamping
Voltage
VC@
Ipp Volts

Peak
Pulse
Current
(See Figure 1)
IppAmps
5.8
5.3
4.9
4.7
4.4
4.1
3.7
3.4
3.1
2.9
2.5
2.3
2.2

64
70
75
78
85
90
100

ISMB64AT3
ISMB70AT3
ISMB75AT3
ISMB78AT3
1SMB85AT3
ISMB90AT3
ISMB100AT3

71.1
77.8
83.3
86.7
94.4
111

103
113
121
126
137
146
162

110
120
130

1SMB110AT3
1SMB120AT3
ISMB130AT3
1SMB150AT3
ISMB160AT3
ISMB170AT3

122
133
144
167
178
189

177
193
209
243
259
275

150
160
170

100

Maximum
Reverse
Leakage
@VRIRuA

Device
Marking

5
5
5
5

NM
NP
NR
NT
NV

5

5

NX

5

NZ

5
5

PE
PG

5
5
5

PM

5

PR

PK
PP

(1) A transient suppressor IS normally selected according to the reverse "Stand Off Voltage" (VR) whICh should be equal to or greater than
the DC or continuous peak operating voltage level.
(2) T3 suffix designates tape and reel of 2500 units.
(3) Bidirectional version available for 15MB10AT3 thru 15MB78AT3, electrical characteristics apply in both directions exceptfor VFI. Use CAT3 suffix.

TVSlZeners

5.2-14

Motorola Master Selection Guide

TVS
Surface Mount Packages (continued)

Table 10. Peak Power Dissipation (600 Watts @ 1 ms Surge - Figure 1) Case 403A-03
ELECTRICAL CHARACTERISTICS (TA =25°C unless otherwise noted) VF =3.5 V Max, 'F(5) =100 A for all types.
VeR@IT
Volts

Device(3, 4)

Min

Nom

P6SMB6.BAT3
P6SMB7.5AT3
P6SMB9.1AT3
P6SMB10AT3

6.45
7.13
8.65

9.5

P6SMB12AT3
P6SMB13AT3
P6SMB15AT3
P6SMB16AT3
P6SMB1BAT3
P6SMB20AT3
P6SMB22AT3
P6SMB24AT3
P6SMB27AT3
P6SMB30AT3
P6SMB33AT3
P6SMB36AT3

Max

mA

6.B

7.14

7.5
9.1
10

7.BB
9.55
10.5

10
10
1

11.4

12

12.4
14.3
15.2

13
15
16

17.1
19

1B
20

20.9
22.8

Maximum
Reverse
Leakage
@VRWM
'R

5.B

flA

1

7.78
B.55

1000
500
50
10

12.6

1

10.2

13.7

11.1

16.8

1
1
1

22

18.9
21
23.1

1
1
1

24

25.2

1

1B.B
20.5

1
1

15.B

6.4

Maximum
Reverse
Surge
Current
'RSM
Amps

Maximum
Reverse Voltage
@IRSM
(Clamping Voltage)
VRSM
Volts

57
53

10.5

45

13.4

11.3

41

14.5

5

36

16.7

5
5
5

33
27

18.2
21.2
22.5

24
22

25.2
27.7

5

20
18

30.6
33.2

23.1
25.6

5
5

16
14.4

37.5
41.4
45.7

12.B

13.6
15.3
17.1

5
5
5

2B

Maximum
Temperature
Coefficient
ofVeR

"IoPC

Device
Marking

0.057
0.061
0.068
0.073

6VBA
7V5A
9V1A
10A

0.078
0.081
0.OB4
0.086

12A
13A
15A
16A

0.088
0.09
0.092
0.094

1BA
20A
22A
24A

0.096
0.097
0.098
0.099

27A
30A
33A
36A
39A
47A
51A
39A

27
30

2B.4

2B.5
31.4

33

13.2

1

28.2
30.B

5

36

34.7
37.B

1

34.2

5

12

49.9

37.1

39

41

1

33.3

5

11.2

53.9

44.7

47

49.4

1

40.2

5

9.3

48.5
37.1

51
39

53.6
41

1
1

43.6
33.3

5
5

8.6
11.2

64.8
70.1

53.9

0.1
0.101
0.102
0.1

P6SMB62AT3
P6SMB68AT3
P6SMB82AT3
P6SMB91AT3

58.9
64.6
77.9
86.5

62
68
82
91

65.1
71.4
86.1
95.5

1
1
1
1

53
58.1
70.1
77.8

5
5
5
5

7.1
6.5
5.3
4.8

85
92
113
125

0.104
0.104
0.105
0.106

62A
68A
82A
91A

P6SMB100AT3
P6SMB110AT3
P6SMB120AT3
P6SMB150AT3

95
105
114
143

100
110
120
150

105
116
126
158

1
1
1
1

85.5
94
102
128

5
5

4.4
4
3
2.9

137
152
165
207

0.106
0.107
0.107
0.108

100A
110A
120A
150A

P6SMB160AT3
P6SMB170AT3
P6SMB180AT3
P6SMB200AT3

152

160
170
180
200

168

1

136

5

2.7

219

179
189
210

1
1
1

145
154
171

5
5
5

2.6
2.4
2.2

234
246
274

0.108
0.108
0.108
0.108

160A
170A
180A
200A

P6SMB39AT3
P6SMB47AT3
P6SMB51AT3
P6SMB56AT3

(1)
(2)
(3)
(4)
(5)

25.7

Working
Peak
Reverse
Voltage
VRWM
Volts

162
171
190

31.5

5

Breakdown voltage tolerance is ±5% for A suffix.
VBR measured at pulse test current 'T at an ambient temperaure of 25'C.
T3 suffix deSignates tape and reel of 2500 units.
Bidirectional version available for P6SMB12AT3 thru P6SMB91 AT3. Electrical characteristics apply in both directional except for VF Use CAT3 suffix.
1/2 sine wave (or equivalent square wave), PW = 8.3 ms, duty cycle = 4 pulses per minute maximum.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.2-15

TVS/Zeners

TVS
Surface Mount Packages (continued)

Table 11. Peak Power Dissipation (1500 Watts @ 1 ms Surge - Figure 1) Case 403-03

•

IRSM

~gUre1

IRSM
-

2

SMC
CASE40:Hl3
PLASTIC
Cathode = Notch

2 3 4 5 6
Time_ (ms)
Surge Current Characteristics

0

1

ELECTRICAL CHARACTERISTICS (TA = 25°C unless otherwise noted)
Breakdown Voltage(3)

Peak
Pulse Current
(See Figure 1)
Ipp
Amps

Maximum
Reverse Leakage
@VR
IR

mA

Maximum
Clamping Voltage
VC@lpp
Volts

IJA

Device
Marking

6.40
6.67
7.22
7.78

10
10
10
10

9.2
10.3
11.2
12.0

163.0
145.6
133.9
125.0

1000
1000
500
200

GDE
GOG
GDK
GDM

7.5
8.0
8.5
9.0

8.33
8.89
9.44
10.0

1.0
1.0
1.0
1.0

12.9
13.6
14.4
15.4

116.3
110.3
104.2
97.4

100
50
20
10

GOP
GDR
GDT
GDV

ISMC10AT3
1SMCl1AT3
ISMC12AT3
ISMC13AT3

10
11
12
13

11.1
12.2
13.3
14.4

1.0
1.0
1.0
1.0

17.0
18.2
19.9
21.5

88.2
82.4
75.3
69.7

5.0
5.0
5.0
5.0

GDX
GDZ
GEE
GEG

ISMC14AT3
ISMC15AT3
ISMC16AT3
ISMC17AT3

14
15
16

17

15.6
16.7
17.8
18.9

1.0
1.0
1.0
1.0

23.2
24.4
26.0
27.6

64.7
61.5
57.7
53.3

5.0
5.0
5.0
5.0

GEK
GEM
GEP
GER

ISMC18AT3
ISMC20AT3
ISMC22AT3
ISMC24AT3

18
20
22
24

20.0
22.2
24.4
26.7

1.0
1.0
1.0
1.0

29.2
32.4
35.5
38.9

51.4
46.3
42.2
38.6

5.0
5.0
5.0
5.0

GET
GEV
GEX
GEZ

ISMC26AT3
ISMC28AT3
ISMC30AT3
ISMC33AT3

26
28
30
33

28.9
31.1
33.3
36.7

1.0
1.0
1.0
1.0

42.1
45.4
48.4
53.3

35.6
33.0
31.0
28.1

5.0
5.0
5.0
5.0

GFE
GFG
GFK
GFM

ISMC36AT3
ISMC40AT3
ISMC43AT3
ISMC45AT3

36
40
43
45

40.0
44.4
47.8
50.0

1.0
1.0
1.0
1.0

58.1
64.5
69.4
72.7

25.8
23.2
21.6
20.6

5.0
5.0
5.0
5.0

GFP
GFR
GFT
GFV

ISMC48AT3
ISMC51AT3
ISMC54AT3
1SMC58AT3

48
51
54

53.3
56.7
60.0

77.4
82.4
87.1

64.4

93.6

19.4
18.2
17.2
16.0

5.0
5.0
5.0
5.0

GFX
GFZ
GGE

58

1.0
1.0
1.0
1.0

GGG

ISMC60AT3
ISMC64AT3
ISMC70AT3
ISMC75AT3

60
64
70
75

66.7
71.1
77.8
83.3

1.0
1.0
1.0
1.0

96.8
103
113
121

15.5
14.6
13.3
12.4

5.0
5.0
5.0
5.0

GGK
GGM
GGP
GGR

ISMC78AT3

78

86.7

1.0

126

11.4

5.0

GGT

Devlce(l)

Reverse
Stand-Off Voltage
VR
Volts(2)

Volts
Min

ISMC5.0AT3
ISMC6.0AT3
ISMC6.5AT3
ISMC7.0AT3

5.0
6.0
6.5
7.0

ISMC7.5AT3
ISMC8.0AT3
ISMC8.5AT3
ISMC9.0AT3

VBR@IT

(1) T3 suffix designates tape and reel of 2500 units.
(2) A transient suppressor is nonnally selected according to the reverse ·Stand Off Voltage" (VA) which should be equal to or greater than the DC or continuous
peak operating voltage level.
.
(3) VBA measured at pulse test current IT at an ambient temperaure of 25°C.

Devices listed in bold, italic are Motorola preferred devices.

TVSlZeners

5.2-16

Motorola Master Selection Guide

TVS
Surface Mount Packages (continued)
Table 12. Peak Power Dissipation (1500 Watts @ 1 ms Surge - Figure 1) Case 403-03
ELECTRICAL CHARACTERISTICS (TA =25°C unless otherwise noted) VF =S.5 V Max, 'F(S) =100 A for all types.

Device(1)

Min

Nom

Max

mA

Working
Peak
Reverse
Voltage
VRWM
Volts

1.5SMC6.8ATS
1.5SMC8.2ATS
1.5SMC9.1ATS
1.5SMC10ATS

6.45
7.79
8.65
9.5

6.8
8.2
9.1
10

7.14
8.61
9.55
10.5

10
10
1
1

5.8
7.02
7.78
8.55

1000
200
50
10

14S
124
112
10S

10.5
12.1
1S.4
14.5

0.057
0.065
0.068
0.07S

6V8A
8V2A
9V1A
10A

1.5SMC11ATS
1.5SMC12ATS
1.5SMC13AT3
1.5SMC15AT3

10.5
11.4
12.4

11
12
1S

11.6
12.6
1S.7

1
1
1

9.4
10.2
11.1

5
5
5

96
90
82

15.6
16.7
18.2

14.3

15

15.8

1

12.8

5

71

21.2

0.075
0.078
0.081
0.084

11A
12A
13A
15A

1.5SMC18ATS
1.5SMC22AT3
1.5SMC24AT3
1.5SMC27AT3

17.1
20.9

18
22

18.9
2S.1

1
1

5
5

59.5
49

25.2
30.6

22.8

24

25.2

1

25.7

27

28.4

1

15.3
18.8
20.5
23.1

0.088
0.092
0.094
0.096

18A
22A
24A
27A

1.5SMC30AT3
1.5SMC33AT3
1.5SMC36AT3
1.5SMC39AT3

28.5

30

31.5

1

31.4
34.2
37.1

33
36
39

34.7
37.8
41

1
1
1

0.097
0.098
0.099
0.1

SOA
33A
36A
39A

1.5SMC43AT3
1.5SMC47AT3
1.5SMC51ATS
1.5SMC56ATS

40.9

45.2
49.4

1
1

36.8

44.7

43
47

48.5
5S.2

51
56

53.6
58.8

1
1

40.2
4S.6
47.8

0.101
0.101
0.102
0.10S

43A
47A
51A
56A

58.9
64.6

62
68

65.1
71.4

1
1

53
58.1

0.104
0.104
0.105
0.105
0.106

62A
68A
75A
82A
91A

Breakdown Voltage(2)
VBR@IT
Volts

1.5SMC62AT3
1.5SMC68AT3
1.5SMC75AT3
1.5SMC82AT3
1.5SMC91AT3

Maximum
Reverse
Leakage
@VRWM
IR
itA

Maximum
Reverse
Surge
Current
IRSM
Amps

Maximum
Reverse Voltage
@IRSM
(Clamping Voltage)
VRSM
Volts

Maximum
Temperature
Coefficient
ofVBR
%FC

Device
Marking

5

45

33.2

5

40

37.5

25.6

5

36

41.4

28.2

5
5
5

33
30
28

45.7
49.9
53.9

5
5

25.3
23.2

59.3
64.8

5
5

21.4
19.5

70.1
77

5
5

17.7
16.3

85
92

30.8

33.3

71.3

75

78.8

1

64.1

5

14.6

103

77.9
86.5

82
91

86.1
95.5

1
1

70.1
77.8

5
5

13.3
12

11S
125

(1) T3 suffix designates tape and reel of 2500 units.
(2) VeR measured at pulse test current 'T at an ambient temperaure of 25"C.
(3) 112 sine wave (or equivalent square wave), PW = 8.3 ms, duty cycle = 4 pulses per minute maximum.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.2-17

TVS/Zeners

TVS

Overvoltage Transient Suppressors
Table 13. Overvoltage Transient Suppressors
Overvoltage transient suppressors are designed for protection against over-voltage conditions in the auto electrical system
including the "LOAD DUMP" phenomenon that occurs when the battery open circuits while the car is running.
OVERVOLTAGE TRANSIENT SUPPRESSOR
CASE19~4

CASE 19~4

MR2535L
VRRM (Volts)

20

10 (Amp)

35

V(BR) (Volts)

24-32

IRSM(30)
(Amp)

110

TC@RatediO
(OC)

150

T
(OC)

175

(30) Time constant = 10 ms, duty cycle" 1%, Te = 25°e.
Note: MR2535L is considered part of the rectifier product portfolio.

Devices listed in bold, italic are Motorola preferred devices.

TVSlZeners

5.2-18

Motorola Master Selection Guide

Zener Diodes
Voltage Regulator Diodes
Table 14. Axial Leaded for Through-hole Designs - 500 mW
Nominal
Zener
Breakdown
Voltage

500mW
Low level
Cathode
Polarity
Band

('Note 1)

('Note 2)

500mW
Cathode Polarity Band

=

('Note 4)

I

('NoteS)

1.8
2.0
2.2
2.4
2.5
2.7
2.8
3.0
3.3

lN4678
lN4679

3.6
3.9
4.3
4.7
5.1
5.6
6.0
6.2

lN4685
lN4686
lN4687
1N4688
lN4689
lN4690

('Note 6)

("Note 7)

6.8

lN4681
lN4682
lN46B3
lN4684

lN5221B
1NS222B
lN5223B
lN5224B
lN5225B
1N52268

BZX79C2V4RL

MZ4614
MZ4615
MZ4616
MZ4617

BZX79C2V7Rl

MZ4618

1NS987B
1NS988B

BZX79C3VORL
BZX79C3V3Rl

MZ4619
MZ4620

BZX79C3V6RL

lN598SB

1NS989B
lN5990B
lN5991B
lN5992B
1N5993B
1N5994B

BZX79C4V3RL
BZX79C4V7RL
BZX79C5Vl RL
BZX79C5V6RL

1N4691

lN5227B
1N52288
lN5229B
lN5230B
1N5231B
1N5232B
lN5233B
1N5234B

1N5995B

1N4692

1N5235B

1N5996B

7.5

1N4693

lN5236B

1N5997B

8.2

lN4694

1N5237B

=

MZ4622
MZ4623
MZ4624
MZ4625
MZ4626

MZS520B
MZ5521B

BZX79C6V2RL

MZ4627

MZ5525B

BZX79C6V8RL

MZ4099

1N5998B

BZX79C8V2RL

MZ41 01

BZX79C9V1 RL

8.7

1N4695

lN5238B

9.1

1N4696

1N5239B

1N5999B

10

lN4697

1N5240B

lN6000B

11

lN4698

lNS241B

12

lN4699

1N5242B

lN6002B

13
14
15
16

lN4700

1NS243B
1NS244B
1N5245B
1N5246B
1NS247B
1NS248B

lN6003B

18

SOOmW

Glass
Case 299-02
DO-204AH
(00-35)

Volts

17

Cathode
Polarity Band

=

=

('Note 3)

500mW
low level
Cathode
Polarity
Band

lN4702
lN4703
lN4704
1N470S

lN6004B

MZ5523B
MZ5524B

MZ5527B

MZ5529B
MZ4104

BZX79C12RL

BZX79C1SRL
BZX79C16RL

"See Notes on page S.2-23.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.2-19

TVSlZeners

Zener Diodes
Voltage Regulator Diodes (continued)
Table 14. Axial Leaded for Through-hole Designs - 500 mW (continued)
Nominal
Zener
Breakdown
Voltage

500mW
Low Level
Cathode
Polarity
Band

('Note 1)

('Note 2)

500mW
Cathode Polarity Band

=

('Note 4)

('Note 5)

500mW

('Note 6)

('Note 7)

=

Glass
Case 299"()2
00-204AH
(00-35)

Volts

19
20
22
24
25
27

Cathode
Polarity Band

=

=

('Note 3)

500mW
Low Level
Cathode
Polarity
Band

1N4707
1N4708

1N52498
1N5250B
1N52518
1N52528
1N52538
1N52548

28
30

1N52558
1N52568

33
36
39
43

1N52578
1N52588
lN52598
lN52608

47
51
56
60
62
68

lN52618
lN52628
1N52638
1N52648
1N52658
lN52668

75
82
87
91

1N52678
1N52688
1N52698
lN52708

100
110
120
130
140
150

lN52718
1N52728
lN52738
lN52748
1N52758
lN52768

160
170
180
190
200
220

lN52788
1N52798
1N52808
1N52818

1N60078

8ZX79C33RL

240
270
300
330
360
400
'See Notes on page 5.2-23.

Devices listed in bold, italic are Motorola preferred devices.

TVSlZeners

5.2-20

Motorola Master Selection Guide

Zener Diodes
Voltage Regulator Diodes (continued)
Table 15. Axial Leaded for Through-hole Designs - 1, 1.3, 1.5, 3 and 5 Watt
Nominal
Zener
Breakdown
Voltage
('Note 1)

('Note8)

1 Watt

1.5 Watt

3 Watt

5 Watt

Cathode =
Polarity Band

Cathode =
Polarity Band

Cathode =
Polarity Band

Cath'1<'e=
Polarity Band

('Note10)

("Note 11)

("Note 12)

('Note9)

Volts
Plastic
Surmetic30
Case 59-03
(00-41)

Glass
Case 59-03
(D0-41)

Plastic
Surmetic30
Case 59-03
(D0-41)

3.3

lN4728A

MZP4728A

lN5913B

lN5333B

3.6

1N4729A
lN4730A
lN4731A
lN4732A
1N4733A
1N4734A

MZP4729A

lN5914B

MZP4734A

lN5916B
lN5917B
lN5918B
lN5919B

1N4735A

MZP4735A

lN5334B
lN5335B
lN5336B
lN5337B
1NS338B
lN5339B
lN5340B
lN5341B

3.9
4.3
4.7
5.1
5.&
&.0
&.2

Plastic
Surme\ic40
Case17~2

3EZ4.3D5

1N5920B

&.8

1N4736A

lN5921B

7.5

lN4737A

MZP4737A

lN5922B

3EZ7.50S

1NS342B

8.2

1N4738A

MZP4738A

lN5923B

3EZB.20S

8.7

1NS343B
lN5344B
lN5345B

9.1

lN4739A

lN5924B

3EZ9.1DS

lN5346B

10

1N4740A

MZP4740A

lN5925B

3EZ1005

lN5347B

MZP4741A

lN5926B

3EZ11DS

1NS34BB

3EZ1305
3EZ1405

1NS350B
lN5351B
1N5352B
1NS3S3B
1NS354B
1NS35SB

3EZ190S

1NS356B
1NS3S7B
1N53S8B
1N53S9B
1N5360B
1N5361B

11

1N4741A

12

1N4742A

13
14
15
16
17
18

lN4743A

lN5349B

lN5927B

1N4744A
1N4745A

MZP4744A
MZP4745A

1N5929B
lN5930B

1N4746A

MZP4746A

1NS931B

1N4747A
1N4746A
1N4749A

3EZ2205

MZP4749A

lN5932B
1N5933B
1N5934B

1N4750A

MZP4750A

1N5935B

3EZ2705

MZP4751A
MZP4752A
MZP4753A

1N5936B
lN5937B
1N5938B
1N5939B

43

1N4751A
1N4752A
1N4753A
lN4754A
1N4755A

3EZ43D5

lN5362B
1N5363B
lN5364B
1N5365B
lN5366B
lN5367B

47

lN4756A

1N5941B

3EZ47D5

lN5368B

51
5&

lN4757A
1N475BA

lN5943B

3EZ56D5

60
62

1N4759A

68

1N4760A

19

20
22
24
25
27
28
30

33
36
39

3EZ2805
3EZ3305
3EZ3605

3EZ6BD5

1N5369B
lN5370B
1N5371B
lN5372B
1N5373B

"See Notes on page 5.2-23.

Devices listed in bold. italic are Molorola preferred devices.

Motorola Master Selection Guide

5.2-21

TVSlZeners

Zener Diodes
Voltage Regulator Diodes (continued)
Table 15. Axial Leaded for Through-hole Designs -1,1.3,1.5,3 and 5 Watt (continued)
Nominal
Zener
Breakdown
VoHage
('Note 1)

('Note 8)

1 Walt

1.5 Walt

3 Walt

5 Walt

Cathode =
Polarity Band

Cathode =
Polarity Band

Cathode =
Polarity Band

Cathode =
Polarity Band

('Note10)

('Note 11)

('Note 12)

('Note 9)

Volts

Glass
Case5H3
(00-41)
75
82
87
91
100
110

Plas1ic
Surmetic30
Case5H3
(00-41)

Plastic
Surmetic30
Case5H3
(00-41)

1N4761 A
1N4762A

1N5946B

1N4763A
1N4764A

Plastic
Surmetic40
Case 17-G2
3EZ75D5

3EZ91D5
3EZ110D5

120
130
140
150
160
170

1N5951B

180
190
200
220
240
270

1N59558

1N5953B
1N5954B

1N5956B

300
330

3EZ120D5
3EZ130D5
3EZ140D5
3EZ160D5

3EZ190D5
3EZ2ooD5
3EZ220D5
3EZ240D5

1N5374B
lN5375B
lN5376B
lN5377B
1N5378B
lN5379B
1N5380B
1N5381B
lN5382B
lN5383B
lN5384B
lN5385B
1N5386B
1N5387B
1N5388B

3EZ330D5

360

400

3EZ400D5

'See Notes on page 5.2-23.

Devices listed in bold, italic are Motorola preferred devices.

TVSlZeners

5.2-22

Motorola Master Selection Guide

Zener Diodes
Notes -

Axial Leaded Chart

1. Zener Voltage is the key parameter for each device type. It is
specified at a particular test current applied at either thermal
equilibrium (T.E.) or pulse test condition. The voltage tolerance for
the device types listed is, in general, ±5%; however, for some
series, the voltage tolerance varies from device type to device type
over a range of ± (5 to 8.5)%. Consult the complete data sheet to
determine the exact test conditions and minimum/maximum limits
for the zener voltage. Consult Application Note AN924 regarding
measurement of Zener Voltage (pulse versus thermal equilibrium).
Power Ratings represent the capability of the case size listed as
supplied by Motorola. These ratings may be higher than the
JEDEC registration and/or the same device types supplied by
other manufacturers. (On tight tolerance devices, please consult
factory on availability.)

6. MZ4614-27
MZ4099-4104

IZT
IZT

=250 IlA (T.E.).
=250 IlA (T.E.).

IZT
IZT
IZT
IZT

=20 rnA (T.E.).
=5 rnA (T.E.).
=3 rnA (T.E.).
=1 rnA (T.E.).

Tolerance is ±5%.
7. MZ5520B-21 B
MZ5523B
MZ5524B
MZ5525B-29B

Tolerance is ±5%.
Also has delta Vz parameter and limit.
8. 1N4728A-64A
IZT @ approximately 250 mW point (T.E.).
A suffix = ±5%.
9. MZP4728A-53A
IZT @ approximately 250 mW point (T.E.).
MZP Series A suffix = ±5%.

Vz Test Conditions and Tolerances
IZT = 50 IlA (T.E.).
No suffix = ±5%.
Also has delta Vz parameter and limit.

10.1N5913B-56B
IZT @ approximately 375 mW point (T.E.).
B suffix = ±5%.

2. 1N4678 Series

=

3. 1N5221 B-42B
1N52431H!1 B
B suffix ±5%.

IZT 20 rnA (T.E.).
IZT @ approximately 125 mW point (T.E.).

4. 1N5985B-1 N6007B
B suffix ±5%.

IZT

=
=

5. BZX79C2V4-C16RL
BZX79C33

=5 rnA (T.E.).

12.1N53331H!8B
IZT varies from 0.9 to 1.5 W point depending on type number
(pulse)
B suffix = ±5%.
Also has delta Vz parameter and limit.

=
=

IZT 5 rnA (pulse).
IZT 2 rnA (pulse).
C indicates ±(5 to 8.5)% depending on type number.

Motorola Master Selection Guide

11. 3EZ4.3D5-400D5
IZT @ approximately 750 mW point (pulse).
Suffix 5 = ±5%.

5.2-23

TVSlZeners

Zener Diodes
Voltage Regulator Diodes (continued)
Table 16. Surface Mount Packages
Nominal

Zener

225mW
Surface Mount

500mW
Surface Mount

SOOmW
Low Level
Surface Mount

500mW
Surface Mount

50T-23

500-123

500-123

SOO-123

SMA

5MB

('Note 4)

('Note 5)

('Note 6)

('Note 7)

('Note B)

Breakdown

1.5 Watt
Surface Mount

3 Watt
Surface Mount

Voltage
('Note 1)

('Note 2)

('Nole3)

•

--

Cathode

Anode
Volts

No Connection
Plastic
Case 31B-OB
TD-236AB

I.B
2.0
2.2
2.4
2.5
2.7
2.B
3.0
3.3

BZX84C2V4LTI
BZX84C2V7LTI
BZX84C3VOLTI
BZX84C3V3LTI

MMBZ5221BLTI
MMBZ5222BLTI
MMBZ5223BLT1
MMBZ5224BLT1
MMBZ5225BLTI
MMBZ5226BLTI

MMSZ2V4Tl

MMSZ4678Tl
MMSZ4679Tl
MMSZ46BOT1
MMSZ4681Tl

MMSZ2V7Tl

MMSZ4682Tl

MMSZ3VOT1
MMSZ3V3Tl

MMSZ4683Tl
MMSZ4684Tl

MMSZ3V6Tl
MMSZ3V9Tl
MMSZ4V3Tl
MMSZ4V7Tl
MMSZ5V1Tt
MMSZ5V6Tt

MMSZ46B5T1
MMSZ4686Tl
MMSZ4687Tl
MMSZ46BBTt
MMSZ46B9Tt
MMSZ4690T1

MMSZ5221BTt
MMSZ5222BTt
MMSZ5223BTI
MMSZ5224BTI
MMSZ5225BT1
MMSZ5226BTI

•

Plastic
Case 403B-Ol

Plastic
Case 403A-03
Cathode Notch

=

1SMA5913BT3

ISMB5913BT3

ISMA5914BT3
1SMA5915BT3
1SMA5916BT3
1SMA5917BT3
1SMA5918BT3
1SMA5919BT3

ISMB5915BT3
ISMB5916BT3
ISMB5917BT3
15MB5918BT3
15MB5919BT3

MMSZ4691Tl

MMSZ5227BTI
MMSZ5228BTI
MMSZ5229BTt
MMSZ5230BT1
MMSZ5231BTt
MMSZ5232BTt
MMSZ5233BTI
MMSZ5234BTt

1SMA5920BT3

15MB5920BT3

MMSZ4692Tl

MMSZ5235BTt

1SMA5921 BT3

15MB5921 BT3

MMSZ7V5T1

MMSZ4693T1

MMSZ5236BTI

1SMA5922BT3

15MB5922BT3

MMSZ8V2Tl

MMSZ4694Tl

MMSZ5237BTI

1SMA5923BT3

15MB5923BT3

MMSZ4695T1

MMSZ5238BT1

3.6
3.9
4.3
4.7
5.1
5.6
6.0
6.2

BZX84C3V6LTI
BZX84C3V9LTI
BZX84C4V3LTI
BZXB4C4V7LTt
BZXB4C5V1LTt
BZXB4C5V6LTt
BZXB4C6V2LTt

MMBZ5227BLTI
MMBZ522BBLTt
MMBZ5229BLTI
MMBZ5230BLTI
MMBZ5231BLTt
MMBZ5232BLTt
MMBZ5233BLT1
MMBZ5234BLT1

MMSZ6V2Tt

6.B

BZX84C6V8LTI

MMBZ5235BLTt

MMSZ6V8Tl

7.5

BZX84C7V5LT1

MMBZ5236BLT1

B.2

BZX84C8V2LTI

MMBZ5237BLTI

B.7

•

Plastic
Case 425-04, Style 1

MMBZ5238BLTI

9.1

BZX84C9Vl LTI

MMBZ5239BLTI

MMSZ9V1TI

MMSZ4696Tl

MMSZ5239BT1

1SMA5924BT3

15MB5924BT3

10

BZX84Cl0LTI

MMBZ5240BLTt

MMSZ10Tl

MMSZ4697Tl

MMSZ5240BT1

1SMA5925BT3

15MB5925BT3

11

BZX84CliLTI

MMBZ5241 BLT1

MMSZ11Tl

MMSZ4698Tl

MMSZ5241 BTl

1SMA5926BT3

15MB5926BT3

12

BZXB4C12LTt

MMBZ5242BLTI

MMSZ12Tl

MMSZ4699Tl

MMSZ5242BTt

1SMA5927BT3

15MB5927BT3

13
14
15
16

BZX84C13LTI

MMSZ13Tl

MMSZ4700Tl
MMSZ4701Tl
MMSZ4702Tl
MMSZ4703Tl
MMSZ4704Tl
MMSZ4705Tl

MMSZ5243BTI
MMSZ5244BTI
MMSZ5245BTt
MMSZ5246BTt
MMSZ5247BTI
MMSZ524BBTt

1SMA5928BT3

15MB5928BT3

1SMA5929BT3
1SMA5930BT3

15MB5929BT3
15MB5930BT3

BZXB4C1BLT1

MMBZ5243BLTI
MMBZ5244BLTI
MMBZ5245BLTt
MMBZ5246BLTI
MMBZ5247BLTI
MMBZ524BBLT1

1SMA5931 BT3

15MB5931 BT3

BZX84C20LT1
BZX84C22LT1
BZX84C24LT1

MMBZ5249BLT1
MMBZ5250BLTt
MMBZ5251 BLTI
MMBZ5252BLT1

MMSZ20Tl
MMSZ22Tl
MMSZ24Tl

15MB5932BT3
15MB5933BT3
15MB5934BT3

MMBZ5254BLT1

MMSZ27Tl

MMSZ5249BT1
MMSZ5250BT1
MMSZ5251 BTl
MMSZ5252BTt
MMSZ5253BTI
MMSZ5254BT1

1SMA5932BT3
1SMA5933BT3
1SMA5934BT3

BZX84C27LTI

MMSZ4706Tl
MMSZ4707Tl
MMSZ4708T1
MMSZ4709Tl
MMSZ4710Tl
MMSZ4711Tl

1SMA5935BT3

ISMB5935BT3

BZX84C15LTI
BZX84CI6LTI

17
18
19
20
22
24
25
27

MMSZ15Tl
MMSZ16Tl
MMSZ1BT1

'See Notes page 5.2-26.

Devices listed in bold, italic are Motorola preferred devices.

TVSlZeners

5.2-24

Motorola Master Selection Guide

Zener Diodes
Voltage Regulator Diodes (continued)
Table 16. Surface Mount Packages (continued)
Nominal
Zener
Breakdown
Voltage
('Note 1)

225mW
Surface Mount

500mW
Surface Mount

500mW
Low Level
Surface Mount

500mW
Surface Mount

SOT-23

SOO-123

SOO-123

800-123

SMA

5MB

('Note 4)

('Note 5)

('Note 6)

('Note 1)

('Note 8)

('Note 2)

('Note 3)

•

•

Cathode

Anode
Volts

No Connection

Plastic
Case 316-06
T0-236AB
28
30
33
36
39
43
47
51

56
60

BZX84C30LT1
BZX84C33LTI
BZX84C36LT1
BZX84C39LT1
BZX84C43LT1
BZX84C47LT1
BZX84C51LTI
BZX84C56LT1

62
68

BZX84C62LT1
BZX84C68LT1

75
82
87
91
100
110
120
130
150
160
170
180
200

BZX84C75LTI

MMBZ5255BLT1
MMBZ5256BLTI
MMBZ5257BLT1
MMBZ5258BLT1
MMBZ5259BLT1
MMBZ5260BLTI

•

Plastic
Case 425-04, Style 1

MMSZ30T1
MMSZ33Tl
MMSZ36T1
MMSZ39T1
MMSZ43Tl

MMBZ5261BLTI
MMBZ5262BLTI
MMBZ5263BLTI
MMBZ5264BLTI
MMBZ5265BLTI
MMBZ5266BLTI

MMSZ47Tl
MMSZ51Tl
MMSZ56Tl

MMBZ5267BLT1
MMBZ5268BLTI
MMBZ5269BLT1
MMBZ5270BLT1

MMSZ75T1

MMSZ4712Tl
MMSZ4713Tl
MMSZ4714Tl
MMSZ4715Tl
MMSZ4716Tl
MMSZ4717Tl

MMSZ5255BTI
MMSZ5256BT1
MMSZ5257BTI
MMSZ5258BTI
MMSZ5259BTI
MMSZ5260BTI
MMSZ5261BT1
MMSZ5262BTI
MMSZ5263BTI
MMSZ5284BTI
MMSZ5265BTI
MMSZ5266BTI

MMSZ62Tl
MMSZ68Tl

1.5 Walt
Surface Mount

MMSZ5267BTI
MMSZ5268BTI
MMSZ5269BTI
MMSZ5270BTI
MMSZ5271 BTl

3 Walt
Surface Mount

•

Plastic
Case 4038-01

Plastic
Case 403A-03
Cathode = Notch

1SMA5936BT3
1SMA5937BT3
1SMA5938BT3
1SMA5939BT3
1SMA5940BT3

15MB5936BT3
15MB5937BT3
15MB5938BT3
15MB5939BT3
15MB5940BT3

ISMA5941BT3
1SMA5942BT3
1SMA5943BT3

15MB5941 BT3
15MB5942BT3
15MB5943BT3

1SMA5944BT3
1SMA5945BT3

15MB5944BT3
15MB5945BT3
15MB5946BT3
15MB5947BT3

15MB5949BT3
15MB5950BT3
15MB5951 BT3
15MB5952BT3
15MB5953BT3
15MB5954BT3

'See Notes page 5.2-26.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.2-25

TVS/Zeners

Zener Diodes
Notes -

Surface Mount Chart

1. Zener Voltage is the key parameter for each device type. It is specified at a particular test current applied at e~her thermal equilibrium
(T.E.) or pulse test condition. The voltage tolerance for the device
types listed is, in general ±5"10; however, for some series, the
voltage tolerance varies from device type to device type over a
range of ±(5 to 8.5)%. Consult the complete data sheet to determine the exact test conditions and minimum/maximum limits forthe
zener vo~age.

4. MMSZ2V4-24T1
MMSZ27-56T1

Izr = 5 rnA (pulse).
Izr = 2 rnA (pulse).

Tolerance is ±(5 to 8.5)% depending on type number. Each device
type also has other Vz minimax limits at two other Izr pulse current
values.

5. MMSZ4678Tt Series

Izr = 50 IlA (T.E.).

No suffix = ±5%.

Power Ratings represent the capability of the case size listed as
supplied by Motorola. These ratings may be higher than the same
device types supplied by other manufacturers.

6. MMSZ5221B-42BTI
Izr = 20 rnA (T.E.).
MMSZ5243B-83BTt
Izr @ approximately 125 mW point (T.E.).
A suffix = ±1 0%.
B suffix = ±5"10.

Vz Test Conditions and Tolerances
2. BZX84C2V4L-C24LTt
BZX84C27L-C75LTt
IZT = 2 rnA (pulse).

Izr = 5 rnA (pulse).

Tolerance is ±(5 to 8.5)% depending on type number. Each device
type also has other Vz minimax limits at two other Izr pulse current
values.

3. MMBZ5221BL-42BLTtlzr = 20 rnA (pulse).
MMBZ5243BL-70BLTt
Izr @ approximately 125 mW point (pulse).

7. ISMA5913BT3Series
Izr @ approximately 375 mW point (T.E.).
BT3 suffix = ±5"10.
T3 suffix designates tape and reel of 2500 un~s.

8. ISMB5913BT3Series
Izr @ approximately 750 mW point (T.E.).

=

BT3 suffix ±5"10.
T3 suffix designates tape and reel of 2500 units.

BL suffix = ±5"10.

TVSlZeners

5.2-26

Motorola Master Selection Guide

Zener Diodes
Table 17. 225 mW Rating on FR-5 Board - Case 318-08 - SOT-23

3

foI

0
3

1

0

1

Cathode

Anode

CASE 318-08, STYLE 8
SOT-23 (TQ-236AB)
PLASTIC

ELECTRICAL CHARACTERISTICS (Pinout: 1-Anode, 2-NC, 3-Cathode) (VF =0.9 V Max
Zener Voltage

VZl (Volts)
@IZT1=5mA

Max
Reverse
Leakage
Current

Max Zener

Number

Marldng

Nom

Min

Max

Impedance
ZZTl
(Ohms)
@IZT1=
5mA

BZX84C2V4LTI
BZX84C2V7LTI
BZX84C3VOLTI
BZX84C3V3LTI
BZXB4C3V6LTI

Z11
Z12
Z13
Z14
Z15

2.4
2.7
3
3.3
3.6

2.2
2.5
2.8
3.1
3.4

2.6
2.9
3.2
3.5
3.8

100
100
95
95
90

50
20
10
5
5

BZX84C3V9LTI
BZX84C4V3LTI

Z16
W9
ZI
Z2
Z3

3.9
4.3
4.7
5.1
5.6

3.7
4

90
90

4.4

4.8
5.2

4.1
4.6
5
5.4
6

Z4
Z5
Z6
Z8

6.2
6.8
7.5
8.2
9.1

5.8
6.4
7
7.7
8.5

Z9
VI
V2
V3
V4

10
11
12
13
15

V5
V6
V7
V8
V9

16
18
20
22
24

(1)

BZX84C6V2LT1

BZX84C6V8LTI
BZX84C7V5LTI
BZX84C8V2LTI
BZX84C9V1LTl
BZX84Cl OLT1
BZX84Cl1LTI
BZX84CI2LT1

BZX84C13LTI
BZXB4CI5LTI
BZX84C16LTI
BZX84C18LT1

BZXB4C20LTI
BZXB4C22LTI
BZXB4C24LTI

Z7

Max Zener
Impedance

VZ3(Volts)
@IZT3=2OmA
(1)

Min

Max

Max

1
1
1
1
1

1.7
1.9
2.1
2.3
2.7

2.1
2.4
2.7
2.9
3.3

600
600
600
600
600

2.6
3
3.3
3.6
3.9

3.2
3.6
3.9
4.2
4.5

60
40

3
3
3
2
1

1
1
2
2
2

2.9
3.3
3.7
4.2
4.8

3.5
4
4.7
5.3
6

600
600
500
480
400

4.1
4.4
4.5
5
5.2

6.6
7.2
7.9
8.7
9.6

10
15
15
15
15

3
2
1
0.7
0.5

4
4
5
5
6

5.6
6.3
6.9
7.6
8.4

6.6
7.2
7.9
8.7
9.6

150

9.4
10.4
11.4
12.4
13.8

10.6
11.6
12.7
14.1
15.6

20
20
25
30
30

0.2
0.1
0.1
0.1
0.05

7
8
8
8
10.5

9.3
10.2
11.2
12.3
13.7

10.6
11.6
12.7
14
15.5

15.3
16.8
18.8
20.8
22.8

17.1
19.1
21.2
23.3
25.6

40
45
55
55
70

0.05
0.05
0.05
0.05
0.05

11.2
12.6
14
15.4
16.8

15.2
16.7
18.7
20.7
22.7

17
19
21.1
23.2
25.5

eo

Volts

ZZTl
Below
VZ1 Below
@IZT1=2mA

BZXB4C27LTI
BZXB4C30LTI
BZXB4C33LTI
BZXB4C36LT1
BZXB4C39LTI

Vl0
Vl1
V12
V13
V14

27
30
33
36
39

25.1
28
31
34
37

28.9
32
35
38
41

BZXB4C43LTI
BZXB4C47LTI
BZXB4C51LTI
BZXB4C56LTI
BZX84C62LTI

VIS
V16
V17
V18
V19

43
47
51
56
62

40
44
4B
52
58

BZXB4C68LTI
BZXB4C75LTI

V20
V21

68
75

64
70

VZ2Beiow
@IZT2=0.1
mA

@IZT1=
2mA

eo
eo
eo

=10 rnA for all types)

Max Zener
Impedance
ZZT3
(Ohms)
@IZT3=
20mA

Min

@ VR
mA

IR

@ IF

Zener Voltage

ZZT2
(Ohms)
@IZTI2=
lmA

Type

SZX84C4V7LT1
BZX84C5V1LT1
BZX84C5V6LT1

Zener Voltage
VZ2 (Volts)
@1ZT2=lmA
(1)

dVz/dl
(mV/k)
@IZT1=5mA

~~
@VR=O

Min

Max

1=1 MHz

50
50
50
40
40

-3.5
-3.5
-3.5
-3.5
-3.5

0
0
0

a
a

450
450
450
450
450

4.7
5.1
5.4
5.9
6.3

30
30
15
15
10

-3.5
-3.5
-3.5
-2.7
-2.0

-2.5
0
0.2
1.2
2.5

450
450
260
225
200

100

5.8
6.4
7
7.7
8.5

6.8
7.4
8
8.8
9.7

6
6
6
6
8

0.4
1.2
2.5
3.2
3.8

3.7
4.5
5.3
6.2
7.0

185
155
140
135
130

150
150
150
170
200

9.4
10.4
11.4
12.5
13.9

10.7
11.8
12.9
14.2
15.7

10
10
10
15
20

4.5
5.4
6.0
7.0
9.2

8.0
9.0
10.0
11.0
13.0

130
130
130
120
110

200
225
225
250
250

15.4
16.9
18.9
20.9
22.9

17.2
19.2
21.4
23.4
25.7

20
20
20
25
25

10.4
12.4

14.0
16.0
18.0
20.0
22.0

105
100
85
85
80

eo
eo
eo

ZZT2
Below
@IZT4=
0.5mA
(2)

VZ3 Below
@IZT3=10mA

ZZT3
Below
@1ZT3=
10mA

90
130

0.05
0.05
0.05
0.05
0.05

18.9
21
23.1
25.2
27.3

25
27.8
30.8
33.8
36.7

28.9
32
35
38
41

300
300
325
350
350

25.2
28.1
31.1
34.1
37.1

29.3
32.4
35.4
38.4
41.5

45
50
55
60
70

46
50
54
60
66

150
170
180
200
215

0.05
0.05
0.05
0.05
0.05

30.1
32.9
35.7
39.2
43.4

39.7
43.7
47.6
51.5
57.4

46
50
54
60
66

375
375
400
425
450

40.1
44.1
48.1
52.1
58.2

46.5
50.5
54.6
60.8
67

72
79

240
255

0.05
0.05

47.6
52.5

63.4
69.4

72
79

475
500

64.2
70.3

73.2
80.2

130
140

14.4

16.4
18.4

dVZ/dl
(mVlk) Below
@IZTp2mA
21.4
24.4
27.4
30.4
33.4

25.3
29.4
33.4
37.4
41.2

70
70
70
70
45

eo

37.6

46.6

40

90

42.0

51.8

40

100
110
120

46.6
52.2
58.8

57.2
63.8
71.6

40
40
35

65.6
73.4

79.8
88.6

35
35

(1) Vz is measured with a pulse test current (lZT) applied at an ambient temperature of 25°C.

(2) The zener impedance, ZZT2. for the 27 through 75 volt types is tested at 0.5 rnA rather than the test current of 0.1 rnA used for VZ2.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.2-27

TVS/Zeners

Zener Diodes
Table 18. 225 mW Rating on FR-5 Board - Case 318-()8 - SOT-23

'.'

}4

0
3
Cathode

0
1
Anode

CASE 318-08, STYLE 8
SOT-23 (To-236AB)
PLASTIC

2

ELECTRICAL CHARACTERISTICS (Pinout: 1-Anode, 2-NC, 3-Cathode) (VF = 0.9 V Max @ IF = 10 rnA for all types.)

Marking

Test
Current
IZT
mA

Zener
Voltage
VZ{±5%)
Nominal (I)

ZZK
Iz=0.25mA
o Max

ZZT
IZ=IZT
@10%Mode
o Max

MMBZ5221BLTI
MMBZ5222BLTI
MMBZ5225BLTI

18A
18B
18E

20
20
20

2.4
2.5
3

1200
1250
1600

30
30
29

100
100
50

1
1
1

MMBZ5226BLTI
MMBZ52288LTf
MMBZ5229BLTI
MMBZ5230BLTI

8A
8C
8D
8E

20
20
20
20

3.3
3.9
4.3
4.7

1600
1900
2000
1900

28
23
22
19

25
10
5
5

1
1
1
2

MM8Z52318LT1
MM8Z52328LTf
MMBZ5233BLTI
MMBZ5234BLTf
MMBZ5235BLTf

8F
8G
8H
8J
8K

20
20
20
20
20

5.1
5.6
6
6.2
6.8

1600
1600
1600
1000
750

17
11
7
7
5

5
5
5
5
3

2
3
3.5
4
5

MMBZ5236BLTI
MMBZ5237BLTI
MMBZ5239BLTI
MMBZ52408LTf

8L
8M
8P
80

20
20
20
20

7.5
8.2
9.1
10

500
500
600
600

6
8
10
17

3
3
3
3

6
6.5
7
8

MMBZ5241BLTI
MMBZ5242BLTf
MMBZ5243BLTI
MMBZ5244BLTI
MMBZ52458LT1
MMBZ5246BLTI
MMBZ5247BLTI
MMBZ52488LTf
MMBZ5249BLTI
MMBZ5250BLT1

8R
8S
8T
8U
8V

20
20
9.5
9
8.5

11
12
13
14
15

22
30
13
15
16

8W
8X
8Y
8Z
81A

7.8
7.4
7
6.6
6.2

2
1
0.5
0.1
0.1
0.1
0.1
0.1
0.1
0.1

8.4
9.1
9.9
10
11
12
13
14
14
15

MMBZ5251BLTI
MMBZ5252BLTI
MMBZ5254BLTf
MMBZ5255BLTI

81B
81C
81E
81F

5.6
5.2
4.6
4.5

16
17
18
19
20
22
24
27
28

600
600
600
600
600
600
600
600
600
600

0.1
0.1
0.1
0.1

17
18
21
21

MMBZ5256BLTI
MMBZ5257BLTf
MMBZ5258BLTI
MMBZ5259BLTI

81G
81H
81J
81K

4.2
3.8
3.4
3.2

30
33
36
39

0.1
0.1
0.1
0.1

23
25
27
30

Device

600
600
600
600
600
700
700
800

17
19
21
23
25
29
33
41
44
49
58
70
80

Max
IR @

VR

ItA

V

(1) Vz is measured at pulse test current (Izr) at an ambient temperature of 25'C.

Devices listed in bold, italic are Motorola preferred devices.

TVSlZeners

5.2-28

Motorola Master Selection Guide

Zener Diodes
Table 18. 225 mW Rating on FR-5 Board - Case 318-08 - SOT-23 (continued)
ELECTRICAL CHARACTERISTICS (Pinout: 1-Anode, 2-NC, 3-Cathode) (VF = 0.9 V Max @ IF = 10 rnA for all types.)

Marking

Test
Current
Izr
rnA

Zener
Voltage
VZ(±5%)
Nomlnal(l)

ZZK
IZ= 0.25 mA
QMax

Zzr
IZ=lzr
@10%Mode
QMax

MMBZ5261BLTl
MMBZ5262BLTl
MMBZ5263BLT1
MMBZ5265BLT1

81M
81N
81P
81R

2.7
2.5
2.2
2

47
51
56
62

1000
1100
1300
1400

105
125
150
185

0.1
0.1
0.1
0.1

36
39
43
47

MMBZ5266BLTl
MMBZ5268BLTl
MMBZ5269BLTl
MMBZ5270BLTl

81S
81U
81V
81W

1.8
1.5
1.4
1.4

68
82
87
91

1600
2000
2200
2300

230
330
370
400

0.1
0.1
0.1
0.1

52
62
68
69

Device

Max
IR @
IlA

VR
V

(1) Vz is measured at pulse test current (Izr) at an ambient temperature of 25'C.

Table 19. 500 mW Rating on FR-4 or FR-5 Board - Case 425-04 - S00-123

--

CASE 425-04, STYLE 1
SOo-123
PLASTIC

ELECTRICAL CHARACTERISTICS (TA = 25'C unless otherwise noted(l), (VF = 0.9 V Max. @ IF = 10 rnA for all types)
Zener Voltage

VZ~Ip:

Test
Voltage
VR

Volts 1, ,3)
Type
Number

Marking

Nom

Min

Max

Volts

MMSZ5221BT1
MMSZ5222BT1
MMSZ5223BTl
MMSZ5224BTl
MMSZ5225BT1

Cl
C2
C3
C4
C5

2.4
2.5
2.7
2.8
3.0

2.28
2.38
2.57
2.66
2.85

2.52
2.63
2.84
2.94
3.15

20
20
20
20
20

MMSZ5226BTl
MMSZ5227BTl
MMSZ5228BTl
MMSZ5229BT1
MMSZ5230BT1

Dl
D2
D3
D4
D5

3.3
3.6
3.9
4.3
4.7

3.14
3.42
3.71
4.09
4.47

3.47
3.78
4.10
4.52
4.94

20
20
20
20
20

(1)
(2)
(3)
(4)

Max Zener
Impedance (4)

Max Reverse
Leakage
Current
IR@VR

Test
Voltage
VR

Zzr
@IZ=lzr
Q

ZZK
@ IZK = 0.25 mA
Q

IlA

Volts

30
30

30

1200
1250
1300
1400
1600

100
100
75
75
50

1
1
1
1
1

28
24
23
22
19

1600
1700
1900
2000
1900

25
15
10
5
5

1
1
1
1
2

30
30

Nominal zener voltage is measured w~h the device junction in thermal equilibrium at TL = 3Q'C ± I'C.
All part numbers shown indicate a Vz tolerance of ±S%.
Vz is measured at pulse test current (Izr) at an ambient temperature of 25'C.
Zzr and ZZK are measured by dividing the AC voltage drop across the device by the AC current applied.
The specified limits are for IZ(AC) = 0.1 IZ(DC). with the AC frequency = 1 kHz.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.2-29

TVSlZeners

Zener Diodes
Table 19. 500 mW Rating on FR-4 or FR-5 Board - Case 425-04 - SOD-123 (continued)
ELECTRICAL CHARACTERISTICS (TA = 25°C unless otherwise noted(l), (VF = 0.9 V Max. @ IF = 10 rnA for all types)
Zener Voltage

T.;

Vz ~
Volts 1, ,3)
Type
Number

Test
Voltage
VR

Max Zener
Impedance(4)
ZZT
@IZ=IZT

@ 'ZK

ZZK
0.25 mA

=

Max Reverse
Leakage
Current
'R@VR

Test
Voltage
VR

Marking

Nom

Min

Max

Volts

Q

Q

IlA

Volts

MMSZ5231BT1
MMSZ5232BT1
MMSZ5233BTl
MMSZ5234BT1
MMSZ5235BT1

El
E2
E3
E4
E5

5.1
5.6
6.0
6.2
6.8

4.85
5.32
5.70
5.89
6.46

5.36
5.88
6.30
6.51
7.14

20
20
20
20
20

17
11
7
7
5

1600
1600
1600
1000
750

5
5
5
5
3

2
3
3.5
4
5

MMSZ5236BTl
MMSZ5237BTl
MMSZ5238BTl
MMSZ5239BTl
MMSZ5240BT1

Fl
F2
F3
F4
F5

7.5
8.2
8.7
9.1
10

7.13
7.79
8.27
8.65
9.50

7.88
8.61
9.14
9.56
10.50

20
20
20
20
20

6
8
8
10
17

500
500
600
600
600

3
3
3
3
3

6
6.5
6.5
7
8

MMSZ5241 BTl
MMSZ5242BT1
MMSZ5243BTl
MMSZ5244BTl
MMSZ5245BT1

Hl
H2
H3
H4
H5

11
12
13
14
15

10.45
11.40
12.35
13.30
14.25

11.55
12.60
13.65
14.70
15.75

20
20
9.5
9.0
8.5

22
30
13
15
16

600
600
600
600
600

2
1
0.5
0.1
0.1

8.4
9.1
9.9
10
11

MMSZ5246BT1
MMSZ5247BTl
MMSZ5248BT1
MMSZ5249BT1
MMSZ5250BT1

Jl
J2
J3
J4
J5

16
17
18
19
20

15.20
16.15
17.10
18.05
19.00

16.80
17.85
18.90
19.95
21.00

7.8
7.4
7.0
6.6
6.2

17
19
21
23
25

600
600
600
600
600

0.1
0.1
0.1
0.1
0.1

12
13
14
14
15

MMSZ5251 BTl
MMSZ5252BT1
MMSZ5253BTl
MMSZ5254BT1
MMSZ5255BTl

Kl
K3
K4
K5

22
24
25
27
28

20.90
22.80
23.75
25.65
26.60

23.10
25.20
26.25
28.35
29.40

5.6
5.2
5.0
4.6
4.5

29
33
35
41
44

600
600
600
600
600

0.1
0.1
0.1
0.1
0.1

17
18
19
21
21

MMSZ5256BT1
MMSZ5257BTl
MMSZ5258BT1
MMSZ5259BT1
MMSZ5260BTl

Ml
M2
M3
M4
M5

30
33
36
39
43

28.50
31.35
34.20
37.05
40.85

31.50
34.65
37.80
40.95
45.15

4.2
3.8
3.4
3.2
3.0

49
58
70
80
93

600
700
700
800
900

0.1
0.1
0.1
0.1
0.1

23
25
27
30
33

MMSZ5261BT1
MMSZ5262BTl
MMSZ5263BTl
MMSZ5264BTl
MMSZ5265BTl

Nl
N2
N3
N4
N5

47
51
56
60
62

44.65
48.45
53.20
57.00
58.90

49.35
53.55
58.80
63.00
65.10

2.7
2.5
2.2
2.1
2.0

105
125
150
170
185

1000
1100
1300
1400
1400

0.1
0.1
0.1
0.1
0.1

36
39
43
46
47

MMSZ5266BTl
MMSZ5267BTl
MMSZ5268BTl
MMSZ5269BTl
MMSZ5270BTl

Pl
P2
P3
P4
P5

68
75
82
87
91

64.60
71.25
77.90
82.65
86.45

71.40
78.75
86.10
91.35
95.55

1.8
1.7
1.5
1.4
1.4

230
270
330
370
400

1600
1700
2000
2200
2300

0.1
0.1
0.1
0.1
0.1

52
56
62
68
69

K2

(1) Nominal zener VOltage is measured wilh the device junction in thermal equilibrium at TL = 30aC ± 1ac.
(2) All part numbers shown indicate a Vz tolerance of ±5%.
(3) Vz is measured at pulse lest current (IZT) at an ambient temperature of 25 a C.
(4) ZZT and ZZK are measured by dividing the AC voltage drop across the device by the AC current applied.
The specified limits are for IZ(AC) = 0.1 IZ(DC). with the AC frequency = 1 kHz.

Devices listed in bold, italic are Motorola preferred devices.

TVSlZeners

5.2-30

Motorola Master Selection Guide

Zener Diodes
Table 20. SOO mW Rating on FR-4 or FR-S Board - Case 42S-04 - S00-123
ELECTRICAL CHARACTERISTICS (TA = 25°C unless otherwise noted(1), (VF = 0.9 V Max. @ IF = 10 rnA for all types)
Zener Voltage
VZ@ Izr= 50/-lA
Volts(1,2)

Max Reverse
Leakage
Current
IR@VR

Test
Voltage
VR

Type
Number

Marking

Nom

Min

Max

/-1A

Volts

MMSZ4678T1
MMSZ4679Tl
MMSZ4680T1
MMSZ4681Tl
MMSZ4682T1

CC
CD
CE
CF
CH

1.8
2.0
2.2
2.4
2.7

1.71
1.90
2.09
2.28
2.57

1.89
2.10
2.31
2.52
2.84

7.5
5
4
2
1

1
1
1
1
1

MMSZ4683Tl
MMSZ4684Tl
MMSZ4685Tf
MMSZ4686T1
MMSZ4687T1

CJ
CK
CM
CN
CP

3.0
3.3
3.6
3.9
4.3

2.85
3.14
3.42
3.71
4.09

3.15
3.47
3.78
4.10
4.52

0.8
7.5
7.5
5
4

1
1.5
2
2
2

MMSZ4688T1
MMSZ4689Tf
MMSZ4690Tf
MMSZ4691T1
MMSZ4692Tl

CT
CU
CV
CA
CX

4.7
5.1
5.6
6.2
6.8

4.47
4.85
5.32
5.89
6.46

4.94
5.36
5.88
6.51
7.14

10
10
10
10
10

3
3
4
5
5.1

MMSZ4693T1
MMSZ4694Tl
MMSZ4695Tl
MMSZ4696Tl
MMSZ4697Tl

CY
CZ
DC
DD
DE

7.5
8.2
8.7
9.1
10

7.13
7.79
8.27
8.65
9.50

7.88
8.61
9.14
9.56
10.50

10
1
1
1
1

5.7
6.2
6.6
6.9
7.6

MMSZ4698Tl
MMSZ4699T1
MMSZ4700T1
MMSZ4701Tl
MMSZ4702Tl

DF
DH
DJ
DK
DM

11
12
13
14
15

10.45
11.40
12.35
13.30
14.25

11.55
12.60
13.65
14.70
15.75

0.05
0.05
0.05
0.05
0.05

8.4
9.1
9.8
10.6
11.4

MMSZ4703Tl
MMSZ4704T1
MMSZ4705T1
MMSZ4706T1
MMSZ4707Tl

DN
DP
DT
DU
DV

16
17
18
19
20

15.20
16.15
17.10
18.05
19.00

16.80
17.85
18.90
19.95
21.00

0.05
0.05
0.05
0.05
0.01

12.1
12.9
13.6
14.4
15.2

MMSZ4708Tl
MMSZ4709Tl
MMSZ4710Tl
MMSZ4711Tl
MMSZ4712Tl

DA
DZ
DY
EA
EC

22
24
25
27
28

20.90
22.80
23.75
25.65
26.60

23.10
25.20
26.25
28.35
29.40

0.Q1
0.Q1
0.01
0.01
0.01

16.7
18.2
19.00
20.4
21.2

MMSZ4713Tl
MMSZ4714Tl
MMSZ4715Tl
MMSZ4716Tl
MMSZ4717Tl

ED
EE
EF
EH
EJ

30
33
36
39
43

28.50
31.35
34.20
37.05
40.85

31.50
34.65
37.80
40.95
45.15

0.Q1
0.01
0.01
0.01
0.01

22.8
25.0
27.3
29.6
32.6

(1) Nominal zener vonage is measured with the device junction in thermal equilibrium at TL = 30°C ± 1'C.
(2) All part numbers shown indicate a Vz tolerance of ±5%.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.2-31

TVS/Zeners

Zener Diodes
Table 21. 500 mW Rating on FR-4 or FR-5 Board - Case 425-04 - 500-123
ELECTRICAL CHARACTERISTICS (TA = 25'C unless otherwise noted(I), (VF = 0.9 V Max. @ IF
Zener Voltage
VZl (Volts)
@IZT1 =5 rnA
(2,3)
Type
Number

Marking

Nom

Min

Max

Q

IR
~

MMSZ2V4Tl
MMSZ2V7Tl
MMSZ3VOTI
MMSZ3V3Tl
MMSZ3V6Tl

T1
T2
T3
T4
T5

2.4
2.7
3.0
3.3
3.6

2.28
2.57
2.85
3.14
3.42

2.52
2.84
3.15
3.47
3.78

100
100
95
95
90

50
20
10
5
5

MMSZ3V9Tl
MMSZ4V3Tl
MMSZ4V7Tl
MMSZ5V1T1
MMSZ5V6T1

Ul
U2
U3
U4
U5

3.9
4.3
4.7
5.1
5.6

3.71
4.09
4.47
4.85
5.32

4.10
4.52
4.94
5.36
5.88

90
90
80
60
40

MMSZ6V2T1
MMSZ6V8Tl
MMSZ7V5Tl
MMSZ8V2Tl
MMSZ9V1Tl

VI
V2
V3
V4
V5

6.2
6.8
7.5
8.2
9.1

5.89
6.46
7.13
7.79
8.65

6.51
7.14
7.88
8.61
9.56

AI

AS

10
11
12
13
15

9.50
10.45
11.40
12.35
14.25

XI
X2
X3
X4
X5

16
18
20
22
24

15.20
17.10
19.00
20.80
22.80

MMSZ10Tl
MMSZ11Tl
MMSZ12Tl
MMSZ13Tl
MMSZ15Tl
MMSZ16Tl
MMSZ18T1
MMSZ20Tl
MMSZ22Tl
MMSZ24Tl
(1)
(2)
(3)
(4)

Max Zener
Impedance
ZZT1
@IZTl =5mA
(21)

A2
A3

A4

=10 rnA for all types)

Max
Reverse
Leakage
Current
@ VR
Volts

Zener Voltage
VZ2(Volts)
@IZT2=lmA
(3)

Max Zener
Impedance
ZZT2
@IZT1=lmA

(4)
Min

Max

Q

1
1

1.7
1.9
2.1
2.3
2.7

2.1
2.4
2.7
2.9
3.3

600
600
600
600
600

3
3
3
2
1

1
1
2
2
2

2.9
3.3
3.7
4.2
4.8

3.5
4.0
4.7
5.3
6.0

600
600
500
480
400

10
15
15
15
15

3
2
1
0.7
0.5

4
4
5
5
6

5.6
6.3
6.9
7.6
8.4

6.6
7.2
7.9
8.7
9.6

150
80
80
80
100

10.50
11.55
12.60
13.65
15.75

20
20
25
30
30

0.2
0.1
0.1
0.1
0.05

7
8
8
8
10.5

9.3
10.2
11.2
12.3
13.7

10.6
11.6
12.7
14.0
15.5

150
150
150
170
200

16.80
18.90
21.00
23.10
25.20

40
45
55
55
70

0.05
0.05
0.05
0.05
0.05

11.2
12.6
14
15.4
16.8

15.2
16.7
18.7
20.7
22.7

17.0
19.0
21.1
23.2
25.5

200
225
225
250
250

1
1
1

Nominal zener voltage is measured with the device junction in thermal equilibrium at T L = 30"C ± 1"C.
All part numbers shown indicate a Vz tolerance of ±S%.
Zener voltage is measured with the zener current applied for PW = 1.0 ms.
ZZT and ZZK are measured by dividing the AC voltage drop across the device by the AC current applied.
The specified limits are for IZ(AC) = 0.1 IZ(DC). with the AC frequency = 1 kHz.

Devices listed in bold, italic are Motorola preferred devices.

TVSlZeners

5.2-32

Motorola Master Selection Guide

Zener Diodes
Table 21. 500 mW Rating on FR-4 or FR-5 Board - Case 42!H)4 - 800-123 (continued)
ELECTRICAL CHARACTERISTICS (TA = 25°C unless otherwise noted(1), (VF = 0.9 V Max. @ IF = 10 rnA for all types)
Zener Voltage
VZ1 (Volts)
@IZT1 =2mA
(2,3)

Max Zener
Impedance
ZZT1
@IZT1=2mA
(4)

Max
Reverse
Leakage
Current

Zener Voltage
VZ2 (Volts)
@IZT2=0.1mA
(3)

Max Zener
Impedance
ZZT2
@ IZT1 =0.5
mA
(4,5)

Marking

Nom

Min

Max

Q

IR
~

@ VR
Volts

Min

Max

Q

MMSZ39T1

Y1
Y2
Y3
Y4
Y5

27
30
33
36
39

25.65
28.50
31.35
34.20
37.05

28.35
31.50
34.65
37.80
40.95

80
80
80
90
130

0.05
0.05
0.05
0.05
0.05

18.9
21
23.1
25.2
27.3

25
27.8
30.8
33.8
36.7

28.9
32
35
38
41

300
300
325
350
350

MMSZ43T1
MMSZ47T1
MMSZ51T1
MMSZ56T1
MMSZ62T1

Z1
Z2
Z3
Z4
Z5

43
47
51
56
62

40.85
44.65
48.45
53.20
58.90

45.15
49.35
53.55
58.80
65.10

150
170
180
200
215

0.05
0.05
0.05
0.05
0.05

30.1
32.9
35.7
39.2
43.4

39.7
43.7
47.6
51.5
57.4

46
50
54
60
66

375
375
400
425
450

MMSZ68T1
MMSZ75T1

Z6
Z7

68
75

64.60
71.25

71.40
78.75

240
255

0.05
0.05

47.6
52.5

63.4
69.4

72
79

475
500

Type
Number
MMSZ27T1
MMSZ30T1
MMSZ33T1
MMSZ36T1

Nominal zener voltage is measured with the device junction in thermal equilibrium at TL = 30°C ± toc.
All part numbers shown indicate a Vz tolerance of ± 5%
Zener voHage is measured wHh the zener current applied for PW = 1.0 ms.
Zzr and ZZK are measured by dividing the AC voHage drop across the device by the AC current applied. The specified (imits are for
IZ(AC) 0.1 IZ(DC}. with the AC frequency 1 kHz
(S) The zener impedance. Zzr2. for the 27 through 75 volt types is tested at O.S mA rather than the test current of 0.1 mA used for VZ2.

(I)
(2)
(3)
(4)

=

=

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.2-33

TVS/Zeners

Voltage Reference Diodes
Temperature Compensated
Reference Devices
For applications where output voltage must remain within narrow
limits during changes in input voltage, load resistance and
temperature. Motorola guarantees all reference devices to fall
within the specified maximum voltage variations, !'!.VZ, at the
specifically indicated test temperatures and test current (JEDEC
Standard #5). Temperature coefficient is also specified but
should be considered as a reference only - not a maximum
rating.
Devices in this table are hermetically sealed structures.

Glass
CASE 299-02
DO-204AH
(00-35)

Cathode = Polarity Band

Table 22. Temperature Compensated Reference Devices
AVERAGE TEMPERATURE COEFFICIENT OVER THE OPERATING RANGE
0.01 "fo/"'C

O.0050/0f"C

o.o020/0f"C

0.001 %f"C

o.o0050/0f"C

Testl2 )

Vz
Volts

Tesl
Current
mAdc

Temp
Points

Device

!J.Vz
Max
Volts

Device
Type

!J.Vz
Max
Volts

Device
Type

!J.Vz
Max
Volts

Device
Type

!J.Vz
Max
Volts

Device

Type

Type

!J.Vz
Max
Volts

6.2(1)
6.2(1)

7.5
7.5

A
A

lN821
lN821A

0.096
0.096

lN823
lN823A

0.048
0.048

lN825
lN825A

0.019
0.019

lN827
lN827A

0.009
0.009

lN829
lN829A

0.005
0.005

(1) Non-suffix-ZZT = 15 ohms,"N' Suffix-ZZT = 10 ohms
(2) Test Temperature Points DC: A = -55,0, +25, + 75, +100

Current Regulator Diodes
High impedance diodes whose "constant current source" characteristic complements the "constant voltage" of the zener line.
Currents are available from 0.22 to 4.7 mA, with usable voltage range from a minimum limit of 1.0 to 2.5 Volts, up to a voltage
compliance of 100 Volts, for the 1N5283 series.
Table 23. Current Regulator Diodes

CASE 51'{)2
DO·204AA - GLASS
(00·7)

ELECTRICAL CHARACTERISTICS (TA =25°C unless otherwise noted)
Regulator Current
Ip (mA) @ VT = 25 V

Minimum
Dynamic
Impedance
@VT=25V
ZT(MQ)

Minimum
Knee
Impedance
@VK=6.0V
ZK(MQ)

Maximum
Limiting Voltage
@ IL = 0.8 Ip (min)
VL (Volts)

Type No.

Nom

Min

Max

1N5283
1N5287
1N5297
1N5298
1N5305
1N5309

0.22
0.33
1.00
1.00
2.00
3.00

0.198
0.297
0.900
0.900
1.80
2.70

0.242
0.363
1.100
1.210
2.20
3.30

25.0
6.6
O.BOO
0.700
0.395
0.300

2.75
1.35
0.205
0.180
0.061
0.029

1.00
1.00
1.35
1.40
1.85
2.25

lN5310
lN5311
1N5312
1N5313
1N5314

3.30
3.60
3.90
4.30
4.70

2.97
3.24
3.51
3.87
4.23

3.63
3.96
4.29
4.73
5.17

0.280
0.265
0.255
0.245
0.235

0.024
0.020
0.017
0.014
0.012

2.35
2.50
2.60
2.75
2.90

Devices listed in bold, italic are Motorola preferred devices.

TVSlZeners

5.2-34

Motorola Master Selection Guide

Hybrid Power Module
Operation

In Brief ...
Page
Integrated Power Stage IGBT . . . . . . . . . . . . . . . . . . .. 5.3-2

The Motorola Semiconductor Products Sector is proud to
announce the formation of a new group: Hybrid Power
Modules. Our operation has been in existence since August
of 1992, and we're chartering new ground to become the
world's fastest supplier of intelligent, energy efficient power
modules for motor drive and uninterruptable power supply
applications.
It's an exciting market, with 50 million motors being
manufactured per year, and fewer than 5% of those using
electronically controlled drives. Motorola Hybrid Power
Modules will play a major role in supplying those power
modules.
With Motorola's technology broadth, we're well
positioned to develop highly integrated, intelligent IGBT
(insulated gate bipolar transistor) power modules. The IGBT
technology combines high current handling capability with
low input current requirements in a smaller form factor which
enables the design of more compact inverters. We have the
capabilities to support custom modules (based on annual
volume requirements) and offer the fastest possible time to
market. Present integrated IGBT modules range from 5 to 30
amps, 600 and 1200 volts are also in our product portfolio.
Our plans for the future include a family of advanced
modules for applications in higher current and higher voltage
devices and control networks.
To summarize, we believe that we offer the leading edge
technology combined with a state-of-the-art flexible
manufacturing line and rapid cycle time that can give you the
unique ability to differentiate your products in this highly
competitive market.

Motorola Master Selection Guide

5.3-1

Hybrid Power Module Operation

Table 1. Integrated Power Stage IGBT
Maximum Ratings

veES
(V)

Ie (A)

10

5
600
MHPM7ABA120A
7/94

1200

MHPM7A 12A 120A
1/95

15

20-25

30

MHPM7A 15A60A
6/94

MHPM7A20A60A
10/94

MHPM7A30A60B
5/95

MHPM7A16A120B
5/95

MHPM7A25A120B
9/95

CIRCUIT
P1

08

010

P2

012

07
T+

R
S
T

09

011

013

N1

~

B

N2

1-

U
V
W

1+

Includes sense resistor and temperature sensor.

Benefits of Motorola Integrated Power Stage
• combines a 3-phase input rectifier bridge, output inverter and brake transistor in one package
• utilizes Motorola's advanced 600 & 1200 V IGBTs with matched soft free-wheeling diodes
• positive and negative bus access to designer
• temperature and current sense integrated in module

Devices listed in bold, italic are Motorola preferred devices.

Hybrid Power Module Operation

5.3-2

Motorola Master Selection Guide

TMOS Power MOSFETs
Products

In Brief ...
Motorola continues to build a world class portfolio of
TMOS Power MOSFETs with new advances in silicon and
packaging technology. The following new advances have
been made in the area of silicon technology.
• New high voltage devices with voltages up to
1200 volts.
• New High Cell Oensity (HOTMOS) family of standard and
Logic Level devices in both Nand P-<:hannel are
available in OPAK, 02PAK, TQ-220 and S0-8 surface
mount packages and in the industry standard TQ-220
package.

Page
TMOS Power MOSFETs ........................ 5.4-1
TMOS Power MOSFETs Numbering System .... 5.4-2
HOTMOSTM Power MOSFETs ................. 5.4-3
TMOS V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.4-5
SMARTOISCRETES Products. . . . . . . . . . . . . . . .. 5.4-7
N-Channel MOSFETs .. . . . . . . . . . . . . . . . . . . . . .. 5.4-8
S0-8 MiniMOS ........................... 5.4-S
S0-8 EZFET . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.4-9
MicroS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.4-9
SOT-223 ................................ 5.4-9
OPAK .................................. 5.4-10
02PAK ................................. 5.4-11
03PAK ................................. 5.4-12
TO-220AB .............................. 5.4-13
TQ-247 ................................. 5.4-15
TQ-264 ................................. 5.4-16
P-Channel MOSFETs ....................... 5.4-17
S0-8 MiniMOS .......................... 5.4-17
MicroS .................................. 5.4-17
SOT-223 ............................... 5.4-1S
OPAK .................................. 5.4-1S
02PAK ................. : ............... 5.4-19
TQ-220AB .............................. 5.4-19
Logic Level MOSFETs . . . . . . . . . . . . . . . . . . . . . .. 5.4-20
SOT-223 ............................... 5.4-20
OPAK .................................. 5.4-20
02PAK ................................. 5.4-21
TQ-220AB .............................. 5.4-21
Insulated Gate Bipolar Transistors (IGBTs) ..... 5.4-22
N-Channel ... . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.4-22
Ignition IGBTs . . . . . . . . . . . . . . . . . . . . . . . .. 5.4-22
Standard and Copackaged IGBTs . . . . . . .. 5.4-22

• New TMOS V fifth generation of Motorola Power MOSFET
technology. This is a new processing technique that more
than doubles the present cell density of our MOSFET
devices.
• New MicroS package is the smallest power MOSFET
surface mount package.
• New EZFETTM surface mount power MOSFETs
incorporate back to back zener diodes across the
gate-Io-source to enhance ESO protection.
• New IGBTs with high short circuit capability in TQ-220,
TQ-247 and TO-264 packages.
The followirg new advances have been made in the area
of packaging technology.
• New SO-S (MiniMOS) and SOT-223 packages to the
surface mount portfolio.
• New High Power packages capable of housing very large
die and higher power dissipation are now available in the
TQ-264 (formerly TQ-3PBL) and SOT-227B (Isotop)
packages.
• New 03PAK package allows the highest power dissipation
of any standard, plastic surface-mount power
semiconductor.

Motorola Master Selection Guide

5.4-1

TMOS Power MOSFETs

TMOS
Power MOSFETs
TMOS Power MOSFETs Numbering System
Wherever possible, Motorola has used the following numbering systems for TMOS power MOSFET products.

MTP75N06HD
MOTOROLA
X FOR ENGINEERING SAMPLES
TMOS
T FOR TMOS
L FOR SMARTDISCRETES
G FOR IGBT

I

L-I- - OPTIONAL SUFFIX:
L FOR LOGIC LEVEL
E FOR ENERGY RATED
T4 FOR TAPE & REEL (DPAKlD2PAK)
RL FOR TAPE & REEL (DPAK)
HD FOR HIGH CELL DENSITY
V FOR TMOS V (FIVE)

PACKAGETYPE------------~

P FOR PLASTIC TQ-220
D FOR DPAK
A FOR TO-220 ISOLATED
WFORTO-247
B FOR D2PAK
Y FORTQ-264
E FOR SOT-227B
V FOR D3PAK

' - - - - - VOLTAGE RATING DIVIDED BY 10
' - - - - - - CHANNEL POLARITY, N OR P

Example of exceptions: MTDIMTP3055E
MTD/MTP2955E

CURRENT------------------~

SO-8 {MiniMOS)TM, Micro8™ and SOT-223 Power MOSFETs

L

MMSF4P01 HDR1

II

R1 AND R2 FOR TAPE & REEL
MiniMOS
T1 AND T3 FOR TAPE & REEL
SOT-223
OPTIONAL SUFFIX:
E FOR ENERGY RATED
HD FOR HIGH CELL DENSITY
L FOR LOGIC LEVEL
V FOR TMOS V (FIVE)
ZFORESD

MOTOROLA-------...J

TMOS - - - - - - - - - - - - - '
M FOR MINIATURE
PACKAGETYPE---------~

DF - DUAL FET (SQ-8)
SF - SINGLE FET (SQ-8)
FT - FET TRANSISTOR (SOT-223)
MTSF - SINGLE FET (Mlcro8)
MTDF - DUAL FET (Micro8)
CURRENT _ _ _ _ _ _ _ _ _ _ _ _--'

TMOS Power MOSFETs

' - - - - - VOLTAGE RATING DIVIDED BY 10
CHANNEL POLARITY, N OR P
C FOR COMPLEMENTARY

L.._ _ _ _ _ _ _ _

5.4-2

Motorola Master Selection Guide

y

CASE 418B-02
02PAK

TMDS

HDTMOS Power MOSFETs
Nand P-Channel

CASE 369A-13
OPAK

••

CASE 751-05

CASE 846A-01
Micro8

so-a

HDTMOS Technology is a design technique that reduces the on-resistance contribution in virtually every portion of the power
FET. The aggressive six million cells per square inch design is easily manufactured using wafer fabrication techniques that
Motorola has used for several years to manufacture highly successful 8-bit microcontrollers.
HDTMOS devices are designed for use in low voltage, high speed switching applications where power efficiency is important.
Typical applications are dc-dc converters and power management in portable and battery powered products such as computers,
printers, cellular and cordless phones. They can also be used for low voltage motor controls in mass storage products such as disk
drives and tape drives.

Table 1. High Power
V(BRlOSS
(V)

60

50
30

10V(mn)

ROS(onl @ VGS
5 V (mn)

45

-

-

45
150

10
14
10
14

-

9.50
9.50

-

6.0

6.0

-

10
2.7 V (mn)

-

-

-

35

-

99
7.5
30
7.5
30

-

-

(A)

Motorola
Part Number

Package
Type

20
20
15
75
60
75
60

MT020N06HO(4)
MTD20N06HDL(4)
MT020P06HDL(4)(5)
MTB75N06HO(4)
MTB60N06HD(4)
MTP75N06HD
MTP60N06HD

DPAK
DPAK
DPAK
D2pAK
D2pAK
TO-220
TD-220

75
75

MTP75N05HD
MTB75N05HO(4)

TO-220
02PAK

20
19
75
50
75
50

MTD20N03HDL(4)
MTD20P03HDL(4) (5)
MTB75N03HoL(4)
MTB50P03HDL(4)(5)
MTP75N03HDL
MTP50P03HOL(5)

DPAK
DPAK
02PAK
02PAK
TD-220
TO-220

(4) AvaIlable In tape and reel- add T4 suffIx to part number.
(5) Indicates P-Channel

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.4-3

TMOS Power MOSFETs

HDTMOS Power MOSFETs
Table 2. SOIC -

(continued)

COMPLEMENTARY, Nand P-Channel
10

ROStonl @ vGS

VtBRlOSS

Package
lYpe

Po (3)
(Watts)
Max

MMDF1N05E

50-8

1.5

2
3
2
2.8
5

MMDF2P03HD
MMSF3P03HD
MMDF2C03HD
MMDF3N03HD
MMSF5N03HD

50-8
50-8
50-8
50-8
50-8

1.5
1.5
1.5
1.5
1.5

-

2
2
2
2
2
2
3
3
5

MMSF2P02E
MMDF2P02E
MMDF2P02HD
MMDF2C02E
MMDF2N02E
MMDF2C02HD
MMDF3N02HD
MMSF3P02HD
MMSF5N02HD

50-8
50-8
50-8
50-8
50-8
SO-8
SO-8
SO-8
SO-8

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

220
110
55/220(11)
55

2
4
2
4

MMDF2P01HD
MMSF4P01HD
MMDF2C01HD
MMDF4N01HD

SO-8
SO-8
SO-8
SO-8

1.5
1.5
1.5
1.5

(V)

10V
(rna)

4.5V
(rna)

50

300

500

-

1.5

30

200
100
70/200(11)
70
40

300
110
75/300(11)
75
50

20

250
250
160
100/250(11 )
100
901160(11)
90
75
25

400
400
180
200/400(11 )
200
100/180(11)
100
95
40

-

-

180
100
451180(11)
45

12

-

-

2.7 V
(rna)

(A)
Oevice(5)

-

(3) Power rating when mounted on an FR-4 glass epoxy printed circuit board with the minimum recommended footprint.
(5) Available in tape and reel only - Rl suffix = 500lreel, R2 suffix = 2500/reel.
(11) N-ChanneVP-Channel ROS(on)

Table 3. EZFET
V(BR)OSS
(Volts)
Min
20

Device
MMSF3P02Z

ROS(on)
(rna)
@
Max

Description
Single P-Channel

MMSF4P01Z

30

VGS
(Volts)

10
(cont)
Amps

VGS
(Volts)
Max

Package
So-a

75
90

10
4.5

3

±15

70
90

4.5
2.7

4

±8

MMSF6N01Z

Single N-Channel

25
30

4.5
2.7

6

MMDF4N01Z

Dual N-Channel

45
55

4.5
2.7

4

MMSF5N03Z

Single P-Channel

30
40

10
4.5

5

±15

Table 4. Micro8
V(BR)OSS
(Volts)
Min

10
(cont)
Amps

ROS(on)
(rna)
Max

VGS
(Volts)

20

190

2.7

2

MTSF1P02HD

20

200

2.7

1.5

MTDF1N02HD

Dual N-Channel

30

75

4.5

3

MTSF3N03HD

Single N-Channel

30

225

4.5

1.5

MTDF1N03HD

Dual N-CHannel

Device

Product
Description
Single P-Channel

Devices listed in bold, italic are Motorola preferred devices.

TMOS Power MOSFETs

5.4--4

Motorola Master Selection Guide

TMOSV
Motorola Introduces Fifth Generation TMOS Technology
Power Products Division introduces a new technology in the low voltage TMOS transistor family. This new generation technology
is currently referred to as TMOS V. It is revolutionary rather than evolutionary.
The TMOS V technology will more than double the present cell density of our TMOS Power MOSFETs. This new technology will
result in a tighter overall distribution of electrical parameters and optimizes the performance of our 50 and 60 volt portfolio.
This is a high cell density process of the future that will produce a new line of industry standard devices. Power transistors can
now be built with the same high resolution/small geometry MOS fabrication technology that is standard in Motorola's ASIC,
microprocessor and Memory Wafer Fabs.

Table 1. TMOS V - DPAK N-Channel
V(BR)OSS
(Volts)
Min

60

ROS(on)
(Ohms)
Max

@

10
(Amps)

Device

Po

10
(cant)
Amps

(Watts)

Max

0.150

6

MT03055V(4)

12

1.75(3)

0.180

6

MT03055VL(2)(4)

12

1.75(3)

0.120

7.5

MT015N06V(4)

15

1.75(3)

0.120

7.5

MT015N06VL(2)(4)

15

1.75(3)

0.100

10

MT020N06V(4)

20

1.75(3)

(Watts)

Table 2. TMOS V - T0--220AB N-Channel

Po

Max

10
(Amps)

Device

10
(cant)
Amps

0.150

6

MTP3055V

12

0.180

6

MTP3055VL(2)

12

48(1)

V(BR)OSS
(Volts)
Min

ROS(on)
(Ohms)

60

@

Max
48(1)

0.120

7.5

MTP15N06V

15

55(1)

0.120

7.5

MTP15N06VL(2)

15

65(1)

0.100

10

MTP20N06V

20

65(1)

0.040

16

MTP36N06V

32

90(1)

0.050

15

MTP30N06VL(2)

30

90(1)

0.028

21

MTP50N06V

42

125(1)

0.032

21

MTP50N06VL(2)

42

125(1)

0.024

26

MTP52N06V

52

135(1)

0.028

26

MTP52N06VL(2)

52

135(1)

(1)TC=25°C
(2) Indicates logic level
(3) Power rating when mounted on an FR-4 glass epoxy printed circuit board with the minimum recommended footprint.
(4) Available in tape and reel- add T4 suffix to part number.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.4-5

TMOS Power MOSFETs

TMOS V

(continued)

Table 3. TMOS V -

02PAK N-Channel
(Watts)
Max

MTB15N06V(4)

15

3.0(3)

7.5

MTB15N06VL(2)(4)

15

3.0(3)

0.100

10

MTB20N06V(4)

20

3.0(3)

0.040

16

MTB36N06V(4)

32

3.0(3)

0.050

15

MTB30N06VL(2)(4)

30

3.0(3)

0.028

21

MTB50N06V(4)

42

3.0(3)

0.032

21

MTB50N06VL(2)(4)

42

3.0(3)

0.024

26

MTB52N06V(4)

52

3.0(3)

0.028

26

MTB52N06VLl2)(4)

52

3.0(3)

10
(cont)
Amps

(Watts)
Max

ROS(on)
(Ohms)
Max

60

0.120

7.5

0.120

Table 4. TMOS V -

@

10
(Amps)

Device

SOIC-8

V(BR10SS
(Vats)
Min

ROS(on)
(Ohms)
Max

60

0.150
0.180

Table 5. TMOS V -

Po

10
(cant)
Amps

V(BR)OSS
(Volts)
Min

Po

@

10
(Amps)

I
l

0.85

MMDF3055V(4)

1.7

1.8(3)

0.75

MMDF3055VL(2)(4)

1.5

1.8(3)

10
(cont)
Amps

(Watts)
Max

Oevice

SOT-223

Po

V(BR)OSS
(Volts)
Min

ROS(on)
(Ohms)
Max

@

10
(Amps)

60

0.150

I

0.85

MMFT3055V(4)

1.7

0.96(3)

0.180

I

0.75

MMFT3055VL(2)(4)

1.5

0.96(3)

@

10
(Amps)

10
(cont)
Amps

(Watts)
Max

1.75(3)

Table 6. TMOS V -

Device

P-Channel

Po

V(BR)OSS
(Volts)
Min

ROS(on)
(Ohms)
Max

60

0.450

2.5

MTD5P06V(4)

5

0.450

2.5

MTP5P06V

5

40(1)

0.300

6

MTD2955V(4)

12

1.75(3)

0.300

6

MTP2955V

12

55(1)

0.120

11.5

MTB23P06V(4)

23

3.0(3)

0.120

11.5

MTP23P06V

23

90(1)

0.080

15

MTP30P06V

30

125(1)

0.080

15

MTB30P06V(4)

30

3.0(3)

Device

(I)TC=25'C
(2) Indicates logic level
(3) Power rating when mounted on an FR-4 glass epoxy printed circuit board with the minimum recommended footprint.
(4) Available in tape and reel- add T4 suffix to part number.

Devices listed in bold, italic are Motorola preferred devices.

TMOS Power MOSFETs

5.4-6

Motorola Master Selection Guide

T0-220AB
CASE 221 A-06
(MLP PREFIX)
STYLES

SMARTDISCRETES
Products

CASE 41 BIHl2
STYLE 2

From a standard power MOSFET process, several active and passive elements can be obtained that provide on--chip protection
to the basic power device. Such elements require only a small increase in silicon area andlorthe addition of one masking layer to the
process. The resulting device exhibits significant improvements in ruggedness and reliability and a system cost reduction. These
SMARTDISCRETESTM functions can now provide an economical alternative to smart power ICs for power applications requiring
low on-resistance, high voltage and high current.
These devices make up a series of "smart" power devices that automatically clamp spikes in automotive ignition systems and
guard against ESD. The devices feature a logic levellGBT (Insulated Gate Bipolar Transistor) with integral active collector clamp
and ESD gate protection and are designed primarily as ignition coil drivers to withstand high current in a pulsed mode without
latching.

Table 1. Ignition IGBTs

Oevlce

PO(1)
(Watts)
Max

Package

MGP20N14CL

150

T0-220AB

1.B

MGP20N35CL
MGB20N35CL

150
2.5(3)(4)

T0-220AB
02PAK

1.B

MGP20N40CL
MGB20N4OCL

150
2.5(3)(4)

T0-220AB
02PAK

BVCES
(Volts)
Clamped

VCE(on)
@10A

140V

1.B

350 V
400 V
(I)Tc= 25°C

(3) Power rating when mounted on an FR-4 glass epoxy printed circuit board wijh the minimum recommended footprint.
(4) OPAK and 02PAK packages available in tape and reel- add T4 suffix to part number.

D

The MLP1 N06CL is a SMARTDISCRETES device that has integrated on--chip
current limit capability, drain-te-source voltage clamping and gate voltage
protection. The logic level processing allows operation of this device at half of the
gate-te-source (5 volts) voltage of the conventional MOSFETs and can now be
driven directly from CMOS or TTL logic drivers. This integration of technologies
results in an intelligent, monolithic power circuit that offers a reduced parts count and
improved reliability by replacing resistors, diodes, a bipolar transistor and a
MOSFET with one device all of which are packaged in a TQ-220AB package.

Table 2. T0-220AB -

Rl

Go-.......,-+-'

S
MLP1N06CL

MLP1 N06CL
10
(cont)
Amps

PO(1)
(Watts)
Max

MLP1N06CL

Current
Limited

40

MLP2N06CL

Current
Limited

40

V(BR)OSS
(Volts)
Min

ROS(on)
(Ohms)
Max

10
(Amps)

Oevice

60
Clamped Voltage

0.75

1

62
Clamped Voltage

0.4

2

(1) Tc = 25°C
(3) Power rating when mounted on an FR-4 glass epoxy printed circuit board with the minimum recommended footprint.

Oevices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.4-7

TMOS Power MOSFETs

y

• •

TMOS

CASE 751-G5

SCHI

CASE 846A-G1
Mlcro8

STYLE 11, STYLE 13

N-Channel

SO-8 MiniMOSTM and Micro8 Surface Mount Products
MiniMOS devices are an advanced series of power MOSFETs which utilize Motorola's High Cell Density HDTMOS process.
These miniature surface mount MOSFETs feature ultra low RDS(on) and true logic level performance.
MiniMOS devices are designed for use in low voltage, high speed switching applications where power efficiency is important.
Typical applications are dc--dc converters and power management in portable and battery powered products such as computers,
printers, cellular and cordless phones. They can also be used for low voltage motor controls in mass storage products such as disk
drives and tape drives.
•
•
•
•
•
•
•

Ultra Low RDS(on) Provides Higher Efficiency and Extends Battery life
Logic Level Gate Drive - Can Be Driven by Logic ICs
Miniature S0-8 Surface Mount Package - Saves Board Space
Diode Is Characterized for Use In Bridge Circuits
Diode Exhibits High Speed, with Soft Recovery
lOSS and VDS(on) Specified at Elevated Temperature
Avalanche Energy Specified
Table 1. 80-8 Products - N-Channel

(V)

10

ROS(on) @ VGS

V(BR)OSS
10V

4.5 V

2.7V

(mO)

(mO)

(mO)

50

300

500

30

40
70
70/200(11)

50
75
751300

-

20

25
90
100
90/160(11)
100/250(11)

40
100
200
100/180(11 )
200/400( 11 )

-

45
451180(11)

12

(A)
Device (5)

Package
Type

Po (3)
(Watts)
Max

1.5

MMOF1N05E

SO-8

1.5

5
2.8
2

MMSF5N03HO
MMOF3N03HO
MMOF2C03HO

SO·8
SO-8
SO-8

1.5
1.5
1.5

-

5
3
2
2
2

MMSF5N02HO
MMOF3N02HO
MMOF2N02E
MMOF2C02HO
MMOF2C02E

SO-8
SO-8
SO-8
SO-8
80-8

1.5
1.5
1.5
1.5
1.5

55
55/220(11)

4
2

MMOF4N01HO
MMOF2C01HO

SO-8
SO-8

1.5
1.5

-

(3) Power rating when mounted on an FR--4 glass epoxy printed circuit board with the minimum recommended footprint.
(5) Available in tape and reel only - Rl suffix = SOO/reel, R2 suffix = 2S00/reel.
(11) N--ChanneVP--Channel ROS(on)

Devices listed in bold, italic are Motorola preferred devices.

TMOS Power MOSFETs

5.4-8

Motorola Master Selection Guide

N-Channel

,r

(continued)

•

TMOS

CASE 751-05

S0--8

STYLE 11, STYLE 13

N-Channel
SO-8 EZFE,,-M -

Power MOSFETs with Zener Gate Protection

• New Family of Low RDS(on) MOSFETs with monolithic back-Ie-back zener diodes across
the gate to source.
• HDTMOSTM Technology (High Cell Density TMOS)
• Extremely Low RDS(on) provides higher efficiency and increased battery life in portable applications

G~
S

N-Channel

Table 2. EZFET
V(BR)OSS
(Volts)
Min

Device

20

ROS(on)
(ma)
Max

Description

@

VGS
(Volts)

10
(cont)
Amps

VGS
(Volts)
Max

Package

±8

S0-8

MMSF6N01Z

Single N-Channel

25
30

4.5
2.7

6

MMDF4N01Z

Dual N-Channel

45
55

4.5
2.7

4

Table 3. MicroS
V(BR)OSS
(Volts)
Min

ROS(on)
(ma)
Max

@

VGS
(Volts)

10
(cont)
Amps

20

200

2.7

1.5

MTDF1N02HD

Dual N-Channel

30

75

4.5

3

MTSF3N03HD

Single N-Channel

30

225

4.5

1.5

MTDF1N03HD

Dual N-CHannel

SOT-223 Medium Power MOSFETs
Surface Mount Products
Table 4. SOT-223 Medium Power TMOS FETs V(BRn!SS
(Vo )
Min
100
60

20

Product
Description

Device

ROS(on)
(Ohms) @
Max
0.30

CASE 318E-

70
50
30

en
CL

16 OHMS

;,::::::

'\

::;;

1,...- ....

::;:;..-~

50
30

I II

~

I-

Z

w
a:
a:

~""40HMS

~

I
10

0

I-

- --

~

5.0

~

3.0

CL
I-

0

'"

«
w
30

50

100

300

500

1000

"...--

:::::---

CL

1.0
10

,....
,....
,....

1.,..0
~

30

OUTPUT POWER (WAITS)

....

50

4 OHMS

-- -

~b~MS

~

"

100

300

,
160HMS

500

1000

OUTPUT POWER (WAITS)

Another important parameter that must be considered before selecting the output transistors is the safe-operating area these
devices must withstand. For a complete discussion see Application Note AN485.

Table 8. Recommended Power Transistors for Audio/Servo Loads
RMS
Power
Output

NPN

T025W

25 to 50W

50 to 100W

Over100W

fT
MHz
Typ

ISB
Volts/Amps

PNP

Case

PD
Watts
@25°C

MJE15030

MJE15031

T0-220

50

150

20 min

4

30

1413.6

MJE15032

MJE15033

T0-220

50

250

50 min

1

40

50/1

2N3055A

MJ2955A

TO-204

120

120

20/70

4

3

60/2

MJ15001

MJ15002

T0-204

200

140

251150

4

3

40/5

VCEO

hFE@
MInIMax

IC
Amps

MJ15015

MJ15016

TO-204

180

120

20/70

4

3

60/3

MJ15003

MJ15004

TO-204

250

140

251150

5

3

100/1

MJ15020

MJ15021

T0-204

150

250

30 min

1

20

50/3

MJ15024

MJ15025

TO-204

250

250

15/60

8

8

80/2.2

MJ3281A
MJL3281A
MJ21194
MJL21194

MJ1302A
MJL1302A
MJ21193
MJL21193

T0-204
340G-01
T0-204
340G-Ol

250
150
250
200

200
200
250
200

60/175
60/175
25/75
25/75

7
7
8
8

30
30
7
7

50/4
40/4
100/2
100/2

The Power Transistors shown are provided for reference only and show device capability. The final choice of the Power Transistors used is left to the circuit designer and depends upon the particular safe-operating area required and the mounting and heat
sinking configuration used.

Motorola Master Selection Guide

5.5-15

Bipolar Power Transistors

Electronic Lamp Ballasts
As in many other areas of its semiconductor activity,
Motorola is an industry leader in the fast growing market of
Electronic Ballast Semiconductors. We introduced the first
dedicated devices for this market in 1988. Today, devices
based on advanced technologies such as H2BIP (High Gain,
High Frequency Bipolar) and ZPCMOS (Zero Power Control
MOS) are leading the way in providing benefits for ballast
manufacturers, consumers and the environment.
Two factors make the Electronic Lamp Ballast market grow
at an ever increasing rate - Economics and the Environment.
Lamps based on Electronic Ballasts have long lifetimes
and very low power consumption, so contributing to the
efficient use of energy and to preservation of the environment.
Motorola designs silicon solutions specifically for these
applications.

For this growing ballast market Motorola offers optimized
devices such as Power MOSFETs, Bipolar Transistors, Linear
drive ICs, custom Start-Stop ICs, Diodes and Silicon Bilateral
Switches.
Even more important are our efforts to develop the
technology for tomorrow in close cooperation with the world's
leading manufacturers of Electronic Transformers and Lamp
Ballasts, as well as assisting them today in their choice of
technology.
This capability is driven from our centre of competence
based in Toulouse, France. An importantteam of Applications,
Design, Product, Manufacturing and Marketing Engineers
drives our worldwide dedication to this market.
The intention of this section is to provide you with a
'snapshot' of our bipolar transistor products and capabilities.
It is a document showing Motorola's professionalism in this
area, and illustrating some of the expertise available to youthe Electronic Lamp Ballast manufacturer.

World Lamp Ballast Market

Bipolar Power Transistors

5.5-16

Motorola Master Selection Guide

Cross Reference Transistors for Electronic Lamp Ballasts
Industry
Part Number
2SC4053
2SC4546
2SC4630
2SC4820
BU1706A
BU1708A
BUD43B-1
BUF610
BUF654
BUH100
BUH150
BUH50
BUH51
BUL146
BUL146F
BUL147
BUL147F
BUL213
BUL216
BUL381
BUL38D
BUL41 0
BUL416
BUL43B
BUL44
BUL44D2
BUL44F
BUL45
BUL45D2
BUL45F
BUL48
BUL510
BUL57
BUL67
BUL810
BUL87
BULD215

Motorola
Direct
Replacement

Motorola
Nearest
Replacement

Industry
Part Number

MJE18004
BUL146F
MJF18004
MJF18002
MJE18604D2
MJE18604D2

BULD50
BULD85
BUT11AF
BUT18
BUT93
BUT93D
BUV46
KSC5021F
KSC5027F
MJD13003-1
MJE13003
MJE13005
MJE13007
MJE13009
MJE18002
MJE18004
MJE18004D2
MJE18006
MJE18008
MJE18009
MJE18204
MJE18206
MJE18604D2
MJF18002
MJF18004
MJF18006
MJF18008
MJF18009
MJF18204
MJF18206
TD13003
TD13004
TE013005D
TE013007
TE013003
TE013005
TE013009

BUD43B-1
MJE18004D2
BUL146
BUH100
BUH150
BUH50
BUH51
BUL146
BUL146F
BUL147
BUL147F
MJE18204
MJE18206
BUL45
BUL45D2
MJE18006
MJE18604D2
BUL43B
BUL44
BUL44D2
BUL44F
BUL45
BUL45D2
BUL45F

Motorola Master Selection Guide

MJE18004D2
MJE18004D2
BUL147
BUL147
BUV48A
BUL147
BUL45D2

5.5-17

Motorola
Direct
Replacement

Motorola
Nearest
Replacement
BUL44D2
BUL45D2
MJF18004
BUH100
BUL45
BUL44D2
MJE18006
MJE18004
MJE18604D2

MJE13003-1
MJE13003
MJE13005
MJE13007
MJE13009
MJE18002
MJE18004
MJE18004D2
MJE18006
MJE18008
MJE18009
MJE18204
MJE18206
MJE18604D2
MJF18002
MJF18004
MJF18006
MJF18008
MJF18009
MJF18204
MJF18206

ij

!,\
I',I

I,

II
:~
,~

II

:~
'j

MJD13003-1
BUF43B-1
BUL44D2-1
MJE13007
MJE13003
MJE13005
MJE13009

Bipolar Power Transistors

"

1

Cross Reference Transistors for Electronic Lamp Ballasts
STYLE 1:
PIN 1. BASE
2. COLLECTOR
3. EMITTER
4.

COLLEC~ ~
2~ASE
3

221A-06
(T0-220AB)

Table 9. T0-220AB Bipolar Transistors
InductIve SwItching
@ IC Operating
Tsi MinIMax (j.ls)

Po (Case)

IcCont
Amps
Max

VCEO(sus)
Volts
Min

2

350

650

BUL43B

O.B

9

1.B/3.3

40

400

700

BUL44

O.B

10

2.6/3.B

50

400

700

BUL44D2*

O.B

20

2.05/2.35

50

450

1000

MJE1B002

1

6

12.75

50

4

500

BOO

BUH50

2

Btyp

12.5

50

5

400

700

BUL45

2

7

2.6/3.B

75

1.95/2.25

75

6

B

10

15

VCES
Volts
Min

OeviceType

IC
Operating
Amps

hFEmin
@ IC Operating
VCE=1 V

Watts
@25°C

400

700

BUL45D2*

2

10

450

1000

MJE1B004

2

6

12.5

75

450

1000

MJE1B004D2*

2

6

2.1/2.4

75

550

1200

MJE18204

2

5

12.75

75

600

1600

MJEI8604D2*

0.5

15

11.0

75

BU1146

3

B

2.6/3.B

100

MJE18006

3

6

13.2

100

BU1147

4.5

8

2.6/3.B

125

400

700

450

1000

400

700

450

1000

MJE1800B

4.5

6

13.2

125

550

1200

MJE18206

3

5

12.75

100

400

700

BUH100

5

13.0

100

450

1000

MJE18009

7

8

12.75

150

400

700

BUH150

10

Btyp

12.75

150

10typ

BUHXXX Series are spec"ied for Halogen applications.
* 02 suffix indicates transistor wHh built in C-E freewheeling diode and antisaturation network.

Bipolar Power Transistors

5.5-18

Motorola Master Selection Guide

Cross Reference Transistors for Electronic Lamp Ballasts
221D-O~l

CASETQ--220 Type
Isolated
UL Recognized

Table 10. Isolated T0-220 Bipolar Transistors
ICCont
Amps
Max

VCEO(sus)
Volts
Min

2

400

700

450

1000

5

6

8

10

VCES
Volts
Min

OeviceType
BUL44F
MJF18002

st'f,\~ 1:

File #E69369
1
2
3

BASE
2. COLLECTOR
3. EMITIER

IC
Operating
Amps

hFEmin
@ IC Operating
VCE=l V

Inductive Switching
@ IC Operating
Tsl MinIMax (lUI)

PO (Case)
Watts
@25°C

0.8

10

2.6/3.8

25

1

6

12.75

25

400

700

BUL45F

2

7

2.6/3.8

35

450

1000

MJF18004

2

6

12.5

35

550

1200

MJF1B204

2

5

12.75

40

400

700

BUL146F

3

8

2.6/3.8

40

450

1000

MJF18006

3

6

13.2

40

400

700

BUL147F

4.5

8

2.6/3.8

45

450

1000

MJF18008

4.5

6

13.2

45

550

1200

MJF18206

5

6

12.75

45

450

1000

MJF18009

7

8

12.75

50

STYLE 1:
PINt
2.
3.
4.

BASE
COLLECTOR
EMITIER
COLLECTOR

Table 11. DPAK Bipolar Transistors
VCEO(sus)
Volts
Min

VCES
Volts
Min

2

350

650

BUD43B-l

0.8

9typ

1.8/3.3

25

400

700

BUD44D2-1*

0.8

20typ

2.05/2.35

25

OeviceType

IC
Operating
Amps

hFEmln
@ IC Operating
VCE=l V

Inductive Switching
@ IC Operating
Tsl MinIMax (lUI)

ICCont
Amps
Max

STYLE 1:
PINt EMITIER
2. COLLECTOR
3. BASE

Po (Case)
Watts
@25°C

STYLE 3:
PIN 1. BASE
2. COLLECTOR
3. EMITIER

~

CASE77~8

3 21

Table 12. Case 77 (T0-225) Bipolar Transistors

(TQ-225AA)

Inductive Switching
@ IC Operating
Tsl MinIMax (lUI)

Po (Case)
Watts
@25°C

ICCont
Amps
Max

VCEO(sus)
Volts
Min

VCES
Volts
Min

1.5

400

700

MJE13003

1

6typ

13.0

40

4

400

700

BUH51

1

8

13.75

50

OeviceType

IC
Operating
Amps

hFEmin
@ IC Operating
VCE=l V

"
BUHXXX Senes are specified
for Halogen applications.
• 02 suffix indicates transistor with built in C-E freewheeling diode and antisaturation network.

Motorola Master Selection Guide

5.5-19

Bipolar Power Transistors

..

I

"

Bipolar Power Transistors

5.5-20

Motorola Master Selection Guide

Rectifiers

In Brief ...
Page

Continuing investment in research and development for
discrete products has created a rectifier manufacturing facility
that matches the precision and versatility of the most advanced
integrated circuits. As a result, Motorola's silicon rectifiers span
all high tech applications with quality levels capable of passing
the most stringent environmental tests . . . including those for
automotive under-hood applications. Additionally, the
introduction of Motorola's first generation GaAs power devices
is pushing the limits of today's rectifier technology.

Rectifier Numbering System ..................... 5.6-2
Application Specific Rectifiers .................... 5.6-3
Low VF Schottky ............................ 5.6-3
MEGAHERTZ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.6-3
SCANSWITCH .............................. 5.6-3
Automotive Transient Suppressors ............. 5.6-3
SWITCHMODETM Rectifiers . . . . . . . . . . . . . . . . . . . . .. 5.6-4
Surface Mount Schottky ...................... 5.6-4
Axial Lead Schottky .. . . . . . . . . . . . . . . . . . . . . . . .. 5.6-6
T0-220 Type Schottky ....................... 5.6-7
T0-218 Types and T0-247 Schottky ........... 5.6-8
POWERTAP II . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.6-9
Ultrafast Rectifiers ............................. 5.6-10
Surface Mount Ultrafast. . . . . . . . . . . . . . . . . . . . .. 5.6-10
Axial Lead Ultrafast ......................... 5.6-10
T0-220 Type Ultrafast ...................... 5.6-11
T0-218 Types and T0-247 Ultrafast .......... 5.6-12
POWERTAP II .............................. 5.6-12
Fast Recovery Rectifiers/General
Purpose Rectifiers ....................... 5.6-13
GaAs Rectifiers Power Manager™ ............... 5.6-14

Product Highlights:
• GaAs Rectifiers Power Manager™ with incredibly soft and
hyperfast «15 ns) reverse recovery are ideally suited for
high frequency power supplies, free wheeling diodes, and
as polarity protection diodes.
• Surface Mount Devices - A major thrust has been the
development and introduction of a broad range of power
rectifiers, Schottky and Ultrafast, 112 amp to 25 amp, 15 to
600 volts.
• Application Specific Rectifiers - MEGAHERTZTM series for high frequency power
supplies and power factor correction.
- Schottky rectifiers having lower forward voltage drop
(0.3 to 0.6 volts) for use in low voltage SMPS outputs
and as "OR"ing diodes.
- Automotive transient suppressors.
• Ultrafast rectifiers having reverse recovery times as low as
25 ns to complement the Schottky devices for higher
voltage requirements in high frequency applications.
• A wide variety of package options to match virtually any
potential requirement.
The rectifier selector section that follows has generally been
arranged by package and technology. The individual tables have
been sorted by voltage and current with the package types for
the devices listed shown above each table. The Application
Specific Rectifiers are also included in their respective tables.
Motorola's commitment to Six-8igma is showing its worth.
Refined processes no longer produce fallout as such and
therefore only Motorola Preferred Devices are listed in the
tables. The non-preferred devices will continue to be offered, but
customers are encouraged to begin designing using the
preferred types.
Motorola Master Selection Guide

5.6-1

Rectifiers

RECTIFIER NUMBERING SYSTEM
PART NUMBER KEY

XXX X

PREFIX~~

xx

I

10

(TYPE DESIGNATOR)

F = FULLY ISOLATED
S = SURFACE MT (SMB/SMC)
D= DPAK
B= D2PAK
H = MEGAHERTZ
V= D3PAK

XX

I

VR

XXX

II

(X10EXCEPT
SCHOTTKY)

R

=REVERSE

L= LOWVF
E = ENERGY
SUFFIX
(DUAL DESIGNATOR)

PREFIX KEY

MUR =
MBR =
MGR =
MR =

SUFFIX KEY

CT = CENTER TAP (DUAL) T0-220, T0-3, POWERTAP "
PT = CENTER TAP (DUAL) T0-218 PACKAGE
WT = CENTER TAP (DUAL) T0-247 / T0-3P

EXAMPLE:

MOTOROLA ULTRA FAST RECTIFIER
MOTOROLA (SCHOTTKY) BARRIER RECTIFIER
MOTOROLA GaAs RECTIFIER
MOTOROLA STANDARD & FAST RECOVERY

MUR
MOTOROLA ULTRAFAST

EXAMPLE:

MBR
MOTOROLA SCHOTTKY

Rectifiers

30

20

WT

30 AMP

200 V

CENTER TAP (DUAL)
TO-247

30

45

WT

30 AMP

45V

CENTER TAP (DUAL)
TO-247

5.6-2

Motorola Master Selection Guide

Application Specific Rectifiers
The focus for Rectifier Products continues to be on
Schottky and Ultrafast technologies, with process and
packaging improvements to achieve greater efficiency in high
frequency switching power supplies, and high current

mainframe supplies. Our new product thrust is intended to be
more "application specific" than in the past, while continuing
to strive for broad market acceptance.

Table 1. Low VF Schottky Rectifiers
State of the art geometry is used in low VF Schottky devices for improved efficiency in low voltage, high frequency switching
power supplies, free-wheeling diodes, polarity protection diodes and "OR"ing diodes.

Device
MBR0520LT1
MBRS130LT3
MBRD835L
MBRD1035Cn
MBR2030CTL
MBRB2535Cn
MBR2535Cn
MBRB2515L
MBR2515L
MBRB3030Cn
MBR4015LWT
MBR5025L
MBRP20030Cn
MBRP60035CTL

10
Amps

VRRM
(Volts)

VF@Ratedlo
and Temperature
Volts (Max)

IR @ Rated VRRM
mAmps(Max)

0.5
1
8
10
20
25
25
25
25
30
40
50
200
600

20
30
35
35
30
35
35
15
15
30
15
25
30
35

0.33
0.395
0.41
0.41
0.48
0.41
0.41
0.42
0.42
0.58
0.42
0.58
0.39
0.50

0.25
1
1.4
6
5
10
5
15
15
5
5
0.5
5
10

Package

500-123
5MB
OPAK
OPAK
T0-220
02PAK
T0-220
02PAK
T0-220
02PAK
T0-247
T0-218
POWERTAPII
POWERTAPII

Table 2. MEGAHERTZ Rectifiers
MEGAHERTZ Series - This group of ultrafast rectifiers is designed to provide improved efficiency in very high frequency
switching power supplies and for use in power factor correction circuits.
Maximum

Amps

VRRM
(Volts)

VF@ Rated
10 and Temp.
(Volts)

IR@Rated
VRRM
(mAmps)

trr
(Nanosecond)

8
8

400
600

1.7
2.0

0.01
0.Q1

28
28

10

Device
MURH840CTIMURHB84OCT
MURH860CT

Table 3. SCANSWITCH Rectifiers
These ultrafast rectifiers are designed for improved performance in very high resolution monitors and work stations where
forward recovery time (tfr) and high voltage (1200-1500 volts) are primary considerations.
Maximum
Amps

VRRM
(Volts)

tfr
(Nanoseconds)

trr
(Nanoseconds)

VRFM(6)
(Volts)

8
10
10

800
1200
1500

-

75
175
175

-

175
175

10

Device
MURBBOE
MUR10120E
MUR10150E

14
16

Table 4. Automotive Transient Suppressors
Automotive transient suppressors are designed for protection against over-voltage conditions in the auto electrical system
including the "LOAD DUMP" phenomenon that occurs when the battery open circuits while the car is running.
Device
MR2535UMR2535S

T

10
Amps

VRRM
(Volts)

( olts)

~BR)

IRSM(7)
(Amps)

ee)

35

20

24-32

110

175

(6)VRFM = Maximum Transient Overshoot Voltage.
(7)Time constant = 10 ms, Duty Cycle :s; 1%, TC = 25°C.

Oevices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.6-3

Rectifiers

SWITCHMODETM Rectifiers
Schottky power rectifiers with the high speed and low
forward voltage drop characteristic of Schottky's metal/silicon
junctions are produced with ruggedness and temperature
performance comparable to silicon-junction rectifiers. Ideal
for use in low-voltage, high-frequency power supplies, and as
very fast clamping diodes, these devices feature switching
times less than 10 ns, and are offered in current ranges from
0.5 to 600 amperes, and reverse voltages to 200 volts.
In some current ranges, devices are available with junction
temperature specifications of 125°C, 150°C and 175°C.
Devices with higher TJ ratings can have significantly lower
leakage currents, but higher forward-voltage specifications.
These parameter tradeoffs should be considered when
selecting devices for applications that can be satisfied by more
than one device type number.
All devices are connected cathode-to-case or
cathode-to-heatsink, where applicable. Contact your
Motorola representative for more information.

,.

Case 425
SOO--123

Case 403B-Ol
SMA

Cathode = Band

Cathode = Notch

There are many other standard features in Motorola
Schottky rectifiers that give added performance and reliability.
1. GUARD RINGS were pioneered by Motorola and are
included in all Schottky die for reverse voltage stress
protection from high rates of dv/dt to virtually eliminate the
need for snubber networks. The guard ring also operates like
a zener and avalanches when subjected to voltage transients.
2. MOLYBDENUM DISCS on both sides of the die
minimize fatigue from power cycling in all metal products.
Plastic encapsulated devices have a special solder
formulation for the same purpose.
3. QUALITY CONTROL monitors all critical fabrication
operations and performs selected stress tests to assure
constant processes. Motorola's commitment to six sigma
has provided significant quality improvement.

Case403A
5MB

Case 403
SMC

• •

Cathode = Notch

Cathode = Notch

Table 5. Surface Mount Schottky Rectifiers
VRRM
(Volts)

10(1)
(Amperes)

10 Rating
Condition

20

0.5

TL= 105°C

30

0.5

40
30

MaxVF@iF
TC=25°C
(Volts)

IFSM
(Amperes)

TJMax
(OC)

Package

MBR0520LT1 *

0.310 @ 0.1 A
0.385 @0.5A

5

125

SOO--123

TL = 105°C

MBR0530T1*

0.375 @ 0.1 A
0.430 @ 0.5A

5

125

SOD-123

0.5

TL= 110'C

MBR0540T1*

0.53 @ 0.5A

20

150

SOO--123

1

TL= 100'C

MBRA130LT3*

0.395 @ 1.0 A

-

125

SMA

40

1

TL = 100'C

MBRA140T3*

0.55@ 1.0A

-

125

SMA

30

1

TL= 120'C

MBRS130LTa

0.395 @ 1.0 A

40

125

5MB

40

1

TL= 115'C

MBRS140T3

0.6 @ 1.0A

40

125

5MB

Oevice

100

1

TL = 120'C

MBRSl100T3

0.75 @ 1.0A

40

150

5MB

40

3

TL = 100'C

MBRS340T3

0.525 @ 3.0A

80

125

SMC

60

3

TL= 100'C

MBRS360T3*

0.74@3.0A

80

125

SMC

(1) 10 is total device current capability.

* New Product

Devices listed in bold, italic are Motorola preferred devices.

Rectifiers

5.6-4

Motorola Master Selection Guide

Case 433-01
03PAK

Case 4188
02PAK
Style 3

Case 369A
OPAK
Style 3

"CT" Suffix:

::r4

Non--oCT' Suffix:

:~4

1. 1.4
3

3

Table 5. Surface Mount Schottky Rectifiers (continued)
MaxYF@ iF
TC=25°C
(Yolts)

IFSM
(Amperes)

TJMax
eC)

Package

MBRD340

0.60@ 3.0A

75

150

OPAK

TC= 125°C

MBRD360

0.60@3.0A

75

150

OPAK

6

TC= 130°C

MBRD640CT

0.70@3.0A

75

150

OPAK

6

TC = 130°C

MBRD660CT

0.70@3.0A

75

150

OPAK

8

TC = 100°C

MBRD835L*

0.40 @ 3.0A
0.51 @8.0A

100

125

OPAK

YRRM
(Yolts)

10(1)
(Amperes)

10 Rating
Condition

40

3

TC= 125°C

60

3

40
60
35

Device

35

10

TC=90°C

MBRD1035CTL*

0.49@ 10A

100

125

OPAK

45

15

TC= 105°C

MBRB1545CT

0.84@ 15A

150

150

02PAK

60

20

TC= 110°C

MBRB2060CT

0.95 @20A

150

150

02PAK

100

20

TC= 110°C

MBRB20100CT

0.85 @ 10A
0.95 @ 20A

150

150

02PAK

200

20

TC= 125°C

MBRB20200cT*

15

25

TC=90°C

MBRB2515L*

35

25

TC= 110°C

MBRB2535CTL
MBRB2545CT

1.0@20A

150

150

02PAK

0.45 @25A

150

100

02PAK

0.47 @ 12.5 A
0.55@25A

150

125

02PAK

0.82 @ 30A

150

150

02PAK

MBRB3030CT

0.51@15A
0.62 @ 30A

300

150

02PAK

TC=95°C

MBRB3030CTL*

0.45@15A
0.51 @ 30A

150

125

02PAK

40

TC= 110°C

MBRB4030*

0.46 @ 20A
0.55@40A

300

150

02PAK

70

TC = 90°C

MBRV7030CTU

0.5 @35A
0.62@70A

500

150

03PAK

45

25

TC = 130°C

30

30

TC= 115°C

30

30

30
30

*

..

(1) 10 IS total devIce current capabIlity.
New Product

*

Oevices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.6--5

Rectifiers

Pi

Case 26~-{)~

cas~a=~/

""'",
Cathode = Polarity Band

Cathode = Polarity Band

Table 6. Axial Lead Schottky Rectifiers
MaxVF@IF
TC 25°C
(Volts)

IFSM
(Amperes)

TJMax
(OC)

Case

1N5817

0.45@ 1.0A

25

125

59-04

TA = 55°C
RaJA = 80°CIW

1N5818

0.55 @ 1.0 A

25

125

59-{)4

1

TA=55°C
RaJA = 80°CIW

1N5819

0.60@ 1.0A

25

125

59-{)4

60

1

TA = 55°C
RaJA = 80°CIW

MBR160

0.75 @ 1.0A

25

150

59-{)4

100

1

TA= 120°C
RaJA = 50°CIW

MBR1100

0.79@1.0A

50

150

59-{)4

20

3

TA=76'C
RaJA = 28°CIW

1N5820

0.457@ 3.0A

80

125

267-{)3

30

3

TA=71°C
RaJA = 28°CIW

1N5821

0.500 @ 3.0A

80

125

267-{)3

40

3

TA=61°C
RaJA = 28°CIW

1N5822

0.525@3.0A

80

125

267-{)3

40

3

TA=65°C
RaJA = 28°CIW

MBR340

0.600@3.0A

80

150

267-{)3

60

3

TA = 65°C
RaJA = 28°CIW

MBR360

0.740 @ 3.0A

80

150

267-{)3

100

3

TA= 100°C
RaJA = 28°CIW

MBR3100

0.79 @ 3.0A

150

150

267-{)3

10

10 Rating
Condition

VRRM
(Volts)

(Amperes)

20

1

TA=55°C
RaJA = 80°CIW

30

1

40

=

Device

Devices listed in bold, nalic are Motorola preferred devices.

Rectifiers

5.6-6

Motorola Master Selection Guide

Case 221B
(TQ-220AC)

!

Case 221A-06
(TQ-220AB)

Case 221E

I

1~2"4

4

30+f-1 -

S1'(,\n CATHODE

STYLES:
PIN 1.
2.
3.
4.

2. N/A
3. ANODE
4. CATHODE

0

ANODE
CATHODE
ANODE
CATHODE

1

2

1

to..,

Case 2210

STYLE 1:
PIN 1. CATHODE
2. NJA
3. ANODE

2

~ S1'(,\~l ANODE

J
,

2. CATHODE
3. ANODE

12'~

1
3

::r.
3

2

Table 7. TQ-220 Type Schottky Rectifiers
10

=

Device

MaxVF@iF
TC 25°C
(Volts)

IFSM
(Amperes)

TJMax
(OC)

MBR1545CT

0.84@ 15A

150

150

221A-06

TC = 137°C

MBR2030CTL*

0.52@ lOA
0.58 @20A

150

150

221A-06

10 Rating
Condition

VRRM
(Volts)

(Amperes)

45

15

TC

30

20

~

=105°C

Case

45

20

TC= 135°C

MBR204SCT

0.84@ 20A

150

150

221A-06

60

20

TC= 133°C

MBR2060CT

0.85 @ lOA
0.95@ 20A

150

150

221A-06

100

20

TC = 133°C

MBR20100CT

0.85@ lOA
0.95 @ 20A

150

150

221A-06

200

20

TC = 125°C

MBR20200CT

15

25

TC=90°C

35

25

45

25

TC=130°C

45

30

TC = 130°C

45

7.5

45

TC=95°C

MBR2515L*
MBR2535CTL

*

1.0@ 20A

150

150

221A-06

0.45 @25A

150

100

221A-06

0.55@25A

150

125

221A-06

MBR2545CT

0.82 @30A

150

150

221A-06

MBR304SST*

0.76@ 30A

150

150

221A-06

TC = 105°C

MBR745

0.84@ 15A

150

150

221B

10

TC= 135°C

MBR1045

0.84 @20A

150

150

221B

60

10

TC= 133°C

MBR1060

0.80@ lOA

150

150

221B

100

10

TC = 133°C

MBR10100

0.80@ lOA

150

150

221B

45

16

TC= 125°C

MBR1645

0.63@ 16A

150

150

221B

45

15

TC= 105°C

~

MBRF1545CT

0.84@ 15A

150

150

ISOLATED
2210

45

20

TC= 135°C

~

MBRF204SCT

0.84@ 20A

150

150

ISOLATED
2210

60

20

TC=133°C

~MBRF2060CT

0.95@20A

150

150

ISOLATED
2210

100

20

TC= 133°C

~

MBRF20100cT

0.95@ 20A

150

150

ISOLATED
2210

200

20

TC= 125°C

~

MBRF20200CT

1.0 @20A

150

150

ISOLATED
2210

45

25

TC=125°C

~

MBRF2545CT

0.82 @ 25A

150

150

ISOLATED
2210

45

7.5

TC= 105°C

MBRF745

0.84@ 15A

150

150

ISOLATED
221E

45

10

TC=135°C

MBRF104S*

0.84 @20A

150

150

ISOLATED
221E

Indicates UL Recognized -

*

File #E69369

* New Product
Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.6--7

Rectifiers

Case340D
(TO-218AC)

1:;J-o
3

2, 4

Case340E
(T0-218)

4 STYLE 2:

...
,..

,PIN1.ANODE1
2. CATHODES
3. ANODE2
4. CATHODES

1
2

4 STYLE1:

,

PIN 1. CATHODE
3. ANODE
4. CATHODE

3

~'4 S1"(,1~~:

ANODE 1
2. CATHODES
3. ANODE 2
4. CATHODES
(BACK HEATSINK)

1
2

1
3

1:;J-o

Case 340F
(T0-247)

3

3

Table 8. TO-218 Types and T0-247 Schottky Rectifiers

Device

MaxVF@ IF
TC=25°C
(Volts)

IFSM
(Amperes)

TJMax
(OC)

Case

TC= 105°C

MBR3045PT

0.76 @30A

200

150

340D

40

TC = 125°C

MBR4045PT

0.70@20A
0.80@40A

400

150

340D

45

60

TC= 125°C

MBR6045PT*

0.62 @30A
0.75@60A

500

150

340D

25

50

TC= 125°C

MBR5025L*

0.54@30A
0.62 @50A

300

150

340E

45

30

TC= 105°C

MBR3045WT

0.76 @ 30A

200

150

340F

15

40

TC= 125°C

MBR4015LWT

0.42 @20A
0.50 @40A

400

150

340F

45

40

TC= 125°C

MBR4045WT

0.70@20A
0.80@40A

400

150

340F

45

60

TC= 125°C

MBR6045WT

0.62 @ 30A
0.75 @ 60A

500

150

340F

30

70

TC= 135°C

MBR7030WT

0.55@ 35A
0.72@70A

400

150

340F

VRRM
(Volts)

10
(Amperes)

10 Rating
Condition

45

30

45

* New Product

Devices listed in bold, italic are Motorola preferred devices.

Rectifiers

5.6-8

Motorola Master Selection Guide

Case 357C
POWERTApTM

Cathode = Mounting Plate
Anode = Terminal

Table 9. POWERTAP"
MaxVF@ iF
TC=25°C
(Volts)

VRRM
(Volts)

10(1)
(Amperes)

10 Rating
Condition

30

200

TC= 125°C

MBRP20030CTL

45

200

TC= 125°C

MBRP20045CT

60

200

TC = 125°C

45

300

TC= 120°C

*
MBRP20060CT*
MBRP30045CT*

60

300

TC= 120°C

MBRP30060CT

35

600

TC = 100°C

MBRP60035CTL

Device

*

*
*

IFSM
(Amperes)

TJMax
(OC)

0.52 @ 100A
0.60 @200A

1500

150

357C

0.78 @ 100A

1500

175

357C

0.800 @ 100A

1500

175

357C

0.70@ 150A
0.82 @300A

2500

175

357C

0.79@ 150A
0.89 @300A

2500

175

357C

0.57@ 300 A

4000

150

357C

Case

(1) 10 is total device current capability.
All POWERTAP devices were converted to the new, more rugged, POWERTAP II configuration beginning January 1994. Contact your Motorola representative
for more details.
New Product

*

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.6-9

Rectifiers

Ultrafast Rectifiers
Case 403
SMC

Case403A
5MB

Case 369A
OPAK
Style 3

• •

Cathode = Notch

Case 418B
02PAK
Style 3

·CT" Suffix:

Non-"CT" Suffix:

~
31~4

Cathode = Notch

Table 10. Surface Mount Ultrafast Rectifiers
Max trr
(ns)

MaxVF@ iF
TC=2SoC
(Volts)

IFSM
(Amperes)

TJMax
eC)

Package

MURS120T3

35

0.875 @ 1.0 A

40

175

5MB

TL= 150°C

MURS160T3

75

1.25 @ 1.0 A

35

175

5MB

3

TL= 140°C

MURS320T3

35

0.875 @ 3.0A

75

175

SMC

600

3

TL = 130°C

MURS360T3

75

1.25 @3.0A

75

175

SMC

200

3

TL = 158°C

MURD320

35

0.95 @3.0A

75

175

OPAK

200

6

TL = 145°C

MURD620CT

35

1.0@ 3.0A

63

175

OPAK

400

8

TL = 120°C

MURHB840CT

28

2.2 @ 4.0A

100

175

02PAK

200

16

TL = 150°C

MURB1620CT

35

0.975 @8.0A

100

175

02PAK

600

16

TL = 150°C

MURB1660CT

60

1.5 @ 8.0A

100

175

02PAK

VRRM
(Volts)

10(1)
(Amperes)

10 Rating
Condition

Device

200

1

TL = 155°C

600

1

200

*

(1) 10 is total device current capabilHy.
New Product

*

caS~a!~~

Case 26Tc-{)~

""",

I

Cathode = Polarity Band

Cathode = Polarity Band

Table 11. Axial Lead Ultrafast Rectifiers
Maxtrr
(ns)

MaxVF@IF
TC=2SoC
(Volts)

IFSM
(Amperes)

TJMax
(OC)

Case

MUR120

25

0.875 @ 1.0A

35

175

59-{)4

TA = 120°C
RaJA = 50°CNV

MUR160

50

1.25 @ 1.0 A

35

175

59-{)4

1

TA = 95°C
RaJA = 50°CNV

MUR1100E

75

1.75 @ 1.0A

35

175

59-{)4

200

4

TA = 80°C
RaJA = 28°CNV

MUR420

25

0.875 @ 3.0A

125

175

267-{)3

600

4

TA=40°C
RaJA = 28°CNV

MUR460

50

1.25 @ 3.0A

70

175

267-{)3

1000

4

TA = 35°C
RaJA = 28°CNV

MUR4100E

75

1.75@ 3.0A

70

175

267-{)3

VRRM
(Volts)

10
(Amperes)

10 Rating
Condition

200

1

TA = 130°C
RaJA = 50°CNV

600

1

1000

Device

Oevices listed in bold, italic are Motorola preferred devices.

Rectifiers

5.6-10

Motorola Master Selection Guide

Case 221B
(T0-220AC)

!

Case 221A-Qa
(T0-220AB)

o+I-t....".2'/4

3~-

SmE1:
PIN 1. CATHODE

o

1
STYLE 6:
PIN 1.
2.
3.
4.

2. NfA
3. ANODE
4. CATHODE

0

ANODE
CATHODE
ANODE
CATHODE

Case 221E

::r

smE7:
PIN 1.
2.
3.
4.

2 ,4

CATHODE
ANODE
CATHODE
ANODE

1

23

1

I

.I

Case 2210

STYLE 1:
PIN 1. CATHODE
2. NIA
3 ANODE

:~2

~ S1ilM ANODE

..
..

2. CATHODE
3. ANODE

12'~

1

3

J.
3

2

Table 12. TO-220 Type Ultrafast Rectifiers
Max trr
(ns)

MaxVF@ iF
TC=25°C
(Volts)

IFSM
(Amperes)

TJMax
eCI

35

0.975@ 3.0A

75

175

221A-oa

MURH840CT

28

2.0@4.0A

100

175

221A-Qa

MURH860CT

35

2.B@4.0A

100

175

221A-Qa

TC = 150°C

MUR1620CT

35

0.975 @B.OA

100

175

221A-Qa

1a

TC= 1ao°c

MUR1620CTR

B5

1.2 @B.OA

100

175

221A-Qa

400

1a

Tc = 150°C

MUR1640CT

ao

1.30 @ B.OA

100

175

221A-Qa

aoo

16

TC= 150°C

MUR1660CT

60

1.5@B.OA

100

175

221A-06

200

8

TC=150°C

MUR820

35

0.975 @B.OA

100

175

221B

400

8

TC=150°C

MUR840*

50

1.30@ B.OA

100

175

221B

600

8

TC=150°C

MUR860*

50

1.50 @ 8.0 A

100

175

221B

800

8

TC= 175°C

MUR880E

75

1.80 @ 8.0 A

100

175

221B

1000

8

TC=150°C

MUR8100E

75

1.80 @ 8.0 A

100

175

2218

1200

10

TC= 125°C

MUR10120E

175

2.2 @6.5A

100

125

2218

1500

10

TC= 125°C

MUR10150E

175

2.4@ 6.5A

100

125

2218

200

15

TC = 150°C

MUR1520

35

1.05@ 15A

200

175

2218

400

15

TC = 150°C

MUR1540

60

1.25@ 15A

150

175

2218

VRRM
(Volts)

10
(Amperes)

10 Rating
Condition

200

a

TC= 130°C

MUR620CT

400

8

TC = 120°C

aoo

B

TC= 120°C

200

1a

200

Device

Case

600

15

Tc = 145°C

MUR1560

60

1.50@ 15A

150

175

2218

200

B

TC = 150°C

MURF820*

25

0.975@B.OA

100

150

ISOLATED
221E

200

16

TC= 150°C

'» MURF1620CU

25

0.975@B.OA

100

150

ISOLATED
2210

600

16

TC= 150°C

'» MURF1660CT*

50

1.50@8.0A

100

150

ISOLATED
2210

~

Indicates UL Recognized - File #E69369

* New Product

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.6-11

Rectifiers

Case340E
(T0-218)

Case 3400
(T0-218AC)

1~ 2, 4
3

Case 340F
(T0-247)

"'
,.,

PIN 1. CATHODE
3. ANODE
4. CATHODE

,

1

1~

4 STYLEt

4 STYLE 2:

, P I N 1 2.
' CATHODES
ANODE 1
3. ANODE2
4. CATHODES

3

,4

STYLE 2:
PIN 1.
2.
3.
4.

12

1

2

1
3

ANODE 1
CATHODES
ANODE2
CATHODES
(BACK HEATSINK)

3

3

Table 13. T0-218 Types and T0-247 Ultrafast Rectifiers
Maxtrr
(ns)

MaxVF@ iF
TC=25°C
(Volts)

IFSM
(Amperes)

TJMax
eC)

MUR3020WT

35

1.05@15A

150

175

340F

TC=145°C

MUR3040WT

60

1.25@ 15A

150

175

340F

30

TC=145°C

MUR3060WT

60

1.70 @ 15A

150

175

340F

30

TC = 150°C

MUR3020PT

35

1.12@ 15A

200

175

3400

TC= 150°C

MUR3040PT

60

1.12 @ 15A

150

175

3400

TC= 145°C

MUR3060PT

60

1.20@ 15A

150

175

3400

30

TC=70°C

MUR3040*

100

1.5 @ 30A

300

175

340E

800

30

TC=70°C

MUR3080*

110

1.90 @ 30A

300

175

340E

400

60

TC=70°C

MUR6040

100

1.50@ 60A

600

175

340E

VRRM
(Volts)

10
(Amperes)

'0 Rating
Condition

200

30

TC= 145°C

400

30

600
200
400

30

600

30

400

Device

Case

* New Product

Case 357C
POWERTAf>TM

Cathode = Mounting Plate
Anode = Terminal

Table 14. POWERTAP II
VRRM
(Volts)

10(1)
(Amperes)

'0 Rating
Condition

200

200

TC=130°C

400

200

TC = 100°C

Maxtrr
(ns)

MaxVF@iF
TC=25°C
(Volts)

IFSM
(Amperes)

TJMax
(OC)

MURP20020CT *

50

1.00@100A

800

175

357C

MURP20040CT *

50

1.30@ 100A

800

175

357C

Device

Case

(1) 10 is total device current capability.
All POWERTAP devices were converted to the new, more rugged, POWERTAP II configuration beginning January 1994. Contact your Motorola representative for
more details.
~

Indicates UL Recognized - File #E69369

* New Product

Devices listed in bold, italic are Motorola preferred devices.

Rectifiers

5.6-12

Motorola Master Selection Guide

Fast Recovery Rectifiers/General-Purpose Rectifiers
Axial lead Fast Recovery Rectifiers having maximum switching times of 200 ns and low cost general purpose rectifiers are listed
in the table below.

~7

Case 194-04
Plastic

Case 267-{)3

Plastic

Cathode = Polarity Band

7 I

Cathode = Polarity Band

..

Case 193-{)4
Plastid10)

@

Cathode indicated by
diode symbol

Cathode = Polarity Band

Case 421 A-{)1

Case 4038-01
SMA

@

Table 15. Fast Recovery Rectifiers/General Purpose Rectifiers
MaxVF@ iF
TJ = 25°C
(Volts)

VRRM
(Volts)

10
(Amperes)

10 Rating
Condition

200

1

TC= 100°C

MRA4003

1.1 @ 1.0A

Device

IFSM
(Amperes)

TJMax
(OC)

-

30

150

Max trr
(ns)

400

1

TC= 100°C

MRA4004

1.1 @1.0A

150

1

TC=100°C

MRA4005

1.1 @1.0A

-

30

600

30

150

800

1

TC=100°C

MRA4006

1.1 @1.0A

-

30

150

1000

1

TC= 100°C

MRA4007

1.1 @1.0A

150

1

TA = 75°C

1N4004

1.1 @ 1.0A

-

30

400

30

150

1000

1

TA = 75°C

1N4007

1.1 @ 1.0A

-

30

150

200

1

TA = 75°C

1N4935

1.2 @ 3.14 A
TJ = 125°C

200

30

150

600

1

TA = 75°C

1N4937

1.2 @3.14A
TJ = 125°C

200

30

150
150

400

3

h= 105°C

1N5404

1.2@9.4A

-

200

600

3

TL= 105°C

1N5406

1.2@9.4A

-

200

150

200

3

TA = 80°C(10)

MR852

1.25@3.0A

200

100

150

600

3

TA = 80°C(10)

MR856

1.25 @3.0A

200

100

150

400

6

TA=60°C
RaJA = 25°CIW

MR754

1.25 @ 100 A

-

400

175

1000

6

TA = 60°C
RaJA = 25°CIW

MR760

1.25@ 100A

-

400

175

Case

4038-{)1

59-{)3(9)

267-{)3

194-04

400

25

TC= 150°C

MR2504

1.18 @ 78.5 A

-

400

175

1000

25

TC=150°C

MR2510

1.18@78.5A

-

400

175

20

35

TC= 150°C

MR2535S

1.1@100A

-

400

175

421A-{)1

20

35

TC= 150°C

MR2535L(11)

1.1@100A

-

400

175

194-{)4

200

1

TL = 100°C

MRA4935T3

1.1 @ 1.0A

200

30

150

400

1

TL = 100°C

MRA4936T3

1.1 @ 1.0A

200

30

150

600

1

TL= 100°C

MRA4937T3

1.1 @ 1.0A

200

30

150

193-04

4038-{)1

(2) VRRM unless noted
(3) vRRM, T J = 100°C unless noted
(9) Package Size: 0.120" max diameter by 0.260" length.
(10) Must be derated for reverse power dissipation. See data sheet.
(11) Overvottage Transient Suppressor: 24-32 vans avalanche voltage.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.6-13

Rectifiers

GaAs Rectifiers Power Manager™
GaAs devices as drop-in replacements for 5i may
eliminate the need for a snubber network or allow for a
significant reduction in network size. Performance
improvements can therefore be achieved while reducing
circuit size (increasing power density), decreasing EMI, and
enhancing overall system efficiency.

For use in state-of-the-art high power density DC-DC
converters and high frequency power supplies, GaAs power
rectifiers have several unique characteristics that make them
superior to 5i-based devices. In particular, GaAs devices are
acclaimed for their hyperfast and soft reverse recovery
characteristics with low stored charge. Also, the device
parameters are stable over a wide temperature range.

Table 16. T0-220 and 02PAK GaAs Rectifiers Power Manager™

Device

MaxVF@10A
TC=25'C
(Volts)

Max t ff
(ns)

*

1.4

15

VRRM
(Volts)

IOC(12)

IOCRating
Condition

180

10

TC=110'C

MGR1018

Case
221A-06

180

10

TC= 110'C

MGRB1018*

1.4

15

4188

180

20

TC=130'C

MGR2018CT*

1.4

15

221A-06

180

20

TC=130'C

MGRB2018CT*

1.4

15

4188

250

20

TC=95'C

MGR2025CT*

2.2

15

221A-06

250

20

TC=95'C

MGRB2025CT*

2.2

15

4188

(12) IOC is total device current capability.

*

New Product
Case 4186 available in reel of 800 "T4".

Devices listed in bold, italic are Motorola preferred devices.

Rectifiers

5.6-14

Motorola Master Selection Guide

Thyristors and Triggers

In Brief ...
Page

Motorola's broad line of Thyristors includes ....

Silicon Controlled Rectifiers ..................... , 5.7-2
TRIACs ....................................... 5.7-7
General Purpose ............................ 5.7-7
Thyristor Triggers .............................. 5.7-14
SIDACs ................................... 5.7-14
Programmable Unijunction Transistors - PUT .. 5.7-14
Silicon Bidirectional Switch (SBS) ............. 5.7-14
High Voltage Bidirectional TVS Devices ........ 5.7-14

• A full line of TRIACs and SCRs covering a forward
current range from 0.5 to 55 amperes and blocking
voltages from 15 to 800 volts.
• Plastic package for lowest cost which includes the fully
insulated plastic Case 221C (T0-220 Isolated).
• An extensive line of trigger devices that includes
SIDACs, PUTs and SBS.
Then there are the special applications devices for
Ignition circuits and Crowbar applications. Also included are
isolated packaged devices for appliances and surface mount
packages for surface mounting in spac&-saving
requirements.
Finally, there is the continued Motorola investment in
discrete-product R&D producing new capabilities such as
transient SIDACs for use in circuits sensitive to high voltage
transients.

Motorola Master Selection Guide

5.7-1

Thyristors

seRs

REVERSE
BLOCKING
REGION
V(-)

Silicon
Controlled
Rectifiers

VRRM

__

IH
IDRM - -IRRM

V(+)

....l._.......,_~_

REVERSE
AVALANCHE REGION

1(-)

FORWARD
BLOCKING
REGION

Table 1. SCRs - General Purpose Plastic Packages
0.8 to 55 Amperes RMS, 25 to 800 Volts
On-State (RMS) Current
0.8 AMP
TC=58°C

K'

1.5 AMPS
TC = 80°C

TC=50°C

K'

K.
A G

A

A

Case 29-04
T0-226AA (T0-92) Style 10

Sensitive Gate
Case 318E
SOT--223 STYLE 10

Case 29-04
T0-226AA (T0-92)
Style 10

VORM
VRRM
(Volts)
25

50
100
200

MCROBBT1
MCR1~

MCROBDT1

MCR22-6

400
500

MCR1D0-8

MCR08MT1

MCR22-8

600

Maximum Electrical Characteristics
10

-65 to
+110

10

15
150(3)

ITSM(Amps)
60 Hz

0.2

IGT(mA)

0.8

VGT(V)

-40 to
+110

-40 to
+125

TJ Operating
RangerC)

(3) Exponential decay 2 J!S wide at 5 time constants, f = 12 Hz.

Devices listed in bold, italic are Motorola preferred devices.

Thyristors

5.7-2

Motorola Master Selection Guide

SCRs (continued)

Table 1. SCRs - General Purpose Plastic Packages (continued)
On-State CRMS) Current
4 AMPS
TC = 93°C

TC = 30°C

A

A

~
K
G

"

GA K

G
Surface Mount

Sensitive Gate
VORM
VRRM
(Volts)

Case 77
TO-225AA (TO-126)
Style 2

Case 369
Style 4

Case 369A
Style 4

50

MCR106-2
2N6237

C106F

100

MCR106-3
2N6238

C106A

200

MCR106-4
2N6239

C106B

400

MCR106-6
2N6240

C106D

MCR716-1

MCR716

600

MCR106-8
2N6241

C106M

MCR718-1

MCR718

800

Maximum Electrical Characteristics
ITSM(Amps)
60Hz

25

VGT(V)

25

0.075

0.2

IGT(mA)

.

20

1

1

0.8

-40 to
+110

TJ Operating
RangeeC)

'M Indicates UL Recognized - File #E69369

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.7-3

Thyristors

SCRs (continued)

Table 1. SCRs - General Purpose Plastic Packages (continued)
on-State (RMS) Current
10 AMPS

8 AMPS
TC=70°C

TC = 83°C

TC=7SoC

TC = 80°C

A

A
A

KAI
G

K

K

A
G

A

G

High Performance
Isolated'M

Sensitive Gate
Caae 221A-04
T0-220AB
Style 3

Case 221 c-02
Style 2

Sensitive Gate
Caae221A-04
TO-220AB
Style 3

Case 221 A-06
TO-220AB
Style 3

VDRM
VRRM
(Volts)

50

MCR72-2
MCR72-3

MCR310-3

100

MCR218-4FP

MCR72-4

MCR31 0-4

200

MCR21!HlFP

MCR72-6

MCR8D

MCRBSD

MCR31 0-6

400

MCR218-8FP

MCR72-8

MCR8M

MCR8SM

MCR31 0--8

600

MCR218-10FP

MCR72-10

MCR8N

MCR8SN

MCR310-10

800

100

ITSM(Amps)
60Hz

Maximum Electrical Characteristics
80

100

25

0.2

80

15

1.5

-40 to
+125

0.2

1

-40 to
+110

1.5

Min.

Min.

50

2

-40 to
+125

IGT(mA)

VGT(V)

DViDT VllJSec
-40 to
+110

TJ Operating
Range (OC)

'M Indicates UL Recognized - File #E69369

Devices listed in bold, italic are Motorola preferred devices.

Thyristors

5.7-4

Motorola Master Selection Guide

seRs (continued)
Table 1. SCRs -

General Purpose Plastic Packages (continued)
On-State (RMS) Current
10 AMPS

12AMPS

16AMPS

Tc = 75°C

Tc = 85°C

A

A

K~

A

K~3

K

A

(

VORM
VRRM
(Volts)

25 AMPS

Tc=80°c

G

Sensitive Gate

High Performance

Case 221A-114
TCl-220AB
Style 3

Case 221 A-06
TO-220AB
Style 3

Case 221 A-04
TO-220AB
Style 3

50

2N6S04

100

2N6SoS

200

2N6S06

400

MCR12LD

MCR12D

MCR16D

MCR25D

2N6So7

600

MCR12LM

MCR12M

MCR16M

MCR25M

2N6508

800

MCR12LN

MCR12N

MCR16N

MCR25N

2N6509

Maximum Electrical Characteristics
100

ITSM(Amps)
60Hz

lSo

IGT(mA)

8

VGT(V)

1.5

2.2

300

20

30

40

1.7

1

1.S

Min.

Min.

Min.

Min.

OViOT VI!1sec

50

SO

SO

SO

TJ Operating
Range ('C)

-40 to
+100

-40 to
+125

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

S.7-S

Thyristors

SCRs (continued)

Table 1. SCRs - General Purpose Plastic Packages (continued)
On-State (RMS) Current
25 AMPS

40 AMPS

55 AMPS

TC=85°C

TC = 80°C

TC = 70°C

A

A

'AI
G

A

Isolated ~

Case 221 A-G4
To-220AB

Case 221<:-02
Style 2

Style 3
MCR69-2

VORM
VRRM
(Volts)

Case 221A-04
To-220AB

Style 3
MCR225-2FP

50

MCR69-3

MCR69-6

100
MCR225-4FP

MCR264-4

MCR265-4

200

MCR22&-6FP

MCR264-6

MCR265-6

400

MCR225-8FP

MCR264-8

MCR265-8

600

MCR225-10FP

MCR264-10

MCR26S-10

800

550

trSM(Amps)

Maximum Electrical Characteristics
750(2)

400

300

60Hz

30

40

50

IGT(mA)

1.5

VGT(V)

-40 to
+125

TJ Operating
Range(OC)

(2) Peak capacttor discharge current for tw = 1 ms. tw is defined as five time constants of an exponentially decaying current pulse
(crowbar applications).
~ Indicates UL Recognized - File #E69369

Devices listed in bold, italic are Motorola preferred devices.

Thyristors

5.7-6

Motorola Master Selection Guide

TRIACs

Table 2. TRIACs - General Purpose Plastic Packages
0.6 to 40 Amperes, 200 to 800 Volts
On-State (RMS) Current
0.6 AMP

0.8 AMPS

2.5 AMPS

TC=50°C

TC=80°C

TC = 70°C

_2

MT1
MT2 G
MT1
G

MT2 MT1

Sensitive Gate
Case 29-04
T0-226AA (TCHl2)
Style 12

VORM
(Volts)

G'

200

Case 318E
Style 11
SOT-223

Case 77
T0-225AA (T0-126)
Style 5

MACOBBT1

T2322B

400

MAC97-6

MAC97A6

MAC080T1

T23220

600

MAC97-8

MAC97AB

MAC08MT1

T2322M

10

25

10
10
10
10

10
10
10
10

0.8
2
2
2
2

2.2
2.2
2.2
2.2

Maximum Electrical Characteristics
8

I-rSM(Amps)
IGT @ 25°C (mA)
MT2(+)G(+)
MT2(+)G(-)
MT2(-)G(-)
MT2(-)G(+)

10
10
10
10

VGT @ 25°C (V)
MT2(+)G(+)
MT2(+)G(-)
MT2(-)G(-)
MT2(-)G(+)

5
5
5
7
2
2
2
2.5
-40 to
+110

TJ Operating
Range (OC)

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.7-7

Thyristors

TRIACs (continued)

Table 2. TRIACs (continued)
On-State (RMS) Current
2.5 AMPS

4 AMPS

6 AMPS

TC=70°C

TC = 85°C

TC = 80°C

MT2

G'
MT2 MT1

MT1
Sensitive Gate

G
Case 221 A-04

Case 77
TO-225AA (1"0-126)
Style 5

TO-220AB
Style 4

VORM
(Volts)

T2323B

2N6071

2N6071A

2N6071B

T2500B

200

T23230

2N6073

2N6073A

2N6073B

T2500D

400

T2323M

2N6075

2N6075A

2N6075B

T2500M

600

T2500N

800

60

ITSM(Amps)

25
60
25
60

IGT @ 25°C (mA)
MT2(+)G(+)
MT2(+)G(-)
MT2(-)G(-)
MT2(-)G(+)

2.5
2.5
2.5
2.5

VGT @ 25°C (V)
MT2(+)G(+)
MT2(+)G(-)
MT2(-)G(-)
MT2(-)G(+)

Maximum Electrical Characteristics
25

30

25
40
25
40

30

30
@-40°C
2.5

2.2
2.2
2.2
2.2

3
3
3
5

5
5
5
10

-

2.5

@-40°C
2.5
2.5
2.5
2.5

-40 to
+110

-40 to
+100

T J Operating
RangeeC)

Devices listed in bold, italic are Motorola preferred devices.

Thyristors

5.7-8

Motorola Master Selection Guide

TRIACs (continued)

Table 2. TRIACs (continued)
On-State (RMS) Current
6 AMPS

BAMPS

Te=Booe

MT1
MT2

Te=Booe

Te=Booe

Te=70'e

,

MT1
MT2

G

MT1
MT2

G

Sensitive Gate
High Performance

Isolated OM

Isolated OM

Case 221A-{)&
TQ-220AB
SIyle4

VDRM
(Volts)

Case 221 C-02
Style 3

Case 221 A-04
TQ-220AB
Style 4

200

T2500BFP

MAC218A4

400

T2500DFP

MAC218A6

MAC8SD

MAC8D

MAC9D

MAC21BA6FP

600

T2500MFP

MAC21BAB

MAC8SM

MACBM

MAC9M

MAC21BABFP

BOO

T2500NFP

MAC21BA10

MAC8SN

MAC8N

MAC9N

MAC21BA10FP

Case 221 C-02
Style 3
MAC218A4FP

Maximum Electrical Characteristics
100

ITSM(Amps)
IGT @ 25°C (mA)
MT2(+)G(+)
MT2(+)G(-)
MT2(-)G(-)
MT2(-)G(+)

25
60
25
60

VGT @ 25'e (V)
MT2(+)G(+)
MT2(+)G(-)
MT2(-)G(-)
MT2(-)G(+)

2.5
2.5
2.5
2.5

70

50
50
50
75(1)

2

2
2
2.5(1)

Max.

0.8
O.B
O.B

5.0
5.0
5.0

-

-40 to
+100

~ Indicates UL Recognized -

-

0.45
0.45
0.45

-40 to
+125

100

50
50
50

35

35
35

-

1.5
1.5
1.5

1.5
1.5
1.5

-

DVIDT VlJ.1sec
TJ Operating
Range ('C)

BO

Min.

-

2
2
2
2.5(1)

-

Min.

Min.

Min.

25

250

500

-40 to
+110

50
50
50
75(1)

-40 to
+125

File #E69369

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide

5.7-9

Thyristors

TRIACs (continued)

Table 2. TRIACs (continued)
On-State (RMS) Current
8 AMPS
TC

=80°C

MT2

~,

MT1
MT2

MT2

G

G

Isolated '1M
Sensitive Gate
Case 221 A-04
TO-220AB
Style 4

Case 221 C-02
Style 3

VORM
(Volts)

2N6342
2N6346

T28006

MAC228A4

MAC228A4FP

200

2N6343
2N6347

T2800D

MAC228A6

MAC228A6FP

400

2N6344
2N6348

T2800M

MAC228A8

MAC228A8FP

600

MAC228Al0

MAC228A1OFP

800

2N6345
2N6349

Maximum Electrical Characteristics
100

80

50
75(6)
50
75(6)

25
60
25
60

2
2.5(6)
2.5
2.5(6)

2.5
2.5
2.5
2.5

-40 to
+125

-40 to
+100

5
5
5
10(1)

'TSM(Amps)

5
5
5
10(1)
2
2
2
2.5(1)
-40 to
+110

'GT @ 25°C (mA)
MT2(+)G(+)
MT2(+)G(-)
MT2(-)G(-)
MT2(-)G(+)
VGT @ 25°C (V)
MT2(+)G(+)
MT2(+)G(-)
MT2(-)G(-)
MT2(-)G(+)
TJ Operating
Range (OC)

(6) Denotes 2N6346-49 Series only.

'1M Indicates UL Recognized - File #E69369

Thyristors

5.7-10

Motorola Master Selection Guide

TRIACs (continued)

Table 2. TRIACs (continued)
On-State (RMS) Current
10AMPS

12AMPS

TC=70°C

MT2

~, ,

MT2

MTl
MT2

TC = 75°C

4

MT2

G

1~

MTl
MT2

G

Isolated ~

G

TC = 85°C

,n,
MT2

G

MT2

MTl
MT2

G

Sensitive Gate

Isolated~

VORM
(Volts)

Case 221 A-Il4
TO-220AB
Style 4

Case 221 C-02
Style 3

Case 221 A-Il4
TQ-220AB
SIyle4

Case 221 C-02
Style 3

Case 221 A-04
TQ-220AB
Style 4

200

MAC21OM

MAC210MFP

MAC31OM

MAC212A4FP

MAC212M

400

MAC210AB

MAC210A6FP

MAC310A6

MAC2l2A6FP

MAC212A6

600

MAC210A8

MAC210ABFP

MAC310A8

MAC212A8FP

MAC2l2A8

800

MAC210Al0

MAC210Al0FP

MAC310Al0

MAC2l2Al0FP

MAC212Al0

Maximum Electrical Characteristics
100

ITSM(Amps)
IGT @ 25°C (rnA)
MT2(+)G(+)
MT2(+)G(-)
MT2(-)G(-)
MT2(-)G(+)

50
50
50
75(1)

5
5
5
10(1)

VGT @ 25°C (V)
MT2(+)G(+)
MT2(+)G(-)
MT2(-)G(-)
MT2(-)G(+)

2
2
2
2.5(1)

TJ Operating
RangeeC)
~ Indicates UL Recognized -

50
50
50
75(1)

-40 to
+125
File #E69369

Motorola Master Selection Guide

5.7-11

Thyristors

TRIACs (continued)

Table 2. TRIACs (continued)
On-State (RMS) Current
12AMPS

15 AMPS

TC=BO°C

TC=70°C

TC = 90°C

TC = 80°C

MT2

MT1
MT2

TC=90°C

~,I
MT2

G

G

Sensitive Gate
High
Performance

High Performance
Case 221 A-04
T0-220AB
SIyle4

Caae 221 A-06
T0-220AB
SIyle4

Case 221A-04
T0-220AB
Style 4

2N6346A

Case 221 A-06
T0-220AB
Style 4

MAC15A4

Isolated~

Case 221 C-02
Style 3

VORM
(Volts)

MACI5A4FP

200

2N6347A

MAC12D

MAC15D

MAC15SD

MACI5A6

MAC16D

MAC15A6FP

400

2N634BA

MAC12M

MAC15M

MAC15SM

MAC15AB

MAC16M

MAC15ASFP

600

2N6349A

MAC12N

MAC15N

MAC15SN

MAC15A10

MAC16N

MAC15A10FP

800

Maximum Electrical Characteristics
120

150

50
75
50
75

35
35
35

-

2
2.5
2
2.5

1.5
1.5
1.5

150

Min.

Max.

O.S
O.S
O.S

5.0
5.0
5.0

-

-

0.45
0.45
0.45

-

1.5
1.5
1.5

-

Min.

Min.

250

250

-40 to
+125
~ Indicates UL Recognized -

120

-

50
50
50
75(1)
2
2
2
2.5(1)

'TSM(Amps)

50
50
50

50
50
50
75(1)

'GT @ 25°C (mA)
MT2(+)G(+)
MT2(+)G(-)
MT2(-)G(-)
MT2(-)G(+)

1.5
1.5
1.5

2
2
2
2.5(1)

VGT@25°CM
MT2(+)G(+)
MT2(+)G(-)
MT2(-)G(-)
MT2(-)G(+)

-

-

Min.

Min.

25

500

OVIDT VllJSec

-40 to
+125

TJ Operating
Range (OC)

-40 to
+110
File #E69369

Devices listed in bold, italic are Motorola preferred devices.

Thyristors

5.7-12

Motorola Master Selection Guide

TRIACs (continued)

Table 2. TRIACs (continued)
On-State (RMS) Current
20 AMPS

25 AMPS
TC=75'C

~,I
MT2

MT2

MT1
MT2

Isolated~

VORM
(Volts)

MT2

G

,~

MTl
MT2

G

Isolated~

Case221~

Case 221 A-G4

Style 3

T~220AB

TC=75'C

MT2

ml

!~

G

40 AMPS
TC=80'C

G

Case 221 C-ll2
Style 3

Case 221 A-ll4
T~220AB

Style 4

Style 4

200

MAC320A4FP

MAC320A4

MAC321-4

MAC223A4FP

MAC223A4

MAC224A4

400

MAC320A6FP

MAC320A6

MAC321-6

MAC223A6FP

MAC223A6

MAC224A6

600

MAC320A8FP

MAC320AB

MAC321-6

MAC223A8FP

MAC223AS

MAC224AS

800

MAC320A 1OFP

MAC320Al0

MAC321-10

MAC223A1OFP

MAC223A10

MAC224A10

Maximum Electrical Characteristics
150

ITSM(Amps)

250

350

IGT @ 25'C (mA)
MT2(+)G(+)
MT2(+)G(-)
MT2(-)G(-)
MT2(-)G(+)

50
50
50
75(1)

100
100
100

50
50
50
75(1)

VGT @ 25'C (V)
MT2(+)G(+)
MT2(+)G(-)
MT2(-)G(-)
MT2(-)G(+)

2
2
2
2.5(1)

2
2
2

2
2
2
2,5(1)

-

-

TJ Operating
Range ('C)
~ Indicates UL Recognized -

-40 to
+125
File #E69369

Devices listed in bold, italic are Motorola preferred devices,

Motorola Master Selection Guide

5,7-13

Thyristors

Thyristor Triggers
1(+)

V(-)
IS

V(-)-=-+-~~

fL

I

IH Vs

I I~

IS
V(+)

I

Vs

1(-)

Table 5. Silicon
Bidirectional
Switch (SBS)

Table 3. SIDACs
High voltage trigger devices similar in operation to a Triac.
Upon reaching the breakover voltage in either direction, the
device switches to a low-voltage on-state.

I

Device Type

I

VBOVolts

ITSM Amps

Min

20
20
20

100
110
120

I

Max

This versatile trigger device exhibits highly symmetrical bidirectional switching characteristics which can be modified
by means of a gate lead. Requires a gate trigger current of
only 250 !LAdc for triggering.

Case 267-0311
MKP3V110
MKP3V120
MKP3V130

120
130
140

Vs
Volts

Device
Type

Min

I

IS
Max

ItA Max

IH
mAMax

Plastic T0-921TQ-226AA (Case 29-04/12)

Case 59-0411

I

110
120

4
4

MKP1V120
. MKP1V130

MBS4991
MBS4992
MBS4993

130
140

6
7.5
7.5

10
9
9

500
120
250

1.5
0.5
0.75

PEAK POINT

Vp
Vs

Table 6. High Voltage Bidirectional TVS Devices
Primary Protection

VAK
VF -I-+I--~-.'£-71"

Transient Voltage Suppression (TVS) devices are breakover-triggered crowbar protectors. Turn-off occurs when the
surge current falls below the holding current value.

VV-I--+I----"'~--

IGAO
(0,0)

Table 4. Programmable
Unijunction
Transistor
-PUT

Thyristor Surge Suppressors-Secondary Protection

Similar to UJTs, except that lV, Ip and intrinsic standoff
voltage are programmable (adjustable) by means of external
voltage divider. This stabilizes circuit performance for
variations in device parameters. General operating
frequency range is from 0.01 Hz to 10 kHz, making them
suitable for long-duration timer circuits.
Ip
Device
Type

RG=
10kn

I

RG=
1 MQ

Package SO-8
30 AMP, 150 mA Ih' Programmable Bidirectional
Surge Suppressor

30 AMP, 150 mA Ih' Programmable Bidirectional
Surge Suppressor

Iv
IGAO
@40V
nAMax

ItA Max

RG=
10kn

RG=
1 MQ

• Telecom line Card Protection
• Dual line Protection in a Single Package
• 2 Package Choices
• Bidirectional Capability
• 30 AMP Surge
• 150mAlh
• Low Gate Trigger Current

ItA Min ItA Max

Plastic TQ-92 (Case 29-04116)
12N6027
2N6028

10
10

70
25

50
25

Devices listed in bold, italic are Motorola preferred devices.

Thyristors

5.7-14

Motorola Master Selection Guide

Optoelectronic
Devices

In Brief ...
Motorola's families of optoelectronic components encompass
red and infrared GaAs emitters and silicon detectors that are
well matched for a variety of applications.
Optoisolators
Motorola's "Global" 6-Pin Dual In-line Package (DIP)
devices use infrared emitting diodes that are optically coupled
to a wide selection of output (TranSistor, Darlington, Triac, and
Schmitt trigger) silicon detectors. These devices are
guaranteed to provide at least 7500 volts of isolation between
the input and output and are 100% VISO tested. The entire line
of Motorola 6-pin DIP packages are recognized by all major
safety regulatories including UL and VDE This extensive line
of regulatory approvals attest to their suitability for use under
the most stringent conditions. Motorola also offers a line of
SOIC--8 small outline, surface mount devices that are UL
approved and ideally suited for high density applications.
POWER OPTOTM Isolators
The MOC2A60 series is the first member of the POWER
OPTOTM Isolator family from Motorola. The MOC2A60 is a 2
Amp @ 40°C/600 Vac[pkj/Zero-Crossing/Optically Coupled
Triac. This isolated AC output device is ruggedized to survive
the harsh operating environments inherent in Industrial
Controller applications. Additionally, the thermally optimized
SI P package profile allows for high density stacking on 0.200"
centers and can handle 2 Amps @ 40°C (Free-Air Rating)
without the need for heatsinks, thermal grease, etc.

Motorola Master Selection Guide

Page
Optoisolators .................................. 5.6-2
Safety Standard Approvals for 6-Pin
Optoisolators ............................... 5.8-2
Regulatory Approval Certification Index ......... 5.6-2
VDE Approved Optoisolators .................. 5.6-3
6-Pin Dual In-line Package. . . . . . . . . . . . . . . . . . . . .. 5.8-6
Small Outline - Surface Mount .................. 5.6-9
POWER OPTO Isolators ....................... 5.6-10

5.8-1

Optoelectronic Devices

Safety Regulatory Approvals for Motorola's "Global" Optoisolators
Motorola's entire line of 6-pin optoisolators are approved by all major safety regulatories.

Safety Standard Approvals for 6-Pin Optoisolators

~ tAl (fi~
G1obalOptolsolator'"

VDE

MOCXXXX

.(1)

SOCXXXX

• (1)

4NXXXXXX

• (1)

H1XXXXXX

.(1)

MCXXXXXX

• (1)

TIXXXXXX

.(1)

CNXXXXXX

• (1)

UL

·
·
·

CSA

·
·

·
·

~

®
SETI

·

·
·
·
·
·

®®

SEMKO DEMKO NEMKO

·
·
·
·
·
·

·
·
·
·
·

·

·

·

·
·
·
·
·
·

~
BABT

·

·
·
·
·

.
.

• =Approved
Regulatory Approval Certification Index
Regulatory Agency

Certificate File Number

VDE(0883)

41853 (expired 12131/91)

VDE(0884)(1)

62054 (replaces VDE0883)

UL (isolation)

E54915

UL (flammability)

E--8436

CSA

CA93952

FIMKO

41990

SEMKO

9313138

DEMKO

Approved per SEMKO

NEMKO

A99177

BABT

CRlOl17

AUSTEL

038870711

Note: Motorola's 8-pin surface mount optocouplers are approved by UL only and have a guaranteed isolation
voltage of 3000 Vac(rms).
All Motorola 6-pin optocouplers are 100% tested for isolation voltage and are guaranteed to 7500 Vac(peak).
UL Flammability Rating = 94VO (File number E-8436) for all optocouplers.

(1) VDE 0884 testing Is an option; the suffix letter "V" must be added to the standard part number.

Optoelectronic DeVices

5.8-2

Motorola Master Selection Guide

VDE Approved Optoisolators
VDE has approved Motorola's entire portfolio of 6-pin DIP
optoisolators against their new components standard
VDE 0884 which replaces VDE 0883. The VDE 0884
components standard requires additional electrical testing to
a stringent isolation partial discharge test.
The VDE 0883 specification expired 12131/91. Motorola
optoisolators can now be ordered to comply with the
VDE 0884 specification.
VDE approval is based on mechanical and electrical
performance olthe Motorola package, shown in Figure 3. This
6-Pin DIP package incorporates specially developed
materials and assembly processes optimizing thermal and
moisture stability while maintaining the high level of LED life
and isolation voltage. All Motorola 6-pin 01 P optoisolators are
made in this package, and have these approvals.

Optoisolators, a block diagram of which is shown in
Figure 1, are devices which contain at least one emitter, which
is optically coupled to a photo-detector through some sort of
an insulating medium. This arrangement permits the passage
of information from one circuit, which contains the emitter, to
the other circuit containing the detector.
Because this information is passed optically across an
insulating gap, the transfer is one-way; that is, the detector
cannot affect the input circuit. This is important because the
emitter may be driven by a low voltage circuit utilizing an MPU
or logic gates, while the output photo-detector may be part of
a high voltage dc or even an ac load circuit. The optical
isolation prevents interaction or even damage to the input
circuit to be caused by the relatively hostile output circuit.

VDE 0884 Component Standard (replaces VDE 0883)
Electrical ratings in this standard are:
Input-to-Output Voltage, 1 second
VPr1 1.6 VIDRM, Partial Discharge < 5 picocouloumbs,
VPr1 1280 V(pk)
Maximum operating peak voltage, VIDRM 800 V(pk)
Isolation resistance: VI-O 500 Vdc, 10 11 n, TA 100°C.
Note: The isolation partial discharge test VPr1, is performed
after the completion of the high voltage withstand (hipot) tests.

=

=

=

=

=

ISOLATING
DIELECTRIC
(LIGHT PIPE)

VDE 0883 Component Standard (expired 12131/91)
Electrical ratings in this standard were:
Isolation withstand voltages:
3750 VRMS, 1 min, TA 100°C
5300 Vdc, 1 min, TA = 100°C
Isolation surge withstand voltage:
10 kV per IEC 65, 50 discharges
Isolation resistance:
1011 n, 500 Vdc, TA 100°C

Figure 1. Block Diagram of Optoisolator
Various geometric designs have been used over the years
for the internal light cavity between the emitter and detector.
Motorola is the industry leader in isolation technology. All
6-pin optoisolators are guaranteed to meet or exceed 7500
Vac (pk) input-to-output isolation. See Figure 2.

=

=

WHITE OVERMOLD (EPOXY)

NOTE: VDE 0884/8.87 testing is an option; the suffix letter
"V" must be added to the standard part number. (See below.)
Standard thru hole - MOC3063V
0.4" wide spaced leadform - MOC3063TV (to satiSfy 8 mm
spacing requirement)
Standard-profile surface mount - MOC3063SV
Tape and Reel for surface mount - MOC3063S/SR2V

LEAD

THICKNESS THROUGH
INSULATION

Figure 2. Geometric Design for Optoisolators

Motorola Master Selection Guide

5.8-3

Optoelectronic Devices

voe Approved Optoisolators

(continued)
Equipment Standards Compliance
With the approval of the Motorola package to these
component standards, combined with its VDE approval
ratings, a wide range of Equipment Standards are covered.
The table below summarizes these Equipment Standard
coverages.
Two levels of electrical interface, or insulation, are used:
1. Reinforced, or safe, insulation; 2. Basic insulation.
Reinforced Insulation (sometimes referred to as "safe"
electrical isolation) is required in an optoisolator interfacing
between a hazardous voltage circuit, like an ac line, and a
touchable safe extra low voltage (SELV) circuit.
Basic Insulation is required in an optoisolator which
interfaces between a hazardous voltage circuit and a
non-touchable, extra low voltage (ELV) circuit.
The 6-pin DIP optoisolators are suitable for both levels of
electrical interface. The smaller SOIG-8 optoisolators comply
with basic Insulation standards only.
Mechanical ratings are shown in the table below.

CREEPAGE PATH

WHITE OVERMOLD (EPOXY)

CLEARANCE 0.4"
SPECIAL
"r LEAD BEND
THICKNESS THROUGH
INSULATION

Figure 3. "DOME" Package

Examples for Safety Applications for Motorola VDE Approved Optoisolators
Requirements for reinforced (double) or safe insulation for
equipment with an operating voltage up to 250 Vrms
(line voltage to ELV or SELV interfaces)

Standard (2)
VDE (5)

DIN
IEC

0806
0805
0804
0860
0113
0160
0832
0883
0831
0110
0883

950
950

0884(4)

-

-

65
204

-

-

Equipment
Office Machines
Data Processing
Telecommunication
Electrical Household
Industrial Controls
Power Installations with Electronic Equipment
Traffic Light Controls
Alarm Systems
Electrical Signal System for Railroads
General Std. for Electrical Equipment
Optoisolator Component Standard
(obsolete 12131/91)

Creepage

Clearance
(1)

Isolation
Barrier

Dielectric
Strength

[mm)

[mm)

[mm)

[kVRMS)

(0)

8.0
8.0
8.0
6.0
8.0
8.0
8.0
8.0
8.0
8.0
8.5

8.0
8.0
8.0
6.0
8.0
8.0
8.0
8.0
8.0
8.0
8.3(10)
(1)

0.5

7x 106
7x 106
2x 106
4x 106
1 x 106
1 x 106
4x 106
2 x 106
2x 106

0.5

3.75
3.75
2.5
3.0 (10)'
2.5
2.7
2.5
2.5
2.0
2.0
3.75 (10)'

10x 10 11

>7.5

0.5

-

10x1012

>7.5

Optoisolator Component Standard
(replaces VDE0883)

-

0.4

-

-

Isolation
Resistance

-

VDE Rating for Motorola 6-pin DIP Optoisolators

All Motorola 6-pln DIP Optoisolators meet or exceed the requirements of above listed VDE and DIN IEC Standards.
• Impulse discharge withstand voltage.
(1) To satisfy 8.0 mm creepage path on a PC board Motorola offers a special lead bend of 0.4 inch on all6-pin dual in-line optoisolators. Order by attaching "T" to
the end of the Motorola part number.
(2) VDE standards (translated into English language) and IEC standards can be ordered from the American National Standard Institute ANSI, 1430 Broadway, N.Y.,
N. Y.10018, Sales Department, 212-642-4900.
(3) Creepage path distances are measured from lead to lead across the top, bottom and ends of the package body.
(4) VDE 0884 testing is an option; the suffix letter
must be added to the standard number.
(5) For more information regarding the use of VDE approved devices, refer to 'VDE CirouH Board Layout Design Rules' in the Applications Information section.

·v·

Optoelectronic Devices

5.8-4

Motorola Master Selection Guide

Optoisolators 6-Pin DIP Varieties and Lead Form Options
Transistor

Darlington

1~6 1~6
:

~

5

NC

4

:

~

5

NC

4

CASE 730A-Q4

Resistor Darlington

10~

I~C 2I~C 5

.1/" <>5

20

30~NC 110

2

~

6
5

3

NC

4

~

3

Random Phase
Triac Driver

NC

4

NC

4

Zero Crossing
Triac Driver

Jl

5

6

Style 3

lt5t6 :fhIT:
2

3

~

4

Style 1

Schmitt Triggers

Darlington

Transistor

6

3~4

Style 5

1~6
~

2

1~6

5

2

3

4

3

Style 6

An optoisolator consists of a gallium arsenide infrared
emitting diode, IRED, optically coupled to a monolithic silicon
photodetector in a wide array of standard devices and
encourages the use of special designs and selections for
special applications. All Motorola optoisolators have VISO
rating of 7500 Vac(pk), exceeding all other industry standard
ratings.
Motorola offers global regulatory approvals, including UL,
CSA, AUSTEL, NEMKO, BABT, SETI, SEMKO, and DEMKO.
VDE(I) approved per standard 0884/8.87, with additional
approvals to DIN IEC950 and IEC380NDE 0806,
IEC435NDE 0805, IEC65NDE 0860, VDE 110b, also
covering all other standards with equal or less stringent
requirements, including IEC204NDE 0113, VDE 0160, VDE
0832, VDE 0833.

AC Input
Transistor Output

Zero Crossing
Circuit

~
CASE
730A-Q4

:

NC

~

S
(S) CASE 730c-04
Surface-mountable
gull-wlng option

~

T
(T) CASE 7300-05
Wrde-spaced (D.400)
lead form option

Optoisolator
Lead Form Options:
Most of Motorola's 6-pin, dual in-line optoisolators
can be ordered in either a surface-mountable, gull-wing
lead form or a wide-spaced 0.400" through-hole lead
form, which is used to satisfy 8 mm PC board spacing
requirements. All available tape and reel and lead
form options are available on designated prime
devices. Please first reference "prime" device
designation tables, prior to ordering!

(I) VDE 0884/8.87 testing is an option; the suffix "V" must be added to the
standard part number (see VDE Approved Optoisolators in Section 3).

• Attach uS· to any Motorola 6-pin, dual in-line part
number for surface-mountable, gull-wing lead form.
• Attach"r' to any Motorola 6-pin, dual in-line part
number for wide-spaced 0.400" through-hole lead
form.
Tape and Reel Options:
• Attach "SR2" suffix to any Motorola 6-pin, dual
in-line part number for tape and reeled,
surface-mountable, gull-wing lead form.

Motorola Master Selection Guide

5.8-5

Optoelectronic Devices

6-Pin Dual In-Line Package
CASE 730A-M

Table 1. Transistor Output
Pinout: 1-Anode, 2-Cathode, 3-N.C., 4-Emltter, 5-Collector, 6-Base (Style 1)
Current Transfer
Ratio (CTR)

%
Device
TIL111
4N27
4N28
4N38,A
4N25
4N26
MCT2
MCT2E
CNY17-1
MCT271
MOC81 00
H11A1
HllA550
TIL 117
SL5501
CNY17-2
MCT275
MCT272
4N35
4N36
4N37
CNY17-3
HllAVl
Hl1AV2

Min

@

8
10
10
20
20
20
20
20
40-80
45-90
50
50
50
50
45-250
63-125
70-210
75-150
100
100
100
100-200
100-300
50

IF
mA
16
10
10
20
10
10
10
10
10
10
1
10
10
10
10
10
10
10
10
10
10
10
10
10

VCE
Volts
0.4
10
10
1
10
10
10
10
5
10
5
10
10
10
0.4
5
10
10
10
10
10
5
10
10

trltf or ton"/lott"

Typ

VCE(sat)
Volts@ IF

IC

Max

mA

mA

lis

0.4
0.5
0.5
1
0.5
0.5
0.4
0.4
0.4
0.4
0.5
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.3
0.3
0.3
0.4
0.4
0.4

16
50
50
20
50
50
16
16
10
16
1
10
20
10
20
10
16
16
10
10
10
10
20
20

2
2
2
4
2
2
2
2
2.5
2
0.1
0.5
2
0.5
2
2.5
2
2
0.5
0.5
0.5
2.5
2
2

5/5
1.211.3
1.211.3
1.612.2
1.211.3
1.211.3
1.211.3
1.211.3
1.612.3"
4.9*/4.5*
3.815.6
1.211.3
5*/55/5
20*/501.612.3
4.5-/3.56*/5.53.214.7
3.214.7
3.214.7
1.612.3
5*/45*/4*

@ IC
mA
2
10
10
10
10
10
2
2
2
2
2
2

2
2
2
2
2
2
2

VCC
Volts

RL

10
10
10
10
10
10
5
10
5
5
10
10
10
10
5
5
5
5
10
10
10
5
10
10

100
100
100
100
100
100
2k
100
75
100
100
100
100
100
lk
75
100
100
100
100
100
75
100
100

0

IF
mA

V(BR~CEO
Vo s
Min
30
30
30
80
30
30

15
10

30
30
70
30
30

30
30
30
16
10

30
70

80
30

30

10

30
30
70
70
70

VF
VOlts@ IF
Max mA
1.4
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.65
1.5
1.4
1.5
1.5
1.4
1.3
1.65
1.5
1.5
1.5
1.5
1.5
1.65
1.5
1.5

16
10
10
10
10
10
20
20
60
20
1
10
10
16
20
60
20
20
10
10
10
60
10
10

1.5
1.5
1.5
1.5
1.5
1.5

10
10
10
10
10
10

Table 2. Transistor Output with No Base Connection
Pinout: 1-Anode, 2-Cathode, 3-N.C., 4-Emitter, 5-Collector, 6-Base (Style 3)
MOC8106
MOC8107
MOC8108
MOC8111
MOC8112
MOC8113

50-150
100-300
250-600
20
50
100

10
10
10
10
10
10

10
10
10
10
10
10

0.4
0.4
0.4
0.4
0.4
0.4

5
5
5
10
10
10

0.5
0.5
0.5
0.5
0.5
0.5

3.214.7
3.214.7
3.214.7
3.214.7
3.214.7
3.2/4.7

2
2
2
2
2
2

10
10
10
10
10
10

70
70
70
30

100
100
100
100
100
100

30
30

Table 3. AC Input - Transistor Output
Pinout: 1-LED 1 AnodelLED 2 Cathode, 2-LED 1 CathodeILED 2 Anode, 3-N.C., 4-Emltter, 5-Co11ector, &-Base (Style 8)
Current Transfer
Ratio (CTR)

%
Device

H11AA1
HllAA2
Hl1AA3
H11AA4

Min

@

IF
mA

20
10
50
100

±10
±10
±10
±10

VCE
Volts
10
10
10
10

trltf or ton"ltoft"

Typ

VCE(sat)
VOlts@ IF

IC

Max

mA

mA

0.4
0.4
0.4
0.4

±10
±10
±10
±10

0.5
0.5
0.5
0.5

!is

@ IC
mA

VCC
Volts

VF
RL

0

IF
mA

v(BR1CEO
Vots
Min

30
30
30

30

Volts@ IF
Max mA
1.5
1.8
1.5
1.5

±10
±10
±10
±10

Devices listed in bold, italic are Motorola preferred devices.
Optoelectronic Devices

5.8-6

Motorola Master Selection Guide

6-Pin Dual In-Line Package (continued)
CASE 730A-04

Table 4. Darlington Output
Pinout: 1-Anode, 2-Cathode, 3-N.C., 4-Emitter, 5-Collector, 6-Base (Style 1)
Currenl Transfer
Ralio(CTR)

Device
4N31
4N29,A
4N30
MCA231
TIL113
4N32
4N33
H11B1
MOC8080

%
Min
50
100
100
200
300
500
500
500
500

@ IF
rnA
10
10
10
1
10
10
10
1
10

trllf or 10n*ltoff*
Typ

VCE(sal)

VCE
Volls
10
10
10
1
1.0
10
10
5
5

Volts@ IF

IC

Max

rnA

rnA

lJ.S

1.2
1
1
1.2
1
1
1
1
1

8
8
8
10
50
8
8
1
1

2
2
2
50
125
2
2
1
1

0.6/17
0.6117
0.6/17

80
300
0.6/45
0.6145
1/2

@ IC
rnA

VCC
Volts

50
50
50
10
125
50
50
10

10
10
10
10
15
10
10
10
10

1/2

RL

g

VF
IF
rnA
200
200
200

V(BR)CEO
Volts
Min

volts@ IF
Max rnA

100
100

5

30
30
30
30
30
30
30
25
55

100
100
100
100
100

10
10
10
10

30
80
50
80
50

1.5
2
2
2
2

10
10
10
10
10

100
100
100

10
10
10

100
80
55

1.5
1.5
1.5

10
10
10

100
100
200
200

1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5

10
10
10
20
10
10
10
10
10

Table 5. Darlington Output with No Base Connection
Pinout: 1-Anode, 2-Cathode, 3-N.C., 4-Emitter, 5-Collector, 6-N.C. (Style 3)
MOC119
MOC8030

MOC8020
MOC80S0

MOC8021

300
300
500
500
1000

10
10
10
10
10

2
1.5
5
1.5
5

1

10

10

1/2
1/2
1/2
1/2
1/2

2.5

10
50
50
50
50

Table 6. Resistor Darlington Output
Pinout: 1-Anode, 2-Cathode, 3-N.C., 4-Emitter, 5-Collector, 6-Base (Style 1)
H11G1
H11G2
H11G3

1000
1000
200

10
10
1

1
1
5

1
1
1.2

1
1
50

1
1
20

5/100
51100
5/100

5
5
5

Table 7. High Voltage Transistor Output
Pinout: 1-Anode, 2-Cathode, 3-N.C., 4-Emitter, 5-Collector, 6-Base (Style 1)
MOC8204
H11D1

H11D2

Devices listed in bold, italic are Motorola preferred devices.
Motorola Master Selection Guide

5.8-7

Optoelectronic Devices

6-Pin Dual In-Line Package (continued)
Table 8. Triac Driver Output

CASE 730A-04

Pinout: 1-Anode, 2-Cathode, 3-N.C., 4-Main Terminal, 5-Substrate, 6-Main Terminal (Style 6)

Device
MOC3010
MOC3011
MOC3012
MOC3021
MOC3022
MOC3023

MOC3051
MOC3052

MOC3031
MOC3032
MOC3033
MOC3041
MOC3042
MOC3043

MOC3061
MOC3062
MOC3063

MOC3162
MOC3163

MOC3081
MOC3082
MOC3083

Peak Blocking
Voltage
Min

LED Trigger
Current-iFT
(VTM = 3 V)
mAMax

250
250
250
400
400
400
600
600
250
250
250
400
400
400
600
600
600
600
600
800
800
800

15
10
5
15
10
5
15
10
15
10
5
15
10
5
15
10
5
10
5
15
10
5

Zero Crossing
Inhibit Voltage
(at rated 1FT)
Volts Max

Operating
Voltage
Vae

-

dv/dt
VlJls Typ

125
125
125

10
10
10
10
10
10
2000
2000
2000
2000
2000
2000
2000
2000
1500
1500
1500
1000
1000
1500
1500
1500

125/280
125/280
125/280
125/280
125/280

20
20
20
20
20
20
20
20
20
15
15
20
20
20

125
125
125
125/280
125/280
125/280
125/280
125/280
125/280
125/280
125/280
125/280/320
125/280/320
125/280/320

Table 9. Schmitt Trigger Output
Pinout: 1-Anode, 2-Gathode, 3-N.C., 4-0utput, 5-Ground, 6-VCC (Style 5)

Device
H11L1

H11L2
MOC5007
MOC5008
MOC5009

Threshold
Current On
mAMax

Threshold
Current Off
mAMin

1.6
10
1.6
4
10

0.3
0.3
0.3
0.3
0.3

IF(offjllF(on)
Min
Max
0.5
0.5
0.5
0.5
0.5

0.9
0.9
0.9
0.9
0.9

Min

Max

t ... tf
JlsTyp

3
3
3
3
3

15
15
15
15
15

0.1
0.1
0.1
0.1
0.1

vCC

Devices listed in bold, italic are Motorola preferred devices.
Optoelectronic Devices

5.8-8

Motorola Master Selection Guide

Small Outline -

Surface Mount

CASE 846-01
80-8 DEVICES

Table 10. Transistor Output
Pinout: 1-Anode, 2-Cathode, 3-N.C., 4-N.C., 5-Emitter, 6-Collector, 7-Base, B-N.C. (Style 1)
Currenl Transfer
Ralio(CTR)

%
Device

Marking

Min

205
206
207
211
212
213
215
216
217

40-80
63-125
100-200
20
50
100
20
50
100

MOC205,R2
MOC206,R2
MOC207,R2
MOC211,R2
MOC212,R2
MOC213,R2
MOC215,R2
MOC216,R2
MOC217,R2

@

Irllf Typ

VCE(sal)

IF
mA

VCE
Volls

10
10
10
10
10
10
1
1
1

10
10
10
10
10
10
5
5
5

IC
mA

lis

0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4
0.4

2
2
2
2
2
2
0.1
0.1
0.1

1.6
1.6
1.6
3.2
3.2
3.2
3.2
3.2
3.2

10
10
10
10
10
10
1
1
1

mA

VCC
Volls

RL
Q

V(BR)CEO
Volls
Min

2
2
2
2
2
2
2
2
2

10
10
10
10
10
10
10
10
10

100
100
100
100
100
100
100
100
100

70
70
70
30
30
30
30
30
30

1.5
1.5
1.5
1.5
1.5
1.5
1.3
1.3
1.3

30

1.3

30

1.5

±10

10
10
10
10

@ Ie

VoIIS@ IF
Max
mA

VF

VoIIS@ IF
Max
mA

10
10
10
10
10
10
1
1
1

Table 11. Darlington Output
Pinout: 1-Anode, 2-Cathode, 3-N.C., 4-N.C., 5-Emitter, 6-Collector, 7-Base, B-N.C. (Style 1)

IMOC223,R2

223

500

I I 0.5 I I I

5

1

2

5

10

100

All devices are shipped in tape and reel format. (See Tape and Reel Specifications Section for more information.)

Table 12. AC Input - Transistor Output (Single Channel) (Style 2)

IMOC256,R2 I

256

I

20

I ±10 I

10

I 0.4 I±10 I 0.5 I

Table 13. Transistor Output (Dual Channel) (Style 3)
MOCD207,R2
MOCD20B,R2
MOCD211,R2
MOCD213,R2
MOCD217,R2

D207
D208
D211
D213
D217

100-200
45-125
20
100
100

10
10
10
10
1

10
10
10
10
5

0.4
0.4
0.4
0.4
0.4

10
10
10
10
1

2
2
2
2
0.1

1.6
1.6
3.2
3.2
3.2

2
2
2
2
2

10
10
10
10
10

100
100
100
100
100

70
70
30
70
30

1.5
1.5
1.5
1.5
1.5

2

5

10

100

30

1.3

1

Table 14. Darlington Output (Dual Channel) (Style 3)

IMOCD223,R2 I D223 I

500

I

I

5

I

10.5 I

R2 devices are shipped in tape and reel format. (See Tape and Reel Specifications Section for more information.)

Devices listed in bold, italic are Motorola preferred devices.
Motorola Master Selection Guide

5.8-9

Optoelectronic Devices

POWER OPTOTM Isolators
CASE 417-02
PLASTIC PACKAGE

CASE 417A-02
PLASTIC PACKAGE

CASE 417B-01
PLASTIC PACKAGE

Table 15. POWER OPTO Isolator 2 Amp Zero-Cross or Random Phase Triac Outputs
Pinout: (1,4,5,6,8 No Pin), 2 - LED Cathode, 3- LED Anode, 7-Main Terminal, 9-Main Terminal

Device

Peak Blocking
Voltage
(Volts)
Min

Led Trigger
Current 1fT
(VTM =2V)mA
Max

On State Voltage
VTM (Rated 1FT
ITM = 2 A) (Volts)
Max

Zero Crossing
Inhibit Voltage
(IF = Rated 1FT)
(Volts) Max

Operating
Voltage
Vac Pk (Volts)

dv/dt (static)
vlllS (VIN = 200 V)
(VlllB)
Min

600
600
600
600

5
10
10
15

1.3
1.3
1.3
1.3

10
10
N/A
N/A

125/220
125/220
125/220
125/220

400
400
400
400

MOC2A6o-S
MOC2A60-10
MOC2R60--10
MOC2R60-15

NOTE: Heat Tab options 417A--{)2 and 4176-01 are only available on boldface devices.
All devices are shipped in rails.
No suffix = Case 417-02lStyle 2 (Standard Heat Tab),
"F" suffix = Case 417--{)21Style 1 (Flush Mount HeatTab)
"C" suffix = Case 417B-01/Style 1 (CutTab)

Devices listed in bold, italic are Motorola preferred devices.
Optoelectronic Devices

5.8-10

Motorola Master Selection Guide

Sensors
In Brief ...
Page

Motorola's Sensor Products Division features three
SENSEONTM families of acceleration, chemical (gas) and
pressure sensor products. These sensors combine silicon
micromachining with semiconductor manufacturing
technology and processes for highly accurate, reliable.
repeatable sensor products.

Introduction ................................. 5.9-2
The Basic Structure .......................... 5.9-2
Motorola's Patented X-ducer . . . . . . . . . . . . . . . . .. 5.9-2
Linearity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.9-3
Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.9-3
Typical Electrical Characteristic Curves ......... 5.9-4
Unibody Cross-sectional Drawings. . . . . . . . . . . .. 5.9-5
Pressure Side Identification ................... 5.9-6
Selector Guide .............................. 5.9-7
Reference Table ............................ 5.9-13
Packaging Options .......................... 5.9-14

Acceleration Sensors
The acceleration sensor portfolio includes the MMAS40G
(16-pin DIP) and XMMAS40GWB (6-pin wingback) sensors.
This family of sensors integrates the silicon micromachined
g-alll sensing element with a control chip packaged in a rugged,
plastic package for maximum versatility and functionality.
Target applications: Automotive systems

Chemical Sensors
The newest member of the SENSEON sensor family is the
MGS1100 carbon monoxide (CO) gas sensor introduced to the
general market in October 1996. The MGS11 00 represents the
first mass produced, silicon micromachined sensor for carbon
monoxide gas detection. Based on a technology licensed from
MicroSens, (Neuchatel, Switzerland) these sensors feature an
embedded heater layer that raises the temperature of the
metal-oxide film to be sensitive to the target gas. Available in
production quantities second quarter 1997, this line will
continue to add new device enhancements and devices,
including the MGS1200 methane sensor, in third quarter 1997
along with a new smoke detection product.
Target applications: Industrial, commercial and consumer
environmental detection products and systems.

Pressure Sensors
Combining integrated circuit technology with advanced
pressure sensor architecture, this diverse family of pressure
sensing products offers performance, reliability and design
adaptability in a single monolithic device. The versatile
MPX-series of pressure transducers are available in a
number of versions:
• Fully signal conditioned for high-level output;
• High Impedance, temperature compensated and
calibrated, for low current designs;
• Temperature compensated and calibrated, for simplified
circuits
• Uncompensated for unlimited adaptability and;
• Packaging options for surface mount and piston fit
applications
In addition, this series of sensors provides electrical,
mechanical and media tolerant design-in options that
uniquely fit the varying requirements of the system designer.
Target applications: Automotive, industrial controls, biomedical
and consumer products and systems.

Motorola Master Selection Guide

5.9-1

Sensors

Pressure Sensors
Introduction
Motorola pressure sensors combine advanced piezoresistive sensor architecture with integrated circuit technology to offer a
wide range of pressure sensing devices for automotive, biomedical, consumer and industrial applications. Selection versatility
includes choice of:

Pressure Ranges in PSI

Application Measurements

o to 1.45, 0 to 6, 0 to 7.3,0 to 14.5, 0 to 29, 0 to 75,0 to 100,
o to 150

Absolute, Differential, Gauge

Sensing Options

Package Options

Uncompensated, Temperature Compensated/Calibrated,
High Impedance, and Signal Conditioned (with on-chip
amplifiers)

Basic Element, Ported Elements for specific measurements

The Basic Structure

Motorola's Patented
X-ducerTM

The Motorola pressure sensor is designed utilizing a
monolithic silicon piezoresistor, which generates a changing
output voltage with variations in applied pressure. The
resistive element, which constitutes a strain gauge, is ion
implanted on a thin silicon diaphragm.
Applying pressure to the diaphragm results in a resistance
change in the strain gauge, which in turn causes a change in
the output voltage in direct proportion to the applied pressure.
The strain gauge is an integral part of the silicon diaphragm,
hence there are no temperature effects due to differences in
thermal expansion of the strain gauge and the diaphragm. The
output parameters of the strain gauge itself are temperature
dependent, however, requiring that the device be
compensated if used over an extensive temperature range.
Simple resistor networks can be used for narrow temperature
ranges, i.e., O°C to 85°C. For temperature ranges from -40°C
to +125°C, more extensive compensation networks are
necessary.

j-

I
I
PIN#
1. GROUND
2. +VOUT
3. Vs
4.-VOUT

I

Excitation current is passed longitudinally through the
resistor (taps 1 and 3), and the pressure that stresses the
diaphragm is applied at a right angle to the current flow. The
stress establishes a transverse electric field in the resistor that
is sensed as voltage at taps 2 and 4, which are located at the
midpoint of the resistor. The single-element transverse
voltage strain gauge can be viewed as the mechanical analog
of a Hall effect device.
Using a single element eliminates the need to closely match
the four stress and temperature sensitive resistors that form
a Wheatstone bridge design. At the same time, it greatly
simplifies the additional circuitry necessary to accomplish
calibration and temperature compensation. The offset does
not depend on matched resistors but instead on how well the
transverse voltage taps are aligned. This alignment is
accomplished in a single photolithographic step, making it
easy to control, and is only a positive voltage, simplifying
schemes to zero the offset.

ETCHED
DIAPHRAGM
BOUNDARY

TRANSVERSE
VOLTAGE STRAIN

I

L_'I1!I!H~

Figure 1. Basic Uncompensated Sensor Element - Top View

Sensors

5.9-2

Motorola Master Selection Guide

Linearity
Linearity refers to how well a transducer's output follows
the equation: Vout =Voff + sensitivity x P over the operating
pressure range. There are two basic methods for calculating
nonlinearity: (1) end point straight line fit (see Figure 2) or
(2) a least squares best line fit. While a least squares fit gives
the "best case" linearity error (lower numerical value), the
calculations required are burdensome.
Conversely, an end point fit will give the "worst case" error
(often more desirable in error budget calculations) and the
calculations are more straightforward for the user. Motorola's
specified pressure sensor linearities are based on the end
point straight line method measured at the midrange pressure.

>-

::::l

a.

>-

::::l

o

LU



~

-'

LU

a:

PRESSURE (% FULLSCALE)

Figure 2. Linearity Specification Comparison

Operation

NEGATIVE PRESSURE
VACUUM

t

~~I

Motorola pressure sensors support three types
of pressure measurements: Absolute Pressure,
Differential Pressure and Gauge Pressure.
Absolute Pressure Sensors measure an
external pressure relative to a zero-pressure
reference (vacuum) sealed inside the reference
chamber of the die during manufacture. This
corresponds to a deflection of the diaphragm
equal to approximately 14.5 psi (one atmosphere), generating a quiescent full-scale output
for the MPX100A (14.5 psi) sensor, and a
half-scale output for the MPX200A (29 psi)
device. Measurement of external pressure is
accomplished by applying a relative negative
pressure to the "Pressure" side of the sensor.
Differential Pressure Sensors measure the
difference between pressures applied simultaneously to opposite sides of the diaphragm. A
positive pressure applied to the "Pressure" side
generates the same (positive) output as an equal
negative pressure applied to the "Vacuum" side.

Motorola Master Selection Guide

vos

POSITIVE PRESSURE

•

I
I Absolute
I Sensor
I
-------1--

1 ATM PMAX
- - INCREASING VACUUM
INCREASING PRESSURE - -

PMAX
DIFFERENTIAL PRESSURE - INCREASING

Motorola sensing elements can withstand pressure inputs as high as four times their rated
capacity, although accuracy at pressures exceeding the rated pressure will be reduced.
When excessive pressure is reduced, the previous linearity will immediately be restored.

Figure 3. Pressure Measurements
Gauge Pressure readings are a special case of differential measurements in which the pressure applied to the "Pressure" side is measured
against the ambient atmospheric pressure applied to the "Vacuum" side
through the vent hole in the chip of the differential pressure sensor
elements.

5.9-3

Sensors

Typical Electrical Characteristic Curves
100 ...---~-~-~-~--r---.---.-------,
COMPENSATED Vs .10 Vdc
90
UNCOMPENSATED Vs = 3 Vdc
P1> P2
:::;:-+--b"-':;"-~
80

.'
.!
40 __ VS=10Vdc
TA= 25°C
..;~
35 I-- MPX2100
100~
30 ~Pl>P2 I - - TYP,
SPAN
~~
~ 25
RANGE
MAX "~
~20
=>
~~
~ 15
~
o 10
I"MIN
~
I

1

'"

kPa

-5

PSI

'"

0

25
3.62

1
---r

75
10.B7

50
7.25

100
14.5

I

I 40~~-~~~~-+-~-~~~~

OFFSET
(lYP)

6
10

~

5"5
0

20
30
40
PRESSURE DIFFERENTIAL

50

Figure 5. Typical-Output Voltage versus
Pressure and Temperature for Compensated
and Uncompensated Devices

Figure 4. Output versus Pressure Differential

Jii

70~-+--~--+-~--~~~~t.~

60
50

5.0
TRANSFER FUNCTION:.'. MAX....,
4.5
You! = Vs' (O.OO9'P - 0.04) ± error
4.0 Vs= 5.0 Vdc
~
3.5 TEMP = 0 to 85°C
P'"
3.0 MPX5100D

2

~~ ~ --

P1>P2

2.5 r-'2.0
1.5
.......
1.0
0.5

~

-

~
A W

----

~

~

00

~ r-

TYP

MIN

I

10 20 30

40

50

60 70

80 90 100 110

DIFFERENTIAL PRESSURE (in kPa)

Figure 6. Signal Conditioned MPX5100

Sensors

5.9-4

Motorola Master Selection Guide

Unibody Cross-sectional Drawings
SILICONE GEL
DIE COAT

DIFFERENTIAUGAUGE
DIE

DIFFERENTIAUGAUGE ELEMENT
P2

SILICONE GEL ABSOLUTE
DIE COAT
DIE

DIE
BOND

ABSOLUTE ELEMENT
P2

DIE
BOND

Figure 7. Cross-Sectional Diagrams (not to scale)

Figure 7 illustrates the absolute sensing configuration
(right) and the differential or gauge configuration in the basic
chip carrier (Case 344). A silicone gel isolates the die surface
and wire bonds from harsh environments, while allowing the
pressure signal to be transmitted to the silicon diaphragm.

The MPX series pressure sensor operating characteristics
and internal reliability and qualification tests are based on use
of dry air as the pressure media. Media other than dry air may
have adverse effects on sensor performance and long term
stability. Contact the factory for information regarding media
compatibility in your application.

STAINLESS STEEL
METAL COVER
DIE

Figure 8. Cross-Sectional Diagram (not to scale)

Figure 8 illustrates the differential/gauge die in the basic
chip carrier (Case 434). A silicone gel isolates the die
surface and wirebonds from the environment, while

Motorola Master Selection Guide

allowing the pressure signal to be transmitted to the
silicon diaphragm.

5.9-5

Sensors

Pressure Side Identification
The absolute sensor is designed for vacuum applied to P1
side.
The Pressure (P1) side may be identified by using the table
below.

Motorola designates the two sides of the pressure sensor
as the Pressure (P1) side and the Vacuum (P2) side. The
Pressure (P1) side is the side containing the silicon gel which
protects the die. The differential or gauge sensor is designed
to operate with positive differential pressure applied, P1 > P2.

Table 1. Pressure (P1) I Vacuum (P2) Side Identification
Part Number

Case Type 4 PIN

Positive Pressure Side Identifier

MPXxxxxA
MPXxxxxD

344-15

Stainless Steel Cap

MPXxxxxDP

352-03

Side with Part Marking

MPXxxxxAP
MPXxxxxGP

350-05

Side with Port Attached

MPXxxxxGVP

350-06

Stainless Steel Cap

MPXxxxxAS
MPXxxxxGS

371-08

Side with Port Attached

MPXxxxxGVS

371-07

Stainless Steel Cap

MPXxxxxASX
MPXxxxxGSX

371C-D3

Side with Port Attached

MPXxxxxGVSX

371D-03

Stainless Steel Cap

Part Number

Case Type 6 PIN

Positive Pressure Side Identifier

MPXxxxxA
MPXxxxxD

867-08

Stainless Steel Cap

MPXxxxxDP

867C-05

Side with Part Marking

MPXxxxxAP
MPXxxxxGP

8678-04

Side with Port Attached

MPXxxxxGVP

8670-04

Stainless Steel Cap

MPXxxxxAS
MPXxxxxGS

867E-03

Side with Port Attached

MPXxxxxGVS

867A-04

Stainless Steel Cap

MPXxxxxASX
MPXxxxxGSX

867F-03

Side with Port Attached

MPXxxxxGVSX

867G-03

Stainless Steel Cap

MPXxxxxGVW

867H-03

Stainless Steel Cap

Sensors

5.9-6

Motorola Master Selection Guide

PRESSURE SENSOR PRODUCTS
Table 2. Uncompensated
Device
Series
MPX10D
MPX50D
MPX100D,A
MPX200D,A
MPX700A
MPX700D

Max Pressure
Rating

Linearity
0/0 of FSS(1)
(Min) (Max)

Offset
mV(Typ)

Full Scale
Span
mV (Typ)

Sensitivity
(mV/kPa)

20
20
20
20
20
20

35
60
60
60
60
60

3.5
1.2
0.6
0.3
0.086
0.086

-1.0
-0.25
-0.25
-0.25
- 1.0
-0.50

1.0
0.25
0.25
0.25
1.0
0.50

75
200
200
400
400
2800
2800

±1.0
±1.0
±1.0
±2.0
±1.0
±2.0
±1.0

25
40
40
40
40
40
40

2.5
0.8
0.8
0.4
0.2
0.057
0.057

-1.0
-0.25
-0.55
-1.0
-1.0
-1.0
-0.5

1.0
0.25
0.25
1.0
1.0
1.0
0.5

200
400
400
400
400

±1.0
±2.0
±1.0
±2.0
±1.0

40
40
40
40

0.8
0.4
0.4
0.2
0.2

-0.25
-1.0
-0.25
-1.0
-0.25

0.25
1.0
0.25
1.0
0.25

psi

kPa

Over
Pressure
(kPa)

1.45
7.3
14.5
29
100
100

10
50
100
200
700
700

75
200
200
400
2800
2800

Table 3. Compensated and Calibrated (On-Chip)
MPX2010D
MPX2050D
MPX2052D
MPX2100A
MPX2200A
MPX2700A
MPX2700D

1.45
7.3
7.3
14.5
29
100
100

10
50
50
100
200
700
700

Table 4. High Impedance (On-Chip)
MPX7050D
MPX7100A
MPX7100D
MPX7200A
MPX7200D

7.3
14.5
14.5
29
29

50
100
100
200
200

40

Table 5. Compensated and Calibrated (On-Chip) Medical Grade
Max Pressure
Rating
Device Series
MPX2300DT1

psi

I

kPa

Supply
Voltage
(Vdc)

5.8

I

40

6.0

Offset
mV(Max)

Sensitivity
(I1VN/mmHg)

Output
Impedance
Ohms (Max)

0.75

5.0

330

Linearitr
%ofFSS 1)
(Min) (Max)
-2.0

I

2.0

(1 )Based on end point straight line fit method. Best fit straight line linearny error is approximately 1/2 of listed value.

Table 6. Signal Conditioned (On-Chip)
Max Pressure
Rating
Device Series
MPX4100A
MPX4101A
MPX4115A
MPX4250A
MPX5010D
MPX5050D
MPX5100A
MPX5100D
MPX5500D
MPX5700D
MPX5999D

psi

kPa

Over
Pressure
(kPa)

15.2
14.7
16.6
36.2
1.45
7.3
16.6
14.5
72.5
100
150

105
102
115
250
10
50
115
100
500
700
1000

400
400
400
400
75
200
400
400
2000
2800
4000

Full Scale
Span
V (Typ)
4.59
4.59
4.59
4.69
4.5
4.5
4.5
4.5
4.5
4.5
4.7

Accuracy
Sensitivity
(mVlkPa)

(O-85°C)
0/0 of VFSS

54

±1.8
±1.8
±1.5
±1.5
±5.0
±2.5
±2.5
±2.5
±2.5
±2.5
±2.5

54

45.9
20
450
90
45
45
9.0
6.0
5.0

Bold italic indicates product introduced in the last 12 months.

Motorola Master Selection Guide

5.9-7

Sensors

Table 7. MPX1 0/50/1 00/2001700 Series (Uncompensated)
Pressure Range
Oevice
Type

MeasurementlPorting
Options

Otol.45PSI
(Otol0kPa)

Package
Options

4-Pln

Absolute

Case 344-1S

Basic Elements

Differential

Case 344-1S

Ported Elements

Absolute Port

Case3S0-0S

Oto 7.3 PSI
(Ot050kPa)

-

MPX10D

Absolute Stovepipe

Case 371-07

-

Absolute Axial

Case 371 G-03

-

MPXSOD

-

o

to 14.5 PSI
(0 to 100 kPa)

Oto 29 PSI
(0 to 200 kPa)

Oto 100 PSI
(0 to 700 kPa)

MPX100A

MPX200A

MPX100D

MPX200D

MPX700A
MPX700D

MPX100AP

MPX200AP

MPX700AP

MPX100AS

MPX200AS

-

-

MPX100ASX

MPX700ASX

Differential Port

Case 352-03

MPX10DP

MPXSODP

MPX100DP

MPX200DP

MPX700DP

Gauge

Case3S0-0S

MPX10GP

MPXSOGP

MPX100GP

MPX200GP

MPX700GP

Gauge Vacuum

Case3SO-Q6

MPX10GVP

MPXSOGVP

MPX100GVP

MPX200GVP

Gauge Stovepipe

Case 371-07

MPX10GS

MPX50GS

MPX100GS

MPX200GS

Gauge Vacuum Stovepipe

Case 371-0S

Gauge Axial

Case 371 C-03

Gauge Vacuum Axial

Case 371 0-03

-

-

MPX10GSX

-

-

-

MPX100GVS

MPXSOGSX

MPX100GSX

MPX200GSX

MPX50GVSX

MPX100GVSX

MPX700GSX

-

-

Table 8. MPX900 Series (Uncompensated) (Water vapor and soapy water vapor tolerant)
Pressure Range

Measurement

Oevice
Type

Oto 0.a7 PSI
(0 to 6 kPa)

Package
Options

Options

6-Pln

Basic Element

Differential

CaseS67-07

MPX906D

Ported Element

Gauge Axial

Case S67H-03

MPX906GVW

Max Pressure
Rating

Device
Series

psi

MPX906D

0.S7

I
I

kPa

Over
Pressure
(kPa)

Offset
mV(Typ)

Full Scale

Span
mV(Typ)

Sensitivity
(mVlkPa)

6

100

20

20

3.3

Linearity
% of FSS(1)
(Min) (Max)
-O.SO

I

2.0

Table 9. MPX2000 Series (Temperature Compensated and Calibrated On-Chip)
Pressure Range

Measurement
Device Type

Options

Package
Options

Oto 1.45 PSI
(0 to 10 kPa)

-

-

4-Pin

Absolute

Case 344-1S

Basic Elements

Differential

Case 344-1S

Ported Elements

Absolute Port

Case 35O-QS

Absolute Stovepipe

Case 371-07

Absolute Axial

Case 371 G-03

Differential Port

Case3S2-03

MPX2010DP

Gauge

Case 350-05

Gauge Vacuum
Gauge Stovepipe

Sensors

Ot07.3 PSI
(0 to 50 kPa)

Oto 14.5 PSI
(0 to 100 kPa)

Oto 29 PSI
(0 to 200 kPa)

Oto 100 PSI
(0 to 700 kPa)

MPX2100A

MPX2200A

MPX2700A

MPX2100D

MPX2200D

MPX2700D

MPX2100AP

MPX2200AP

MPX2700AP

MPX2100AS

MPX2200AS

MPX2700AS

MPX2100ASX

MPX2200ASX

MPX2700ASX

MPX2050DP

MPX2100DP

MPX2200DP

MPX2700DP

MPX2010GP

MPX2050GP

MPX2100GP

MPX2200GP

MPX2700GP

Case 35O-Q6

MPX2010GVP

MPX20S0GVP

MPX2100GVP

Case 371-07

MPX2010GS

Gauge Vacuum Stovepipe

Case 371-0S

MPX2010GVS

-

MPX2100GVS

-

-

Gauge Axial

Case 371 C-03

MPX2010GSX

MPX2050GSX

MPX2100GSX

MPX2200GSX

MPX2700GSX

Gauge Vacuum Axial

Case 371 0-03

-

-

-

-

MPX2010D

-

MPX20S0D

-

5.9-8

-

MPX21 DOGS

MPX2100GVSX

-

-

Motorola Master Selection Guide

Table 10. MPX4000 Series (Signal Conditioned On-Chip)
Pressure Range

Device Type

Measuremenl
Options

Package
Options

31015 PSI
(20 \0 105 kPa)

2.31014.7 PSI
(15 10102 kPa)

2.310 16.6 PSI
(1510115kPa)

3 \036.2 PSI
(2010200 kPa)

&-Pin

Basic Element

Absolute

Case 867-{)8

MPX4100A

MPX4101A

MPX411SA

MPX42S0A

Ported Element

Absolute Port

Case 8678-04

MPX4100AP

MPX4101AP

MPX411SAP

MPX42S0AP

Absolute Stovepipe

Case 867E-{)3

MPX4100AS

MPX4101AS

MPX411SAS

Absolute Axial

Case 867F-{)3

MPX4100ASX

MPX4101ASX

MPX411SASX

MPX4250ASX

Table 11. MPX5000 Series (Signal Conditioned On-Chip)
Pressure Range
Device
Type

Measuremenl
Options

o10 1.45 PSI

Package
Oplions

(01010 kPa)

-

0107.3 PSI
(0\050 kPa)

01014.5 PSI
(010100 kPa)

2.3 \0 16.6 PSI
(15 \0 115 kPa)

-

-

010100 PSI
(0 10 700 kPa)

-

010150 PSI
(0101000 kPa)

-

-

&-Pin

Absolute

Case 867-{)8

Basic
Element

Differential

Case 867-{)8

Ported
Element

Absolute
Port

Case
8678-04

-

-

-

MPX5l00AP

-

-

-

Absolute
Stovepipe

Case
867E-03

-

-

-

MPX5l00AS

-

-

-

Absolute
Axial

Case
867F-{)3

-

-

-

MPX5l00ASX

-

-

-

Differential

Case
867C-{)5

MPX5Ol0DP

MPX5OSODP

MPX5l00DP

-

MPX5500DP

MPX5700DP

-

Gauge

Case
8678-04

MPX5010GP

MPX5OSOGP

MPX5l00GP

-

MPX5500GP

MPX5700GP

-

Gauge

Case
867D-{)4

MPX5010GVP

MPX5OSOGVP

MPX5l00GVP

-

-

-

-

Vacuum
Gauge
Stovepipe

Case
867E-{)3

MPX5Ol0GS

MPX5050GS

MPX5l00GS

-

MPX5500GS

MPX5700GS

-

Gauge

Case
867A-04

MPXS010GVS

MPXS050GVS

MPX5100GVS

-

-

-

-

Gauge
Axial

Case
867F-{)3

MPX5010GSX

MPX5050GSX

MPXS100GSX

-

MPX5500GSX

MPX5700GSX

-

Gauge

Case
867G-{)3

MPXS010GVSX MPXS050GVSX MPX5100GVSX

-

-

-

-

Port

Vacuum

MPX5Ol0D

MPX5050D

MPXS100A

01075 PSI
(010 500 kPa)

-

MPX5l00D

MPX5500D

MPX5700D

MPX5999D

Stovepipe

Vacuum
Axial

Table 12. MPX7000 Series (Temperature Compensated and Calibrated High Impedance On-Chip)
Pressure Range
Measuremenl
Oplions

Device Type

Package
Options

0107.3 PSI
(01050 kPa)

01014.5 PSI
(0 10 100 kPa)

01029 PSI
(0 10 200 kPa)

4-Pin

Absolute

Case 344-15

-

MPX7100A

MPX7200A

Basic Elements

Differential

Case 344-15

MPX7050D

MPX7100D

MPX7200D

Ported Elements

Absolute Port

Case 35Q-{)5

-

MPX7100AP

MPX7200AP

Absolute Stovepipe

Case 371-{)7

-

MPX7100AS

Absolute Axial

Case 371C-03

-

-

-

Differential Port

Case 352-{)3

MPX7050DP

MPX7100DP

MPX7200DP

Gauge

Case 35Q-{)5

MPX70S0GP

MPX7100GP

MPX7200GP

Gauge Vacuum

Case 35Q-{)6

Gauge Siovepipe

Case 371-{)7

Gauge Vacuum Stovepipe

Case 371-D8

Gauge Axial

Case 371 G-03

Gauge Vacuum Axial

Case 371D-{)3

Motorola Master Selection Guide

5.9-9

MPX7050GS

MPX7050GSX

-

MPX7100GVP
MPX7100GS

MPX7100GSX
MPX7100GVSX

-

-

Sensors

Next Generation Package Options
Next Generation pressure sensor packages provide surface mount and various piston fit options for pressure sensor devices.
Refer to the "Device Numbering System for Pressure Sensors" to ascertain your packaging selection.
Pressure Range
Device Type

Measurement
Oplions

MPXS4100A
MPXS4115A

Absolule
Absolute

MPXT2010G

Gauge

oto US PSI

Package
OpUons
Case 432-01
Cases 432-01 ,
432A-01,4508-01
Case 434A-02

3t05PSI
(20 10 105 kPa)

(01010 kPa)

MPXS4100A

2.2 to IS.7 PSI
(1510115 kPa)

3to36.2 PSI
(20 to 250 kPa)

-

-

MPXS4115A
MPXT2010A

Table 13. New Products (Pressure Sensor)
Device SerIes
MPXB5050G
Backside piston fH

Data Sheel

Engineering Samples
Available

Introduclion Date

Description

1097

1097

March

o to 50 kPa, on-chip signal conditioned, 0.2 V 10 4.7 V
output, temperature compensated and calibrated
silicon pressure sensors.
Applications: Medical equipment, appliances, heavy
industrial equipment and transportation equipment and

systems
MPXT5006
Top piston Iii

3097

3097

July

o to 6 kPa, on-chip signal conditioned, temperature
compensated and calibrated sensor.
Applications: Washing machines and other consumer
white goods

MPXL5010
Lowprolile

2097

2097

June

o to 10 kPa, on-chip signal conditioned, temperature
compensated and calibrated pressure sensor.
Applicalions: Medical equipment, appliances, heavy
industrial equipment and transportation equipmenl and
systems

MPXT4105
Top piston lit

2097

2097

June

0105 kPa, on-chip signal condrtioned, 0.2 V to 4.8 V
output, temperature compensated and calibrated
pressure sensor.
Applications: Altimeters. barometers

Sensors

5.9-10

Motorola Master Selection Guide

Device Numbering System for Pressure Sensors
M PXS92XXXAf.l T1

PRESSURESENSOR~ I

~

~

LEADFORMOPTIONS
Open
1 thru 4
Unibody only
**1
Option 1f figure 1
Option 1, figure 2
**2
**3
Option 2, figure 3
"4
Option 2, figure 4
Open
5
6 thru 9 NGP only
6
Gull wing
84 degrees
7
8
Straight, 4 leads
clipped
Custom leadforms
9

PACKAGE TYPE
DEVICE CATEGORY
M
S
X

Qualified standard
Custom device
Prototype device

0

None Unibody
B
NGP Backside piston fit
NGP Dual piston fit
D
L
NGP Low profile
S
NGP Surface mount
T
NGP Top piston fit

MEDIA COMJATIBILITY.
None
Dry air only
1 thru8 Open
9
Water tolerant

I

I
DEVICE FEATURES·
None
1
2
3
4

5
6
7
8

SHIPPING METHOD
None Trays
T1
Tape and reel
1 indicates
part orientation
in tape
U
Rail

PORTING STYLE

I

Uncompensated
Ultra low pressure
Temp. compensated/calibrated
MAPIBAP II design
Temperature compensated/
calibrated/signal conditioned
Automotive accuracy
Temperature compensated!
calibrated/signal conditioned
Open
High Impedance
Open

B
C

HP Custom port
Axial port (NGP surface mount or low profile, glued on)
AC: one port (topSide surface mount)
GC: one port (topSide low profile)
Side port (NGP surface mount, or low profile, glued on)
E
AE: one port (topside surface mount)
GE: one port (topside low profile)
p
Ported (unibody)
AP: one port (topside)
DP: two ports
GP: one port (topSide)
GVP: one port (backside)
S
Stovepipe port (unibody)
AS: one port (topside)
GS: one port (topside)
GVS: one port (backside)
SX Axial port (unlbody)
ASX: one port (topside)
GSX: one port (topside)
GVSX: one port (backside)

Max. pressure
in kPa, except
for uitra low
pressure. Ultra
low pressure
(1) expressed
in Pascals. *

I
TYPE OF DEVICE
A
G
GV
D

Absolute
Gauge
Gauge vacuum
Differential

Note: Actual device marking may be abbreviated due to space constraints but packaging label will reflect full part number.
·Only applies to qualified and prototype devices. This does not apply to custom devices.
MPX100AP
100 kPa uncompensated, absolute device in unibody package with one port (topside), no leadform. shipped in trays.
Examples:
MPXS4100A6U

100 kPa automotive temp. compensated and calibrated device with signal conditioning, NGP surface mount with gull wing

leadform, shipped in rails
"Note: Lead description
Lead option/Die Configuration
1 Option 1, up
2 Option I, down
3 Option 2, up
4 Option 2, down
5 Open

Motorola Master Selection Guide

5,9-11

Sensors

ACCELERATION SENSOR PRODUCTS
Table 14. Accelerometer Sensor
Sensing
Direction

Supply
Current (mA)

Zero
Acceleration
Output

Range

Sensitivity

Frequencyl
Bandwidth (Hz)

MMAS40Gl0D

±40g

40mVlg

400

Z

7

2.5

XMMAS40GWB

±4Og

40mV/g

400

X

7

2.5

Device

Table 15. New Products (Accelerometer)
Device Series
XMMAS40GWB

Data Sheet

Engineering
Samples Available

Introduction Date

3097

3097

3097

Description
40 9 Lateral Sensing Accelerometer (Wingback)

Device Numbering System for Accelerometers

t

.M.MAS40G 10.0.

~I

MOTOROLA

MICROMACHINED - - - - ACCELEROMETER

PACKAGE
D
16PlnDIP
WB Wingback (6 Pin)
REFERENCE NUMBER

SENSOR----------------J

L -_______

RANGE

GAS SENSOR PRODUCTS

Device
MGSll00

Gas Sensitive

Sensitivity

RS (Air)

RS
(100 ppm CO)

Po

Carbon Monoxide (CO)

15tol000ppm

l000kn

100kQ

80mW

.M.GSll00

MOTOROLA----~ I '~
GAS~

,.,,""""...
GAS SENSED
100 CARBON MONOXIDE
200 METHANE

SENSOR--------------~

'-------DEViCE LINE

Sensors

5.9-12

Motorola Master Selection Guide

EVALUATION TOOLS
Table 16. Evaluation Kits
Order
Information

Board Titlel
Application Note

Description

KITDEVBII41D
KITDEVBI731D
KITDEVBMGS110010

Pressure Sensor with Microprocessor -Integrated
A Simple Sensor Interface Amplifier - Compensated
CO Gas Sensor Evaluation K~ (Preliminary)

DEVB-114/ANI305ID
DEVB-1731ANI324/D
MGS11OO1D

Max Pressure
Rating
psi

kPa

14.5
14.5

100
100

N/A

N/A

Table 17. New Literature
Literature

Description

AN1559/D
AN15711D
ANI5731D
AN40041D
AN1583/D
ANI5841D
AN1585ID
ANI586/D

Application Considerations for a Switched Capacitor Accelerometer
Digital Blood Pressure Meter
Understanding Pressure and Pressure Measurement
+2g Acceleration Sensing Module Based on a +40g Integrated Accelerometer
Motorola's Next Generation Piston F~ Pressure Sensor Packages
''Very low-Pressure" Smart Sensing Solution with Serial Communications Interface
High-Performance, Dynamically--Compensated Smart Sensor System
Designing a Homemade Digital Output for Analog Voltage Output Sensors

REFERENCE TABLE
Table 18. Pressure Unit Conversion Constants

(Most Commonly Used - Per International Conventions)

PSI(1)

In. H20(2)

in. Hg(3)

KPascal

millibar

cm H20(4)

mm Hg(5)

1.000

27.681

2.036

6.8948

68.948

70.309

51.715

3.6126 x 1(,.-2

1.000

7.3554 x 10"-2

0.2491

2.491

2.5400

1.8683

in. Hg(3)

0.4912

13.595

1.000

3.3864

33.864

34.532

25.400

KPascal

0.14504

4.0147

0.2953

1.000

10.000

10.1973

7.5006

millibar

0.01450

0.40147

0.02953

0.100

1.000

1.01973

0.75006

PSI(I)
in. H20(2)

cm H20(4)

1.4223 x 10- 2

0.3937

2.8958 x 10- 2

0.09806

0.9806

1.000

0.7355

mmHg(5)

1.9337 x 10-2

0.53525

3.9370 x 10- 2

0.13332

1.3332

1.3595

1.000

Motorola Master Selection Guide

5.9-13

Sensors

PRESSURE PACKAGING OPTIONS
4-PIN

~ ~

BASIC ELEMENT
CASE 344-15
SUFFIX AID

GAUGE PORT
CASE35~

SUFFIX AP/GP

MEDICAL CHIP PACK

GAUGE VACUUM PORT
CASE 35H6
SUFFIXGVP

AXIAL PORT
CASE371~3

SUFFIXDP

SUFFIX ASX/GSX

STOVEPIPE PORT

AXIAL VACUUM PORT
CASE 3710-03
SUFFIX GVSX

CASE42~

DUAL PORT
CASE352~3

STOVEPIPE VACUUM PORT

CASE371~7

CASE371~8

SUFFIXGVS

SUFFIX AS/GS

&-PIN

BASIC ELEMENT

GAUGE PORT

GAUGE VACUUM PORT

DUAL PORT

CASE867~

CASE867~

CASE867D~4

CASE867~5

SUFFIX AID

SUFFIX AP/GP

SUFFIXGVP

SUFFIXDP

AXIAL PORT

AXIAL VACUUM PORT

STOVEPIPE PORT

STOVEPIPE VACUUM PORT

STOVEPIPE MEDIA PORT

CASE867F~3

CASE867G~3

CASE867E~3

CASE867A~

CASE867H~

SUFFIX ASX/GSX

SUFFIX GVSX

SUFFIX AS/GS

SUFFIXGVS

SUFFIXGVW

0

a-PIN
(NEW)

~

BACKSIDE PISTON,FIT

SURFACE MOUNT

CASE450E~1

CASE432~1

• • -- •

TOP PISTON FIT
CASE 432A-01

CHEMICAL SENSOR
PACKAGING

4-PIN SENSEPACK'" WITH
NYLON CAP AND FILTER

Sensors

TOP PISTON FIT

DUAL PISTON FIT

SURFACE MOUNT

CASE434A~3

CASE434~1

CASE450~1

ACCELEROMETER
PACKAGING

DIP PACKAGE
CASE648~3

5.9-14

WINGBACK PACKAGE (WB)
CASE45H2

Motorola Master Selection Guide

RF Products

In Brief ...
While Motorola is considered to be the supermarket for
semiconductor products, there is not a category in which the
selection is more diverse, or more complete, than in products
designed for RF system applications. From MOS, bipolar
power and signal transistors to integrated circuits, Motorola's
RF components cover the entire spectrum from HF to
microwave to personal communications. Yet, product expansion continues - not only to keep pace with the progressive
needs of the industry, but to better serve the needs of designers
for a reliable and comprehensive source of supply.

How to Use This Selector Guide
This new selector guide combines the RF products of Motorola
Phoenix, Motorola Toulouse (France), and Motorola Hong Kong.
The products in this guide are separated FIRST into major
categories such as Power FETs, Power Bipolar, Medium
Power TranSistors, Small Signal, Monolithic Integrated
Circuits, Power Amplifier Modules and CATV Distribution
Amplifiers. SECOND, within each category parts are listed by
frequency band, except for medium power transistors, small
signal transistors and monolithic integrated circuits, which are
divided by application. Small signal transistor applications are
low noise, linear amplifiers, switches, and oscillators.
Monolithic integrated circuit application groupings are
switching, receiver functions and transmitter functions.
THIRD, within a frequency band, transistors are further
grouped by operating voltage and, finally, output power.

Remember
Applications assistance is only a phone call away - call the
nearest Semiconductor Sales office or 1-800-521-6274.

Motorola Master Selection Guide

5.10-1

Page
RF Discrete Transistors ........................ 5.10-2
RF Power MOSFETs ........................ 5.10-4
RF Power Bipolar Transistors ................. 5.10-7
HF Transistors ........................... 5.10-7
VHF Transistors ......................... 5.10-7
UHF Transistors ......................... 5.1o-a
900 MHz Transistors ..................... 5.10-9
1.5 GHz Transistors ..................... 5.10-10
Microwave Transistors .... . . . . . . . . . . . . . .. 5.10-10
LinearTransistors ....................... 5.10-12
RF Medium Power Transistors ............... 5.10-14
Discrete Wireless Transmitter Devices ..... 5.10-15
RF Small Signal Transistors ................. 5.10-16
Selection by Package .................... 5.10-17
Plastic SOE Case .................... 5.10-17
Ceramic SOE Case . . . . . . . . . . . . . . . . . .. 5.10-20
Selection by Application .................. 5.10-21
Low Noise ........................... 5.10-21
CATV, MATV and Class A Linear ....... 5.10-22
RF Monolithic Integrated Circuits ............... 5.10-23
Switching ................................. 5.10-24
Antenna Switches . . . . . . . . . . . . . . . . . . . . . .. 5.10-24
Receiver Functions ........................ 5.10-24
General Purpose Integrated Circuits ....... 5.10-24
900 MHz Front End. . . . . . . . . . . . . . . . . . . . .. 5.10-24
1.5-2.2 GHz Front End .................. 5.10-24
2.4 GHz Front End ...................... 5.10-25
Transmitter Functions ...................... 5.10-25
General Purpose Integrated Circuits ....... 5.10-25
900 MHz Transmit Chain ................. 5.10-26
1.5-2.2 GHz Transmit Chain ............. 5.10-27
2.4 GHz Transmit Chain .................. 5.10-28
RF Amplifier Modules ......................... 5.10-29
Land Mobile/Portable ....................... 5.10-31
Wideband Linear Amplifiers ................. 5.10-34
TV Transmitters ........................... 5.10-35
CATV Distribution Amplifiers ................... 5.10-36
Fiber Optic Receivers ...................... 5.10-36
Forward Amplifiers ......................... 5.10-36
Reverse Amplifiers . . . . . . . . . . . . . . . . . . . . . . . .. 5.10-40

RF Products

RF Discrete Transistors
In the following pages, the reader will find the most extensive group of RF Discrete Transistors offered by any semiconductor
manufacturer anywhere in the world today.
From Bipolar to FET, from Low Power to High Power, the user can choose from a variety of packages. They include plastic, metal
can and ceramic that are microstrip circuit compatible or surface mountable. Many are designed for automated assembly
equipment.
Major sub-headings are MOSFETs, Power Bipolar and Small Signal.

¥ ¥

~ ~

CASE 145A-09
STYLE 1
(.380" STUD)

CASE 14SD-02
STYLE 1
(.380"SOE)

~

~



X

~

CASE 317
STYLE 1, 2
(MACRO-X)

CASE 317D
STYLE 2

CASE 249
STYLE 1, 3
(.280" PILL)

~

CASE 244
STYLE 1
(.280" STUD)

x

~
CASE30SD
STYLE 1

~

~

~ ~ ~

U

~

~ ~ Q

~ ~

CASE 319B
STYLE 1

CASE 333A
STYLE 1, 2
(MAACPAC)

RF Products

CASE 328A-03
STYLE 1, 2

CASE 336E
STYLE 1

CASE30SA
STYLE 1, 2
(.204" PILL)

3fS

CASE 21H1
STYLE 1, 2
(.500" FLANGE)

CASE305C
STYLE 1

CASE 316-01
STYLE 1, 3
(.SOO"CQ)

CASE30S
STYLE 1
(.204" STUD)

CASE 211-07
STYLE 1, 2
(.380" FLANGE)

CASE 332-04
STYLE 1, 2
(.280" STUD)

CASE 35SC
STYLE 1

5.10-2

CASE 319
STYLE 1, 2, 3
(CS-12)

CASE 332A
STYLE 2
(.280" PILL)

CASE3S5D
STYLE 1

CASE 319A
STYLE 2

CASE 333
STYLE 1

CASE 355E
STYLE 1

Motorola Master Selection Guide

CASE 355H-01
STYLE 1

CASE 360B
STYLE 1
(Micro 250)

CASE 360C
STYLE 1

CASE 375A
STYLE 1

CASE 375B
STYLE 2
(Micro 860)

CASE 376B
STYLE 1

~~
CASE 368
STYLE 2
(HOG PAC)

CASE 375
STYLE 2

CASE 376C
STYLE 1

CASE 391
STYLE 1

~ ~ ~ ~
CASE 394
STYLE 1

CASE 395B
STYLE 1

CASE 395C
STYLE 1, 2

~

~

CASE 400
STYLE 1

CASE 430
STYLE 2

~

~

~

CASE 458
STYLE 1

CASE 458A
STYLE 1

Motorola Master Selection Guide

CASE 430B
STYLE 1

•

CASE 466
STYLE 1
(PLO-1.5)

5.10--3

CASE 3950
STYLE 1

~
CASE 398
STYLE 1

~ ~
CASE 451
STYLE 1

~
CASE744A
STYLE 1, 2

CASE 451A
STYLE 1

~
CASE 751
STYLE 1
(S0-8)

RF Products

RF Power MOSFETs
Motorola RF Power MOSFETs are constructed using a planar process to enhance manufacturing repeatability. They are
N-channel field effect transistors with an oxide insulated gate which controls vertical current flow.
Compared with bipolar transistors, RF Power FETs exhibit higher gain, higher input impedance, enhanced thermal stability and
lower noise. The FETs listed in this section are specified for operation in RF Power Amplifiers and are grouped by frequency range
of operation and type of application. Arrangement within each group is first by order of voltage then by increasing output power.
Table 1. To 54 MHz
Designed for broadband HF/SSB commercial and industrial applications. The high gain, broadband performance and linear
characterization of this device makes it ideal for large-signal, common-source amplifier applications in 12.5 volt mobile and
amateur radio transmitters.

Device

Pin
Input Power
lIJpical
Watts

Pout
Output Power
Watts

lIJplcallMD

11
G ps (Typ)/Freq.
dBIMHz

Eff., lIJp
%

d3
dB

16/54

45

-30

I

d5
dB

°JC
°C/W

-30

1.0

Package/Style

VCC = 12.5 Volts, Class AB

IMRF255 I

I

55

0.8

211-11/2

Table 2. To 150 MHz HF/SSB
For military and commercial HF/SSB fixed, mobile and marine transmitters.
Pout
Output Power
Watts

Device

Voo

I

Pin
Input Power
lIJpical
Watts

G ps
Typical
Gain dB @
30 MHz

d3
dB

4.7

15

0.5
3
12
6

18
17
17
20

TypicallMD
d11
dB

°JC
°C/W

PackageiStyle

-30

-60

0.6

211-11/2

-35
-32
-25
-25

-60
-60

1.5
0.6
0.13
0.13

211-07/2
211-11/2
368/2
368/2

=28 Volts, Class AB

MRF140

I

150

I

Voo = 50 Volts, Class AB
MRF148
MRF150
MRF154
MRF157

30
150
600
600

-

-

Table 3. To 225 MHz VHF AMlFM
For VHF military and commercial aircraft radio transmitters.

Device

11

Pin
Input Power
Typical
Watts

G ps {lIJp)IFreq.
dBIMHz

5
15
30
30
80
80
100
125
150
200
300

0.2
0.36
1.2
0.75
4
4
4
8.3
15
8
30

150
200
300

7.5
4
7.5

Pout
Output Power
Watts

Efficiency
Typical

%

°JC
°C/W

14/150
16/150
141150
16/150
13/150
131150
14/225
11.8/150
101175
141225
10/175

55
60
54
60
65
65
65
60
55
65
55

10
3.2
1.8
1.8
0.8
0.8
0.65
0.65
0.6
0.44
0.35

211-07/2
211-07/2
3199/1
211-07/2
211-11/2
316-01/2
333/1
211-11/2
211-11/2
375/2
375/2

13/175
17/225
161175

45
55
55

0.6
0.44
0.35

211-11/2
375/2
375/2

Package/Style

Voo = 28 Volts, Class AB
MRF134
MRF136
MRF136Y
MRF137
MRF173
MRF173CQ
MRF175LV
MRF174
MRF141
MRF175GV
MRF141G

Voo = 50 Volts, Class AB
MRF151
MRF176GV
MRF151G

RF Products

5.1D-4

Motorola Master Selection Guide

Table 4. To 500 MHz VHF/UHF AMlFM
For VHF/UHF military and commercial aircraft radio transmitters.
Pin
Input Power
Typical
Watts

Pout
Output Power
Watts

Device

11
G ps (Typ)/Freq.
dB/MHz

Eff., Typ
%

8JC
'C/W

20/400
17/400
17/400
10/400
12/400
12/400
9/500
11/500

55
50
55
55
60
55
55
55

13.2
7.2
2.5
0.65
0.65
0.44
0.65
0.44

305A12
249/3
319/3
333/1
744A12
375/2
333/1
375/2

14/400

50

0.44

375/2

Package/Style

Voo = 28 Volts, Class AB
MRF158
MRF160
MRF166C
MRF175LU
MRFl77
MRF175GU
MRF275L(46a)
MRF275G(46a)

Voo

0.02
0.08
0.4
10
6.4
9.5
12.5
11.9

2
4
20
100
100
150
100
150

= 50 Volts, Class AB

IMRF176GU

I

6

150

Table 5. To 520 MHz
Designed for broadband VHF & UHF commercial and industrial applications. The high gain and broadband performance of
these devices make them ideal for large-signal, common-source amplifier applications in 12.517.5 volt mobile, portable and
base station operation.
Pin
Input Power
Typical
Watts

Pout
Output Power
Watts

Device

11
Gps (Typ)/Freq.
dBIMHz

Eff., Typ
%

8JC
'C/W

Package/Style

0.630

11

65

2.0

PLD-l.5

0.27
0.5

10.5/512
11.5/512

50
55

430/2
4306/1

1.1
6.3

11.5/512
7.5/512

55
55

319/3
316-01/3

VOO = 7.5 Volts, Class AB - LOMOS Oie

I

MRF1507 (46b)

I

8

I

VCC = 7.5 Volts, Class AB
MRF5003(18a)
MRF5007(18a)

3
7

VCC = 12.5 Volts, Class AB
MRF5015
MRF5035

15
35

520 MHz, VOO = 48 Volts, VHF/UHF for Conventional FM, Class AB - LOMOS Die
MRF190S (46c)
MRF191 (46c)
MRF191S (46c)
MRF192 (46c)
MRF192S (46c)
MRF193 (46e)
MRF194 (46e)

15CW
30CW
30CW
60CW
60CW
120CW
150CW

0.75
1.5
1.5
3.0
3.0
6.0
7.5

(1 B)Tape and Reel Packaging Option Available by adding suffix: a) Rl

13/520
13/520
13/520
13/520
13/520
13/520
13/520

55
55
55
55
55
55
55

2.5
2.2
2.2
1.2
1.2
0.6
0.55

458/1
3606/1
360C/l
3606/1
3606/1
Similar to 3756/2
Single-ended
Device

=500 units; b) R2 =2.500 units; c) Tl =3,000 units; d) T3 = 10,000 units; e) R2 = 1,500 units;

ij T1 = 1,000 units.
(46)To be introduced: a) 1097; b) 2097; c) 3097; d) 3097; e) 4097

Motorola Master Selection Guide

5.10-5

RF Products

RF Power MOSFETs (continued)

Table 6. To 900 MHz
Pin
Input Power
Typical
Watts

Pout
Output Power
Watts

Device

Gps (Typ)/Freq.

1'1
Eft., Typ

dBIMHz

%

8JC
0C/W

PackageJStyle

900 MHz, VOO = 48 Volts, Class AB - LOMOS Ole
MRF195S (46c)
MRF196 (46e)
MRFl96S (468)
MRF197 (46c)
MRF197S (46c)
MRF198 (46e)

15PEP
30 PEP
30 PEP
60 PEP
60 PEP
90 PEP

0.75
1.5
1.5
3.0
3.0
4.5

13/900
13/900
13/900
13/900
13/900
13/900

33
33
33
33
33
33

2.5
2.2
2.2
1.2
1.2
1.0

MRF199 (46e)

150 PEP

15

10/900

33

0.55

Gps (Typ)/Freq.

dBIMHz

1'1
Eft., Typ
%

8JC
'C/W

181960
17/960

55
55

14/1000
1411000
14/1000
14/1000
1411000
14/1000
15/1000
15/1000
1411000
1211000

40
40
60
60
60
60
60
60
55
55

45811
360Bll
360C/l
360Bll
360C/l
Single-ended
Device
Single-ended
Device

Table 7. To 1.0 GHz
For HFNHF/UHF commercial and military radio transmitters.
Pout
Output Power
Watts

Device

Pin
Input Power
Typical Watta

Package/Style

1.0 GHz, VOO = 26 Volts, Class AB - LOMOS Ole
MRF6522-5 (46b)
MRF6522-10 (46b)

0.08
0.20

5
10

458A11
458A11

1.0 GHz, VOO = 28 Volts, Class AB - LOMOS Ole
MRF181S (46a)
MRF181Z (46b)
MRF182*
MRF182S*
MRF183*
MRF183S*
MRF184*
MRF184S*
MRFl85 (3)*
MRF186 (3,46b)

0.16
0.16
1.2
1.2
1.8
1.8
1.9
1.9
3.4
7.6

4
4
30
30

45
45
60
60
85
120

3.6
3.6
1.75
1.75
1.5
1.5
1.1
1.1
0.7
0.6

458/1
458A11
360Bll
360C/l
3608/1
360C/l
3608/1
360C/1
3758/2
3758/2

3.6

3608/1

Table 8. To 1.6 GHz
1.6 GHz, VOO

I

MRF3010 (46b)

=28 Volts, Class AB, Characterized for INMARSAT Uplinks-LOMOS Oie

I

10

I

0.95

11/1600

57

I

(3)lnternallmpedance Matched Push-Pull Transistors
(46lro be introduced: a) 1097; b) 2097; c) 3097; d) 3097; e) 4097

*New Product

RF Products

5.10-6

Motorola Master Selection Guide

RF Power Bipolar Transistors
Motorola's broad line of bipolar RF power transistors are characterized for operation in RF power amplifiers. Typical applications
are in base stations, military and commerciallandmobile, avionics and marine radio transmitters. Groupings are by frequency band
and type of application. Within each group, the arrangement of devices is by major supply voltage rating, then in the order of
increasing output power. All devices are NPN polarity except where otherwise noted.

HF Transistors
Table 1. 1.5 - 30 MHz, HFISSB

Designed for broadband operation, these devices feature specified Intermodulation Distortion at rated power output.
Applications include mobile, marine, fixed station, and amateur HF/SSB equipment, operating from 12.5, 13.6,28, or 50 volt
supplies.
Pout
Output Power
Watts

Device

VCC

Pin (Max)
Input Power
Watts

GpE(Mln)
Gain@30MHz
dB

9JC
°CIW

Package/Style

= 12.5 or 13.6 Volts, Class AB

IMRF421

I

100PEP/CW

10

10

0.6

211-11/1

25 PEP/CW
150 PEP/CW

0.16
15

22
10

2.5
0.6

211-{)7/1
211-11/1

150PEP/CW
250PEP/CW

7.5
15.7

13
12

0.8
0.6

211-11/1
211-11/1

VCC = 28 Volts, Class AB
MRF426
MRF422

VCC

= 50 Volts, Class AB

MRF429
MRF448

Table 2. 14 - 30 MHz, CB/Amateur Band

These HF transistors are designed for economical, high-volume use in CW, AM and SSB applications.
Vce

= 12.5 or 13.6 Volts, Class AB

MRF455
MRF454

60
80

13
12

0.7

Pin (Max)
Input Power
Watts

GpE(Min)
Galn@50MHz
dB

°CIW

PackageJStyle

5.6

11

0.7

211-11/1

3
5

211-{)7/1
211-11/1

Table 3. 27 - 50 MHz, Low-Band FM Band

For use in the FM "Low-Band," for Mobile communications.
Pout
Output Power
Watts

Device

VCC

9JC

= 12.5 or 13.6 Volts, Class AB

IMRF492

I

70

VHF Transistors
Table 4. 30 - 200 MHz Band

Designed for Military Radio and Commercial Aircraft VHF bands, these 28-volt devices include the all-gold metallized
MRF314/16/17 high-reliability series.

Device

Pout
Output Power
Watts

Pin (Max)
Input Power
Watts

GpE (Min)/Freq.
Power Gain
dBIMHz

9JC
°CIW

PackageJStyle

3
8
12.5

101150
101150
91150

2.2
0.8
0.65

211-{)7/1
316-{)111
316-{)1/1

VCC = 28 Volts, Class AB
MRF314
MRF316(2)
MRF317(2)

30
80
100

(2)lntemallmpedance Matched

Motorola Master Selection Guide

5.10-7

RF Products

VHF Transistors

(continued)

Table 5. 136 -174 MHz High Band
The "workhorse" VHF FM High-Band is served by Motorola with the broadest range of devices and package combinations
in the industry.

Device

VCC

Pout
Output Power
Watts

Pin (Max)
Input Power
Watts

GpE(Min)
Gain @ 175 MHz
dB

0.016
0.11
0.95
3
3
14.3
5
15

18(19)
11.5
12
10
10
4.5
9
7

8JC
°CIW

Package/Style

= 12.5 Volts, Class C

MRF4427(18b)
MRF553
MRF2628
MRF1946
MRF1946A
MRF224
MRF240
MRF247 (2)

1
1.5
15
30
30
40
40
75

125(1)

75111

25
4
1.6
1.8
2.2
2.2
0.7

317D/2
244/1
211-07/1
145A-0911
211-0711
145A-09/1
316-0111

UHF Transistors
Table 6. 100 - 400 MHz Band
Stringent requirements of the UHF Military band are met by MRF325, 326, 327, 329 and 2N6439 types, with all-gold metal
systems, specified ruggedness and programmed wire bond construction, to assure consistent input impedances for internally
matched parts.

Device

VCC

Pout
Output Power
Watts

Pin (Max)
Input Power
Watts

GpE(Mln)
Gain @ 400 MHz
dB

30
40
80
100
125

4.3
5
14.9
20
19.8

8.5
9
7.3
7
8

8JC
°CIW

PackageJStyle

=28 Volts, Class C

MRF325(2)
MRF326(2)
MRF327(2)
MRF329(2)
MRF392(3)

2.2
1.6
0.7
0.7
0.7

316-01/1
316-01/1
316-01/1
333/1
744A11

Table 7. 400 - 500 MHz Band
Similar to the 100-400 MHz transistors, these devices have bandwidth capabilities operating up to 500 MHz. All have nitride
passivated die, gold metal systems, specified ruggedness and controlled wirebond construction to meet the stringent
requirements of military space applications.

Device

Pout
Output Power
Watts

Pin (Max)
Input Power
Watts

GpE (Min)/Freq.
Power Gain
dBIMHz

8JC
°CIW

1
10
20
100

0.03
0.62
2
18

15/400
121400
10/400
7.5/500

28.5
6.4
3.2
0.7

Package/Style

VCC = 28 Volts, Class C
MRF313
MRF321
MRF323
MRF393(3)

305N1
244/1
244/1

744N1

(1 )RSJA' Thermal Resistance Junction to Ambient.
(2)lnternallmpedance Matched
(3)lntemallmpedance Matched Push·Puil Transistors
(18n-ape and Reel Packaging Option Available by adding suffix: a) RI = 500 units; b) R2 = 2,500 units; c) TI = 3,000 units; d) T3 = 10,000 units; e) R2 = 1,500 units;
Q TI = 1,000 units.
(19)Typical

RF Products

5.10-8

Motorola Master Selection Guide

UHF Transistors

(continued)

Table 8. 470 - 512 MHz Band
Higher power output devices in this UHF power transistor series feature internally input-matched construction, are designed
for broadband operation, and have guaranteed ruggedness under output mismatch and RF overdrive conditions. Devices
are specified for handheld, mobile and base station operation.

Device

VCC

Pout
Output Power
Watts

Pin (Max)
Input Power
Watts

GpE (Min)/Freq.
Power Gain
dB/MHz

0.6
1.5
5
5
10
10
15
15
25
50
65

0.03
0.15
0.5
0.5
2
2
2.5
2.5
5.9
15.8
25

131500
10/470
10/512
10/512

Package/Style

=12.5 Volts, Class C

MRF581 (4)
MRF555
MRF652
MRF652S
MRF653
MRF653S
MRF641 (2)
MRF654(2)
MRF644(2)
MRF650(2)
MRF658(2)

DeVice

VCC

6JC
'CIW

Pout
Output Power
Watts

1.5
15
50

7/512

7/512
7.8/470
7.8/512
6.21470
5.0/512
4.15/512

40
25
7
7
4
4
4
4
1.7
1.3
1

317/2
317D/2
244/1
249/1
244/1
249/1

316-01/1
244/1
316-01/1
316-01/1
316-01/1

Class

Pin (Max)
Input Power
Watts

GpE (Mln)/Freq.
Power Gain
dBIMHz

6JC
'CIW

A
AB
AB

0.075
1.2
6

131470
111470
9/470

21
7.0
1.2

Package/Style

=24 Volts

TP5002S
TP5015
TP5051

249/1
319/2

333A/2

900 MHz Transistors
Table 9. 870 - 960 MHz Band
Designed specifically for the 900 MHz mobile radio band, MRF840 through MRF847 devices offer superior gain and
ruggedness, using the unique CS-12 package, which minimizes common-element impedance, and thus maximizes gain
and stability. Devices are listed for mobile and base station applications.

Device

Pout
Output Power
Watts

Pin (Max)
Input Power
Watts

GpE (Min)/Freq.
Power Gain
dB/MHz

0.08
0.06
0.11
0.11
0.23
2.5
5
16

8/870
10(19)/870

6JC
'CIW

Package/Style

VCC = 12.5 Volts - Class C - Si Bipolar
MRF559(5)
MRF581 (5)
MRF837(5)
MRF8372R1 (5) (18a,b)
MRF557(5)
MRF840(2)(6)
MRF842(2)(6)
MRF847(2)(6)

0.5
0.6
0.75
0.75
1.5
10
20
45

8/870
8/870
8/870
6/870
6/870
4.5/870

50
40
40
45
25
3.1
1.5
1

317/2
317/2
317/1
751/1
317D/2
319/1
319/1
319/1

(2)lnternallmpedance Matched
(4)Small signal gain. Po is Typ.
(5)Common Emiller Configuration
(6)Common Base Configuration
(18)Tape and Reel Packaging Option Available by adding suffix: a) Rl = 500 units; b) R2 = 2,500 units; c) Tl = 3,000 units; d) T3 = 10,000 units; e) R2 = 1,500 units;
f) Tl = 1,000 units.
(19)Typical

Motorola Master Selection Guide

5.10-9

RF Products

900 MHz Transistors

(continued)

Table 9. 870 - 960 MHz Band (continued)

Device
VCC

= 24 Volts -

Pout
Output Power
Watts

= 26 Volts -

Gp (Min)/Freq.
Power Gain
dB/MHz

AB
AB
AB
AB
AB
AB
C
C
AB
AB
AS
C

0.25
0.3
0.28
0.63
0.63
1.0
2
6
3
3
7
12

9/960
10/900
11.5/960
9/900
9/900
10/960
8.5/900
7/900
10/900
10.5/900
7/960
7/900

21
7
5
7
7
5.0
3.5
1.5
1.7
1.7
2.3
1

AB
AB
AS
AB

26/50
26/200

10/960
8.5/960
7.5/960
8/900

3.8
1.3
0.7
0.8

9JC
°CIW

Package/Style

Si Bipolar

TP3007S
MRF896
TP3008
MRF891
MRF891S
TP3021
MRF892(2)
MRF894(2)
MRF897(3)
MRF897R(3)
TP3034
MRF898(2)
VCC

Class

Pin(Max)
Input Power
Watts

2
3
4
5
5
10
14
30
30
30
35
60

305C/1
305E/1
31912
319/2
319A12
319/2
319/1
319/1
395B/1
395B/1
319/2

333A11

SI Bipolar

MRF6409(46a)
MRF6414
TP3069
MRF899(3)

20
50
100
150

18
24

319/2
333A12

375A11
375A11

1.5 GHz Transistors
Table 10. 1400-1640 MHz Band

Device

Pout
Output Power
Watts
2
6
30

MRA1600-002
MRF16006
MRF16030

Class

Eff. (Min)
0/0

"

Gp (Min)/Freq.
Power Gain
dB/MHz

9JC
°CIW

C
C
C

40
40
40

8.4/1600
7.4/1600
7.511600

15
6.8
1.7

Package/Style
394/1
395C/2
395C/2

Microwave Transistors
Table 11. L-Band Pulse Power
These products are deSigned to operate in short pulse width, 10 !LS, low duty cycle, 1%, power amplifiers operating in the
960-1215 MHz band. All devices have internal impedance matching. The prime application is avionics equipment for
distance measuring (DME), area navigation (TACAN) and interrogation (IFF).
Pout
Output Power
Watts

Device
VCC

=18 Volts = 35 Volts -

0C!W

Package/Style

0.02
0.02

10
10

25
25

332-04/2
332A12

0.2
0.2
0.4
0.4

10
10
10
10

25
25
25
25

332-04/1
332A11
332-04/1

9JC

Class A & AB Common Emitter

MRF1000MA
MRF1000MS
VCC

Gp(Min)
Gain @ 1090 MHz
dB

Pin(Max)
Input Power
Watts

0.2
0.2
Class B & C Common Base

MRF1002MA
MRF1002MS
MRF1004MA
MRF1004MS

2
2
4
4

332A11

(2)lnternallmpedance Matched
(3)lnternallmpedance Matched Push-Pull Transistors
(46)To be introduced: a) 1097; b) 2097; c) 3097; d) 3097; e) 4097

RF Products

5.10-10

Motorola Master Selection Guide

Microwave Transistors

(continued)

Table 11. L-Band Pulse Power (continued)
Pout
Output Power
Watts

Device

VCC

=50 Volts -

Pin(Max)
Input Power
Watts

Gp(Min)
Gain @ 1090 MHz
dB

1.5
1.5
3.5
3.5
9
9
25
25

10
10
10
10
10
10
7.8
7.8

8JC
°CIW

Package/Style

Class C Common Base

MRF1015MA
MRF1015MB
MRF1035MA
MRF1035MB
MRF1090MA
MRF1090MB
MRF1150MA
MRF1150MB

15
15
35
35
90
90
150
150

10
10

5
5
0.6
0.6
0.3
0.3

332--04/1
332A11
332--04/1
332A11
332--{)4/1
332A11
332--04/1
332A11

Table 12. L-Band Long Pulse Power
These products are designed for pulse power amplifier applications in the 960-1215 MHz frequency range. They are
capable of handling up to 10 !!s pulses in long pulse trains resulting in up to a 50% duty cycle over a 3.5 millisecond interval.
Overall duty cycle is limited to 25% maximum. The primary applications for devices of this type are military systems,
specifically JTIDS and commercial systems, specifically Mode S. Package types are hermetic.

Device

VCC =28 Volts -

I

=36 Volts -

I

8JC
°CIW

0.71

8.5

8

336E11

30
120

3
19

10
8

3
0.6

376B/l
355C/l

70
150
350
500
500

7
15
44
63
63

10(7)
10(7)
9(7)
9(7)
9(7)

0.4
0.25
0.11
0.12
0.12

Package/Style

5

I

Class C Common Base

MRF10031
MRF10120

VCC

GpB(Min)
Gain @ 1215 MHz
dB

Class C Common Base

MRF10005

VCC

Pin(Max)
Input Power
Watts

Pout
Output Power
Watts

=50 Volts

MRF10070
MRF10150
MRF10350
MRF10500
MRF10501

376C/l
376B/l
355E/l
355D/l
355H/l

(7)TYPlcal @ 1090 MHz

Motorola Master Selection Guide

5.10-11

RF Products

Linear Transistors
The following sections describe a wide variety of devices specifically characterized for linear amplification. Included are medium
power and high power parts covering frequencies from 100 MHz-4 GHz.

Table 13. To 1 GHz, Class A
These devices offer a selection of performance and price for linear amplification to 1 GHz. The "MRA" prefix parts are input
matched and feature high overdrive and extreme ruggedness capability.
Po @1 dB
Camp. Point
Watts

Device

VCC

Bias
Point
(VdC/A)

GSS (Min)/Freq.
Small Signal Gain
dB/MHz

°JC
°CIW

PackagelStyle

=19 Volts

MRA1000-3.5L
MRA1000-7L
MRA1000-14L

3.5
7
14

10/1000
911000
6/1000

Gp (Min)lFreq.
Power Gain
dBIMHz

Pout
Output Power
Watts

Device

19/0.6
19/1.2
19/2.4

145A-09/1
1450-02/1
1450-0211

8
4
2.1

Bias Point
Per Side
(Vdc/MA)

6JC
°CIW

Package/Style

28/120

1.4

391-01/1

VCC = 28 Volts

IMRA051D-50H

50

7/1000

Table 14. UHF Ultra Linear For TV Applications
The following devices have been characterized for ultra-linear applications such as low-power TV transmitters in Band IV
and Band V. Each features diffused ballast resistors and an all-gold metal system to provide enhanced reliability and
ruggedness.

Device

Pref{Min)
Watts

Gp (Min)lFreq.
Small Signal Gain
dBlMHz

3 Tone
IMD(8)
dB

6JC
°CIW

0.5
1
4

11.5/860
10.5/860
7/860

-58
-58
-60

20
9
5

Package/Style

VCC = 20 Volts, Class A
TPV596A
TPV597
TPV598

Device

VCE

=24 Volts -

MRF857S
MRF858
MRF858S
MRF859
MRF859S
MRF861
MRF862

Pout
Output Power
Watts

Class

Pin(Max)
Input Power
Watts

Gp (Min)/Freq.
Power Gain
dBIMHz

A
A
A
A
A
A
A

0.4
0.29
0.29
0.46
0.46
8
4.5

12.5/900
11/900
11/900
11.5/900
11.5/900
9.5/900
9/900

24411
24411
244/1

6JC
°CIW

PackagelStyle

Class A
2.1 (CW)
3.6 (CW)
3.6(CW)
6.5W(CW)
6.5W(CW)
27 (CW)
36 (CW)

8.4
6.9
6.9
3.9
3.9
0.92
0.75

3050/1
319/2
319A12
31912
319A12
375A11
375A11

(8)Vision Carrier: - B dB; Sound Carner: - 7 dB; Sideband Carrier: - 16 dB

RF Products

5.10-12

Motorola Master Selection Guide

Linear Transistors

(continued)

Table 14. UHF Ultra Linear For TV Applications (continued)
Pref(Min)
Watts

Device

Gp (Min)/Freq.
Small Signal Gain
dB/MHz

3 Tone
IMD(8)
dB

8JC
°CIW

Package/Style

VCC = 25 Volts, Class A
TPV695A
TPV7025
TPV6030

14
25
20135(11)

-47
-45
-51/-

9.5/860
8.51860
9.5/860

2.5
1.5
1.1

395B/l
398/1
375A11

0.7

398/1

VCC = 28 Volts, Class AB

ITPV8100B

I

100(11)

8.5/860

Table 15. Microwave Linear for PCN Applications
The following devices have been developed for linear amplifiers in the 1.5-2 GHz region and have characteristics
particularly suitable for PDC, PCS or DCS1800 base station applications.
Pout
Watts

Device

Bias
Point
VdclmA

Class

Gain (Typ)/Freq
dB/MHz

8JC
°CIW

PackagelStyle

VCC = 20 Volts-Bipolar Die

IMRF6401(12)
Vec

I

0.5

A

20/80

10/1880

30

305C/l

AB
AB
AB
A,AB
A,AB
A,AB
A,AB
A,AB
A,AB
A,AB
AB

26/40
261150
26/100
26/125
26/200
26/200
26/250
261
26/200
26/200
26/400

10/1880
8.5/1880
8.Bl1880
911490
10/1490
10/1490
7.5/1490

5
1.4
2.8
1.4
0.7
0.7
0.7

912000
912000
912000

0.7
0.7
.35

319/2
395C/l
395C/l
395C/l
451/1
451 All
375A11
395D/l
451/1
451 All
TBD

A,AB
A,AB
A,AB
A,AB
AB
AB
A,AB
A,AB
A,AB
A,AB
A,AB
A,AB

261
261
261
261
26/70
26/130
26/75
26/75
26/200
26/200
26/500
26/500

16/2000
16/2000
13.6/2000
13.6/2000
12/2000
11/2000
13/2000
13/2000
11.5/2000
11.5/2000
11.4/2000
11.4/2000

10
10
8.75
8.75
10
6.0
2.9
2.9
2.0
2.0
.73
.73

458/1
458A11
45811
458A11
458A11
458A11
458/1
458A11
360B/l
360C/l
46511
465A11

= 26 Volts-Bipolar Die

MRF6402(13)
MRF6404(16)
MRF6408
MRF15030
MRF15060*
MRF15060S*
MRF15090
MRF20030(46a)
MRF20060*
MRF20060S*
MRF20120(46b)

4.5
30
12
30
60
60
90
30
60
60
120

-

-

Voo = 26 Volts-LOMOS Die
MRF280S(46b)
MRF280Z(46b)
MRF281S(46b)
MRF281Z(46b)
MRF6525-5(46b)
MRF6525-10(46b)
MRF282s(46a)
MRF282z(46a)
MRF284 (46b)
MRF284S(46b)
MRF286(46b)
MRF286S(46b)

2
2
4
4
5
10
10
10
30
30
60
60

(8)v;sion Carlier: - 8 dB; Sound Carrier: - 7 dB; Sideband Carrier: - 16 dB
(ll)Output power at 1 dB compression in Class AB
(12)Formerly known as "TP4001S"
(13)Formerly known as "TP4004"
(16)Formerly known as "TP4035"
(46)To be introduced: a) 1097; b) 2097; c) 3097; d) 3097; e) 4097
*New Product

Motorola Master Selection Guide

5.10-13

RF Products

RF Medium Power
Transistors
CASE 318A
STYLE 2
(SOT-143)

•

CASE 449
(PLD-1)

RF Products

RF Medium Power Transistors are used in portable
transmitter applications and low voltage drivers for higher
power devices. They can be used for analog cellular, GSM and
the newer digital handheld cellular phones. GaAs, LDMOS
and Bipolar devices are available. RF Medium Power
Transistors are supplied in industry standard SOT packages
as well as Motorola's high performance PLD line of surface
mount power RF packages. Other applications include
talkback pagers, wireless modems and LANs, cable modems,
highspeed drivers and instrumentation.

CASE 345-03
(SOT-89)

CASE 751
(SO-13)

5.10-14

Motorola Master Selection Guide

RF Medium Power Transistors
Discrete Wireless Transmitter Devices
MHz

Typical
Output Power
dBm

Typical Drain

VDD
V

Eff.
%

Typical
Gain
dB

Semiconductor
Technology

Package

850

3.5

31.0

70

11

GaAs PHEMT

PLD-l

Freq.
Device

3.5 V Applications

I

MRF9822Tl(181,46a)

4.8 V Applications
MRF9242Tl(181,46b)
MRF9282Tl(181,46b)

LOMOS
LOMOS

5.8 V Applications
MXR9745Tl(181,46a)
MRF9251 Tl (18c,46b)
MRF9811Tl(18c,46b)
MRF9742(18a,b,46b)
MRF9745Tl (181,46a)
MRF9762(18a,b,46b)

850
900
900
900
900
900

5.8
5.8
5.8
5.8
5.8
5.8

(18lTape and Reel Packaging Option Available by adding suffix: a) R1
I) T1 = 1,000 units.

31.5
23.5
22
30
30
31.5

60
60
60

60
55
60

8.5
10.5
15
11
10
11

LOMOS
LOMOS
GaAsMAFET
LOMOS
LOMOS
LOMOS

SOT-a9
SOT-I43
SOT-143
So-a
PLD-1
So-a

=500 units; b) R2 =2,500 units; 0) T1 =3,000 units; d) T3 =10,000 units; e) R2 =1,500 units;

(46)To be introduced: a) 1097; b) 2097; 0) 3097; d) 3097; e) 4097

Motorola Master Selection Guide

5.10-15

RF Products

I

~

X

~

CASE 317
STYLE 2
(MACRO-X)

CASE 317A
STYLE 2
(MACRo-T)

;.e

~

CASE21Hl4
STYLE 2
(TO-226M)

CASE317D
STYLE 2
(POWER MACRO)

RF Small Signal
Transistors
Motorola's broad line of RF Small Signal Transistors includes
NPN and PNP Silicon Bipolar Transistors characterized for
low noise amplifiers, mixers, oscillators, multipliers,
non-saturated switches and low-power drivers.

CASE 244A
STYLE 1

These devices are available in a wide variety of package
types: plastic Macro-X and Macro-T, ceramic and surface
mounted. Most of these transistors are fully characterized with
s-parameters.

CASE 318-()8
STYLE 6
(SOT-23)

• •
•
CASE 318A
STYLE 1
LOW PROFILE
(SOT-143)

CASE 419
STYLE 3, 6
(SC-70/S0T-323)

CASE 419B
STYLE 16,17
(SC-70MUSOT-323)

CASE 751
STYLE 1
(S0--8)

~

RF Products

5.10-t6

Motorola Master Selection Guide

RF Small Signal Transistors
RF Small Signal Transistor
Gain Characteristics
N

Curve numbers apply to transistors
listed in the subsequent tables.

~ 61--t---c1-t-+f+c/;-i<--f-+--t--+-+--\t----1

G
::>
o

~
0..

Selection by Package
In small-signal RF applications, the package style is often
determined by the end application or circuit construction
technique. To aid the circuit designer in device selection, the
Motorola broad range of RF small-signal amplifier transistors
is organized by package. Devices for other applications such
as oscillators or switches are shown in the appropriate
preceding tables. These devices are NPN polarity unless
otherwise designated.

~
~

~ 4~_+~~~~+--f-~~~--r-+--+---1
z

~

=- 3 ~+-HI-+-+-f--h~f-:f7c-~--r-+--+---1

2.5 5 10

15 20

30

50

75 100

150

IC, COLLECTOR CURRENT (mA)

Plastic SOE Case
Table 1. Plastic SOE Case
Gain-Bandwidth
@

Device

T~P

GHz

J

IC
mA

Maximum Ratings
Curve
No.
Page
5.10-17

NFmin @ f
TyP
dB

Gain

'- MHz

TyP
dB

@

I

f

MHz

V(BR)CEO
VoHs

IC
mA

Package

Case 29--0411,2, TO-226AA
LP1001

5

10

-

2.7

500

12.5

1000

15

LP1001A

5

10

-

3.2

1000

12.5

1000

15

-

MPS911 (29)

7

30

8

1.7

500

16.5

500

12

40

MPS571

8

50

12

2

500

14

500

10

80

(29)Packaging Options Available in Tape and Reel and Fan Fold Box

Motorola Master Selection Guide

5.10-17

I
RF Products

Selection by Package (continued)
Table 1. Plastic SOE Case (continued)
Gain-Bandwidth
@

T~P

GHz

Device

Case 317/2 -

IIC
mA

Maximum Ratings
Curve
No.
Page
5.10-17

NFmin @
Typ

dB

f

I MHz

Gain @
Typ

dB

I

f

MHz

V(BR/CEO
Vots

IC
mA

Package

MACRo-X

MRF901

4.5

15

7

2

1000

12

1000

15

30

MRF571

8

50

12

1.5

1000

12

1000

10

70

2000

12.5

2000

10

100

13

512

18

150

MRF951

8

30

16

2.1

MRF559

3

100

10

-

MRF581

5

75

11

2

500

15.5

500

18

200

MRF581A

5

75

11

1.8

500

15.5

500

15

200

5

75

11

-

-

10

870

16

200

-

-

-

16

500

12.5

470

16

400

MRF557

-

-

-

-

-

175

-

-

13

MRF555

9

870

16

400

MMBR521 LT1 (17)(18c)

3.4

-35

-

1.5

500

15

500

-10

-70

MMBR931LTl (18c)

3

1

6

4.3

1000

10

1000

5

5

MMBR5031 LTl (18c)

1

5

-

2.5

450

17

450

10

20

-

-

-

-

15

-

15

25

MRF837

Case 317A12 -

-

X

MACRo-T

BFR90
BFR96

Case 3170/2
MRF553

~

Case 318-08/6 - SOT-23

BFS17LT1(18c)

1.3

25

BFR92ALT1(18c)

4.5

14

15

MMBR901 LTl (18c)

4

15

7

1.9

1000

12

1000

15

30

BFR93ALT1(18c)

3.4

30

-

2.5

30

-

-

12

35

MMBR920LT1(18c)

4.5

14

-

2.4

500

15

500

15

35

MMBR5179LT1(18c)

1.4

5

4

-

15

200

12

50

MMBR941LT1(18c,d)

8

15

15

2.1

2000

8.5

2000

10

50

MMBR911LT1(18c)

6

30

8

2

500

17

500

12

60

-

MMBR571 LT1 (18c)

8

50

12

2

500

16.5

500

10

80

MMBR951LT1(18c)

8

30

16

2.1

2000

7.5

2000

10

100

MMBR951ALT1(18c)

8

30

16

2.1

2000

7.5

2000

10

100

~

(17)PNP
(18)Tape and Reel Packaging Option Available by adding suffix: a) Rl
ij T1 = 1,000 units.

RF Products

=500 units; b) R2 =2,500 units; c) Tl =3,000 units; d) T3 =10,000 units; e) R2 =1,500 units;

5.10-18

Motorola Master Selection Guide

Selection by Package

(continued)
Table 1. Plastic SOE Case (continued)
Gain-Bandwidth
@

Tip

Device

Case 318A11 -

GHz

I

IC
mA

Maximum Ratings
Curve
No.
Page

5.10-17

NFmin

lYP
dB

Gain

f

@

I MHz

lYP
dB

@

I

f

MHz

V(BR)CEO
Volts

IC
mA

MRF5711LT1(18c)

8

MRF5211 LT1 (17)(18c)

4.2

50
-50

12

1.6

1000

13.5

1000

10

70

-

2.8

1000

11

1000

-10

-70

MRF9331 LT1 (18c)

5

1

2.5

1000

12.5

1000

8

2

MRF9011 LT1 (18c)

3.8

15

7

2.3

1000

10.2

1000

15

30
50

MRF9411 LT1 (18c)

8

15

15

2.1

2000

9.5

2000

10

MRF9411 BLT1 (18c)

8

15

15

2.1

2000

9.5

2000

10

50

MRF5811LT1 (18c)*

5

75

11

2.0

500

18.4

500

18

200

MRF9511 LT1 (18c)

8

30

16

2.1

2000

9

2000

10

100

MRF9511ALT1(18c)

8

30

16

2.1

2000

9

2000

10

100

-

Case 419/3 - SC-70/S0T-323
MRF917T1 (18c)*

6

20

8

2.3

1000

10

1000

12

60

MRF577T1 (18c)*

7

40

12

1.5

1000

10

1000

10

80

MRF927T1(18c)*

8

5

14

1.7

1000

9.8

1000

10

10

MRF947T1(18c,d)

8

15

15

2.1

2000

10.5

1500

10

50

MRF947AT1(18c)

8

15

15

2.1

2000

10.5

1500

10

50

MRF947BT1(18c,d)

8

15

15

2.1

2000

10.5

1500

10

50

MRF957T1 (18c)

8

30

16

2.0

2000

9

1500

10

100

15

-

2.1

2000

10.5

1500

10

50

Case 419/6 -

Package

SOT-143

SC-70/S0T-323

MRF947RT3(18d)

Case 419B-01 -

8

SC-70MUSOT-363

MRF2947AT1 (18c)*

8

15

15

1.5

1000

14

1000

10

50

MRF2947RAT1 (18c)*

8

15

15

1.5

1000

14

1000

10

50

MRF5943(18a,b)

1.5

35

2

3.4

200

12

250

30

400

MRF3866R2(18b)

0.8

50

1

-

10.5

400

30

400

Case 751/1 - So-a

MRF4427(18b)

1.6

50

1

-

18

175

20

400

MRF5812(18a,b)

5.5

75

11

2

500

15.5

500

15

200

5

75

11

-

-

10

870

16

200

MRF8372R1(18a,b)

•
..
..
•
~

(17)PNP
(18)Tape and Reel Packaging Option Available by adding suffix: a) R1 = 500 units; b) R2 = 2,500 units; c) T1 = 3,000 units; d) T3 = 10,000 units; e) R2 = 1,500 units;
ij T1 = 1,000 units.
*New Product

Motorola Master Selection Guide

5.1(H9

RF Products

Selection by Package

(continued)

Ceramic SOE Case
Table 2. Ceramic SOE Case
Gain-Bandwidth

Device

~

Typ
GHz

i

IC
mA

Maximum Ratings
Curve
No.
Page
5.10-17

Typ
dB

N@

f

I

MHz

Gain @
Typ
dB

I

f

MHz

V(BR~EO
Vots

IC
mA

Package

Case 244A11

IMRF~'

15

I~I~I

(17)PNP
(lan-ape and Reel Packaging Option Available by adding suffix: a) Rl = 500 units; b) R2 = 2,500 units; c) Tl = 3,000 units; d) T3 = 10,000 units; e) R2 = 1,500 units;
f) Tl = 1,000 units.
*New Product

RF Products

5.10-20

Motorola Master Selection Guide

Selection by Application
Table 3. Low Noise
The Small-Signal devices listed are designed for low noise and high gain amplifier mixer, and multiplier applications. Each
transistor type is available in various packages. Polarity is NPN unless otherwise noted.
Curve Number (See figure below)

Case
Package

X
~

~

•
•
•
~

Name

Number

1

2(17)

3

4

5

MACRO-X

317/2

MRF951l~UJ

-

MRF571

MRF581

MRF901

T0-226AA

29-04/2

-

MPS571

-

SOT-23

-

MMBR941LT1
318-08/6 MMBR951 LT1 (20) MMBR521LT1 MMBR571LT1

-

-

6

-

MPS911

MMBR901LT1 MMBR911LT1

SC-701
SOT-323

419/3,6

MRF917T1
MRF577T1
MRF927Tl
MRF947ATl
MRF947T1
MRF947BT1
MRF947RT3
MRF957Tl (20)

SG-70MU
SOT-363

419BI
16,17

MRF2947ATl
MRF2947RAT1

-

-

-

-

-

SOT-l43

318A11

MRF9411BLTl
MRF9411LTl
MRF9511 LTl (20)
MRF9511ALTl

MRF5211LTl

MRF5711LTl

MRF5811LT1

MRF9011LT1

-

S0-8

751/1

-

MRF5812

-

-

-

-

-

-

-

-

-

(17lpNP
(20lHigher Current Version

24

46253 1

~rN i'

-

~

20

~

16

.:'i\.

~

20

I~

Cl
UJ

!c(

g

~

12

~
U.

1

4

6iC
5:2-

~

6/

UJ

"-3

rs V

20

(!J

"'

~ I'.. 2,4
I~ ~

4~

3~

1

w
2f!?

~

a

_f-'

1~
020

0.1

0.2

0.3

0.5

1

2

10

f, FREQUENCY (GHz)
Gain and Noise Figure versus Frequency

Motorola Master Selection Guide

5.10-21

RF Products

Selection by Application

(continued)

Table 4. CATV, MATV and Class A Linear

For Class A linear CATVIMATV applications. Listed according to increasing gain bandwidth (tr).
Noise Figure

Device
MMBR5179LT1 (18c)
MRF5943(18a,b)

Nominal Test
CondHions
vCEnC
VoltslmA

Typ
MHz

6/5

1500

IT

Distortion Specifications
2nd
Order

3rd
Order

IMD

IMD

dBc

dBc

12Ch.
CrossMod.
dBc

Output
Level
dBmV

V{BR)CEO
V

Package!
Style

41450

12

318-08/6

TypiFreq.
dBIMHz

15/50

1500

3.4/200

30

751/1

MMBR5031 LT1 (18c,d)

6/5

2000

1.9/450

10

318-08/6

MMBR920LT1 (18c,d)

10/14

4500

2.4/500

15

318-08/6

BFR96

10/50

4500

21500

15

317A12

BFR90

10/14

5000

2.4/500

15

317A12

MRF581

10175

5000

2.7/300

-65

+50

18

317/2

MRF581A

10175

5000

1.8/500

-65

+50

15

317/2

MRF5812(18a,b)

10175

5000

1.8/500

-65

+50

15

751/1

LP1001

5000

2.7/500

15

29-0412

LP1001A

5000

3.211000

15

29--{)4/2

5500

31500

17

244A11

MRF587

15/90

-52

-72

+50

(18)Tape and Reel Packaging Option Available by adding suffix: a) R1 = 500 units; b) R2 = 2,500 units; c) T1 = 3,000 units; d) T3 = 10,000 units; e) R2 = 1,500 units;
I) T1 = 1,000 units.

RF Products

5.10-22

Motorola Master Selection Guide

Monolithic
Integrated Circuits
CASE 318A-{}5
(SOT-l43)

CASE 751
(S0-8)

Motorola's RF monolithic integrated circuit devices provide an
integrated solution for the personal communications market.
These devices are available in plastic SOIC-8, SOIC-16,
SOT-143,
TSSOP-16,
TSSOP-16HS,
TSSOP-20,
TSSOP-20HS, TQFP-48 or PFP-16 packages.

Evaluation Boards

•
• • •

CASE 751B
(SO-16)

CASE 948C
(TSSOP-16)

CASE846A
(MICR0-8)

CASE948D
(TSSOP-20)

Motorola Master Selection Guide

CASE 932
(TQFP-48)

Evaluation boards are available for RF Monolithic Integrated
Circuits by adding a ''TF'' suffix to the device type. For a
complete list of currently available boards and ones in
development for newly introduced product, please contact
your local Motorola Distributor or Sales Office.

CASE 978
(PFP-16)

5.10-23

RF Products

RF Monolithic Integrated Circuits
Switching
Antenna Switches/Local Oscillator Switches

Device

TX

Freq.
Range
MHz

Supply
Volt.
Range
Vdc

Supply
Current
ItA (Typ)

Pin, 1 dB
Compression
dBm (Typ)

Insertion
Loss
dB (Typ)

Isolation
dB (Typ)

Package

<10

21

0.5

20

S0-8

CT2, ISM

20

S0-8

DECT, PHS,
PCS, ISM

System
Applicability

MRFIC2003(18b)

100-1000

2.8-6.0

MRFIC1801(18b)

1500-2500

2.7-5.5

300

29

0.6

MRFIC0903(18b)*

100-2000

2.7-5.0

60

35.5

0.65

21

S0-8

AMPS, Class 4&5 GSM,
DCS1800, PHS, PCS

MRFIC0921 (46b)

100-1000

2.7-5.5

300

16

0.6

22

Micr0-8

AMPS,
CT2, GSM,
1&-54, ISM, DECT, PHS, PCS

cn,

Receiver Functions
General Purpose Integrated Circuits
General Purpose Cascode Amplifier

Supply
Volt.
Range
Vdc

Supply
Current
mA(Typ)

Small
Signal
Gain
@900
MHz
dB (Typ)

MRFIC0915(18c,46b) 100-2000

2.7-5.0

2.2

16.5

1.9

38

SOT-143

AMPS, CTl ,CT2,GSM,I&-54,
ISM, DECT, PHS, PCS

MRFIC0916(18c)*

2.7-5.0

4.7

18.5

1.9

44

SOT-143

AMPS, CT1, CT2, GSM,I&-54,
ISM, DECT, PHS, PCS

Device

Freq.
Range
MHz

100-2000

Noise
Figure
dB (Typ)

Reverse
Isolation
dB (Typ)

Package

System
Applicability

900 MHz Front End
LNA+ Mixer

Device
MRFIC2001(18b)

RF Freq.
Range
MHz

IF Freq.
Range
MHz

Supply Volt.
Range
Vdc

Supply
Current
mA(Typ)

Conv.
Gain
dB (Typ)

Output Level,
1 dB Compo
dBm(Typ)

Package

System
Applicability

500-1000

0-250

2.7-5.0

4.7

23

-10

S0-8

CT2, ISM

Reverse
Isolation
dB (Typ)

Package

System
Applicability

1.5 - 2.2 GHz Front End
Integrated LNA

Device

Freq.
Range
MHz

Supply Volt.
Range
Vdc

Supply
Current
mA(Typ)

Small Signal
Gain
dB (Typ)

NOise
Figure
dB (Typ)

MRFICl501 (18b)*

1000-2000

3-5

5.7

18

1.1

26

SO-8

DECT, PHS, PCS

MRFICl808(18b) *

1700-2100

2.7-4.5

4.2

17

1.6

37

S0-8

DECT, PHS, PCS

11570-1580 1

4.5-5.5

50

65

9.5

ITQFP-481

GPS

GPS Receiver
1 MRFICl502(46a)

(18)rape and Reel Packaging Option Available by adding suffix: a) Rl = 500 units; b) R2 = 2,500 units; c) Tl = 3,000 units; d) T3 = 10,000 units; e) R2 = 1,500 units;
f) T1 = 1,000 units.
(46)To be introduced: a) 1097; b) 2097; c) 3097; d) 3097; e) 4097
*New Product

RF Products

5.10-24

Motorola Master Selection Guide

Receiver Functions: 1.5 - 2.2 GHz Front End

(continued)

Integrated LNAlDownconverter
RF
Freq.
Range
GHz

IF
Freq.
Range
GHz

Supply
Volt.
Range
Vdc

Supply
Current
RXMode
mA(Typ)

Mixer
Conv.
Gain
dB (Typ)

LNA
Gain
dB (Typ)

LNA
Noise
Figure
dB (Typ)

MRFIC1804(18b)

1.8-2.0

70-325

2.7-3.3

10

4

14

MRFIC1814(18b,46a)

1.8-2.0

70-300

2.7-4.5

10

9

17

Device

Package

System
Applicability

2.3

S0-16

DECT,PHS,PCS

2.5

TSSOP-16

DECT,PHS,PCS

System
Applicability

2.4 GHz Front End
Integrated LNAlDownconverter

Device

RF Freq.
Range
MHz

IF Freq.
Range
MHz

Supply
Volt.
Range
Vdc

Supply
Current
mA(Typ)

Conv.
Gain
dB (Typ)

LNA
Noise
Figure
dB (Typ)

Isolation
Loto RF,
Lo to IF
dB (Typ)

Package

MRFIC2401 (18b)

2400-2500

100-350

4.75-5.25

9.5

21

1.9

20

S0-16

WLAN,
MMDS, ISM

Transmitter Functions
General Purpose Integrated Circuits
Quadrature Modulator

Device
MRFICOO01(18b)

Freq.
Range
MHz

Supply
Volt.
Range
Vdc

Supply
Current
mA(Typ)

Gain
Control
dB (Typ)

Lo
Leakage
dBm(Typ)

SSB Pout.
1 dB
Compression
dBm(Typ)

Package

50-260

2.7-5.5

10

30

-55

-10

TSSOP-20

System
Applicability
DCS1800, GSM, NADC
PDC,PHS

General Purpose Cascode Amplifier

Supply
Volt.
Range
Vdc

Supply
Current
mA(Typ)

Small
Signal
Gain
@900
MHz
dB (Typ)

MRFIC0915(18c,46a) 100-2000

2.7-5.0

2.2

16.5

1.9

38

SOT-143

AMPS,CT1,CT2,GSM,I5-54,
ISM, I)ECT, PHS, PCS

MRFIC0916(18c)*

2.7-5.0

4.7

18.5

1.9

44

SOT-143

AMPS,Cn ,CT2,GSM,I5-54,
ISM, DECT, PHS, PCS

Device

Freq.
Range
MHz

100-2000

Noise
Figure
dB (Typ)

Reverse
Isolation
dB (Typ)

Package

System
Applicability

(18)Tape and Reel Packaging Option Available by adding suffix: a) R1 = 500 units; b) R2 = 2,500 units; c) T1 = 3,000 units; d) T3 = 10,000 units; e) R2 = 1,500 units;
t) T1 = 1,000 units.
(46)To be introduced: a) 1097; b) 2097; c) 3097; d) 3097; e) 4097

*New Product

Motorola Master Selection Guide

5.10-25

RF Products

Transmitter Functions

(continued)

900 MHz Transmit Chain
Transmit Mixer
RF
Freq.
Range
MHz

IF
Freq.
Range
MHz

Supply
Volt.
Range
Vdc

Supply
Current
mA(Typ)

Standby
Current
ilA (Typ)

Conv.
Gain
dB (Typ)

Output
Level,
1 dBComp.
dBm (Typ)

Package

MRFIC2002(1Bb)

500-1000

0-250

2.7-5.0

5.5

0.1

10

-1B

So-a

AMPS,CT1,CT2,
GSM, 18-54, ISM

MRFIC2101(1Bb)

800-1000

0-250

3-4.75

45

2

26.5

4.5

S0-16

AMPS,CT1,CT2,
GSM, IS-54, ISM

Mfa~IC0931 (18b,

500-2000

0-250

2.7-4.5

38

-

-

-

So-a

AMPS,CT1,CT2,
GSM, IS-54, ISM,
USPCS,CDMA

Device

System
Applicability

Driver Amplifier
Freq.
Range
MHz

Supply
Volt.
Range
Vdc

Supply
Current
mA
(Typ)

Standby
Current
mA(Typ)

Small
Signal
Gain
dB (Typ)

Gain
Control
dB (Typ)

Pout, 1 dB
Compression
dBm(Typ)

Package

MRFIC2004(1Bb)

Boo-1ooo

2.7-4.0

11

0.7

21.5

34

-1

S0-16

AMPS,CT1,CT2,
GSM,ISM

MRFIC2006(18b)

500-1000

1.8-4.0

46

-

23

-

15.5

So-a

AMPS,CT1,CT2,
GSM,ISM

MRFIC0904(18b) *

800-1000

2.7-5.0(47)

280

0.05

27

24.5

25.5

S0-16

AMPS,GSM,ISM

Device

System
Applicability

Integrated Power Amplifiers
Low Power 900 MHz Power Amplifiers

Device

Freq.
Range
MHz

Supply Volt.
Range
Vdc

Supply
Current
mA(Typ)

Small Signal
Gain
dB (Typ)

Return Loss
Input/Output
dB (Typ)

Pout. 1 dB
Compression
dBm(Typ)

Package

Semiconductor
Technology

MRFIC2006(1 Bb)

500-1000

1.8-4.0

46

23

15

15.5

SO-8

Silicon

Device

Freq.
Range
MHz

Supply Volt.
Range
Vdc

Supply
Current
mA(Typ)

Standby
Current
mA(Typ)

Small Signal
Gain
dB (Typ)

Pout, 1 dB
Compression
dBm(Typ)

Package

Semiconductor
Technology

MRFIC2101(1Bb)

800-1000

3-4.75

38

2

16

18

S0-16

Silicon

Supply Volt.
Vdc

Power
Added
Efficiency
% (Min)

Power
Gain
dB (Min)

Harmonic
Output2fo
dBc

Poutll'in
dBm(Min)

Package

Semiconductor
Technology
LDMOS

Analog Cellular

Device

Freq.
Range
MHz

MRFIC091 0(1 Be,46a)

824-905

4.8

50

17.8

-40

30.8/13

PFP-16

MRFIC0912(18e)*

824-905

4.6(47)

55

23.8

-25

30.8/7

PFP-16

GaAs

MRFIC0923(1 Be,46c)

824-905

3.6

50

17.8

-40

30.B/13

PFP-16

LDMOS

n-ape and Reel Packaging Option Available by adding SuffIX: a) Rl = 500 units; b) R2 = 2,500 units; c) Tl = 3,000 units; d) T3 = 10,000 units; e) R2 = 1,500 units;

(18

~ Tl = 1,000 units.
(46)To be introduced: a) 1097; b) 2097; c) 3097; d) 3097; e) 4097
(47) Negative supply required
*New Product

RF Products

5.10-26

Motorola Master Selection Guide

Transmitter Functions: 900 MHz Transmit Chain: Integrated Power Amplifiers

(continued)

GSM Cellular
Freq.
Range
MHz

Device

Supply Volt.
Vdc

Power
Added
Efficiency
% (Min)

Power
Gain
dB (Min)

Harmonic
Output 2fo
dBc

PoutlPin
dBm(Min)

Package

Semiconductor
Technology

MRFIC0913(18e)*

880-915

4.8(47)

48

24.5

-30

34.5/10

PFP-16

GaAs

MRFIC0917(18e,46c)

880-915

3.6(47)

45

24.5

-30

34.5/10

PFP-16

GaAs

Device

Freq.
Range
MHz

Supply Volt.
Vdc

Power
Added
Efficiency
% (Min)

Power
Gain
dB (Min)

Harmonic
Output 2fo
dBc

Pout/Pin
dBm(Min)

Package

Semiconductor
Technology

MRFIC1818(18e,46a)

1.7-1.9

4.8(47)

35

30

-30

33/3

PFP-16

GaAs

MRFIC1817(18e,46d)

1.7-1.9

3.6(47)

35

27

-30

32/5

PFP-16

GaAs

Package

Semiconductor
Technology

DCS1800, PCS1900

Two-way Paging, ISM

Device

Freq.
Range
MHz

Supply Volt.
Vdc

Power
Added
Efficiency
% (Min)

Power
Gain
dB (Min)

Harmonic
Output 2fo
dBc

Pout/Pin
dBm(Typ)

MRFIC0914(18b)*

890-950

4.8

40

28

-45

30.5/2.5

S0-16

LDMOS

Ml6)IC0920(18b,

890-950

3,4

40

27.5

-45

30.5/3

TSSOP16HS

LDMOS

1.5 - 2.2 GHz Transmit Chain
Upconverter
RFOulput
Freq.
Range
GHz

Supply
Volt.
Range
Vdc

Supply
Current
TXMode
mA(Typ)

MRFIC1 803(1 8b)

1.7-2.5

2.7-3.3

28

100

10

70-350

-2

S0-16

DECT,PHS,
PCS

MRFIC1813(18b)*

1.7-2.5

2.7-4.5

25

100

15

70-350

3

TSSOP-16

DECT,PHS,
PCS

1 dB
Compo
dBm(Typ)

Pkg

Device

Standby Conv.
Recommended Pout, 1 dB
Current
Gain
IF Input
Compo
MHz(TYp)
dBm (Typ)
f1A (TYp) dB (TYp)

Package

System
Applicability

Power Amplifier
RFOutput
Freq.
Range
GHz

Supply
Volt.
Range
Vdc(47)

Supply
Cu(rent
mA(Typ)

!f16~)IC1 805(1 8b,

1.7-2.5

2.7-5.0

190

0.25

21

22/0

23

TSSOP-16

DECT,PHS,
PCS

MRFIC1806(18b)

1.5-2.5

3.0-5.0

115

0.25

23

19.5/-3

21

SO-16

DECT,PHS,
PCS

MRFIC1807(18b)

1.5-2.2

3.0-5.0

325

0.06

8

26.8/20

25

S0-16

DECT,PHS,
PCS

Device

Standby
Small
Pout/Pin
Current Signal Gain
dBm
mA(Typ)
dB (Typ)
(Typ)

System
Applicability

(18)Tape and Reel Packaging Option Available by adding SuffIX: a) Rl = 500 units; b) R2 = 2,500 units; c) T1 = 3,000 units; d) T3 = 10,000 units; e) R2 = I,SOO units;
ij Tl = 1,000 units.
(46)To be introduced: a) 1097; b) 2097; c) 3097; d) 3097; e) 4097
(47) Negative supply required
*New Product

Motorola Master Selection Guide

5.10-27

RF Products

Transmitter Functions: 1.5 - 2.2 GHz Transmit Chain (continued)
Power Amplifier

Device

MRFIC1807(18b)
(Including TX/RX
Swnch)

RFOutput
Freq.
Range
GHz

Supply
Volt.
Range
Vdc

PASupply
Current
TXMode
mA(Typ)

Standby
Current
rnA
(Typ)

Small
Signal
Gain
dB (Typ)

Insertion
Loss
RxMode
dB (Typ)

Pout. 1 dB
Compression
dBm (Typ)

Package

1.5-2.2

3.0-5.0

325

0.06

8

1

25

SO-16

System
Applicability

DECT, PHS,
PCS

2.4 GHz Transmit Chain
Exciter Amplifier

Device

MRFIC2404(18b)

Freq.
Range
GHz

Supply Volt.
Range
Vdc

Supply
Current
mA(Typ)

Small Signal
Gain
dB (Typ)

Noise
Figure
dB (Typ)

Pout. 1 dB
Compression
dBm(Typ)

Package

2.0-3.0

4.75-5.25

9

17

4.3

5

S0-8

System
Applicability

WLAN,
MMDS, ISM

Power Amplifier
Small Signal Power Control
Pout. 1 dB
Gain
Range
Compression
dB (Typ)
dBm(Typ)
dB (Typ)

Supply Volt.
Range
Vdc

Supply
Current
rnA (Typ)

MRFIC2403(18b) 2200-2700

4.75-5.25

95

23

20

19

SO-16

WLAN,
MMDS, ISM

MRFIC2410(46b) 2200-2700

4.75-5.25

400

17.5

-

31.5

TSSOP20HS

WLAN,
MMDS, ISM

Package

System
Applicability

Device

Freq.
Range
MHz

Package

System
Applicability

Upconverter
RFOutput
Freq.
Range
GHz

Supply
Volt.
Range
Vdc

Supply
Current
TXMode
mA(Typ)

Standby
Current

MRFICl803(18b)

1.7-2.5

2.7-3.3

28

100

10

70-350

-2

SO-16

WLAN, ISM

MRFIC1813(18b)*

1.7-2.5

2.7-4.5

25

100

15

70-350

3

TSSOP-16

WLAN,ISM

MRFIC2406(18b,46a)

2.4-2.5

3-5

15

.6

6

100-370

-10

SO-16

WLAN,
MMDS, ISM

Device

Conv.
Recommended Pout. 1 dB
Gain
IF Input
Compo
MHz (Typ)
dBm (Typ)
~(Typ) dB (Typ)

(lan-ape and Reel Packaging Option Available by adding suffix: a) Rl = 500 units; b) R2 = 2,500 units; c) Tl = 3,000 units; d) T3 = 10,000 units; e) R2 = 1,500 units;
f) T1 = 1,000 units.
(46)To be introduced: a) 1097; b) 2097; c) 3097; d) 3097; e) 4097

*New Product

RF Products

5.10--28

Motorola Master Selection Guide

RF Amplifiers
Motorola's line of RF amplifiers designed and specified for use in land mobile radios, CATV distribution systems and general
purpose wideband amplification applications. They feature small size, matched inputs and outputs, high stability and guaranteed
performance specifications. For the user, they offer the benefits of smaller and less complex system designs in less time and at
lower overall cost.
Each amplifier uses modern transistor chips which are gold metallized and have silicon nitride passivation for increased reliability
and long life. Chip and wire construction features MOS capacitors and laser trimmed nichrome resistors. Circuit substrates and
metallization have been selected for optimum performance cost and reliablity.

CASE301AA
STYLE 1

CASE301AB
STYLE 1

CASE301AL
STYLE 1

CASE301E
STYLE 1

CASE301G
STYLE 1

CASE301H
STYLE 2

CASE301N
STYLE 1

~

CASE 420A
STYLE 1

~

CASE 420AC
STYLE 1

CASE 420AB
STYLE 1

CASE 420L
STYLE 1

Motorola Master Selection Guide

CASE 301J
STYLE 1

CASE30H
STYLE 1

CASE301R
STYLE 1

CASE301F
STYLE 1

CASE301K
STYLE 3

CASE 420
STYLE 1

CASE301V
STYLE 1

CASE 420J
STYLE 1

CASE 420Z
STYLE 1

5.10-29

RF Products

CASE 429A
STYLE 1

CASE 429E
STYLE 1

CASE 439
STYLE 1

CASE438A
SmEl

CASE 467
STYLE 1

CASE 467A
STYLE 1

CASE 448
STYLE 1, 2

CASE 714F
STYLE 1

CASE 714G
STYLE 1

CASE 714P
STYLE 2

CASE 714T
STYLE 1, 2
CASE 714U
STYLE 1

CASE 714Y
STYLE 1

RF Products

5.10-30

CASE825A
STYLE 2

Motorola Master Selection Guide

RF Amplifier Modules
Complete amplifiers with 50 ohm in/out impedances are available for a variety of applications including land mobile radios, base
stations, TV transmitters and other uses requiring large-signal amplification, both linear and Class C. Frequencies covered range
from 68-1990 MHz with power levels extending to 180 watts.

Land Mobile/Portable
The advantages of small size, reproducibility and overall lower cost become more pronounced with increasing frequency of
operation. These amplifiers offer a wide range in power levels and gain, with guaranteed performance specifications for bandwidth,
stability and ruggedness.
Table 1. VHFIUHF, Class C

Device

Pout
Output Power
Watts

Pin
Input Power
Watts

f
Frequency
MHz

Gp
Power Gain, Min
dB

VCC
Supply Voltage
Volts

Package/Style

68-88
136-150
146-174
174-195
184-210

37
38.4
38.4
38.4
38.4

7.5
7.5
7.5
7.5
7.5

301K13
301K13
301K13
301K13
301K13

68-210 MHZ, VHF Band - Class C (Silicon Bipolar Die)
MHW105
MHW607-1
MHW607-2
MHW607-3
MHW607-4

0.001
0.001
0.001
0.001
0.001

5
7
7
7
7

136-174 MHz, VHF Band - (LOMOS Die)

Device

Pout
Output Power
Watts

Pin
Input Power
Watts

f
Frequency
MHz

Gp
Power Gain, Min
dB

VDD
Supply Voltage
Volts

Package/Style

MHW2607-1 (46a)
MHW2627-1(46a)
MHW2627-2 (46a)

7
7
7

0.001
0.02
0.02

136--174
136--174
216--234

38.5
25.5
25.5

7.5
7.5
7.5

301AN/l
420AC/l
420AC/l

381l--470 MHZ, Land Mobile Linear (for TransEuropean Trunked Radio - TETRA) -

Device

Psat
Watts

ACP
(Pout=1.6W
@fo ±25kHz,
18 kHz BW)
(dBc)

MHW2701-1 (46a)
MHW2701-2 (46a)

4.5
4.5

-30
-30

f
Frequency
MHz
380-430
420-470

Device
MHW2703 (46a)
MHW2723 (46a)

Psat
Watts

f
Frequency
MHz

10
12

-30
-30

380-400
380-470

400-512 MHZ, UHF Band -

Device
MHW704-1
MHW704-2
MHW707-1
MHW707-2
MHW707-3
MHW707-4

(LOMOS Die)

Gp
Power Gain, Min

VDD
Supply Voltage

dB

Volts

PackageJStyle

28
28

7
7

420Zl1
420Zl1

381l--470 MHz, Land Mobile Linear (for TransEuropean Trunked Radio - TETRA) ACP
(Pout=5W
@fo±25kHz,
18 kHz BW)
(dBc)

Class AB -

Class AB -

(LOMOS Die)

Gp
Power Gain, Min

VDD
Supply Voltage

dB

Volts

PackageJStyle

28
30

7
12.5

420Zl1
420Zl1

Gp
Power Gain, Min
dB

VCC
Supply Voltage
Volts

Package/Style

Class C (Silicon Bipolar Die)

Pout
Output Power
Watts
3
3
7
7
7
7(23)

Pin
Input Power
Watts
0.001
0.001
0.001
0.001
0.001
0.001

f
Frequency
MHz
400-440
440-470
403 - 440
440-470
470-500
490-512

34.8
34.8
38.4
38.4
38.4
38.4(23)

6.0
6.0
7.5
7.5
7.5
7.5

301J/l
301J/l
301J/l
301J/l
301J/l
301J/l

(23)pO @ f = 490 MHz. Po = 6.5 W @ f = 512 MHz
(46)To be introduced: a) 1097; b) 2097; c) 3097; d) 3097; e) 4097

Molorola Master Selecuon Guide

5.10-31

RF Products

Land MobileIPortable
400-512 MHz, UHF Band -

Device
MHW720A1(22)
MHW720A2(22)

(continued)
Class C (Silicon Bipolar Die) - continued

Pout
Output Power
Watts
20
20

400-520 MHz, UHF Band -

0.15
0.15

400 - 440
440 - 470

Gp
Power Gain, Min

dB

Watts

Pin
Input
Power
Watts

Frequency
MHz

7
7
7
7
7
7
7
7

0.001
0.001
0.001
0.001
0.02
0.02
0.02
0.02

400-440
440-470
400-470
470-520
400-470
450-520
400-470
450-520

MHW2707-1 *
MHW2707-2*
MHW2707A-1 (46a)
MHW2707A-2 (46a)
MHW2717-1 (46a)
MHW2717-2 (46a)
MHW2727-1 (46a)
MHW2727-2 (46a)

Vee
Supply Voltage
Volts

Package/Style

12.5
12.5

700/2
700/2

21
21

Class 0 - A (Dynamic Bias via Gate Control) -

Pout
Output Power
Device

Watts

f
Frequency
MHz

Pin
Input Power

(LDMOS Die)

Gp
Power Gain, Min

f

dB

VDD
Supply
Voltage
Volts

Package/Style

38.5
38.5
38.5
38.5
25.5
25.5
25.5
25.5

7.5
7.5
7.5
7.5
7.5
7.5
7.5
7.5

301AU1
301AU1
301AU1
301AU1
42OJ/1
42OJ/1
420AC/1
420AC/1

806-821 MHz, UHF Band (for Integrated Digital Enhanced Network - iDENTM}- Class AB -

IMHW2801 (46a)

I

0.8

806-960 MHz, UHF Band -

MHW851-1
MHW851-2
MHW851-3
MHW851-4
MHW803-1
MHW803-2
MHW803-3
MHW804-1
MHW806A4(22)

Watts
1.6
1.6
2
1.6
2
2
2
4
6

806 - 960 MHz, UHF Band -

Device

MHW2821-1*
MHW2821-2*

35

I

(LDMOS Die)
6

I

420U1

f

Gp
Power Gain, Min

Vee
Supply Voltage

Frequency
MHz

dB

Volts

Package/Style

0.001
0.001
0.001
0.001
0.001
0.001
0.001
0.001
0.04

820-850
870-905
890-915
915-925
820-850
806-870
870-905
800-870
870-950

32
32
33
32
33
33
33
36
21.7

6
6
6
6
7.5
7.5
7.5
7.5
12.5

301N/1
301N11
301N/1
301N11
301E11
301E11
301E/1
301F/1
301H/2

Watts
3.5
5
5
20
18
20
18

0.001
0.004
0.004
<0.250
<0.300
<0.250
<0.300

806-824
806-870
890-950
806-870
890-950
806-870
890-950

Watts
2.1

I

Pin
Input
Power
Watts

f
Frequency
MHz

Gp
Power Gain, Min

VDD
Supply Voltage

dB

Volts

Package/Style

35.5
31
31
19
17.9
19
17.9

6
7.5
7.5
12.5
12.5
12.5
12.5

420U1
420AB/1
420AB/1
301GI1(42)
301 G/1 (42)
301AB/1
301AB/1

Gp
Power Gain, Min

Vee
Supply Voltage

dB

Volts

Package/Style

33.2

6.0

420/1

(GaAs FET Die)

Pout
Output Power
Device

806-821

Pin
Input
Power
Watts

1710 - 1785 MHz, UHF Band -

MHW9014

I

Class AB (LDMOS Die)

Pout
Output Power

MHW2803 (46a)
MHW2805-1 (46a)
MHW2805-2 (46a)
MHW2820-1 (46a)
MHW2820-2 (46a)

0.00025

Class C (Silicon Bipolar Die)

Pout
Output Power
Device

I

Pin
Input
Power
Watts

f
Frequency
MHz

0.001

1710-1785

(22)Oeslgned for Wide Range Pout Level Control
(42)Orop-in for bipolar MHWB20
(46)To be introduced: a) 1097; b) 2097; c) 3097; d) 3097; e) 4097
*New Product

RF Products

5.10-32

Motorola Master Selection Guide

Table 2. UHF, Linear
Pout
Output Power
Watts

Device

Pin
Input Power
Watts

800 MHz, (for COMA and TDMA, Dual Mode) MHW9005(46b)
MHW9006( 46b)

824-849 MHz, UHF Band MHW920*
MHW927B(22)

I

Device

MHW2905*
MHW910 (46a)
MHW913
MHW914(22)
MHW916
MHW930 (46a)

Device

I

5.8
5.8

0.001
0.001

29
37.8

6
12.5

35.4

7.2

301V/1

824-849
824-849

I

0.001

I

890-915

Pout
Output Power
Watts

Pin
Input Power
Watts

f
Frequency
MHz

Gp
Power Gain, Min
dB

VDD
Supply Voltage
Volts

Package/Style

1.41
3.5
3.2
3.2
10
14
14
16
30

0.004
0.002
0.002
0.002
0.050
0.1
0.001
0.036
0.050

824-849
890-915
880-915
890-915
925-960
880-915
890-915
925-960
925-960

25.5
32.5
32
32
23
21.5
41.4
26.5
27

3.6
6
4.8
6
24
12.5
12.5
26
26

TBD
467N1
467/1
420W/1
301ABl1
301ABl1
301R11
301ABl1
301ABl1

Class AB (Silicon Bipolar Die)

Pout
Output Power
Watts

Pin
Input Power
Watts

f
Frequency
MHz

Gp
Power Gain, Min
dB

Vee
Supply Voltage
Volts

PackageiStyle

14.5

0.005

1805-1880

34.6

26

301AKl1

34.8

26

301AKl1

1930-1990 MHz (for PCS19OO) -

IMHW1916*

24
25.5122

Class AB (LDMOS Silicon FET)

1805-1880 MHz (for DCS18oo) -

MHW1815*

824-849
824-849

PackageiStyle

Class AB (Silicon Bipolar Die)
3.5

880-960 MHz (for GSM) -

MIM2901 (46a)
MIM2906 (46a)
MIM2908 (46a)

Vee
Supply Voltage
Volts

Class AB (Silicon Bipolar Die)

0.8(24)
6(24)

880-960 MHz (for GSM) -

IMHW953(22)

Gp
Power Gain, Min
dB

(GaAs)

0.004
0.004

1
1.4/0.63(43)

f
Frequency
MHz

15.0

Class AB (Silicon Bipolar Die)

I

0.005

I

1930-1990

(22)Oesigned for Wide Range Pout Level Conlrol
(24)Average Power; Peak Power is twice average power
(43)Capacilive Load 8.5 pF, VoUI = 40 V p.p
(46)To be introduced: a) 1097; b) 2097; c) 3097; d) 3097; e) 4097
*New Product

Motorola Master Selection Guide

5.10-33

RF Products

Wideband Linear Amplifiers
Table 1. General Purpose Wideband Amplifiers
Frequency
Range

Gain
MinlTyp

Supply Voltage

Output Level
1 dB Compression

Noise Figure
@250MHz

MHz

dB

Vdc

MW/@MHz

dB

1 -250
1-250
10-400
10 -400

34.5/36.5
33.5/35
33/34.5
31.5/34

13.6
24
13.6
24

700/100
900/100
600/200
800/200

5
5
5
5

Device

Packagel
Style

50-100 Q Hybrids
MHW591
MHW592
MHW593
MHW590

714/1
714/1
714/1
714/1

Table 2. Cellular Base Station Pre-Drivers
These 50 ohm amplifiers are recommended for modern, multi-tone, CDMA and/or TDMA base-station pre-driver applications.
Their high third-<>rder intercept, tight phase control and excellent group delay characteristics make these amplifiers ideal for
use in high-power feedforward loops.
Ultra-Linear - Class A (Silicon Bipolar Die)

BW
MHz

VCC
(Nom.)
Volts

ICC
(Nom.)
rnA

Gain
(Nom.)
dB

Gain
Flatness
(Typ)
±dB

P1dB
(Typ)
dBm

3rd Order
Intercept
(Typ)
dBm/MHz

NF
(Typ)
dB

Casel
Style

800-960
800-960

15
28

700
400

20
20

0.5
0.5

31
31

43
43

7.5
7.5

448/2
448/1

Device

BW
MHz

VOO
(Nom.)
Volts

100
(Nom.)
rnA

Gain
(Nom.)
dB

Gain
Flatness
(Typ)
±dB

P1dB
(Typ)
dBm

3rd Order
Intercept
(Typ)
dBmlMHz

NF
(Typ)
dB

Casel
Style

MHL9236 (46b)
MHL9232 (46c)

800-960
800-960

26
12.5

525
700

30
30

.1
.1

34
34

47
47

4.5
5.0

301 AP/1
301 AP/1

Device
MHL9125*
MHL9128*

Ultra-Linear - Class A (LDMOS Die)

Table 3. Standard 50 Ohm Linear Hybrids
This series of RF linear hybrid amplifiers have been optimized for wideband, 50 ohm applications. These amplifiers were
designed for multi-purpose RF applications where linearity, dynamic range and wide bandwidth are of primary concern. Each
amplifier is available in various package options. The MHL series utilizes a new case style that provides microstrip input and
output connections.

Device
CA2832C
CA2830C
CA2833C
CA2818C
CA2842C
CA2810C
MHL8118*
MHL8115*
MHL8018*
MHL8015*

BW
MHz

VCC
(Nom.)
Volts

ICC
(Nom.)
rnA

GainlFreq.
(Typ)
dB/MHz

Gain
Flatness
(Typ)
±dB

1-200
5-200
5-200
.35-400
10-400
10-450
40-1000
40-1000
40-1000
40-1000

28
24
24
24
24
24
28
15
28
15

435
300
300
205
230
310
400
700
210
380

35.5/100
34.5/100
34.5/100
18.5/50
221100
34/50
17.5/900
17.5/900
18.5/900
18.5/900

0.5
0.5
0.5
0.5
0.5
1.5
1
1
1
1

P1dB
(Typ)
dBm

3rd Order
Intercept
PointlFreq.
(Typ)
dBmlMHz

NF/Freq.
(Typ)
dB/MHz

Casel
Style

33
29
29
30
30
30
30
30
26
26

47/200
46/200
461200
45/200
44/300
43/300
41.5/1000
41.5/1000
38.5/1000
38.5/1000

5/200
4.7/200
4.7/200
5/200
4/100
5/300
8.5/1000
8.5/1000
7.5/1000
7.5/1000

714F/1
714F/1
714G/1
714F/1
714F/1
714F/1
448/1
448/2
448/1
448/2

(46)To be introduced: a) 1097; b) 2097; c) 3097; d) 3097; e) 4097

*New Product

RF Products

5.10-34

Motorola Master Selection Guide

Amplifier Modules (continued)

TV Transmitters
Table 4. UHF Ultra Linear for TV Applications
These amplifiers are characterized for ultra-linear applications in Band IV and Band V TV transmitters.

Device

MRFA2600(26)
MRFA2602(28)
RFA8090S
MRFA2604

Frequency
MHz

470-860
470-860
470-860
470-860

Pref
Watts

20
40
95(11)
180(11)

Gp (Min)/Freq.
Power Gain
dB/MHz

3 Tone(8)
IMOl
dB

3 Tone(25)
IM02
dB

10.5/860
9/860
8/860
8/860

-50
-50

-53
-53

-

-

VCC
Volts

Class

Package/Style

26.5
25.5
28
28

A
A
AS
AS

429A11
429C/l
429E/1
439/1

(8)Vision Carrier: - 8 dB; Sound Carrier: - 7 dB; Sideband Carrier: - 16 dB
(11)Output power at 1 dB compression in Class AB
(25)Vision Carrier: - 8 dB; Sound Carrier: -10 dB; Sideband Carrier: - 16 dB
(26)Forrne~y known as "RFA6031"
(28)Forrneny known as "RFA6060··

Motorola Master Selection Guide

5.10-35

RF Products

CATV Distribution Amplifiers
Motorola Hybrids are manufactured using the latest generation technology which has set new standards for CATV system
performance and reliability. These hybrids have been optimized to provide premium performance in all CATV systems up to 152
channels.

Fiber Optic Receivers for HFC
40-860 MHz Hybrids
Maximum Distortion Specifications
Hybrid
Responsivity
Min

Flatness

dB
23.0

Device
MHlW8000*

Equivalent Input
Noise

IMD2(52)

IMD3(52)

pA/,!Hz

dB

dB

dB

Max

Package!
Style

1.0

-70

-80

7.5

714U/1

Note: Please cali your local Motorola Sales OffIce for InformatIon on optIcal connector optIons for thIs part.

Forward Amplifiers
4011000 MHz Hybrids, VCC = 24 Vdc, Class A
Maximum Distortion Specifications
Hybrid
Gain
(Nom.)
Device

dB

MHW9142
MHW9182
MHW9242 *

14
18
24

Channel
loading
Capacity

152
152
152

Cross
Modulation

Noise
Figure
@860MHz

Output
level

2nd
Order
Test

Composite
Triple Beat
dB

dB

dB

dBmV

dB

152CH

152CH

Max

Package!
Style

+38
+38
+38

-59(40)
-59(40)
-59(40)

-59
-59
-58

-63
-59
-59

8.5
8.0
8

71411
714/1
714/1

DIN45004B
@ f=860 MHz
dBIlV
Min

Noise Figure
@860MHz
dB
Max

Package!
Style

120
120

8
8

714P/2
714P/2

40-860 MHz Hybrids

Device
CA901
CA901A

Gain
dB
Typ

Frequency

VCC

MHz

Volts

2nd Order
IMD
@ Vout 50 dBmVlch
Max

17
17

40-860
40-860

24
24

-60
-64

=

(40)Composite 2nd Order, Vout = + 38 dBmVich
(52)Two laser test with 0.5 mWoptical power at 40% modulation index per laser; 11
*New Product

RF Products

=373.25 MHzf2 =415.25 MHz

5.10-36

Motorola Master Selection Guide

CATV Distribution: Forward Amplifiers

(continued)

40-860 MHz Hybrids (continued)

Device

Gain
dB
Typ

Frequency

Vee

MHz

Volts

2nd Order
IMD
@ Vout 50 dBmVlch
Max

DIN45004B
@ f=860 MHz
dBIlV
Min

-63
-67

123
123

=

Noise Figure
@860MHz
dB
Max

Packagel
Style

Power Doubling Hybrids

Hybrid Jumper

ICATHRU

o

75 Ohm Broadband Hybrid Jumper

1 -1000

714V

40-860 MHz Hybrids, VCC = 24 Vdc, Class A
Maximum Distortion Specifications
Hybrid
Gain
(Nom.)

Device

dB

MHW8142
MHW8182
MHW8222
MHW8242 *
MHW8272 *
MHW8292 *

14
18
22
24
27
29

Channel
Loading
Capacity

128
128
128
128
128
128

Output
Level

2nd
Order
Test

dB

Cross
Modulation
FM =55.25 MHz
dB

Composite
Triple Beat

Noise
Figure
@860MHz
dB

dBmV

dB

128CH

128CH

Max

Package!
Style

+38
+38
+38
+38
+38
+38

-60(40)
--60(40)
-60(40)
--60(40)
--60(40)
-56(40)

-61
-60
-60
-60
-60
-60

-66
-60
-60
-60
-60
-60

8.0
7
7.5
7.5
7.0
7.0

714/1
714/1
714/1
714/1
714/1
714/1

-64
-63

-64

13.0

825A12

Power Doubling Hybrids
MHW8185 (46a)
MHW8205 (46a)

-64

Feedforward Hybrids

IMFF524B*

24

40-750 MHz Hybrids, VCC

128

+44

--68(36)

-66

=24 Vdc, Class A
Maximum Distortion Specifications

Hybrid
Gain
(Nom.)
Device

dB

MHW7142
MHW7182
MHW7222
MHW7242*
MHW7272 *
MHW7292 *

14
18
22
24
27
29

Channel
Loading
Capacity

110
110
110
110
110
110

Output
Level

2nd
Order
Test

dB

Cross
Modulation
FM 55.25 MHz
dB

Composite
Triple Beat

=

Noise
Figure
@750MHz
dB

dBmV

dB

110 CH

110 CH

Max

Package!
Style

+40
+40
+40
+40
+40
+40

--60(39)
-62(39)
-55(39)
--60(39)
--60(39)
--60(39)

-62
-62
-60
-60
-60
-60

-66
-64
-60
-60
-60
-60

8.0
6.5
7
7
6.5
6.5

714/1
714/1
714/1
714/1
714/1
714/1

(36)Composite 2nd order; Vout = +44 dBmVlch
(39)Composite 2nd order; Vout = +40 dBmVlch
(40)Composite 2nd Order; Vout = + 38 dBmVlch
(46)To be introduced: a) 1097; b) 2097; c) 3097; d) 3097; e) 4097
*New Product

Motorola Master Selection Guide

5.10-37

RF Products

CATV Distribution: Forward Amplifiers

(continued)

40-750 MHz Hybrids, VCC = 24 Vdc, Class A (continued)
Maximum Distortion Specifications
Hybrid
Gain
(Nom.)

Channel
Loading
Capacity

dB

Device

Output
Level

2nd
Order
Teat

Composite
Triple Beat
dB

Cross
Modulation
FM 55.25 MHz
dB

=

Noise
Figure
@750MHz
dB

Package!
Style

dBmV

dB

110CH

110CH

Max

110
110
110
110
110
110

+44
+44
+44
+44
+44
+44

-58(36)
-58
-62
-56(36)
-58
-61

-58
-58
-62
-57
-57
-61

-65
-65
-62
-04
-04
-61

8.5
8.5
8.0
8.0
8.0
8.0

714Y/1
714Y/1

110

+44

13

825A12

Power Doubling Hybrids
MHW7185A
MHW7185B (46a)
MHW7185C (46a)
MHW7205A
MHW7205B (46a)
MHW7205C (46a)

18.5
18.5
18.8
20
20
20

Feedforward Hybrids

IMFF424B

I

24

I -70(36) I

-68

714/1
714Y/1
714Y/1

71411

40-600 MHz Hybrids, VCC = 24 Vdc, Class A
Maximum Distortion Specifications
Hybrid
Gain
(Nom.)
Device

dB

MHW6182-6
MHW6222-6
MHW6272-6 (46a)
MHW6292-6 (46a)

18
22
27
29

Channel
Loading
Capacity

87
87
87
87

Noise
Figure
@600MHz

Output
Level

2nd
Order
Test

Composite
Triple Beat
dB

dB

dB

dBmV

dB

87CH

87CH

Max

Package!
Style

+44
+44
+44
+44

-56(36)
-56(36)
-63(36)
-63(36)

-57
-56
-57
-57

-55
-56
-55
-55

6
6
6.5
6.5

714/1
714/1
714/1
714/1

-04
-63

-66
-65

-73

-68

12.5

825A12

Cross
Modulation

Power Doubling Hybrids
MHW618S-OA
MHW620S-OA

*
*

Feedforward Hybrids

IMFF324B

I

24

85

+44

-86(38)

(36)ComposHe 2nd order; Vout = +44 dBmV/ch
(38)Channels 2 and M39 @ M4B
(46)To be Introduced: a) 1097; b) 2097; c) 3097; d) 3097; e) 4097
*New Product

RF Products

5.10-38

Motorola Master Selection Guide

CATV Distribution: Forward Amplifiers

(continued)

40-550 MHz Hybrids, Vee = 24 Vdc, Class A
Maximum Distortion Specifications
Hybrid
Gain
(Nom.)

Channel
Loading
Capacity

2nd
Order
Test

Composite
Triple Beat
dB

dB

dB

dBmV

dB

nCH

nCH

Max

Package!
Style

77
77
77
77
77
77

+44
+44
+44
+44
+44
+44

_72(35)
_72(35)
_72(35)
--e6(35)
--e4(35)
--e4(35)

-59
-59
-58
-57
-57
-57

--e2
--e2
--e2
-57
-57
-57

7.5
7
7
6
6.5
6.5

714/1
714/1
714/1
714/1
714/1
714/1

77
77
77

+44
+44
+44

--e5(36)
--eo(36)
-55(36)

--e5
--e4
--e2

--e8
--e7
--eo

7.5
7.5
7.0

714/1
714/1
714/1

77

+44

--e6(35)

-75

-70

11

825M2

dB

Device
MHW6142
MHW6172
MHW6182
MHW6222
MHW6272
MHW6342

14
17
18
22
27
34

Noise
Figure
@550MHz

Output
Level

Cross
Modulation

Power Doubling Hybrids
MHW6185B
MHW6205
MHW6225

18
20
22

Feedforward Hybrids

IMFF224B

24

40-450 MHz Hybrids, Vee

=24 Vdc, Class A
Maximum Distortion Specifications

Hybrid
Gain
(Nom.)
Device

dB

MHW5142A
MHW5172A
MHW5182A
MHW5222A
MHW5272A
MHW5342A
MHW5382A

14
17
18
22
27
34
38

Channel
Loading
Capacity

Output
Level

2nd Order
Test

Composite
Triple Beat

Cross
Modulation

Noise
Figure
@450MHz

dB

dB

dB

dB

60CH

60CH

Max

Package!
Style

+46
+46
+46
+46
+46
+46
+46

-74(31)
-74(31)
_72(31)
_72(31)
-68(31)
-68(31)
-64(31)

-61
-60
-61
-60
-59
-59
-59

-62
-62
-59
-59
-60
-59
-59

7
7
6.5
5.5
6.0
6.0
5.0

71411
714/1
714/1
71411
714/1
71411
714/1

+46

-84(31)

-79

-75

10

825M2

dBmV
60
60
60
60
60
60
60

60

Power Doubling Hybrids
MHW5185B
MHW5225

Feedforward Hybrids

IMFF124B

I

24

(31)Channels 2 and M13 @ M22
(32)composile 2nd order; VOUI = +46 dBmV/ch
(35)Channels 2 and M30 @ M39
(36)Composile 2nd order; Voul = +44 dBmVlch

Motorola Master Selection Guide

5.10-39

RF Products

Reverse Amplifiers
5-200 MHz Hybrids, VCC =24 Vdc, Class A
Maximum Distortion Specifications

Hybrid
Gain
(Nom.)

Channel
Loading
Capacity

dB

Device

MHW1134
MHW1184
MHW1224
MHW1244

13
18
22
24

Low Current Amplifiers -

22
22
22
22

Composite
Triple Beat

Cross
Modulation

dB

dB

Output
Level

2nd
Order
Test(30)

dBmV

dB

22CH

26CH

+50
+50
+50
+50

-72
-72
-72
-72

-73
-70
--69
--68

-71(19)
-70(19)
-68.5(19)
-67.5(19)

Noise
Figure
@ 175
MHz

22CH

26CH

dB
Max

Package!
Style

-65

--65(19)
--64(19)
--62(19)
--61(19)

7
5.5
5.5
5

71411
71411
71411
71411

-64
-62
-61

5-50 MHz Hybrids, VCC = 24 Vdc, Class A
Maximum Distortion Specifications

Hybrid
Gain
(Nom.)

Channel
Loading
Capacity

Composite
Triple Beat

Cross
Modulation

dB

dB

Output
Level

2nd
Order
Test<30)

Max

dBmV

dB

4CH

4CH

Max

Package!
Style

135
135
135
135

+50
+50
+50
+50

-70
-70
-70
-70

-73
-72
-70
--66

-64
-63
-62
-57

5
5
4.5
4.5

71411
71411
714/1
714/1

IDC

mA
Device

dB

MHW1184L
MHW1224L
MHW1254L
MHW1304L

18
22
25
30

4
4
4
4

Noise
Figure
@50
MHz
dB

(19}Typical
(30}Channels 2 and A

RF Products

@

7

5.1D-40

Motorola Master Selection Guide

Surface Mount
Information

In Brief ...
Page
Information for Using Surface Mount Packages . . .. 5.11-2
Footprints for Soldering ........................ 5.11-5

Surface Mount Technology is now being utilized to offer
answers to many problems that have been created in the
use of insertion technology.
Limitations have been reached with insertion packages
and PC board technology. Surface Mount Technology offers
the opportunity to continue to advance the state-of-the-art
designs that cannot be accomplished with Insertion
Technology.
Surface Mount Packages allow more optimum device
performance with the smaller Surface Mount configuration.
Internal lead lengths, parasitic capacitance and inductance
that placed limitations on chip performance have been
reduced.
The lower profile of Surface Mount Packages allows
more boards to be utilized in a given amount of space. They
are stacked closer together and utilize less total volume than
insertion populated PC boards.
Printed circuit costs are lowered with the reduction of the
number of board layers required. The elimination or
reduction of the number of plated through holes in the board
contribute significantly to lower PC board prices.
Surface Mount assembly does not require the preparation
of components that is common on insertion technology lines.
Surface Mount components are sent directly to the assembly
line, eliminating an intermediate step.
Automatic placement equipment is available that can
place Surface Mount components at the rate of a few
thousand per hour to hundreds of thousands of components
per hour.
Surface Mount Technology is cost effective, allowing the
manufacturer the opportunity to produce smaller units and
offer increased functions with the same size product.

Motorola Master Selection Guide

5.11-1

Surface Mount Information

INFORMATION FOR USING SURFACE MOUNT PACKAGES
RECOMMENDED FOOTPRINTS FOR SURFACE MOUNTED APPLICATIONS
Surface mount board layout is a critical portion of the total
design. The footprintforthe semiconductor packages must be
the correct size to ensure proper solder connection interface
between the board and the package. With the correct pad

geometry, the packages will self align when subjected to a
solder reflow process.

POWER DISSIPATION FOR A SURFACE MOUNT DEVICE
The power dissipation for a surface mount device is a
function of the drain/collector pad size. These can vary from
the minimum pad size for soldering to a pad size given for
maximum power dissipation. Power dissipation for a surface
mount device is determined by TJ(max), the maximum rated
junction temperature of the die, RaJA, the thermal resistance
from the device junction to ambient, and the operating
temperature, TA. Using the values provided on the data sheet,
Po can be calculated as follows:
Po

=

=

150°C - 25°C
156°CIW

160

t3z

~

140

~~
~~
~~
LU
_ 120

1\

~

Board Malerial = 0.0625"
G-l0/FR-4, 2 oz Copper
I

-

...J::E

\- B

-J@I- B

-J-35, 00-41, SURMETIC 30 RADIAL TAPE IN FAN FOLD BOX OR ON REEL
RB1

RB2

POLARITY BAND UP

POLARITY BAND DOWN

-rr
{ld
Figure 12

Figure 13

Item

Symbol

Value
(mm)

Tolerance

Value
(Inch)

Tolerance

Item

Symbol

Value
(mm)

Tolerance

Value
(Inch)

Tolerance

Body Diameler

D

2.7

MAX

0.106

MAX

Body Diameter

D

2.7

MAX

0.106

MAX

Body Height

A

5.2

MAX

0.207

MAX

Body Height

A

5.2

MAX

0.207

MAX

Lead Wire
Diameter

d

0.9

MAX

0.035

MAX

Lead Wire

d

0.9

MAX

0.035

MAX

Seating Height

SH

10

MAX

0.394

MAX

Seating Height

SH

10

MAX

0.394

MAX

Lead to Lead

F

5

+1.0/-{).5

0.2

+0.26/-{).02

Lead to Lead
Distance

F

5

+1.0/-{).5

0.2

+0.26/-0.02

HO

5

±0.5

0.2

±D.02

Lead Wire
Clinch Height

HO

5

±D.5

0.2

±0.02

Diameter

Distance
Lead Wire
Clinch Height

Tape and Reel Specifications

5.12-16

Motorola Master Selection Guide

Product Literature
and Technical Training

In Brief ...
With the pace of new semiconductor product
introductions, the task of providing an effective and
up-to-date perspective of available components is beyond
the means of any single document. Hence, a
comprehensive Motorola Literature System has been put in
place to keep semiconductor users totally informed of all
aspects of the Motorola product lines - from new product
introductions, to applications, to major changes in directions.
The Motorola technical literature library and associated
services consist of the following:

Technical Data Services .........................
Motorola Semiconductor Master Selection Guide ...
"IMAGINE" SPS Customer Magazine ...........
Mfax- Touch-Tone Fax .....................
Internet Server ..............................
Motorola Data and Application Literature ...........
Motorola Application Literature .................
Technical Training ..............................

Page
6.1-1
6.1-1
6.1-1
6.1-1
6.1-1
6.1-2
6.1-6
6.1-7

• An extensive library of Data Books, each containing a
complete selection of data sheets associated with a
particular product line.
• A series of User's Manuals and Design Manuals dealing
with the application of highly complex products.
• A wide range of Application Notes and Article Reprints
detailing the utilization of new and significant products.
• Instructor-led Training for: Digital Signal Processing
(DSP) Family; M68000 Family; Embedded Controllers
(EC); MC68360 QUIC; PowerPC; Microcontroller
(MCU); RISC Family; plus the MC68302, MC68332,
MC68340 and the MC68HC16.
These products and services are described on the
following pages. However, because of different conditions
and standards, some of these may not be available outside
the USA.

Motorola Master Selection Guide

6.0-1

Product Literature and Technical Training

Product Literature and Technical Training

6.0-2

Motorola Master Selection Guide

Technical Data Services
Motorola Semiconductor
Master Selection Guide
For the identification and preliminary
components for circuit and system designs

Mfax -

selection

of

For the design engineer, the Motorola Master Selection
Guide is perhaps the most important single document for the
identification and preliminary selection of components for
circuit and system designs. Within its pages is a complete
listing and description of Motorola semiconductor devices
currently in general use, and those recommended for new
designs. It serves two purposes:
1. It lists all standard products in the vast Motorola semiconductor inventory for rapid identification.
2. It divides this total product offering into a variety of major
product categories, with sufficient technical information to
permit an intelligent first-order evaluation as to the most
suitable devices for a specific application.

How to reach us:
MFAX: RMFAXO@email.sps.com
or (602) 244-6609
or 1-800-774-1848 (U.S. and Canada)

"IMAGINE" SPS
Customer Magazine
Innovative
new
developments
Semiconductor Products Sector

from

Touch-Tone Fax

M fax offers access to over 30,000 Motorola documents for
faxing to customers worldwide. With menus and voice
instruction, customers can request the documents needed
using their own touch-tone telephones from any location 7
days a week and 24 hours a day.
A number of features are offered within the Mfax system,
including HOT DOCS (4-digit code identifiers for currently
referenced promotional or advertising material), product data
sheets, application notes, engiineering bulletins, article
reprints, selector guides, Literature Order Forms, and
Technical Training Information.
Motorola has a full time staff dedicated to supporting the
Internet service as well as the Mfax Touch-Tone Faxing
service.

Motorola SPS World Marketing
Internet Server

Motorola's

This highly informative periodical is available to all semiconductor users on a free subscription basis. The magazine
provides information on new semiconductor products and
developments and provides a quick-scan insight into newproduct offerings. Concise, informative articles discuss significant new product capabilities as well as newly introduced
services. In short, it represents an overview of the latest and
most important events at Motorola that influence the efficient
implementation and most cost-effective use of semiconductor
devices.
To receive "IMAGINE" Magazine, in the USA, please
contact the Literature Distribution Center by calling
1-800-441-2447.

Motorola SPS's Electronic Data Delivery organization has
set up a World Wide Web Server to deliver Motorola SPS's
technical data to the global Internet community.
Technical data such as the complete Master Selection
Guide along with the OEM North American price book are
available on the Internet server with full search capabilities.
Other data on the server include abstracts of databooks,
application notes, selector guides, and textbooks. All have
easy text search capability. Ordering Literature from the
Literature Distribution Center is available on line.
Otherfeatures of Motorola SPS's Internet server include the
availability of a searchable press release database, technical
training information with on-line registration capabilities,
complete on-line access to the MFAX system for ordering
faxes, an on-line technical support form to send technical
questions and receive answers through email, information on
product groups, full search capabilities of device models, a
listing of the Domestic and International sales offices, and
links directly to other Motorola world wide web servers.
After accessing the Internet, to locate the Motorola SPS
World Marketing server, use the following URL:
http://sps-mol.com
For more information on Motorola SPS's Internet server you
can request BR1307/D from MFAX or request a copy from
Literature Distribution Center by calling 1-800-441-2447.

Motorola Master Selection Guide

6.1-1

Product Literature and Technical Training

Motorola Data and Application Literature
Complete technical data for the world's most
comprehensive inventory of semiconductor components
To complement the industry's broadest line of
semiconductor products, Motorola offers a complete library of
Data books which detail the electrical characteristics of its
products. These documents are supplemented by User's
Manuals describing the capabilities of the products in circuit
and system design.
Motorola attempts to fill the need for applications
information concerning today's highly complex electronic
components. Each year dozens of authors from colleges and

universities, and from the industry, add their individual
contributions to the collective literature. From these, Motorola
has selected a number of texts which add substantially to the
comprehension and applications of some of the more complex
products. By buying these in large quantities and providing
them to customers at lower than retail cost, Motorola hopes to
foster a more comprehensive acquaintance with these
products at greatly reduced prices.
For complete summaries and prices, order BR101/D from
the Literature Distribution Center.

Data Books and Handbooks

Selector Guides & Application
Literature (continued)

BR13331D, liming Solutions
BR13341D, High Performance Frequency Control Products
DL11010, RF Device Data
DL111ID, Bipolar Power Transistor Data
DL1181D, Optoelectronics Device Data
DL121/D, FAST and LS TTL Data
DL1221D, MECL Device Data
DL1261D, Small-Signal Transistors, FETs and Diodes
Device Data
DL128/D, Analog/Interface ICs Device Data
DL129/D, High Speed CMOS Data
DL131/D, CMOS Logic Data
DL1351D, TMOS Power MOSFET Transistor Data
DL1361D, Communications Device Data
DL137/D, Thyristor Device Data
DL1381D, FACT Data
DL1401D, ECLinPS and ECLinPS Lite
DL15010, TVSlZener Device Data
DL151/D, Rectifier Device Data
DL1551D, Dynamic RAMs & Memory Modules Data
DL1561D, Fast Static RAM - Component and Module Data
DL158/D, Multimedia Device Data
DL159/D, LonWorks Technology Device Data
DL200lD, Pressure Sensor Device Data
DL201/D, FPGA Data: Field Programmable Gate Arrays
HB205lD, MECL System Design Handbook
HB2141D, Rectifier Applications Handbook
HB2151D, RF Application Reports

BR11121D, M68HC05 & M68HC08 Family Customer
Specified Integrated Circuit (CSIC) Microcontroller Unit
(MCU) Literature
BR11331D, HIPPO: High-Performance Internal Product
Portfolio Overview
BR1137/D, The Motorola Explorer's Guide to the World of
Embedded Control Solutions
BR11381D, 68HC08 -Innovate, Migrate, Accelerate
BR11431D, Fast Static RAM Cross Reference Guide
BR1202ID, Motorola Quality System Review Guidelines
BR1306ID, CATS - Customer Analysis Tracking System
BR140OID, OACS (ASIC) - Open Architecture CAD System
BR3021ID, "IMAGINE" Magazine
CALCPSTGID, Communications, Power and Signal
Technologies Group: New Product Calendar
CMRQs/D, CSIC Microcontrollers: Reliability and Quality
Monitor Report
CR100ID, Communications, Power and Signal
Technologies Group: Through-Hole to Surface Mount Cross
Reference
CR103lO, Transient Voltage Suppressors, General
Instruments Cross Reference
CR10410, General Instrument-to-Motorola Optoelectronics
Cross Reference
DSPNEWSLID, DSP News
MRQSID, Advanced Microcontroller Division: Reliability and
Quality Monitor Report
SG461D, RF Products Selector Guide & Cross Reference
SG731D, Master Selection Guide
SG96ID, Analog/Interface ICs Selector Guide & Cross
Reference
SG1401D, SCANSWITCH Selector Guide
SG1461D, Digital Signal Processors Update
SG1621D, Sensor Products Division
SG165/D, CSIC Microcontrollers Update
SG1661D, Advanced Microcontroller Division Update
SG167ID, High Performance Embedded Systems Fact
Sheet
SG169ID, Mixed Signal Solutions from MOS Digital-Analog
Integrated Circuits Division

Selector Guides & Application
Literature
BR101ID, Technical and Applications Literature Catalog
BR5181D, Reliability & Quality Handbook
BR729/D, Motorola 68K Source - Third Party Vendor
Catalog
BR9161D, Packaging Manual for ASIC Arrays
BR9231O, Communications, Power & Signal Technologies
Group - Reliability Audit Report
BR1100/D, Microprocessor and Memory Technologies
Group: Reliability and Quality Report

Product Literature and Technical Training

6.1-2

Motorola Master Selection Guide

Motorola Data and Application Literature:

(continued)

Selector Guides & Application
Literature (continued)

User's Manuals

OSP56300FM/AO, DSP56300 24-Bit Digital Signal
Processor Family Manual
OSP56301 UM/AO, DSP56301 24-Bit Digital Signal
Processor User's Manual
OSP96002UMlAO, DSP96002 IEEE Floating-POint
Dual-Port Processor User's Manual
GPTRMlAO, Modular Microcontroller Family General
Purpose Timer Reference Manual
H4COM/O, H4C Series Design Reference Guide
H4CPOM/O, H4CPlus Series Design Reference Guide
HC71103PGMRlA01, M68HC711D3PGMR Programmer
Board User's Manual
HOCOMlO, HDC Series Design Reference Guide
LONUGJAD, LonBuilder User's Guide
LP2IO, Portable Power: The Competitive Edge of the
68HCll - Low Power Design Guidebook
M5COMIO, M5C Series Design Reference Guide
M68CPU32BUGIO, CPU32BUG Debug Monitor User's
Manual
M68HC05AGJAO, M68HC05 Applications Guide
M68HC08RGJAO, HC08 Family Reference Guide
M68HC11 EVB/01, M68HCll EVB Evaluation Board User's
Manual
M68HC11 EVBUlA02, M68HCll EVBU Universal
Evaluation Board User's Manual
M68HC11 EVMlA08, M68HCllEVM Evaluation Module
User's Manual
M68HC11RMlAO, M68HCll Reference Manual
M68PCBUG11/02, M68HCll PCbugll User's Manual
M68PRMIO, M6800 Programming Reference Manual
M6809PM/AO, MC6809-MC6809E Microprocessor
Programming Manual (1981)
M68000PMlAO, M68000 Family Programmer's Reference
Manual
M68000UMlAO, M68000 8-116-/32-bit Microprocessors
User's Manual, Ninth Edition
M68020UM/AO, MC68020/MC68EC020 Microprocessors
User's Manual
M68040UMlAO, MC68040, MC68040V, MC68LC040,
MC68EC040, MC68EC040V Microprocessors User's
Manual
M68060UM/AO, MC68060, MC68LC060, MC68EC060
Microprocessors User's Manual
M68332EVKEMlA01, M68332EVK Evaluation Kit Exercise
Manual
MC68EC030UM/AO, MC68EC030 32-bit Embedded
Controller User's Manual
MC68F333UM/AO, MC68F333 User's Manual
MC68HC05CxRGJAO, MC68HC05Cx HCMOS Single-Chip
Microcontrollers Programming Reference Guide
MC68HC11A8RGJAO, MC68HCllA8 Programming
Reference Guide
MC68HC11CORGJAO, MC68HCllCO Programming
Reference Guide

SG1711O, Fast Static RAM Product Update
5G1721O, Dynamic Memory Update
5G173/0, CSIC Microcontrollers: Modular Development
Tools
5G1751O, RISC Microprocessor Division: The PowerPC
Microprocessor Family
5G265/0, Power MOSFETs Product Update
5G266/0, Bipolar Power Transistors Product Update
5G267/0, Rectifier Product Update
5G2681O, Thyristor Product Update
5G271/0, D2PAK Surface Mount Selector Guide
5G273/0, Optoelectronic Operations Selector Guide
5G27410, Zener Operations Selector Guide
5G275/0, Small-Signal Operations: Surface Mount
Packages
5G365/0, Timing Soutions Selector Guide
5G367/0, High-Performance Gate Arrays
5G370/0, Discrete Surface Mount Selector Guide
5G375/0, Silicon Solutions for Motion Control
5G417/0, Semiconductor Products for Wireless
Communications
5G42210, PowerPC Microprocessors Product Overview
5G423/0, TIGER: The Integrated Guide to European RAMs
5G42410, EAGLES: European Analog Guide for Leading &
Emerging Systems
5G4251O, Lamp Ballast Selector Guide
5G426/0, DINO: Discrete Innovation News Overview

User's Manuals
AOCRMlAO, Analog-to-Digital Converter Reference
Manual
CPU08RMlAO, M68HC08 Central Processor Unit
Reference Manual
CPU16RMlAO, M68HC16 Family Reference Manual
CPU32RMlAO, CPU32 Central Processor Unit Reference
Manual
CTMRMIO, Configurable Timer Module Reference Manual
05P56KFAMUM/AO, DSP56000 Digital Signal Processor
Family Manual
05P56000UM/AO, DSP56000/DSP56001 Digital Signal
Processor User's Manual
05P56002UMlAO, DSP56002 Digital Signal Processor
User's Manual
05P56003UM/AO, DSP56003/005 Digital Signal Processor
User's Manual
05P56004UMlAO, DSP56004 Digital Signal Processor
User's Manual
05P56100FMlAO, DSP56100 Digital Signal Processor
Family Manual
OSP56156UMlAO, DSP56156 Digital Signal Processor
User's Manual
OSP56166UMlAO, DSP56166 Digital Signal Processor
User's Manual

Motorola Master Selection Guide

(continued)

6.1~

Product Literature and Technical Training

Motorola Data and Application Literature:
User's Manuals (continued)
MC68HC11D3RGlAD, MC68HC11 D3/MC68HC711 D3
Programming Reference Guide
MC68HC11ERGlAD, MC68HC11E Programming
Reference Guide
MC68HC11F1RGlAD, MC68HC11F1 Programming
Reference Guide
MC68HC11 K4RGlAD, MC68HC11 K4/MC68HC711 K4
Programming Reference Guide
MC68HC11 KA4RGlAD, MC68HC11 KA4/MC68HC711 KA4
Programming Reference Guide
MC68HC11 L6RGlAD, MC68HCL6/MC68HC711 L6
Programming Reference Guide
MC68HC11MRG/AD, M68HC11 M Series Programming
Reference Guide
MC68HC11NRGlAD, MC68HC11N Series Programming
Reference Guide
MC68HC16Y1UMlAD, MC68HC16Y1 User's Manual
MC68HC16Z2UMlAD, MC68HC16Z2 User's Manual
MC68MH360RMlAD, MC68MH360 QUICC32 Quad
Integrated Multichannel Controller Reference Manual
MC68030UM/AD, MC68030 Enhanced 32-bit MPU User's
Manual, third edition
MC68302UM/AD, MC68302 Integrated Multiprotocol
Processor User's Manual
MC68306UMlAD, MC68306 Integrated ECOOO Processor
User's Manual
MC68307UMlAD, MC68307 Integrated Multiple-Bus
Processor User's Manual
MC68322UMlAD, Bandit: MC68322 Integrated Printer
Processor User's Manual
MC68328UM/AD, MC68328 (Dragon ball) Integrated
Processor User's Manual
MC68330UM/AD, MC68330 Integrated CPU32 Processor
Users Manual
MC68331 UMlAD, MC68331 User's Manual
MC68332UMlAD, MC68332 User's Manual
MC68340UMlAD, MC68340 Integrated Processor User's
Manual
MC68341UM/AD, MC68341 Integrated Processor User's
Manual
MC68349UM/AD, MC68349 High Performance Integrated
Processor User's Manual
MC68356UMlAD, MC68356 Signal Processing
Communications Engine User's Manual
MC68360UMlAD, MC68360 Quad Integrated
Communications Controller User's Manual
MC68488UM/AD, MC68488 General Purpose Interface
Adapter User's Manual
MC68605UMlAD, MC68605 X.25 Protocol Controller User's
Manual
MC68606UMlAD, MC68606 Multi-Link LAPD Protocol
Controller User's Manual
MC68824UM/AD, MC68824 Token Bus Products User's
Manual

Product Literature and Technical Training

(continued)
MC68836UMlAD, MC68836 FDDI User's Manual
MC68837UMlAD, MC68837 FDDI User's Manual
MC68838UM/AD, MC68838 FDDI User's Manual
MC68839UM/AD, MC68839 FDDI System Interface User's
Manual
MC68840UMlAD, MC68840 Integrated Fiber Distributed
Data Interface User's Manual
MC68847UMlAD, MC68847 Quad ELM FDDI User's
Manual
MC68851UM/AD, MC68851 Paged Memory Management
Unit User's Manual, second edition
MC68881UMlAD, MC68881/MC68882 Floating-Point
Coprocessor User's Manual, second edition
MC88100UMlAD, MC88100 RISC Microprocessor User's
Manual
MC88110/410DHlAD, MC88110/MC88410 Designer's
Handbook
MC88110UM/AD, MC88110 Second Generation RISC
Microprocessor User's Manual
MC88200UM/AD, MC88200 Cache/Memory Management
Unit User's Manual
MC88410UMlAD, MC88410 Secondary Cache Controller
User's Manual
MC92005UMID, MC92005 SBus Slave Interface Controller
User's Manual
MCCIRMlAD, Multichannel Communication Interface
Reference Manual
MCF5102UMlAD, MCF5102 Cold Fire User's Manual
MCF5200PRMlAD, ColdFire Programmer's Reference
Manual
MCUDEVTLDIRlD, Motorola Microcontroller Development
Tools Directory
MPCFPElAD, PowerPC Microprocessor Family: The
Programming Environments
MPCTOOLBKlAD, PowerPC Tools - Development Tools
for PowerPC Microprocessors
MPC105UMlAD, PowerPC PCI Bridge/Memory Controller
User's Manual
MPC601UMlAD, PowerPC 601 - RISC Microprocessor
User's Manual
MPC603eUM/AD, PowerPC 603e RISC Microprocessor
User's Manual
MPC604UMlAD, PowerPC 604 RISC Microprocessor
User's Manual
QSMRMlAD, Queued Serial Module Reference Manual
RCPURMlAD, MPC500 Family: RCPU Reference Manual
SCIMRMlAD, Single-Chip Integration Module Reference
Manual
SIMRMlAD, System Integration Module Reference Manual
SIURMlAD, MPC500 Family: System Integration Unit
Reference Manual
TIM08RMlAD, TIM08 Timer Interface Module Reference
Manual
TPURMlAD, M68300 Family Time Processor Unit
Reference Manual

6.1-4

Motorola Master Selection Guide

Motorola Data and Application Literature:

(continued)

Textbooks

Textbooks
TB301/D, Basic Microprocessors and the 6800
TB304lD, Pascal Programming Structures for Motorola
Microprocessors
TB309/D, Programming the 6809
TB3121D, Introduction to Integrated Circuit Layout
TB3231D, The 68000 Book
TB329/D, Sensor Technology and Devices
TB333/D, Signal Processing, Image Processing and
Graphics Applications with Motorola's DSP96002
Processor. Volume I: Signal Processing
TB3341D, Signal Processing, Image Processing and
Graphics Applications with Motorola's DSP96002
Processor. Volume II: Image Processing and Graphics
Applications
TB3351D, The PowerPC Architecture: A Specification for a
New Family of RISC Processors

Motorola Master Selection Guide

(continued)

TB336/D, Automotive Electronics Handbook
TB337/D, PowerPC Programming for Intel Programmers
TB338/D, PowerPC Microprocessor Common Hardware
Reference Platform
TB339/D, Understanding Smart Sensors

Technical Data Services
DK105ID, Scattering Parameter Library
DK106lD, Scattering Parameter Plotting Utility
DK107JD, Impedance Matching Program
DK202lD, Spice Disk for AN1 043/0 3.5 (Mac)
DK301/D, Spice Disk for AN1 043/0 3.5 (MS-DOS)
DK305ID, PLL Frequency Planning
DK306lD, PLL Lock-in Time Analysis
SG731D, Master Selection Guide
SEMIVID/D, Basic Semiconductor Videos
Dr. BuB, OSP Electronic Bulletin Board Freeware Line,
Microcontroller Electronic Bulletin Board

6.1-5

Product Uterature and Technical Training

Motorola Application Literature
Semiconductors in theory and practice

Application Notes, Engineering Bulletins and Article
Reprints are part of a total information system to define the
characteristics and applications of semiconductor devices.
Motorola's library consists of more than 300 such documents
dealing with the applications of all types of semiconductors
from discrete power transistors to the most complex
microprocessors. All are described in an Application Note
Catalog available from our Literature Distribution Center.
Individual application notes, application reports,

Product Literature and Technical Training

engineering bulletins and article reprints can also be ordered
from our Literature Distribution Center.
Contact the Literature Distribution Center for prices and
ordering information. In addition, there may be an alternative
document available in some countries, contact your local
Motorola Sales Office.
For complete summaries: order BR101/D from the
Literature Distribution Center.

6.1-6

Motorola Master Selection Guide

Motorola Technical Training Courses
Dear Customer:
Our primary goal as an organization is to enhance the designer's effectiveness in implementing
Motorola's offering of microprocessors and microcontrollers. This is accomplished by providing
our customers with formalized training, including application examples reinforced with hands-on
labs, to empower the designer with the tools to efficiently accomplish their design.
Teaming with our training partners, Ascent Technologies and Arnewsh, Inc., we continue to strive
for excellence in our offering of quality instruction in the application of Motorola products.
Motorola's Technical Training organization develops new training courses in cooperation with our
applications engineers and product marketing resources very early in the new product cycle, thus
assuring vital and timely training to assist with your design. We then certify our training partners
to assure the best possible training experience for engineers/programmers.
Our technical training is structured to offer the best instruction in the semiconductor industry, and
we look forward to providing training that will adequately serve your design and application needs.
Thank you for choosing Motorola.

Sherril A. Harmon
Manager
Technical Training

Jay Nunez
Director
Technical Operations

We can bring the training to your facility!
Courses listed in this brochure can be taught at your facility and can be tailored to fit your needs.
For details and information please call one of the training providers: Motorola Technical Training
(602) 302-8008, Arnewsh, Inc. (970) 223-1616, or Ascent Technologies (800) 410-3601.

Motorola Master Selection Guide

6.1-7

Product Literature and Technical Training

Motorola Technical Training Courses (continued)
Prerequisites: This course assumes no prior knowledge of
the DSP56001 device.

DSP561 xx Family Microprocessor
Description: This is a four-day course in which the student
(with digital signal processing design experience) learns to
design with the DSP561xx digital signal processor. The
course consists of lectures, labs, and exercises.
Prerequisites: The student must have knowledge of at least
one microprocessor and its assembly language. A prior
understanding of digital signal processing theory is important
for those whose applications are DSP oriented.

MC68HC05/08 Microcontroller
Description: This is a four-day introductory course to the
68HC08 microcontroller family. This course covers the major
modules olthe 68HC08 including discrete 1/0, timer functions,
serial communications interfaces, multiplex communications
modules, and the exception related modules. Many
application examples are included. If needed, or if the actual
target platform is the HC05, there is one-day (optional) added
to the beginning of the class.
Prerequisites: No prior MC68HC08 knowledge is assumed.
Some basic understanding of embedded system operations
and their target applications is helpful.

DSP5600x Family Microprocessor
(with intro to DSP563xxl6xx)
Description: This is a five-day course in which the student
(with digital signal processing design experience) learns to
design with the DSP5600x digital signal processor. The
course consists of lectures, labs, and exercises.
Prerequisites: The student must have knowledge of at least
one microprocessor and its assembly language. A prior
understanding of digital signal processing theory is important
for those whose applications are DSP oriented.

MC68HC11 Microcontroller Family
Description: This is a four-day introduction to the MC68HC
microcontroller family which covers major features of this
industry-standard microcontroller. Students will understand
how to program and apply all the major subsystems of the
MC68HC11 including discrete 1/0, timer functions, serial
communication interfaces, analog to digital conversion, and
the computer operating properly (COP) watchdog timer. Many
application examples are included.
Prerequisites: Students should have a basic understanding
of embedded system operations and their target application.

DSP563xxl6xx Family Digital Signal
Processor
Description: This is a two or four-day course in which the
student (with digital signal processing design experience)
learns to design with the DSP563xx16xx digital signal
processor. Students who have DSP5600x experience may
choose to attend the last two days only. The course consists
of lectures, labs, and exercises.
Prerequisites: The student must have knowledge of at least
one microprocessor and its assembly language. A prior
understanding of digital signal processing theory is important
for those whose applications are DSP oriented.

MC68HC12 Microcontroller
Description: This three-day course is designed to help the
student understand the MC68HC12 family and their
applications. The student will write 1/0 routines to configure an
entire system to meet application requirements.
Applications such as angle base engine control, anti-skid
breaking system and serial communication will be discussed
in detail.
Prerequisites: 8 or 16-bit microcontroller knowledge and
deSign experience will be helpful.

DSP568xx Family Digital Signal
Processor
Description: This is a four-day course in which the student
(with digital signal processing design experience) learns to
design with the DSP568xx digital signal processor. The
course consists of lectures, labs, and exercises.
Prerequisites: The student must have knowledge of at least
one microprocessor and its assembly language. A prior
understanding of digital signal processing theory is important
for those whose applications are DSP oriented.

MC68HC16 Microcontroller Family
Description: This is a four-day course in which the
MC68HC16 family major features are covered, including the
CPU16, general purpose timer, and analog-to-digital
converter. In addition, the system integration module,
single-chip integration module, queued serial module,
standby RAM, multi--channel communications interface, and
time processor unit will be covered. Lecture, labs and
exercises are a major part of the learning process for this
course.
Prerequisites: Knowledge of microprocessor fundamentals.
Previous experience with either MC68HC05 or MC68HC11 is
helpful.

DSP96002 Microprocessor
Description: This course prepares the student for designing
systems which include the DSP96002. The course consists of
four days of lecture.

Product Literature and Technical Training

6.1-8

Motorola Master Selection Guide

Motorola Technical Training Courses (continued)
instruction set, addressing modes, exception processing, and
an overview of the caches and memory management unit in
020/030/040. The course consists of lectures, exercises, and
labs.
Prerequisites: A basic understanding of microprocessor
systems and assembly language is required.

MC68332 Embedded Controller
Description: This is a five-day intensive introduction to the
MC68332 embedded controller family. Students will
understand how to program and apply all the major
subsystems of the 68332, including discrete I/O, timer
functions, serial communication interfaces, analog to digital
conversion, computer operating properly (COP) watchdog
timer. Many application examples are included.
Prerequisites: Students should have a basic understanding
of embedded system operations and their target application.

MC68EC/040/060 Microprocessors
Description: This is a two-day course which covers all the
hardware and system aspects of both the MC68040 and
MC68060 members. The first one and a half days is used to
cover the MC68040 and the common issues of the MC68060.
The last half day is used to point out MC68060 differences and
the new features.
Prerequisites: Students should have complete familiarity
with the software aspects of the M68K family. Students who
also need the software and programming background may
attend the M68K family programming course offered in the
same week.

MC68376 Microcontroller
Description: This is a five-day intensive introduction to the
MC68376 microcontroller. Students will understand how to
program and apply all the major sub-systems of the 68376,
including discrete 110, time processor unit, the configurable
timer module, the queued analog to digital converter, the
queued serial module, and the Toucan module. Application
examples are included.
Prerequisites: Students should have a basic understanding
of embedded systems operations and their target application.

MC68302 Integrated Multiprotocol
Processor

ANSI C for Embedded Systems

Description: This is a four-day course in which students
learn to design and write programs forthe various chip submodules. This includes the MC68000 core, communication processor (CP) and system integration block (SIB). The course
consists of lectures, exercises, and labs.
Prerequisites: Students need the software and hardware
understanding of the MC68000 processor.

Description: This four-day course is a fast-paced
introduction to programming Motorola microcontrollers using
the C language. The course covers all essential C language
constructs their typical implementation on Motorola
microcontrollers and how to strategically design embedded
system C software. Particular attention is paid to unique
embedded system issues such as compiler ROM and RAM
usage and throughput minimization. Hands-on lab exercises
reinforce all major topics and use commercially available
cross--compilers and simulators.
Prerequisites: Students should have a basic understanding
of the 68HC11 or 68332 microcontroller and embedded
systems operation. No familiarity with C is assumed.

MC68360 QUICC-QUad Integrated
Communication Controller
Description: This is a four-day course in which students
learn to design and write programs for the various chip modules. This includes the CPU32+ core, communication processor module (CPM) and system integration module (SIM60).
Labs are a major part of the learning process; lecture and
exercises are also a part of the course.
Prerequisites: To benefit most from the course, a SIW and
HIW understanding of the MC68000 microprocessor is a
requirement.

MC68EC/000 Microprocessor
Description: This is a four-day course which covers both the
software and hardware aspects of the MC68EC/000 processor. The course will cover programming model, data types,
instruction set, addreSSing modes, exception processing, signal function and characteristics.
Prerequisites: A basic understanding of microprocessor
systems, digital logic and memory concepts is required.

MC6834x Family Integrated Processor
(,330, '340, '341, '349)
Description: This is a three-day course in which students will
learn to design with the CPU321CPU32+, DMA channels,
timers, serial I/O modules, and system integration module.
The course consists of lectures, exercises, and labs.
Prerequisites: Students need the software and hardware
understanding of the M68K processor family.

MC68EC/000 Family Programming
Description: This is a three-day course which presents the
software functionality of all the MC68/ECOxO microprocessors. The course covers the programming model, data types,

Motorola Master Selection Guide

6.1-9

Product Literature and Technical Training

Motorola Technical Training Courses (continued)
PowerPCTM 6xx Family Microprocessor
Description: The MPC6xx is primarily targeted for the desktop marketplace. The PowerPCTM MPC6xx course is a fourday course that details all publicly announced MPC6xx
implementations such as the MPC602, MPC603 and
MPC604. This course contains lectures, labs, and exercises.
Prerequisites: The student must have advanced microprocessor and assembly language knowledge. An understanding
of memory management, multi-processing/master, and
cache concepts is also beneficial.

MPC505 PowerPCTM Microcontroller
Description: This is a three-day course in which the student
learns to design with the embedded PowerPCTM core, system
integration unit (SIU), and associated components of the
MPC505. The course consists of lectures and exercises.
Prerequisites: The student must have advanced microprocessor and assembly language knowledge. PowerPCTM
experience is not required.

TPU Microcode
Description: The TPU Microcode course is a three-day
lab-intensive course in which the student learns how to write
microcode functions for the TPU. The course is approximately
50% lecture and exercises and 50% lab time.
Prerequisite: The student must have advanced microprocessor experience.

Cold Fire MCF5200

MPC821 PowerPCTM Integrated
Microcontroller
Description: This is a four-day course in which the student
learns to design with the MPC821, i.e. the embedded
PowerPCTM core, and the enhanced communications processor module. The course will contain lectures, labs, and
exercises.
Prerequisites: The student must have advanced microprocessor and assembly language knowledge. The first day
of this course is optional, intended for designers with no
PowerPCTM background.

MPC860 Power aUICC-aUad Integrated
Communication Controller
Description: This is a four-day course in which students
learn to design and write programs forthe various chip submodules. This includes the embedded PowerPCTM Core, the
RISC communication processor module (CPM), and system
integration unit. Labs are a major part of the learning process;
lectures and exercises are also a part of the course.

Product Literature and Technical Training

Prerequisites: To benefit most from the course, some SIW
and HIW understanding of the PowerPCTM RISC processor is
a requirement. However, if students do not have this
requirement, the first day is a must to attend. The first day will
cover PowerPCTM basics and fundamentals.

Description: This is a three-day course that covers the
Cold Fire family of microprocessors. This ''variable length"
RISC MPU is contrasted with traditional RISC and CSIC
architectures, and the advantages of the ColdFire family are
highlighted.
The software portion of this course covers the programming
model, addressing modes, and instruction set. Code density,
exception proceSSing and program examples are also
reviewed.
The hardware portion begins with the system integration
module (SIM). The SIM includes the external bus interface
and timing, chip select operation, DRAM controller, and
system protection features.
The hardware portion also covers in detail the on-board
debug module. The course will demonstrate to the student
how to debug application programs using the advanced
features of the background debug mode (80M), including
real-time trace and hardware breakpoints.
This course also covers the other Cold Fire family resources,
including on--{)hip caches, timers, uarts and the M-bus
interface.
Each hardware topic includes a lab session and an application
example is provided to insure the student has a clear understanding of the features of the Cold Fire family.
Prerequisite: 32, 16 or 8-bit microprocessor/microcontroller
knowledge or design experience.

6.1-10

Motorola Master Selection Guide

Motorola Technical Training Courses (continued)
For information regarding the following courses, call
(800) 262-5486. RTEK support and course information
offered by Embedded System Product, Inc.
Please call (800) 262-5486 to speak with the RTEK Training
Coordinator concerning course pricing, enrollment, or on-site
training.

Use of the RTEK Kernel
Description: This is a three-day course in which the student
receives an in-depth presentation of the RTEK kernel with
reinforcement from extensive "hands-on" usage. The course
covers all aspects of RTEK kernel usage beginning with an
overview of real-time software architectures, a presentation
of RTEK features, its kernel objects and services, system
configuration and generation, and interrupt servicing concepts for device drivers. Lecture time is interspersed with lab
exercises to achieve maximum benefit for the student. Each
day ends with a question and answer session to cover application topics or items of special interest to the student.
Prerequisites: Prior knowledge or use of a real-time kernel

Motorola Master Selection Guide

6.1-11

is not required but will benefit the student. Students should be
familiar with the C language and a source level debugger.

RTEK Training Class Dates for 1997
January 14-16
February 11-13
March 18-20
April 8-10
May 13-15
June 17-19
July 15-17
August 12-14
September 16-18
October 14-16
November 11-13
December (No Scheduled Class)
All scheduled classes are held in Houston, TX at the
Embedded System Products Training Center. Closed classes
are available on-site by special arrangement.

Product Literature and Technical Training

Training Providers and Their Schedules
Please call one of the training providers above for details on providing classes at your facility

MOTOROLA Courses and Locations
Motorola Technical Training Center. 432 North 44th Street. Suite 175 (Classroom). Phoenix, Arizona 85008
Call (602) 302-8008 for class priCing

FAX REGISTRATION FORM

FAX (602)302-8025
Please mark the class you will be attending and fax to ATTENTION: REGISTRAR.
_
_
_

DSP568xx, Phoenix, January 28
DSP568xx, Phoenix, March 11
DSP568xx, Ann Arbor, May 6

_
_
_

DSP563xx16xx, Phoenix, February 18
DSP563xx16xx, Ann Arbor, April 22
DSP563xxl6xx, Ann Arbor, June 17

_
_
_

MC68HC12, Phoenix, February 11
MC68HC12, Phoenix, March 4
MC68HC12, Phoenix, May 6

You can also register for Motorola taught courses by:

Email: R17994@email.sps.mot.com
Internet WWWeb, URLs:
Internal: http://design-net.sps.mot.comltraining!catalog/trainlng.html
External: http://design-net.comltrainlng!catalog/training.html
Plan early as classes fill up rapidly and space is limited.

ARNEWSH, INC. Courses and Locations
P.o. Box 270352. Fort Collins, Colorado 80527-0352. (970) 223-1616
Call for class pricing

FAX REGISTRATION FORM

FAX (970)223-9573
Please mark the class you will be attending and fax to ATTENTION: REGISTRAR.
_
_
_
_
_

PowerPCTM 6xx, Phoenix, January 28
PowerPC'" 6xx, Phoenix, April 29
PowerPCTM 6xx, San Jose, June 24
MCF520x Cold Fire, Phoenix, March 25
MC6834x, Denver, March 18

_
_
_
_
_

MC68302, Denver, April 8
MC68360, Phoenix, February 18
MC68360, San Jose, May 20
MPC860, Phoenix, January 14
MPC860, Seattle, April 22

_
_
_
_
_

MPC860, San Jose, June 10
MPC821 , Phoenix, March 11
MC68EC/000, Denver, February 25
MC68EC/OOO Family Programming,
Chicago, May 5
MC68EC/040/060, Chicago, May 8

ASCENT TECHNOLOGIES Courses and Locations
525 Avis Drive, Suite 15. Ann Arbor, M148108. (800) 410-3601
Call for class pricing

FAX REGISTRATION FORM

FAX (313) 668-2735
Please mark the class you will be attending and fax to ATTENTION: REGISTRAR.
_
_
_
_
_
_
_

MC68HC11 , Ann Arbor, March 11
MC68HC11, Ann Arbor, June 10
MC66HC16, Ann Arbor, March 4
MC68HC16, Ann Arbor, April 29
MC6833x, Phoenix, February 24
MC6833x, Ann Arbor, May 12
MC6833x, Ann Arbor, June 16

Product Literature and Technical Training

_
_
_
_
_
_
_

MC68376, Phoenix, January 20
MC68376, Ann Arbor, March 17
MC66376, Ann Arbor, April 28
MC68HC051HC08, Phoenix, February 3
MC68HC05/HC08, Ann Arbor, April 14
DSP5600x, Phoenix, February 10
DSP5600x, Phoenix, March 24

6.1-12

_
_
_
_
_
_

DSP5600x, Ann Arbor, June 2
TPU Microcode, Ann Arbor, February 25
ANSI C, Ann Arbor, January 21
ANSI C, Ann Arbor, February 18
ANSI C, Ann Arbor, April 8
ANSI C, Ann Arbor, May 20

Motorola Master Selection Guide

Call1-80D-774-1848 or 602-244-6609 to have the latest copy
of our Technical Training Catalog and class schedule faxed to you.
If you are outside of the USA, call your local Technical Training Center
or Sales Office and ask for BR348/D.

Technical Training Centers
Regional Training Centers

International Training Centers

Detroit

Phoenix

Munich, Germany

(49)-89-92103571

41700 Six Mile Road
Nothville, MI 48167
(313) 347-6800

432 N 44th Street
Phoenix, AZ. 85008
(602) 302-8008

Velizy Villacoublay Cedex, France

(33)-1-34635894

Aylesbury, United Kingdom

(44)-1296-380304

Provide the following information when registering:
You can also register by:
Email: R17994@email.sps.mot.com
Internet WWWeb, URL: http://Design-NET.com
MOTOROLA
Technical Training. 432 North 44th Street. Suite 200 • Phoenix, AZ. 85008
Voice: (602) 302-8008. FAX: (602) 302-8025
ATTENTION: REGISTRAR
Contact's Name: _ _ _ _ _ _ _ _ _ _ _ _ _ __

Email: _ _ _ _ __

Contact's Phone Number: _ _ _ _ _ _ _ _ _ _ __

Company: _ _ _ _ _ _ _ _ _ _ _ _ _ __

Street Address: _ _ _ _ _ _ _ _ _ _ __

Fax Number: _ _ _ _ __

City: _ _ State/Province: _ _ Zip/Postal Code: _ _ __

1. _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ __
Student's Name/Email

'Mail Stop

Address

'SS#

·Dept.#

Fax

Phone

'Badge#

'Sector

2. _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ __
Student's Name/Email

'Mail Stop

Address

'SS#

·Dept.#

Fax

Phone

'Badge#

'Sector

3. ________________________________________________________________________
Signature of Authorizing Manager

Email

Phone

'Required for all Motorola employees only
• Intended method of payment: Charge Card _
• Motorolan's: Dept. #

Purchase Order __

Check_

Students will be contacted to confirm receipt of faxed registration and method of payment.

Motorola Master Selection Guide

6.1-13

Product Literature and Technical Training

Product Literature and Technical Training

6.1-14

Motorola Master Selection Guide

Device Index and
Subject Index

In Brief.
Page
Device Index ................................... 7.1-1
General Index .................................. 7.2-1
Subject Index .................................. 7.2-9

Device Index

The following index lists the device numbers of the
products contained in this selector guide and references the
page number where each device is described in greater
detail.(l) The listing is in a numeric sequence organized in a
"computer sort." This means that all the devices listed herein
follow a 39 character alphabet. This "new" alphabet starts
with a Period, a Dash and a Slash (. -/), followed by the 26
letter alphabet (A thru Z), which is then followed by 10
numbers (0 thru 9).
The ranking or hierarchy of this 39 character alphabet is
as follows:
. -/ ABC D E F G H I J K L M N 0 P Q R STU V W X
YZ0123456789
Therefore, if you are looking for a device starting with a
letter of the alphabet like an MC1741CP, it would appear
before a device starting with a number, such as 2Nl132.
To find a device in this index, start with the first character
of the device and find that section of the index; next move
to the second character in the device number, and move to
that character within the same portion of the listing; and so
on until the device number is found. In other words, it is
used just like a dictionary, character by character.
For example, to find the 2N6837, go to that section of the
listing that begins with the number "2" (Notice that the section
follows all devices that begin with a letter of the alphabet or
"1 "). Next, find that portion of the listing that begins with "2N"
(Notice it follows those devices that begin with "2K"). Next,
find that portion of the listing that begins with "2N6" (Notice it
follows those devices that begin with "2N5"). Continue
looking for those portions that begin with the next consecutive
character until you have found the entire number.
Because of the way "Computer Sort" works it is not
necessary to be concerned with the absolute value or
number of characters in a part number, just move across the
device part number, left to right, one character at a time until
you find the number.

(1) The device numbers contained in this index are for
reference only and do not necessarily represent the complete
device number necessary to order the device. Contact your
local Sales Office or Authorized Distributor for complete
ordering information.

Subject Index

This listing is intended to simplify the identification of
products where specific device numbers are not known.

Motorola Master Selection Guide

7.0-1

Device Index and Subject Index

Device Index and Subject Index

7.0-2

Motorola Master Selection Guide

Device Index
Device Index

Page

AM26LS30 ................... 4.6-7
BAL99LT1 ................... 5.1-36
BAS16LT1 ................... 5.1-36
BAS16WT1 .................. 5.1-36
BAS21LT1 ................... 5.1-36
BAS116LT1 .................. 5.1-37
BAV70LT1 ................... 5.1-37
BAV70WT1 .................. 5.1-37
BAV74LT1 ................... 5.1-37
BAV99LT1 ................... 5.1-37
BAV99RWT1 ................ 5.1-37
BAV99WT1 .................. 5.1-37
BAV170LT1 .................. 5.1-38
BAV199LT1 .................. 5.1-38
BAW56LT1 .................. 5.1-37
BAW56WT1 ................. 5.1-37
BAW156LT1 ................. 5.1-38
BC107 ...................... 5.1-18
BC107B ..................... 5.1-18
BC109C ..................... 5.1-18
BC177B ..................... 5.1-18
BC182 ....................... 5.1-2
BC212 ....................... 5.1-2
BC237B ...................... 5.1-2
BC239 ....................... 5.1-3
BC307B ...................... 5.1-2
BC327 ....................... 5.1-2
BC328 ....................... 5.1-2
BC337 ....................... 5.1-2
BC338 ....................... 5.1-2
BC368 ....................... 5.1-4
BC369 ....................... 5.1-4
BC373 ....................... 5.1-4
BC489 ....................... 5.1-4
BC490 ....................... 5.1-4
BC517 ....................... 5.1-4
BC546 ....................... 5.1-2
BC546A ...................... 5.1-2
BC546B ...................... 5.1-2
BC547 ....................... 5.1-2
BC547A ...................... 5.1-2
BC547B ...................... 5.1-2
BC547C ...................... 5.1-2
BC548 ....................... 5.1-2
BC548A ...................... 5.1-2
BC548B ...................... 5.1-2
BC548C ................... , .. 5.1-2
BC549B ...................... 5.1-3
BC549C ...................... 5.1-3
BC550B ...................... 5.1-3
BC550C ...................... 5.1-3
BC556 ....................... 5.1-2
BC556B . . . . . . . . . . . . . . . . . . . . .. 5.1-2
BC557 ....................... 5.1-2
BC557A ...................... 5.1-2
BC557B ...................... 5.1-2
BC557C ...................... 5.1-2
BC558B ...................... 5.1-2
BC559B ...................... 5.1-3
BC559C . . . . . . . . . . . . . . . . . . . . .. 5.1-3
BC560B ...................... 5.1-3
BC560C ...................... 5.1-3
BC618 ....................... 5.1-4
BC639 ....................... 5.1-4
BC640 ....................... 5.1-4
BC807-16LT1 ............... 5.1-10
BC807-25LT1 ............... 5.1-10

Motorola Master Selection Guide

Device Index

Page

BC807-40LT1 ............... 5.1-10
BC808-25WT1 .............. 5.1-11
BC808-40WT1 .............. 5.1-11
BC817-16LT1 ............... 5.1-10
BC817-25LT1 ............... 5.1-10
BC817-40LT1 ............... 5.1-10
BC818-25WT1 .............. 5.1-11
BC818-40WT1 .............. 5.1-11
BC818WT1 .................. 5.1-11
BC846ALT1 ................. 5.1-10
BC846AWT1 ................. 5.1-11
BC846BLT1 ................. 5.1-10
BC846BWT1 ................ 5.1-11
BC847ALT1 ................. 5.1-10
BC847AWT1 ................. 5.1-11
BC847BLT1 ................. 5.1-10
BC847BWT1 ................ 5.1-11
BC847CLT1 ................. 5.1-10
BC847CWT1 ................ 5.1-11
BC848ALT1 ................. 5.1-10
BC848AWT1 ................. 5.1-11
BC848BLT1 ................. 5.1-10
BC848BWT1 ................ 5.1-11
BC848CLT1 ................. 5.1-10
BC848CWT1 ................ 5.1-11
BC856ALT1 ................. 5.1-10
BC856AWT1 ................. 5.1-11
BC856BLT1 ................. 5.1-10
BC856BWT1 ................ 5.1-11
BC857ALT1 ................. 5.1-10
BC857AWT1 ................. 5.1-11
BC857BLT1 ................. 5.1-10
BC857BWT1 ................ 5.1-11
BC858ALT1 ................. 5.1-10
BC858AWT1 ................. 5.1-11
BC858BLT1 ................. 5.1-10
BC858BWT1 ................ 5.1-11
BC858CLT1 ................. 5.1-10
BC858CWT1 ................ 5.1-11
BCP53T1 ................... 5.1-16
BCP56T1 ................... 5.1-16
BCP68T1 ................... 5.1-17
BCP69T1 ................... 5.1-17
B0135 ....................... 5.5-9
B0136 ....................... 5.5-9
B0137 " " ................... 5.5-9
B0138 ....................... 5.5-9
B0139 ....................... 5.5-9
B0140 ....................... 5.5-9
B0140-10 .................... 5.5-9
B0157 ....................... 5.5-8
B0158 ....................... 5.5-8
B0159 ....................... 5.5-9
B0165 ....................... 5.5-9
B0166 ....................... 5.5-9
B0169 ....................... 5.5-9
B0179 ....................... 5.5-9
B0180 ....................... 5.5-9
B0237 ......... . . . . . . . . . . . . .. 5.5-9
B0238 ....................... 5.5-9
B0241B ...................... 5.5-3
B0241C ...................... 5.5-3
B0242B ..................... , 5.5-3
B0242C . . . . . . . . . . . . . . . . . . . . .. 5.5-3
B0243B . . . . . . . . . . . . . . . . . . . . .. 5.5-4
B0243C . . . . . . . . . . . . . . . . . . . . .. 5.5-4
B0244B . . . . . . . . . . . . . . . . . . . . .. 5.5-4

7.1-1

Device Index

Page

B0244C . . . . . . . . . . . . . . . . . . . . .. 5.5-4
B0249C ...................... 5.5-7
B0250C ...................... 5.5-7
B0437 ..................... " 5.5-9
B0438 . . . . . . . . . . . . . . . . . . . . . .. 5.5-9
B0440 . . . . . . . . . . . . . . . . . . . . . .. 5.5-9
B0441 ....................... 5.5-9
B0442 ............. . . . . . . . . .. 5.5-9
B0677 '" .................. " 5.5-9
B0677A ...................... 5.5-9
B0678 ....................... 5.5-9
B0678A ...................... 5.5-9
B0679 ....... . . . . . . . . . . . . . . .. 5.5-9
B0679A ...................... 5.5-9
B0680 ....................... 5.5-9
B0680A . . . . . . . . . . . . . . . . . . . . .. 5.5-9
B0681 ...................... 5.5-10
B0682 ...................... 5.5-10
B0776 ........ . . . . . . . . . . . . . .. 5.5-9
B0777 ...... . . . . . . . . . . . . . . . .. 5.5-9
B0778 ..................... " 5.5-9
B0779 ...................... 5.5-10
B0780 ...................... 5.5-10
B0787 ....................... 5.5-9
B0788 ....................... 5.5-9
B0789 ..................... " 5.5-9
B0790 ..................... " 5.5-9
B0791 ...................... 5.5-10
B0792 ...................... 5.5-10
B0801 ....................... 5.5-4
B0802 ....................... 5.5-4
B0808 .............. . . . . . . . .. 5.5-5
B0809 ..................... " 5.5-5
B0810 ....................... 5.5-5
BOB01C ..................... 5.1-3
BOB02C ..................... 5.1-3
BOB020 ..................... 5.1-3
BDCOm ..................... 5.1-3
BOC020 ..................... 5.1-3
BOC05 . . . . . . . . . . . . . . . . . . . . . .. 5.1-5
BOV64B ..................... 5.5-6
BOV65B ..................... 5.5-8
BOW42 ...................... 5.5-6
BOW47 ...................... 5.5-6
BOX33B ..................... 5.5-5
BOX33C ..................... 5.5-8
BOX34B ..................... 5.5-5
BOX34C ..................... 5.5-6
BOX53B ..................... 5.5-5
BOX53C ..................... 5.5-5
BOX54B ..................... 5.5-5
BOX54C ..................... 5.5-5
BF199 ....................... 5.1-6
BF224 ....................... 5.1-6
BF246A ..................... 5.1-21
BF246B ..................... 5.1-21
BF393 ....................... 5.1-5
BF420 ....................... 5.1-5
BF421 ....................... 5.1-5
BF422 ....................... 5.1-5
BF423 ....................... 5.1-5
BF493S ...................... 5.1-5
BF720T1 .................... 5.1-17
BF721T1 .................... 5.1-17
BF844 ....................... 5.1-5
BF959 ....................... 5.1-6
BFR90 ............. 5.10-18,5.10-22

Oevice Index

Device Index
Device Index

Page

BFR92ALTl ................ 5.10-18
BFR93ALTl ................ 5.10-18
BFR96 ............. 5.10-18, 5.10-22
BFS17LTl .................. 5.10-18
BS107 ...................... 5.1-22
BS107A ..................... 5.1-22
BS170 ...................... 5.1-22
BSP16Tl .................... 5.1-17
BSP19ATl .................. 5.1-17
BSP20ATl .................. 5.1-17
BSP52Tl .................... 5.1-17
BSP62Tl .................... 5.1-17
BSS63LTl ................... 5.1-16
BSS64LTl ................... 5.1-16
BSS73 ...................... 5.1-19
BSS76 ...................... 5.1-19
BSS84LTl ........... '" ..... 5.1-24
BSS89 ...................... 5.1-22
BSS123LTl ................. 5.1-24
BSS138LTl ................. 5.1-24
BSV52LT1 ................... 5.1-14
BSX20 ...................... 5.1-19
BU208A ...... , .............. 5.5-11
BU323A ..................... 5.5-12
BU323AP .................... 5.5-6
BU406 ....................... 5.5-4
BU407 ....................... 5.5-4
BU522B . . . . . . . . . . . . . . . . . . . . .. 5.5-4
BU806 ............. . . . . . . . . .. 5.5-5
BUD43B-1 ........... 5.5-17,5.5-19
BUD44D2-1 ................. 5.5-19
BUF43B-l .................. 5.5-17
BUH50 .............. , 5.5-17, 5.5-18
BUH51 .............. , 5.5-17,5.5-19
BUH100 .............. 5.5-17,5.5-18
BUH150 .............. 5.5-17,5.5-18
BUL43B .............. 5.5-17,5.5-18
BUL44 ......... 5.5-3,5.5-17,5.5-18
BUL44D2 ............ 5.5-17,5.5-18
BUL44D2-1 ................. 5.5-17
BUL44F ...... , ....... 5.5-17,5.5-19
BUL45 ......... 5.5-4,5.5-17,5.5-18
BUL45D2 ............ 5.5-17,5.5-18
BUL45F .............. 5.5-17,5.5-19
BUL 146 ..... '" 5.5-4,5.5-17,5.5-18
BUL146F ............. 5.5-17,5.5-19
BUL 147 ........ 5.5-5,5.5-17,5.5-18
BUL 147F ............. 5.5-17,5.5-19
BUS50 ...................... 5.5-14
BUS98 .................. " ., 5.5-14
BUS98A .................... 5.5-14
BUT33 . . . . . . . . . . . . . . . . . . . . .. 5.5-14
BUT34 ...................... 5.5-14
BUV11 ...................... 5.5-13
BUV18A .................... 5.5-14
BUV20 ...................... 5.5-14
BUV21 ...................... 5.5-14
BUV22 ...................... 5.5-14
BUV23 ...................... 5.5-14
BUV48 ....................... 5.5-7
BUV48A .............. 5.5-7,5.5-17
BUV60 ...................... 5.5-14
BUX48 ...................... 5.5-12
BUX48A .................... 5.5-12
BUX85 ..................... " 5.5-3
BUX98 ...................... 5.5-14
BUX98A .................... 5.5-14

Device Index

Page

Device Index

BUY49P ..................... 5.5-9
BZX79C2V4RL .............. 5.2-19
BZX79C2V7RL .............. 5.2-19
BZX79C3VORL .............. 5.2-19
BZX79C3V3RL .............. 5.2-19
BZX79C3V6RL .............. 5.2-19
BZX79C4V3RL .............. 5.2-19
BZX79C4V7RL .............. 5.2-19
BZX79C5V1RL .............. 5.2-19
BZX79C5V6RL .............. 5.2-19
BZX79C6V2RL .............. 5.2-19
BZX79C6V8RL .............. 5.2-19
BZX79C8V2RL .............. 5.2-19
BZX79C9V1RL .............. 5.2-19
BZX79C12RL ................ 5.2-19
BZX79C15RL ................ 5.2-19
BZX79C16RL ................ 5.2-19
BZX79C33RL . . . . . . . . . . . . . . .. 5.2-20
BZX84C2V4LTl ....... 5.2-24,5.2-27
BZX84C2V7LT1 ....... 5.2-24,5.2-27
BZX84C3VOLT1 ....... 5.2-24,5.2-27
BZX84C3V3LT1 ....... 5.2-24, 5.2-27
BZX84C3V6LT1 ....... 5.2-24, 5.2-27
BZX84C3V9LT1 ....... 5.2-24,5.2-27
BZX84C4V3LT1 ....... 5.2-24,5.2-27
BZX84C4V7LT1 ....... 5.2-24,5.2-27
BZX84C5V1LT1 ....... 5.2-24,5.2-27
BZX84C5V6LT1 ....... 5.2-24,5.2-27
BZX84C6V2LT1 ....... 5.2-24,5.2-27
BZX84C6V8LT1 ....... 5.2-24,5.2-27
BZX84C7V5LT1 ....... 5.2-24,5.2-27
BZX84C8V2LT1 ....... 5.2-24,5.2-27
BZX84C9V1LT1 ....... 5.2-24,5.2-27
BZX84C10LT1 ........ 5.2-24,5.2-27
BZX84C11 LT1 ........ 5.2-24, 5.2-27
BZX84C12LT1 ........ 5.2-24,5.2-27
BZX84C13LT1 ........ 5.2-24,5.2-27
BZX84C15LT1 ........ 5.2-24,5.2-27
BZX84C16LTl ........ 5.2-24,5.2-27
BZX84C18LTl ........ 5.2-24,5.2-27
BZX84C20LT1 ........ 5.2-24,5.2-27
BZX84C22LT1 ........ 5.2-24,5.2-27
BZX84C24LT1 ........ 5.2-24,5.2-27
BZX84C27LT1 ........ 5.2-24,5.2-27
BZX84C30LT1 ........ 5.2-25,5.2-27
BZX84C33LTl ........ 5.2-25,5.2-27
BZX84C36LTl ........ 5.2-25,5.2-27
BZX84C39LT1 ........ 5.2-25,5.2-27
BZX84C43LTl ........ 5.2-25,5.2-27
BZX84C47LTl ........ 5.2-25,5.2-27
BZX84C51LTl ........ 5.2-25,5.2-27
BZX84C56LTl ........ 5.2-25,5.2-27
BZX84C62LTl ........ 5.2-25,5.2-27
BZX84C68LTl ........ 5.2-25,5.2-27
BZX84C75LTl ........ 5.2-25,5.2-27
Cl06A ....................... 5.7-3
Cl06B ....................... 5.7-3
Cl06D ....................... 5.7-3
Cl06F ....................... 5.7-3
Cl06M ....................... 5.7-3
CA901 ..................... 5.10-36
CA901A .................... 5.10-36
CA922 ..................... 5.10-37
CA922A .................... 5.10-37
CA2810C .................. 5.10-34
CA2818C .................. 5.10-34
CA2830C .................. 5.10-34

7.1-2

Device Index

Page

CA2832C .................. 5.10-34
CA2833C .................. 5.10-34
CA2842C .................. 5.10-34
CA3059 ..................... , 4.3-2
CATHRU ................... 5.10-37
CNY17-1 .................... 5.8-6
CNY17-2 .................... 5.8-6
CNY17-3 .................... 5.8-6
D44C12 ...................... 5.5-4
D44H8 ...................... , 5.5-5
D44H10 ...................... 5.5-5
D44H11 ...................... 5.5-5
D44VH10 .................... 5.5-6
D45C12 ...................... 5.5-4
D45H8 ...................... , 5.5-5
D45Hl0 ...................... 5.5-5
D45H11 ...................... 5.5-5
D45VH10 .................... 5.5-6
DAN222 ..................... 5.1-37
DAP222 ................... " 5.1-37
DS0026 .. . . . . . . . . . . . . . . . . . .. 4.2-38
DSP56ADC16 ............... 2.1-18
DSP56ADC16S .............. 2.1-18
DSP56KCCA ................ 2.1-20
DSP56KCCAJ ............... 2.1-20
DSP56KCCF ................ 2.1-20
DSP56KCCFJ ............... 2.1-20
DSP56KCCH ................ 2.1-20
DSP56L002FC40 ............. 2.1-5
DSP96KCCA ................ 2.1-20
DSP96KCCF ................ 2.1-20
DSP96KCCH ................ 2.1-20
DSP561CCCA ............... 2.1-20
DSP561CCCF ............... 2.1-20
DSP561CCCH ............... 2.1-20
DSP563CCA ................ 2.1-20
DSP563CCF ................. 2.1-20
DSP563CCH ................ 2.1-20
DSP53000 .................. 2.1-20
DSP56000 ...... 2.1-3,2.1-4,2.1-20
DSP56000CLASA ............ 2.1-20
DSP56000CLASB ............ 2.1-20
DSP56000CLASF ............ 2.1-20
DSP56000CLASH ............ 2.1-20
DSP56002 ............. 2.1-3,2.1-4
DSP56002ADM .............. 2.1-19
DSP56002ADS .............. 2.1-18
DSP56002ADSA ............. 2.1-19
DSP56002ADSB ............. 2.1-19
DSP56002ADSF ............. 2.1-19
DSP56002ADSH ............. 2.1-19
DSP56002EVM .............. 2.1-19
DSP56002FC40 ............... 2.1-5
DSP56002FC66 ............... 2.1-5
DSP56002RC40 .............. 2.1-5
DSP56004 ................... 2.1-4
DSP56004ADM .............. 2.1-19
DSP56004ADSA ............. 2.1-19
DSP56004ADSB ............. 2.1-19
DSP56004ADSF ............. 2.1-19
DSP56004ADSH ............. 2.1-19
DSP56005 ................... 2.1-5
DSP56005ADPTR ............ 2.1-19
DSP56005ADSA ............. 2.1-19
DSP56005ADSB ............. 2.1-19
DSP56005ADSF ............. 2.1-19
DSP56005ADSH ............. 2.1-19

Motorola Master Selection Guide

Device Index
Device Index

Page

DSP56007 ................... 2.1-4
DSP56007EVM .............. 2.1-19
DSP56009 ................... 2.1-5
DSP56009EVM .............. 2.1-19
DSP56100 ............ 2.1-2,2.1-20
DSP56100ADSA ............. 2.1-19
DSP56100ADSB ............. 2.1-19
DSP56100ADSF ............. 2.1-19
DSP56100ADSH ............. 2.1-19
DSP56100CLASA ............ 2.1-20
DSP56100CLASB ............ 2.1-20
DSP56100CLASF ............ 2.1-20
DSP56100CLASH ............ 2.1-20
DSP56156 ................... 2.1-2
DSP56156ADM .............. 2.1-19
DSP56156ADS .............. 2.1-18
DSP56166ADM .............. 2.1-19
DSP56300 ....... 2.1-5,2. Hi, 2.1--8
DSP56300CLASA ............ 2.1-20
DSP56300CLASF ............ 2.1-20
DSP56300CLASH ............ 2.1-20
DSP56301 ............. 2.1-6,2.1-7
DSP56301ADSA ............. 2.1-19
DSP56301ADSF ............. 2.1-19
DSP56301ADSH ............. 2.1-19
DSP56302 ............ 2.1--8,2.1-10
DSP56303 ........... 2.1-10,2.1-12
DSP56600 .................. 2.1-12
DSP56602 ........... 2.1-12,2.1-14
DSP56603 ........... 2.1-14,2.1-16
DSP56800 ................... 2.1--3
DSP96000 .................. 2.1-20
DSP96000ADM .............. 2.1-19
DSP96000ADS .............. 2.1-18
DSP96000ADSA ............. 2.1-19
DSP96000ADSB ............. 2.1-19
DSP96000ADSF ............. 2.1-19
DSP96000ADSH ............. 2.1-19
DSP96000CLASA ............ 2.1-20
DSP96000CLASB ............ 2.1-20
DSP96000CLASF ............ 2.1-20
DSP96000CLASH ............ 2.1-20
DSP96002 .................. 2.1-17
DSPCOMMAND ............. 2.1-19
DSPMACHOST .............. 2.1-19
DSPPCHOST ................ 2.1-19
DSPSUN4HOST ............. 2.1-19
DTA114YE .................. 5.1-13
DTA143EE .................. 5.1-13
DTC114TE .................. 5.1-13
DTC114YE .................. 5.1-13
H11A1 ....................... 5.8--8
H11A550 ..................... 5.8--8
H11AA1 ...................... 5.8--8
H11AA2 ...................... 5.8--8
H11AA3 ...................... 5.8--8
H11AA4 ...................... 5.8--8
H11AV1 ...................... 5.8--8
H11AV2 ...................... 5.8--8
H11B1 ....................... 5.8--7
H11D1 ....................... 5.8--7
H11D2 ....................... 5.8--7
H11G1 ....................... 5.8--7
H11G2 ....................... 5.8--7
H11G3 ....................... 5.8--7
H11L1 ....................... 5.8--8
H11L2 ....................... 5.8--8

Motorola Master Selection Guide

Device Index

Page

J110 ........................ 5.1-21
J111 ........................ 5.1-21
J112 ........................ 5.1-21
J113 ........................ 5.1-21
J202 ........................ 5.1-20
J308 . . . . . . . . . . . . . . . . . . . . . . .. 5.1-20
J309 . . . . . . . . . . . . . . . . . . . . . . .. 5.1-20
J310 ........................ 5.1-20
LF347 ....................... 4.1-4
LF347B ...................... 4.1-4
LF351 ....................... 4.1-2
LF353 ....................... 4.1-3
LF411C ...................... 4.1-2
LF412C ...................... 4.1-3
LF442C ...................... 4.1-3
LF444C ...................... 4.1-4
LM201A ...................... 4.1-2
LM211 ..•.................... 4.1-7
LM224 ....................... 4.1-4
LM224A ...................... 4.1-4
LM239 ....................... 4.1-7
LM239A ...................... 4.1-7
LM258 ....................... 4.1--3
LM285Z-1.2 .................. 4.4--2
LM285Z-2.5 .................. 4.4--2
LM301A ...................... 4.1-2
LM308A ...................... 4.1-2
LM311 ....................... 4.1-7
LM317 ... . . . . . . . . . . . . . . . . . . .. 4.2-5
LM317B ................ 4.2-4,4.2-5
LM317L ...................... 4.2-4
LM317M ..................... 4.2-5
LM323 .......... . . . . . . . . . . . .. 4.2--3
LM323A . . . . . . . . . . . . . . . . . . . . .. 4.2--3
LM324 ............ . . . . . . . . . .. 4.1-4
LM324A ...................... 4.1-4
LM337 .. . . . . . . . . . . . . . . . . . . . .. 4.2-5
LM337B . . . . . . . . . . . . . . . . . . . . .. 4.2-5
LM337M ..................... 4.2-5
LM339 ....................... 4.1-7
LM339A ...................... 4.1-7
LM340 . . . . . . . . . . . . . . . . . . . . . .. 4.2--3
LM340--24 . . . . . . . . . . . . . . . . . . .. 4.2--3
LM340A-XX .................. 4.2--3
LM350 .••........ . . . . . . . . . . .. 4.2-5
LM350B . . . . . . . . . . . . . . . . . . . . .. 4.2-5
LM358 ............ . . . . . . . . . .. 4.1--3
LM385BZ-1.2 ................. 4.4--2
LM385BZ-2.5 ................. 4.4--2
LM385Z-1.2 .................. 4.4--2
LM385Z-2.5 .................. 4.4--2
LM393 ....................... 4.1-7
LM393A ...................... 4.1-7
LM833 ....................... 4.1-3
LM2575D2T--3.3 ............. 4.2-14
LM2575D2T-5 ............... 4.2-14
LM2575D2T-12 ...•.......... 4.2-14
LM2575D2T-15 ..........•... 4.2-14
LM2575D2T-Adj ............. 4.2-14
LM2575T--3.3 ................ 4.2-14
LM2575T-5 ................. 4.2-14
LM2575T-12 ............•... 4.2-14
LM2575T-15 ................ 4.2-14
LM2575T-Adj ................ 4.2-14
LM2575TV--3.3 .............. 4.2-14
LM2575TV-5 ................ 4.2-14
LM2575TV-12 ............... 4.2-14

7.1--3

Device Index

Page

LM2575TV-15 ............... 4.2-14
LM2575TV-Adj .............. 4.2-14
LM2901 ...................... 4.1-7
LM2901V ..................... 4.1-7
LM2902 ...................... 4.1-5
LM2902V . . . . . . . . . . . . . . . . . . . .. 4.1-5
LM2903 ...................... 4.1-7
LM2903V ..................... 4.1-7
LM2904 ...................... 4.1-4
LM2904V ..................... 4.1-4
LM2931 .......... 4.2-2,4.2--3,4.9-2
LM2931A ..................... 4.2--3
LM2931A-5.0 ................. 4.2-2
LM2931C .............. 4.2-4,4.9-2
LM2935 . . . . . . . . . . . . . . .. 4.2-4, 4.9-2
LP1001 ............ 5.10--17, 5.10--22
LP1001A ........... 5.10-17,5.10--22
LP2950AC ............. 4.2-2, 4.2--3
LP2950C . . . . . . . . . . . . . .. 4.2-2, 4.2--3
LP2951AC ................... 4.2-4
LP2951C ..................... 4.2-4
M1MA141KT1 ............... 5.1-36
M1MA141WAT1 .............. 5.1-37
M1MA141WKT1 ............. 5.1-37
M1MA142KT1 ............... 5.1--36
M1MA142WAT1 .............. 5.1--37
M1MA142WKT1 ............. 5.1--37
M1MA151AT1 ............... 5.1-36
M1MA151KT1 ............... 5.1-36
M1MA151WAT1 .............. 5.1-37
M1MA151WKT1 ............. 5.1-37
M1MA174T1 ................. 5.1-36
M68HC05BPGMR ............ 2.8--23
M68HC05C5EVS ............. 2.8--23
M68HC05C9EVS . . . . . . . . . . . .. 2.8--23
M68HC05D32EVS ........... 2.8--23
M68HC05E1EVS ............. 2.8--23
M68HC05F6EVM ............ 2.8--23
M68HC05F8EVM ............ 2.6-23
M68HC05G1EVM ............ 2.8--23
M68HC05G9EVM ............ 2.8--23
M68HC05G10EVM ........... 2.8--23
M68HC05H2EVS . . . . . . . . . . . .. 2.8--23
M68HC0518EVS ............. 2.8--23
M68HC05J3EVS ............. 2.8--23
M68HC05L1EVM . . . . . . . . . . . .. 2.8--24
M68HC05L2EVS ... . . . . . . . . .. 2.8--24
M68HC05L4EVS . . . . . . . . . . . .. 2.8--24
M68HC05L5EVS ............. 2.8--24
M68HC05L9EVM2 ........... 2.8--24
M68HC05L10EVM ........... 2.8--24
M68HC05L11EVM ............ 2.8--24
M68HC05M4EVM ............ 2.8--24
M68HC05P3EVS . . . . . . . . . . . .. 2.8--24
M68HC05P8EVS ...... 2.6--23,2.6-24
M68HC05P9EVS . . . . . . . . . . . .. 2.8--24
M68HC05PGMR-2 .. . . . . . . . .. 2.8--23
M68HC05SCEVS ............ 2.8--24
M68HC05T2EVS ............. 2.8--24
M68HC05T4EVM ............ 2.6-24
M68HC05T7EVM ...•........ 2.6-24
M68HC05T12EVM ........... 2.6-24
M68HC05X4EVS . . . . . . . . . . . .. 2.8--24
M68HC05X16EVS ..... 2.8--23,2.8--24
M68HC11EVB ............... 2.8--24
M68HC11EVB2 .............. 2.6-24
M68HC11EVBU .............. 2.8--24

Device Index

Device Index
Device Index

Page

M6BHC11EVM ............... 2.6-24
M6BHC705F8PGMR . . . . . . . . .. 2.6-23
M68HC705G1PGMR ......... 2.6-23
M68HC705G9PGMR ......... 2.6-23
M68HC705J2PGMR .......... 2.6-23
M68HC705KICS ............. 2.6-23
M68HC705KIGANG .......... 2.6-23
M68HC705L2PGMR . . . . . . . . .. 2.6-24
M68HC705L4PGMR ... 2.6-23, 2.6-24
M68HC705L5PGMR . . . . . . . . .. 2.6-24
M68HC705P9PGMR . . . . . . . . .. 2.6-24
M68HC705T10PGMR ......... 2.6-24
M68HC705T12PGMR ......... 2.6-24
M68HC705X4PGMR ........ " 2.6-24
M68HC705X16PGMR ......... 2.6-24
M68HC711D3EVB ............ 2.6-24
M68MEVB16Z1 .............. 2.6-25
M68MEVB333 ............... 2.6-25
M68701 EVM . . . . . . . . . . . . . . . .. 2.6-23
M143120B1EVBU ............. 2.7-8
M143120EVK ..... , .... , ...... 2.7-8
M143150B1EVBU ............. 2.7-8
M143150EVK ................. 2.7-8
M143204EVK ................. 2.7-8
M143206EVK ............... " 2.7-8
M143207EVK ................. 2.7-8
M143208EVK ................. 2.7-8
M143213EVK5 ................ 2.7-8
M143213EVK6 ................ 2.7-8
M143214EVK5 ................ 2.7-8
M143214EVK6 ....... " .... '" 2.7-8
M143215EVK5 ................ 2.7-8
M143215EVK6 ................ 2.7-8
M143221EVK ................. 2.7-8
M143222EVK ................. 2.7-8
M143223EVK ....... '" ....... 2.7-8
M143226EVK .......... " ..... 2.7-8
M143232EVK .......... " ..... 2.7-8
MA321BT08T ................. 2.8-9
MA322BT08T . . . . . . . . . . . . . . . .. 2.8-9
MA641AJ40T ................ 2.8-10
MA641BT08T ................ 2.8-10
MA642BT08T ................ 2.8-10
MA644CTOOT ................ 2.8-10
MA644CT10T ................ 2.8-10
MA721BT08T ................ 2.8-10
MA722BT08T ................ 2.8-10
MA724CJ40T ................ 2.8-10
MA724CTOOT ................ 2.8-10
MA724CT10T ... , ............ 2.8-10
MAC08BT1 ................... 5.7-7
MAC8D ...................... 5.7-9
MAC08DT1 ................... 5.7-7
MAC8M ...................... 5.7-9
MAC08MT1 .................. 5.7-7
MAC8N ...................... 5.7-9
MAC8SD ..................... 5.7-9
MAC8SM ..................... 5.7-9
MAC8SN ..................... 5.7-9
MAC9D ...................... 5.7-9
MAC9M ...................... 5.7-9
MAC9N ...................... 5.7-9
MAC12D .................... 5.7-12
MAC12M .................... 5.7-12
MAC12N .................... 5.7-12
MAC15A4 ................... 5.7-12
MAC15A4FP ................ 5.7-12

Device Index

Page

Device Index

MAC15A6 ................... 5.7-12
MAC15A6FP ................ 5.7-12
MAC15A8 ................... 5.7-12
MAC15A8FP ................ 5.7-12
MAC15A10 .................. 5.7-12
MAC15A10FP ............... 5.7-12
MAC15D .................... 5.7-12
MAC15M .................... 5.7-12
MAC15N .................... 5.7-12
MAC15SD ................... 5.7-12
MAC15SM .................. 5.7-12
MAC15SN ................... 5.7-12
MAC16D .................... 5.7-12
MAC16M .......... " ........ 5.7-12
MAC16N .................... 5.7-12
MAC97-8 .................... 5.7-7
MAC97-8 .................... 5.7-7
MAC97A6 .................... 5.7-7
MAC97A8 .................... 5.7-7
MAC210A4 .................. 5.7-11
MAC210A4FP ............... 5.7-11
MAC210A6 .................. 5.7-11
MAC210A6FP ............... 5.7-11
MAC210A8 .................. 5.7-11
MAC210A8FP ............... 5.7-11
MAC210A10 ................. 5.7-11
MAC210A10FP .............. 5.7-11
MAC212A4 .................. 5.7-11
MAC212A4FP ............... 5.7-11
MAC212A6 ................. , 5.7-11
MAC212A6FP ............... 5.7-11
MAC212A8 ............ " ... , 5.7-11
MAC212A8FP ............... 5.7-11
MAC212A10 ................. 5.7-11
MAC212A10FP .............. 5.7-11
MAC218A4 ................. ,. 5.7-9
MAC218A4FP ................ 5.7-9
MAC218A6 ................... 5.7-9
MAC21BA6FP ................ 5.7-9
MAC21BA8 ................... 5.7-9
MAC218A8FP ................ 5.7-9
MAC218A10 .................. 5.7-9
MAC218A10FP ............... 5.7-9
MAC223A4 .................. 5.7-13
MAC223A4FP ............... 5.7-13
MAC223A6 .................. 5.7-13
MAC223A6FP ............... 5.7-13
MAC223A8 .................. 5.7-13
MAC223A8FP ............... 5.7-13
MAC223A10 ................. 5.7-13
MAC223A10FP .............. 5.7-13
MAC224A4 .................. 5.7-13
MAC224A6 ... , ........ , .... , 5.7-13
MAC224A8 .......... , ....... 5.7-13
MAC224A10 ................. 5.7-13
MAC228A4 .................. 5.7-10
MAC228A4FP ............... 5.7-10
MAC228A6 .................. 5.7-10
MAC228A6FP ............... 5.7-10
MAC228A8 .................. 5.7-10
MAC228A8FP ............... 5.7-10
MAC228A10 ................. 5.7-10
MAC228A10FP .............. 5.7-10
MAC310A4 .................. 5.7-11
MAC310A6 .................. 5.7-11
MAC310A8 .................. 5.7-11
MAC310A10 ................. 5.7-11

7.1-4

Device Index

Page

MAC320A4 .................. 5.7-13
MAC320A4FP ............... 5.7-13
MAC320A6 .................. 5.7-13
MAC320A6FP ............... 5.7-13
MAC320A8 .................. 5.7-13
MAC320A8FP ............... 5.7-13
MAC320A10 ................. 5.7-13
MAC320A10FP .............. 5.7-13
MAC321-4 .................. 5.7-13
MAC321-6 .................. 5.7-13
MAC321-8 .................. 5.7-13
MAC321-10 ................. 5.7-13
MAD130P ................... 5.1-40
MAD1103P .................. 5.1-40
MAD1107P .................. 5.1-40
MAD1108P .................. 5.1-40
MAD1109P .................. 5.1-40
MB321 BJ08T ................. 2.8-9
MB321 BT08T . . . . . . . . . . . . . . . .. 2.8-9
MB321BT18T ................. 2.8-9
MB322BJ08T ................. 2.8-9
MB322BT08T . . . . . . . . . . . . . . . .. 2.8-9
MB322BT18T ................. 2.8-9
MB324CJOOT . . . . . . . . . . . . . . . .. 2.8-9
MB324CTOOT . . . . . . . . . . . . . . . .. 2.8-9
MB324CT10T ................. 2.8-9
MB328CJOOT ................. 2.8-9
MB328CTOOT . . . . . . . . . . . . . . . .. 2.8-9
MB641BT08T ................ 2.8-10
MB641BT18T ................ 2.8-10
MB641BT48T ................ 2.8-10
MB642BT08T ................ 2.8-10
MB642BT18T ................ 2.8-10
MB642BT48T ................ 2.8-10
MB644CTOOT ................ 2.8-10
MB644CT10T ................ 2.8-10
MB724CJ40T ................ 2.8-10
MB724CTOOT ................ 2.8-10
MB724CT10T ................ 2.8-10
MBD101 ............. 5.1-33,5.1-34
MBD110DWT1 ............... 5.1-34
MBD301 ............. 5.1-33,5.1-34
MBD330DWT1 ............... 5.1-34
MBD701 ............. 5.1-33,5.1-34
MBD770DWT1 ............... 5.1-34
MBF4416DW1T1 ............. 5.1-23
MBF5457DW1T1 ............. 5.1-23
MBR160 ..................... 5.6-6
MBR340 ..................... 5.6-6
MBR360 ..................... 5.6-6
MBR0520LT1 ........... 5.6-3, 5.6-4
MBR0530T1 .................. 5.6-4
MBR0540T1 .................. 5.6-4
MBR745 ..................... 5.6-7
MBR1045 .................... 5.6-7
MBR1060 .................... 5.6-7
MBR1100 .................... 5.6-6
MBR1545CT. " ............... 5.6-7
MBR1645 .................... 5.6-7
MBR2030CTL .......... 5.6-3, 5.6-7
MBR2045CT . . . . . . . . . . . . . . . . .. 5.6-7
MBR2060CT .................. 5.6-7
MBR2515L ............. 5.6-3,5.6-7
MBR2535CTL .......... 5.6-3, 5.6-7
MBR2545CT . . . . . . . . . . . . . . . . .. 5.6-7
MBR3045PT . . . . . . . . . . . . . . . . .. 5.6-6
MBR3045ST . . . . . . . . . . . . . . . . .. 5.6-7

Motorola Master Selection Guide

Device Index
Device Index

Page

MBR3045WT ................. 5.6-8
MBR3100 .................... 5.6-8
MBR4015LWT .......... 5.6-3,5.6-8
MBR4045PT . . . . . . . . . . . . . . . . .. 5.6-8
MBR4045WT ................. 5.6-8
MBR5025L ............. 5.6-3, 5.6-8
MBR6045PT . . . . . . . . . . . . . . . . .. 5.6-8
MBR6045WT ................. 5.6-8
MBR7030WT ............... .. 5.6-8
MBR10l00 ................... 5.6-7
MBR20100CT ................ 5.6-7
MBR20200CT ................ 5.6-7
MBRA130LT3 ................. 5.6-4
MBRAl40T3 .................. 5.6-4
MBRBl545CT ................ 5.6-5
MBRB2060CT ................ 5.6-5
MBRB2515L ............ 5.6-3,5.6-5
MBRB2535CTL ......... 5.6-3, 5.6-5
MBRB2545CT ................ 5.6-5
MBRB3030CT ................ 5.6-5
MBRB3030CTL ......... 5.6-3, 5.6-5
MBRB4030 . . . . . . . . . . . . . . . . . .. 5.6-5
MBRB20100CT ............... 5.6-5
MBRB20200CT ............... 5.6-5
MBRD340 . . . . . . . . . . . . . . . . . . .. 5.6-5
MBRD360 .. . . . . . . . . . . . . . . . . .. 5.6-5
MBRD640CT ................. 5.6-5
MBRD660CT ................. 5.6-5
MBRD835L . . . . . . . . . . . .. 5.6-3, 5.6-5
MBRD1035CTL ......... 5.6-3,5.6-5
MBRF745 .................... 5.6-7
MBRF1045 ................... 5.6-7
MBRF1545CT ................ 5.6-7
MBRF2045CT ................ 5.6-7
MBRF2060CT ................ 5.6-7
MBRF2545CT ................ 5.6-7
MBRF20100CT ............... 5.6-7
MBRF20200CT ............... 5.6-7
MBRP20030CTL ........ 5.6-3, 5.6-9
MBRP20045CT .... . . . . . . . . . .. 5.6-9
MBRP20060CT ............... 5.6-9
MBRP30045CT ............... 5.6-9
MBRP30060CT ............... 5.6-9
MBRP60035CTL ........ 5.6-3, 5.6-9
MBRS130LT3 ........... 5.6-3,5.6-4
MBRS140T3 .................. 5.6-4
MBRS340T3 . . . . . . . . . . . . . . . . .. 5.6-4
MBRS360T3 . . . . . . . . . . . . . . . . .. 5.6-4
MBRSll00T3 ................. 5.6-4
MBRV7030CTL ............... 5.6-5
MBS4991 ................... 5.7-14
MBS4992 ................... 5.7-14
MBS4993 ................... 5.7-14
MBT3904DW1Tl ............. 5.1-11
MBT3904DW9T1 ............. 5.1-11
MBT3906DW1Tl ...... 5.1-11,5.1-12
MBT3906DW9T1 ............. 5.1-11
MBV109Tl .................. 5.1-31
MC10E016 .................. 3.1-19
MC10El0l .................. 3.1-27
MC10El04 .................. 3.1-27
MC10El07 .................. 3.1-27
MC10Elll .................. 3.1-16
MC10El12 .................. 3.1-29
MC10El16 .................. 3.1-35
MC10E122 .................. 3.1-29
MC10E131 .................. 3.1-22

Motorola Master Selection Guide

Device Index

Page

MC10E136 ..................
MC10E137 ..................
MC10E141 ..................
MC10E142 ..................
MC10E143 ..................
MC10E150 ..................
MC10E151 ..................
MC10E154 ..................
MC10E155 ..................
MC10E156 ..................
MC10E157 ..................
MC10E158 ..................
MC10E160 ..................
MC10E163 ..................
MC10E164 ..................
MC10E166 ..................
MC10E167 ..................
MC10E171 ..................
MC10E175 ..................
MC10E193 ..................
MC10E195 ..................
MC10E196 ..................
MC10E197 ..................
MC10E211 ..................
MC10E212 ..................
MC10E241 ..................
MC10E256 ..................
MC10E336 ..................
MC10E337 ..................
MC10E404 ..................
MC10E411 ..................
MC10E416 ..................
MC10E431 ..................
MC10E445 ..................
MC10E446 ..................
MC10E451 ..................
MC10E452 ..................
MC10E457 ..................
MC10E1651 .................
MC10E1652 .................
MC10ELOl ..................
MC10EL04 ..................
MC10EL05 ..................
MC10EL07 ..................
MC10ELll ..................
MC10EL12 ..................
MC10EL15 ..................
MC10EL16 ..................
MC10EL31 ..................
MC10EL32 ..................
MC10EL33 ..................
MC10EL34 ..................
MC10EL35 ..................
MC10EL51 ..................
MC10EL52 ..................
MC10EL57 ..................
MC10EL58 ..................
MC10EL89 ..................
MC10ELT20 .................
MC10ELT21 .................
MC10ELT22 .................
MC10ELT24 .................
MC10ELT25 .................
MC10ELT28 .................
MC10H16 ...................
MC10Hl00 ..................
MC10Hl0l ..................

3.1-18
3.1-19
3.1-37
3.1-37
3.1-22
3.1-30
3.1-22
3.1-30
3.1-30
3.1-30
3.1-33
3.1-31
3.1-34
3.1-31
3.1-31
3.1-18
3.1-22
3.1-31
3.1-30
3.1-34
3.1-35
3.1-35
3.1-31
3.1-16
3.1-36
3.1-37
3.1-30
3.1-14
3.1-14
3.1-27
3.1-16
3.1-35
3.1-22
3.1-18
3.1-18
3.1-22
3.1-22
3.1-33
3.1-18
3.1-18
3.1-26
3.1-26
3.1-26
3.1-26
3.1-16
3.1-29
3.1-16
3.1-35
3.1-22
3.1-21
3.1-21
3.1-17
3.1-23
3.1-22
3.1-22
3.1-31
3.1-31
3.1-21
3.1-39
3.1-38
3.1-38
3.1-39
3.1-38
3.1-39
3.1-18
3.1-28
3.1-27

7.1-5

Device Index
MC10Hl02
MC10Hl03
MC10Hl04
MC10Hl05
MC10Hl06
MC10Hl07
MC10Hl09
MC10Hl13
MC10Hl15
MC10Hl16
MC10Hl17
MC10Hl18
MC10Hl19
MC10H121
MC10H123
MC10H124
MC10H125
MC10H130
MC10H131
MC10H135
MC10H136
MC10H141
MC10H145
MC10H158
MC10H159
MC10H160
MC10H161
MC10H162
MC10H164
MC10H165
MC10H166
MC10H171
MC10H172
MC10H173
MC10H174
MC10H175
MC10H176
MC10H179
MC10H180
MC10H181
MC10H186
MC10H188
MC10H189
MC10H209
MC10H210
MC10H211
MC10H330
MC10H332
MC10H334
MC10H350
MC10H351
MC10H352
MC10H423
MC10H424
MC10H600
MC10H601
MC10H602
MC10H603
MC10H604
MC10H605
MC10H606
MC10H607
MC10H640
MC10H641
MC10H642
MC10H643
MC10H644

..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................
..................

Page
3.1-28
3.1-28
3.1-25
3.1-27
3.1-28
3.1-27
3.1-27
3.1-27
3.1-35
3.1-36
3.1-27
3.1-27
3.1-26
3.1-26
3.1-16
3.1-39
3.1-39
3.1-30
3.1-23
3.1-23
3.1-20
3.1-37
3.1-36
3.1-33
3.1-33
3.1-34
3.1-20
3.1-20
3.1-31
3.1-22
3.1-18
3.1-21
3.1-21
3.1-32
3.1-32
3.1-31
3.1-23
3.1-13
3.1-13
3.1-13
3.1-23
3.1-29
3.1-29
3.1-27
3.1-28
3.1-28
3.1-16
3.1-14
3.1-16
3.1-38
3.1-39
3.1-38
3.1-16
3.1-39
3.1-38
3.1-38
3.1-38
3.1-38
3.1-39
3.1-39
3.1-39
3.1-39
3.1-17
3.1-17
3.1-17
3.1-17
3.1-17

Device Index

Device Index
Device Index

Page

MC10H645 .............•..•. 3.1-16
MC10H646 .................. 3.1-17
MC10H660 .................. 3.1-31
MC10H680 .•................ 3.1-38
MC10H681 .................. 3.1-38
MC10SX1125 ................ 3.1-13
MC10SX1130 ......... 3.1-17,3.1-21
MC10SX1189 ................ 3.1-17
MC14C888 ................... 4.6-7
MC14C89A8 ................. 4.6-7
MC14C898 ................... 4.6-7
MC14LC5447 ................ 4.7-33
MC14LC5447DW ............ 4.7-33
MC14LC5447P .............. 4.7-33
MC14LC5472 ................ 4.7-15
MC14LC5480 .. 4.7-12,4.7-15,4.7-32
MC14LC5480DW ............ 4.7-12
MC14LC5480P .............. 4.7-12
MC14LC5480SD ............. 4.7-12
MC14LC5494EVK ............ 4.7-17
MC14LC5540 ........ 4.7-13,4.7-14,
4.7-1S
MC14LC5540DW ............ 4.7-13
MC14LC5540FU ............. 4.7-13
MC14LC5540P .............. 4.7-13
MC26LS30 ..........•........ 4.6-7
MC44xxx Family ....... 4.6-7,4.8-10
MC54HCOOA ................ 3.1-26
MC54HC02A ................ 3.1-28
MC54HC04A ................ 3.1-29
MC54HC08A ................ 3.1-25
MC54HC14A ................ 3.1-36
MC54HC27 .................. 3.1-28
MC54HC32A ................ 3.1-28
MCS4HC74A ................ 3.1-23
MC54HC86 .................. 3.1-27
MC54HC86A ................ 3.1-27
MCS4HC132A ............... 3.1-36
MC54HC138A ............... 3.1-20
MC54HC139A ............... 3.1-21
MC54HC154 . . . . . . . . . . . . . . . .. 3.1-20
MC54HC157A ............... 3.1-32
MC54HC158 ................. 3.1-32
MC54HC160 ................. 3.1-19
MC54HC161A ............... 3.1-19
MC54HC162 ................. 3.1-19
MC54HC163A ............... 3.1-19
MCS4HC164 ................. 3.1-37
MC54HC164A ............... 3.1-37
MC54HC165 ................. 3.1-37
MC54HC174A ............... 3.1-23
MC54HC175 ................. 3.1-25
MC54HC175A ............... 3.1-25
MC54HC240A ............... 3.1-15
MCS4HC241A ............... 3.1-1S
MC54HC244A ............... 3.1-16
MC54HC245A ............... 3.1-16
MC54HC251 ................. 3.1-31
MC54HC2S9 ................. 3.1-20
MC54HC273A ............... 3.1-24
MC54HC354 ................. 3.1-31
MCS4HC36S ................. 3.1-14
MCS4HC366 ................. 3.1-14
MC54HC367 ................. 3.1-14
MC54HC373A ............... 3.1-31
MC54HC374A ............... 3.1-24
MC54HC390 ................. 3.1-19

Device Index

Page

Device Index

MC54HC393 ................. 3.1-19
MC54HC533A ............... 3.1-31
MC54HC534A ............... 3.1-24
MC54HC540A ............... 3.1-15
MC54HC541A ............... 3.1-15
MC54HCS63A ............... 3.1-31
MC54HC573A ............... 3.1-31
MC54HC574A ............... 3.1-24
MC54HC589 ................. 3.1-37
MC54HCS89A .....•......... 3.1-37
MC54HC595A ...•....••..•.. 3.1-37
MC54HC597 ................. 3.1-37
MC54HC597A ............... 3.1-37
MC54HC640A ............... 3.1-15
MC54HC646 ................. 3.1-16
MC54HC688 ................. 3.1-18
MC54HC4016 .....•...•..... 3.1-33
MCS4HC4040A .............. 3.1-18
MCS4HC4049 ............... 3.1-29
MC54HC4050 ............... 3.1-29
MC54HC4051 ............... 3.1-31
MC54HC4053 ............... 3.1-33
MCS4HC4060 ............•.. 3.1-18
MCS4HC4060A .............. 3.1-18
MC54HC4066 ............... 3.1-33
MC54HC4351 ............... 3.1-31
MCS4HC4353 ............... 3.1-33
MC54HC4538A ......•....... 3.1-33
MC54HCTOOA ............... 3.1-26
MCS4HCT08A ............... 3.1-25
MC54HCT14A ............... 3.1-36
MC54HCT32A ............... 3.1-28
MC54HCT161A .............. 3.1-19
MCS4HCT163A .............• 3.1-19
MC54HCT241A .............. 3.1-1S
MC54HCT244A .............. 3.1-15
MC54HCT245A .............. 3.1-14
MC54HCT373A . . . . . . . . . . . . .. 3.1-30
MC54HCT374A .............. 3.1-24
MC54HCT574A •............. 3.1-24
MC68800 ................... 2.6-10
MC68809 ................... 2.6-10
MC68809E .................. 2.6-10
MC68810 .....•............. 2.6-10
MC68821 ........•.......... 2.6-10
MC68840 ...............•... 2.6-10
MC68844 ................... 2.6-10
MC688S0 ................... 2.6-10
MC68852 ................... 2.6-10
MC68854 ...............•... 2.6-10
MC688488 .......•.......... 2.6-10
MC68F333 .................. 2.6-25
MC68HC05 .................. 4.7-16
MC68HCOS84 ......... 2.5-6, 2.6-23
MC68HCOS86 ......... 2.5-6, 2.6-23
MC68HC058B ....•.... 2.5-6, 2.6-23
MC68HC05816 ........ 2.5-6,2.6-23
MC68HC058D3 . . . . . . . . . . . . . .. 2.5-6
MC68HC058D5 . . . . . . . . . . . . . .. 2.5-6
MC68HC05C4 ............... 2.6-23
MC68HC05C4A ........ 2.5-6, 2.6-23
MC68HC05CS ...•..... 2.5-6, 2.6-23
MC68HC05C8 ............... 2.6-23
MC68HC05C8A . . . . . . . . . . . . . .. 2.5-6
MC68HC05C9 ............... 2.6-23
MC68HC05C9A . . . . . . . . . . . . . .. 2.5-6
MC68HC05C12 ........ 2.S-6,2.6-23

7.1-6

Device Index

Page

MC68HC05CJ4 ...•........... 2.5-6
MC68HC05D9 ......... 2.5-6, 2.6-23
MC68HC05D24 ........ 2.5-6, 2.6-23
MC68HC05E1 •........ 2.5-6, 2.6-23
MC68HC05E6 ................ 2.5-6
MC68HC05E16 ............... 2.5-6
MC68HC05F5 ................ 2.5-6
MC68HC05F6 ....•........... 2.5-6
MC68HC05F8 ................ 2.5-7
MC68HCOSG1 ......... 2.5-7,2.6-23
MC68HC05G3 ..•............. 2.5-7
MC68HC05J1 • . . . . . . . . . . . . . .. 2.6-23
MC68HC05J1A ........•...... 2.5-7
MC68HC05J3 . . . . . . . . . . . . . . . .. 2.5-7
MC68HC05KO ................ 2.5-7
MC68HCOSK1 ................ 2.5-7
MC68HC05K3 ..........•..... 2.5-7
MC68HCOSL1 .........•...... 2.5-7
MC68HCOSL5 ......... 2.5-7,2.6-24
MC68HC05L7 ............... 2.6-24
MC68HCOSL9 ............... 2.6-24
MC68HCOSL10 .............. 2.6-24
MC68HC05L16 ....•.......... 2.5-7
MC68HC05P1 •••.••..•.•.... 2.6-24
MC68HC05P1A ............... 2.5-7
MC68HC05P3 ..........•..... 2.5-7
MC68HCOSP4 .....•... 2.5-7,2.6-24
MC68HC05P6 ........• 2.5-7,2.6-24
MC68HC05P7 ......... 2.5-7,2.6-24
MC68HCOSP8 ......... 2.5-7,2.6-24
MC68HCOSP9 ......... 2.5-7,2.6-24
MC68HCOSPEO ... . . . . . . . . . . .. 2.5-8
MC68HC05SC11 .............. 2.5-8
MC68HCOSSC21 •..•....•..... 2.S--8
MC68HC05SC24 . . . . . . . . . . . . .. 2.5-8
MC68HC05SC26 . . . . . . . . . . . . .. 2.5-8
MC68HC05SC27 . . . . . . . . . . . . .. 2.5-8
MC68HC05T1 ......... 2.5--8, 2.6-24
MC68HC05T7 ............... 2.6-24
MC68HC05T10 .•...•.• 2.5--8,2.6-24
MC68HC05T16 ............... 2.5-8
MC68HC05X1 ................ 2.5-8
MC68HC05X4 ................ 2.5-8
MC68HC05X16 ............... 2.5-8
MC68HC05X32 .......•....•.. 2.5-8
MC68HC11AO .•....... 2.6-6,2.6-24
MC68HC11A1 •......•. 2.6-6,2.6-24
MC68HC11A7 ................ 2.6-6
MC68HC11A8 ......... 2.6-6,2.6-24
MC68HC11DO ......... 2.6-6,2.6-24
MC68HC11D3 ......... 2.6-6,2.6-24
MC68HC11 EO ...•..... 2.6-6,2.6-24
MC68HC11E1 ......... 2.6-6,2.6-24
MC68HC11E2 ......•........ 2.6-24
MC68HC11E8 •.......•....... 2.6-6
MC68HC11E9 •.......• 2.6-6,2.6-24
MC68HC11EDO ............... 2.6-6
MC68HC11F1 ......... 2.6-6,2.6-25
MC68HC11G5 ............... 2.6-25
MC68HC11G7 ............... 2.6-25
MC68HC11KO ......•.. 2.6-7,2.6-25
MC68HC11K1 .......•. 2.6-7,2.6-25
MC68HC11K3 ................ 2.6-7
MC68HC11K4 ......... 2.6-7,2.6-25
MC68HC11KAO ............... 2.6-7
MC68HC11KA1 ............•.. 2.6-7
MC68HC11 KA3 .......•....... 2.6-7

Motorola Master Selection Guide

Device Index
Device Index

Page

MC68HC11KA4 ........ 2.6-7,2.6-25
MC68HC11LO .......... 2.6-7,2.6-25
MC68HC11L1 .......... 2.6-7,2.6-25
MC68HC11L5 ................. 2.6-7
MC68HC11L6 .......... 2.6-8,2.6-25
MC68HC11M2 ......... 2.6-8,2.6-25
MC68HC11N4 ............... 2.6-25
MC68HC11P2 ............... 2.6-25
MC68HC16Y1 ........ 2.6-18,2.6-25
MC68HC16Z1 ........ 2.6-18,2.6-25
MC68HC16Z2 ........ 2.6-18,2.6-25
MC68HC24 .................. 2.6-10
MC68HC27 . . . . . . . . . . . . . . . . .. 2.6-10
MC68HC68L9 ............... 2.6-11
MC68HC705B5 ........ 2.5-9,2.6-23
MC68HC705B16 ....... 2.5-9,2.6-23
MC68HC705BD3 . . . . . . . . . . . . .. 2.5-9
MC68HC705C4A . . . . . . . . . . . . .. 2.5-9
MC68HC705C8 .............. 2.6-23
MC68HC705C8A . . . . . . . . . . . . .. 2.5-9
MC68HC705C9A . . . . . . . . . . . . .. 2.5-9
MC68HC705CJ4 .. . . . . . . . . . . .. 2.5-9
MC68HC705D9 .............. 2.6-23
MC68HC705E1 ........ 2.5-9,2.6-23
MC68HC705F8 ............... 2.5-9
MC68HC705G1 ........ 2.5-9,2.6-23
MC68HC705G4 ............... 2.5-9
MC68HC705J1A .............. 2.5-9
MC68HC705J2 ........ 2.5-9,2.6-23
MC68HC705K1 ............... 2.5-9
MC68HC705L5 ....... 2.5-10,2.6-24
MC68HC705L16 ............. 2.5-10
MC68HC705P6 .............. 2.5-10
MC68HC705P9 .............. 2.5-10
MC68HC705SR3 ............. 2.5-10
MC68HC705T10 ............. 2.5-10
MC68HC705T16 ............. 2.5-10
MC68HC705X16 ............. 2.6-24
MC68HC711D3 .............. 2.6-24
MC68HC711E9 .............. 2.6-24
MC68HC711G5 .............. 2.6-25
MC68HC711K4 .............. 2.6-25
MC68HC711L6 .............. 2.6-25
MC68HC711M2 .............. 2.6-25
MC68HC711N4 .............. 2.6-25
MC68HC711P2 .............. 2.6-25
MC68HC811A8 .............. 2.6-24
MC68HC811 E2 ........ 2.6-8,2.6-24
MC68HCB34 ................ 2.6-10
MC74ACOO .................. 3.1-26
MC74AC02 .................. 3.1-28
MC74AC04 .................. 3.1-29
MC74AC05 .................. 3.1-29
MC74AC08 .................. 3.1-25
MC74AC10 .................. 3.1-26
MC74AC11 .................. 3.1-26
MC74AC14 .................. 3.1-36
MC74AC20 .................. 3.1-25
MC74AC32 .................. 3.1-28
MC74AC74 .................. 3.1-22
MC74AC86 .................. 3.1-27
MC74AC109 ................. 3.1-23
MC74AC112 ................. 3.1-23
MC74AC113 ................. 3.1-23
MC74AC125 ................. 3.1-16
MC74AC126 ................. 3.1-16
MC74AC132 ................. 3.1-36

Motorola Master Selection GUide

Device Index

Page

MC74AC138 .................
MC74AC139 .................
MC74AC151 .................
MC74AC153 .................
MC74AC157 .................
MC74AC158 .................
MC74AC160 .................
MC74AC161 .................
MC74AC162 .................
MC74AC163 .................
MC74AC174 .................
MC74AC175 .................
MC74AC190 .................
MC74AC194 .................
MC74AC240 .................
MC74AC241 .................
MC74AC244 .................
MC74AC245 .................
MC74AC251 .................
MC74AC253 .................
MC74AC256 .................
MC74AC257 .................
MC74AC258 .................
MC74AC259 .................
MC74AC273 .................
MC74AC299 .................
MC74AC323 .................
MC74AC350 .................
MC74AC352 .................
MC74AC353 .................
MC74AC373 .................
MC74AC374 .................
MC74AC377 .................
MC74AC378 .................
MC74AC533 .................
MC74AC534 .................
MC74AC540 .................
MC74AC541 .................
MC74AC563 .................
MC74AC564 .................
MC74AC573 .................
MC74AC574 .................
MC74AC620 .................
MC74AC623 .................
MC74AC640 .................
MC74AC643 .................
MC74AC646 .................
MC74AC648 .................
MC74AC652 .................
MC74AC810 .................
MC74AC4020 ................
MC74AC4040 ................
MC74ACTOO .................
MC74ACT02 .................
MC74ACT04 .................
MC74ACT05 .................
MC74ACT08 .................
MC74ACT10 .................
MC74ACT11 .................
MC74ACT14 .................
MC74ACT20 .................
MC74ACT32 .................
MC74ACT74 .................
MC74ACT86 .................
MC74ACT109 ...............
MC74ACT112 ................
MC74ACT113 ................

3.1-20
3.1-21
3.1-31
3.1-32
3.1-33
3.1-33
3.1-20
3.1-20
3.1-20
3.1-20
3.1-23
3.1-24
3.1-20
3.1-37
3.1-15
3.1-15
3.1-15
3.1-14
3.1-31
3.1-32
3.1-30
3.1-32
3.1-32
3.1-30
3.1-24
3.1-37
3.1-37
3.1-37
3.1-32
3.1-32
3.1-30
3.1-24
3.1-24
3.1-22
3.1-30
3.1-24
3.1-15
3.1-15
3.1-30
3.1-24
3.1-30
3.1-24
3.1-14
3.1-14
3.1-14
3.1-14
3.1-15
3.1-15
3.1-15
3.1-27
3.1-18
3.1-18
3.1-26
3.1-28
3.1-29
3.1-29
3.1-25
3.1-26
3.1-26
3.1-36
3.1-25
3.1-28
3.1-22
3.1-27
3.1-23
3.1-23
3.1-23

7.1-7

Device Index
MC74ACT125 ...............
MC74ACT126 ...............
MC74ACT132 ...............
MC74ACT138 ...............
MC74ACT139 ...............
MC74ACT151 ...............
MC74ACT153 ...............
MC74ACT157 ...............
MC74ACT158 ...............
MC74ACT160 ...............
MC74ACT161 ...............
MC74ACT162 ...............
MC74ACT163 ...............
MC74ACT174 ...............
MC74ACT175 ...............
MC74ACT194 ...............
MC74ACT240 ...............
MC74ACT241 ...............
MC74ACT244 ...............
MC74ACT245 ...............
MC74ACT251 ...............
MC74ACT253 ...............
MC74ACT256 ...............
MC74ACT257 ...............
MC74ACT258 ...............
MC74ACT259 ...............
MC74ACT273 ...............
MC74ACT299 ...............
MC74ACT323 ...............
MC74ACT350 ...............
MC74ACT352 ...............
MC74ACT353 ...............
MC74ACT373 ...............
MC74ACT374 ...............
MC74ACT377 ...............
MC74ACT378 ...............
MC74ACT521 ...............
MC74ACT533 ...............
MC74ACT534 ...............
MC74ACT540 ...............
MC74ACT541 ...............
MC74ACT563 ...............
MC74ACT564 ...............
MC74ACT573 ...............
MC74ACT574 ...............
MC74ACT620 ...............
MC74ACT623 ...............
MC74ACT640 ...............
MC74ACT643 ...............
MC74ACT646 ...............
MC74ACT648 ...............
MC74ACT652 ...............
MC74ACT810 ...............
MC74FOO ...................
MC74F02 ...................
MC74F04 ...................
MC74F08 ...................
MC74F10 ...................
MC74F11 ...................
MC74F13 ...................
MC74F14 ...................
MC74F20 ...................
MC74F21 ...................
MC74F32 ...................
MC74F37 ...................
MC74F38 ...................
MC74F40 ...................

Page
3.1-16
3.1-16
3.1-36
3.1-20
3.1-21
3.1-31
3.1-32
3.1-33
3.1-33
3.1-20
3.1-20
3.1-20
3.1-20
3.1-23
3.1-24
3.1-37
3.1-15
3.1-15
3.1-15
3.1-14
3.1-31
3.1-32
3.1-30
3.1-32
3.1-32
3.1-30
3.1-24
3.1-37
3.1-37
3.1-37
3.1-32
3.1-32
3.1-30
3.1-24
3.1-24
3.1-22
3.1-18
3.1-30
3.1-24
3.1-15
3.1-15
3.1-30
3.1-24
3.1-30
3.1-24
3.1-14
3.1-14
3.1-14
3.1-14
3.1-15
3.1-15
3.1-15
3.1-27
3.1-26
3.1-28
3.1-29
3.1-25
3.1-26
3.1-26
3.1-36
3.1-36
3.1-25
3.1-25
3.1-28
3.1-25
3.1-26
3.1-25

Device Index

Device Index
Device Index

Page

MC74F51 ...................
MC74F64 ...................
MC74F74 ...................
MC74F85 ...................
MC74F86 ...................
MC74Fl09 ..................
MC74Fl12 ..................
MC74F125 ..................
MC74F126 ..................
MC74F132 ..................
MC74F138 ..................
MC74F139 ..................
MC74F148 ..................
MC74F151 ..................
MC74F153 ..................
MC74F157A .................
MC74F158A .................
MC74F160A .................
MC74F161A .................
MC74F162A .................
MC74F163A .................
MC74F164 ..................
MC74F168 ..................
MC74F169 ..................
MC74F174 ..................
MC74F175 ..................
MC74F181 ..................
MC74F182 ..................
MC74F194 ..................
MC74F195 ..................
MC74F240 ..................
MC74F241 ..................
MC74F242 ..................
MC74F243 ..................
MC74F244 ..................
MC74F245 ..................
MC74F251 ..................
MC74F253 ..................
MC74F256 ..................
MC74F257A .................
MC74F258A .................
MC74F259 ..................
MC74F269 ..................
MC74F280 ..................
MC74F283 ..................
MC74F299 ..................
MC74F323 ..................
MC74F350 ..................
MC74F352 ..................
MC74F353 ..................
MC74F365 ..................
MC74F366 ..................
MC74F367 ..................
MC74F368 ..................
MC74F373 ..................
MC74F374 ..................
MC74F377 ..................
MC74F378 ..................
MC74F379 ..................
MC74F381 ..................
MC74F382 ..................
MC74F398 ..................
MC74F399 ..................
MC74F521 ..................
MC74F533 ..................
MC74F534 ..................
MC74F537 ..................

3.1-27
3.1-26
3.1-23
3.1-17
3.1-27
3.1-23
3.1-23
3.1-16
3.1-16
3.1-36
3.1-20
3.1-21
3.1-22
3.1-31
3.1-32
3.1-32
3.1-32
3.1-19
3.1-19
3.1-19
3.1-19
3.1-37
3.1-18
3.1-18
3.1-23
3.1-24
3.1-13
3.1-13
3.1-37
3.1-37
3.1-15
3.1-15
3.1-16
3.1-16
3.1-15
3.1-14
3.1-31
3.1-32
3.1-30
3.1-33
3.1-33
3.1-30
3.1-18
3.1-34
3.1-13
3.1-37
3.1-37
3.1-37
3.1-32
3.1-32
3.1-14
3.1-14
3.1-14
3.1-14
3.1-30
3.1-24
3.1-24
3.1-36
3.1-25
3.1-13
3.1-13
3.1-33
3.1-33
3.1-18
3.1-30
3.1-24
3.1-20

Device Index

Page

Device Index
MC74F538 ..................
MC74F539 ..................
MC74F543 ..................
MC74F544 ..................
MC74F568 ..................
MC74F569 ..................
MC74F574 ..................
MC74F579 ..................
MC74F620 ..................
MC74F623 ..................
MC74F640 ..................
MC74F646 ..................
MC74F657A .................
MC74F657B .................
MC74F779 ..................
MC74F803 ..................
MC74F823 ..................
MC74F827 ..................
MC74F828 ..................
MC74F1245 .................
MC74F1803 .................
MC74F2245 .................
MC74F3893A ................
MC74HCOOA ................
MC74HC02A ................
MC74HC03A ................
MC74HC04A ................
MC74HC08A ................
MC74HC10 ..................
MC74HCll ..................
MC74HC14A ................
MC74HC20 ..................
MC74HC27 ..................
MC74HC30 ..................
MC74HC32A ................
MC74HC42 ..................
MC74HC51 ..................
MC74HC58 ..................
MC74HC73 ..................
MC74HC74A ................
MC74HC75 ..................
MC74HC76 ..................
MC74HC85 ..................
MC74HC86 ..................
MC74HC86A ................
MC74HC107 .................
MC74HC109 .................
MC74HCl12 .................
MC74HC125A ...............
MC74HC126A ...............
MC74HC132A ...............
MC74HC133 .................
MC74HC137 .................
MC74HC138A ...............
MC74HC139A ...............
MC74HC147 .................
MC74HC151 .................
MC74HC153 .................
MC74HC154 .................
MC74HC157A ...............
MC74HC158 .................
MC74HC158A ...............
MC74HC160 .................
MC74HC161A ...............
MC74HC162 .................
MC74HC163 .................
MC74HC164 .................

7.1-8

3.1-20
3.1-20
3.1-15
3.1-15
3.1-18
3.1-18
3.1-24
3.1-19
3.1-15
3.1-15
3.1-15
3.1-15
3.1-14
3.1-14
3.1-19
3.1-17
3.1-14
3.1-14
3.1-14
3.1-14
3.1-17
3.1-38
3.1-38
3.1-26
3.1-28
3.1-26
3.1-29
3.1-25
3.1-26
3.1-26
3.1-36
3.1-25
3.1-28
3.1-25
3.1-28
3.1-20
3.1-26
3.1-26
3.1-23
3.1-23
3.1-30
3.1-23
3.1-17
3.1-27
3.1-27
3.1-23
3.1-23
3.1-23
3.1-16
3.1-16
3.1-36
3.1-25
3.1-20
3.1-20
3.1-21
3.1-22
3.1-31
3.1-32
3.1-20
3.1-32
3.1-32
3.1-32
3.1-19
3.1-19
3.1-19
3.1-19
3.1-37

Device Index
MC74HC164A ...............
MC74HC165 .................
MC74HC173 .................
MC74HC174A ...............
MC74HC175 .................
MC74HC175A ...............
MC74HC194 .................
MC74HC195 .................
MC74HC237 .................
MC74HC240A ...............
MC74HC241A ...............
MC74HC242 .................
MC74HC244A ...............
MC74HC245A ...............
MC74HC251 .................
MC74HC253 .................
MC74HC257 .................
MC74HC259 .................
MC74HC273A ...............
MC74HC280 .................
MC74HC299 .................
MC74HC354 .................
MC74HC365 .................
MC74HC366 .................
MC74HC367 .................
MC74HC368 .................
MC74HC373A ...............
MC74HC374A ...............
MC74HC390 .................
MC74HC393 .................
MC74HC533A ...............
MC74HC534A ...............
MC74HC540A ...............
MC74HC541A ...............
MC74HC563A ...............
MC74HC564A ...............
MC74HC573A ...............
MC74HC574A ...............
MC74HC589 .................
MC74HC589A ...............
MC74HC595A ...............
MC74HC597 .................
MC74HC597A ...............
MC74HC640A ...............
MC74HC646 .................
MC74HC688 .................
MC74HC4002 ...............
MC74HC4016 ...............
MC74HC4017 ...............
MC74HC4020A ..............
MC74HC4024 ...............
MC74HC4040A ..............
MC74HC4046A ..............
MC74HC4049 ...............
MC74HC4050 ...............
MC74HC4051 ...............
MC74HC4052 ...............
MC74HC4053 ...............
MC74HC4060 ...............
MC74HC4060A ..............
MC74HC4066 ...............
MC74HC4075 ...............
MC74HC4078 ...............
MC74HC4316 ...............
MC74HC4351 ...............
MC74HC4353 ...............
MC74HC4511 ................

Page
3.1-37
3.1-37
3.1-25
3.1-23
3.1-25
3.1-25
3.1-37
3.1-37
3.1-20
3.1-15
3.1-15
3.1-16
3.1-16
3.1-16
3.1-31
3.1-32
3.1-32
3.1-20
3.1-24
3.1-34
3.1-37
3.1-31
3.1-14
3.1-14
3.1-14
3.1-14
3.1-31
3.1-24
3.1-19
3.1-19
3.1-31
3.1-24
3.1-15
3.1-15
3.1-31
3.1-24
3.1-31
3.1-24
3.1-37
3.1-37
3.1-37
3.1-37
3.1-37
3.1-15
3.1-16
3.1-18
3.1-28
3.1-33
3.1-19
3.1-18
3.1-33
3.1-18
3.1-39
3.1-29
3.1-29
3.1-31
3.1-32
3.1-33
3.1-18
3.1-18
3.1-33
3.1-29
3.1-27
3.1-33
3.1-31
3.1-33
3.1-21

Motorola Master Selection Guide

Device Index
Device Index

Page

Device Index

MC74HC4514 ...............
MC74HC4538A ..............
MC74HC4851A ..............
MC74HC4852A ..............
MC74HC4853A ..............
MC74HC7266 ...............
MC74HC7266A ..............
MC74HCTOOA ...............
MC74HCT04A ...............
MC74HCT08A ...............
MC74HCT14A ...............
MC74HCT32A ...............
MC74HCT74A ...............
MC74HCT138A ..............
MC74HCT157A ..............
MC74HCT161A ..............
MC74HCT163A ..............
MC74HCT174A ..............
MC74HCT240A ..............
MC74HCT241A ..............
MC74HCT244A ..............
MC74HCT245A ..............
MC74HCT273A ..............
MC74HCT373A ..............
MC74HCT374A ..............
MC74HCT541A ..............
MC74HCT573A ..............
MC74HCT574A ..............
MC74HCU04 ................
MC74lCXOO .................
MC74lCX02 .................
MC74lCX04 .................
MC74lCX08 .................
MC74lCX32 .................
MC74lCX86 .................
MC74lCX125 ................
MC74lCX138 ................
MC74lCX240 ................
MC74lCX244 ................
MC74lCX245 ................
MC74lCX373 ................
MC74lCX374 ................
MC74lCX540 ................
MC74lCX541 ................
MC74lCX573 ................
MC74lCX574 .............. "
MC74lCX16240A ............
MC74lCX16244 .............
MC74lCX16245 .............
MC74lCX16373 .............
MC74lCX16374 .............
MC74lCX16543A ............
MC74lVQOO .................
MC74lVQ04 ............... "
MC74lVQ32 .................
MC74lVQ125 ................
MC74lVQ138 ................
MC74lVQ240 ................
MC74lVQ244 ................
MC74lVQ245 ................
MC74lVQ373 ................
MC74lVQ374 ................
MC74lVQ541 ................
MC74lVQ573 ................
MC74lVQ574 ................
MC74lVQ646 ................
MC74lVQ652 ................

3.1-20
3.1-33
3.1-31
3.1-31
3.1-31
3.1-27
3.1-27
3.1-26
3.1-29
3.1-25
3.1-36
3.1-28
3.1-23
3.1-20
3.1-32
3.1-19
3.1-19
3.1-23
3.1-15
3.1-15
3.1-15'
3.1-14
3.1-24
3.1-30
3.1-24
3.1-15
3.1-31
3.1-24
3.1-29
3.1-25
3.1-28
3.1-29
3.1-25
3.1-28
3.1-27
3.1-13
3.1-21
3.1-13
3.1-13
3.1-38
3.1-30
3.1-24
3.1-13
3.1-13
3.1-30
3.1-24
3.1-13
3.1-13
3.1-38
3.1-30
3.1-24
3.1-38
3.1-25
3.1-29
3.1-28
3.1-14
3.1-21
3.1-14
3.1-13
3.1-38
3.1-30
3.1-24
3.1-13
3.1-30
3.1-24
3.1-38
3.1-38

MC78BCOO . . . . . . . . . . . . . . . . . .. 4.2-6
MC78BCOON ................. 4.2-6
MC78BC30NTR . . . . . . . . . . . . . .. 4.2-6
MC78BC33NTR . . . . . . . . . . . . . .. 4.2-6
MC78BC50NTR . . . . . . . . . . . . . .. 4.2-6
MC78FCOO . . . . . . . . . . . . . . . . . .. 4.2-6
MC78FCOOH ................. 4.2-6
MC78FC30HT1 ............... 4.2-6
MC78FC33HT1 ............... 4.2-6
MC78FC40HT1 ............... 4.2-6
MC78FC40NTR . . . . . . . . . . . . . .. 4.2-6
MC78FC50HT1 ............... 4.2-6
MC78l24AB . . . . . . . . . . . . . . . . .. 4.2-2
MC78l24AC . . . . . . . . . . . . . . . . .. 4.2-2
MC78l24C .. . . . . . . . . . . . . . . . .. 4.2-2
MC78lCOO ... . . . . . . . . . . . . . . .. 4.2-5
MC78lCOOH . . . . . . . . . . . . . . . . .. 4.2-5
MC78lCOON . . . . . . . . . . . . . . . . .. 4.2-5
MC78lC30HT1 ............... 4.2-5
MC78lC30NTR ......... . . . . .. 4.2-5
MC78lC33HT1 ............... 4.2-5
MC78lC33NTR ... . . . . . . . . . . .. 4.2-5
MC78lC40HT1 ............... 4.2-5
MC78lC40NTR ... . . . . . . . . . . .. 4.2-5
MC78lC50HT1 ............... 4.2-5
MC78lC50NTR ... . . . . . . . . . . .. 4.2-5
MC78lXXAB ................. 4.2-2
MC78lXXAC ................. 4.2-2
MC78lXXC . . . . . . . . . . . . . . . . . .. 4.2-2
MC78MXXB .................. 4.2-2
MC78MXXC .... . . . . . . . . . . . . .. 4.2-2
MC78T15AC . . . . . . . . . . . . . . . . .. 4.2-3
MC78T15C ................... 4.2-3
MC78TXXAC ................. 4.2-3
MC78TXXC .................. 4.2-3
MC78XXAC .................. 4.2-3
MC78XXB . . . . . . . . . . . . . . . . . . .. 4.2-3
MC78XXC . . . . . . . . . . . . . . . . . . .. 4.2-3
MC79l05AB . . . . . . . . . . . . . . . . .. 4.2-2
MC79L05AC . . . . . . . . . . . . . . . . .. 4.2-2
MC79L05C . . . . . . . . . . . . . . . . . .. 4.2-2
MC79L24AB . . . . . . . . . . . . . . . . .. 4.2-2
MC79L24AC . . . . . . . . . . . . . . . . .. 4.2-2
MC79L24C .. . . . . . . . . . . . . . . . .. 4.2-2
MC79LXXAB ................. 4.2-2
MC79LXXAC ................. 4.2-2
MC79LXXC . . . . . . . . . . . . . . . . . .. 4.2-2
MC79MXXB .................. 4.2-2
MC79MXXC . . . . . . . . . . . . . . . . .. 4.2-2
MC79XXAC .................. 4.2-3
MC79XXC . . . . . . . . . . . . . . . . . . .. 4.2-3
MC88LV926 ................. 3.1-17
MC88PL117 ................. 3.1-17
MC100E016 ................. 3.1-19
MC100E101 ................. 3.1-27
MC100E104 ................. 3.1-27
MC100E107 ................. 3.1-27
MC100E111 ................. 3.1-16
MC100E112 ................. 3.1-29
MC100E116 ................. 3.1-35
MC100E122 ................. 3.1-29
MC100E131 ................. 3.1-22
MC100E136 ................. 3.1-18
MC100E137 ................. 3.1-19
MC100E141 ................. 3.1-37
MC100E142 ................. 3.1-37
MC100E143 ................. 3.1-22

Motorola Master Selection Guide

7.1-9

Page

Device Index
MC100E150 .................
MC100E151 .................
MC100E154 .................
MC100E155 .................
MC100E156 .................
MC100E157 .................
MC100E158 .................
MC100E160 .................
MC100E163 .................
MC100E164 .................
MC100E166 .................
MC100E167 .................
MC100E171 .................
MC100E175 .................
MC100E193 .................
MC100E195 .................
MC100E196 .................
MC100E210 .................
MC100E211 .................
MC100E212 .................
MC100E241 .................
MC100E256 .................
MC100E310 .................
MC100E336 .................
MC100E337 .................
MC100E404 .................
MC100E416 .................
MC100E431 .................
MC100E445 .................
MC100E446 .................
MC100E451 .................
MC100E452 .................
MC100E457 .................
MC100EL01 .................
MC100EL04 .................
MC100EL05 .................
MC100EL07 .................
MC100EL11 .................
MC100EL12 .................
MC100EL13 .................
MC100EL14 .................
MC100EL15 .................
MC100EL16 .................
MC100EL17 .................
MC100El29 .................
MC100EL30 .................
MC100EL31 .................
MC100EL32 .................
MC100EL33 .................
MC100EL34 .................
MC100EL35 .................
MC100EL38 .................
MC100EL39 .................
MC100EL51 .................
MC100EL52 .................
MC100EL56 .................
MC100EL57 .................
MC100EL58 .................
MC100EL59 .................
MC100EL90 .................
MC100ELT20 ................
MC100ELT21 ................
MC100ELT22 ................
MC100ELT23 ................
MC100ELT24 ................
MC100ELT25 ................
MC100ELT28 ................

Page
3.1-30
3.1-22
3.1-30
3.1-30
3.1-30
3.1-33
3.1-31
3.1-34
3.1-31
3.1-31
3.1-18
3.1-22
3.1-31
3.1-30
3.1-34
3.1-35
3.1-35
3.1-13
3.1-16
3.1-36
3.1-37
3.1-30
3.1-13
3.1-14
3.1-14
3.1-27
3.1-35
3.1-22
3.1-18
3.1-18
3.1-22
3.1-22
3.1-33
3.1-26
3.1-26
3.1-26
3.1-26
3.1-16
3.1-29
3.1-13
3.1-16
3.1-16
3.1-35
3.1-35
3.1-23
3.1-25
3.1-22
3.1-21
3.1-21
3.1-17
3.1-23
3.1-17
3.1-17
3.1-22
3.1-22
3.1-32
3.1-31
3.1-31
3.1-33
3.1-39
3.1-39
3.1-38
3.1-38
3.1-38
3.1-39
3.1-38
3.1-39

Device Index

Device Index
Device Index

Page

MC100H600 .................
MC100H601 .................
MC100H602 .................
MC100H603 .................
MC100H604 .................
MC100H605 .................
MC100H606 .................
MC100H607 .. . . . . . . . . . . . . . ..
MC100H640 .................
MC100H641 .................
MC100H642 .................
MC100H643 .................
MC100H644 .................
MC100H646 .................
MC100H660 .................
MC100H680 .................
MC100H681 .................
MC100LVE111 ...............
MC100LVE164 ...............
MC100LVE210 ...............
MC100LVE222 ...............
MC100LVE310 ...............
MC100LVEL01 ...............
MC100LVEL11 ...............
MC100LVEL13 ...............
MC100LVEL14 ...............
MC100LVEL16 ...............
MC100LVEL17 ...............
MC100LVEL29 ...............
MC100LVEL30 ...............
MC100LVEL31 ...............
MC100LVEL32 ...............
MC100LVEL33 ...............
MC100LVEL38 ...............
MC100LVEL39 ...............
MC100LVEL51 ...............
MC100LVEL56 ...............
MC100LVEL59 ...............
MC100LVEL90 ...............
MC100LVEL91 ...............
MC100LVEL92 ...............
MC100LVELT22 ..............
MC100SX1230 ...............
MC660 ......................
MC661 ......................
MC662 ......................
MC663 ......................
MC664 ......................
MC667 . . . . . . . . . . . . . . . . . . . . ..
MC668 ......................
MC669 ......................
MC670 ......................
MC671 ......................
MC672 ......................
MC677 ......................
MC678 ......................
MC830 ......................
MC832 ......................
MC836 . . . . . . . . . . . . . . . . . . . . ..
MC837 ......................
MC840 ......................
MC844 ......................
MC845 ......................
MC846 ......................
MC849 ......................
MC936 ......................
MC937 ......................

3.1-38
3.1-38
3.1-38
3.1-38
3.1-39
3.1-39
3.1-39
3.1-39
3.1-17
3.1-17
3.1-17
3.1-17
3.1-17
3.1-17
3.1-31
3.1-38
3.1-38
3.1-16
3.1-32
3.1-13
3.1-17
3.1-13
3.1-26
3.1-13
3.1-13
3.1-16
3.1-35
3.1-35
3.1-23
3.1-25
3.1-24
3.1-21
3.1-21
3.1-17
3.1-17
3.1-22
3.1-32
3.1-33
3.1-39
3.1-39
3.1-39
3.1-38
3.1-22
3.1-22
3.1-22
3.1-22
3.1-23
3.1-24
3.1-33
3.1-29
3.1-22
3.1-29
3.1-29
3.1-29
3.1-29
3.1-29
3.1-25
3.1-13
3.1-29
3.1-29
3.1-29
3.1-22
3.1-22
3.1-26
3.1-26
3.1-29
3.1-29

Device Index

Device Index

Page

MC944 ...................... 3.1-22
MC945 ...................... 3.1-22
MC946 ...................... 3.1-26
MC951 ...................... 3.1-33
MC952 ...................... 3.1-23
MC953 ...................... 3.1-23
MC1016 ...................... 3.1-6
MC1350 ................ 4.1-5,4.8-3
MC1350D .................... 4.1-5
MC1374 ............... 4.8-4,4.8-17
MC1378 . . . . . . . . . . . . . . . . . . . . .. 4.8-3
MC1391 . . . . . . . . . . . . . . . . . . . . .. 4.8-3
MC1403 ...................... 4.4-2
MC1403A .................... 4.4-2
MC1404P5 ................... 4.4-2
MC1404P6 ................... 4.4-2
MC1404P10 .................. 4.4-2
MC1413 ...................... 4.6-8
MC1413B .................... 4.6-8
MC1416 ...................... 4.6-8
MC1416B .................... 4.6-8
MC1436 ...................... 4.1-2
MC1436C .................... 4.1-2
MC1455BP1 ................. 4.10-2
MC1455D ................... 4.10-2
MC1455P1 .................. 4.10-2
MC1458 ...................... 4.1-3
MC1458C .................... 4.1-3
MC1488 ...................... 4.6-7
MC1489 ...................... 4.6-7
MC1489A .................... 4.6-7
MC1490 ...................... 4.1-5
MC1494P ................... 4.10-2
MC1495BP .................. 4.10-2
MC1495D ................... 4.10-2
MC1495P ................... 4.10-2
MC1496 ...................... 4.7-4
MC1648 ..................... 3.1-34
MC1650 ..................... 3.1-18
MC1651 ..................... 3.1-18
MC1658 ..................... 3.1-33
MC1660 ..................... 3.1-27
MC1662 ..................... 3.1-28
MC1670 ..................... 3.1-24
MC1672 ..................... 3.1-27
MC1692 ..................... 3.1-35
MC1723C .................... 4.2-5
MC1741C .................... 4.1-2
MC1776C .................... 4.1-2
MC2833 ...................... 4.7-3
MC3302 ...................... 4.1-7
MC3303 . . . . . . . . . . . . . . . . . . . . .. 4.1-4
MC3334 . . . . . . . . . . . . . . . . . . . . .. 4.9-2
MC3335 ...................... 4.7-3
MC3346 . . . . . . . . . . . . . . . . . . . . .. 4.8-4
MC3356 ...................... 4.7-2
MC3357 ...................... 4.7-2
MC3358 . . . . . . . . . . . . . . . . . . . . .. 4.1-3
MC3359 ...................... 4.7-2
MC3362 ...................... 4.7-3
MC3363 ...................... 4.7-3
MC3371 ...................... 4.7-2
MC3372 ...................... 4.7-2
MC3392 . . . . . . . . . . . . . . .. 4.9-3, 4.9-7
MC3392DW .................. 4.9-7
MC3392T .................... 4.9-7
MC3392T-1 .................. 4.9-7

7.1-10

Device Index

Page

MC3399 . . . . . . . . . . . . . . . . . . . . .. 4.9-3
MC3399DW .................. 4.3-4
MC3399T .................... 4.3-4
MC3403 ...................... 4.1-4
MC3405 ................ 4.1-6,4.1-7
MC3418 .............. 4.7-34,4.7-36
MC3418DW ................. 4.7-34
MC3418P ................... 4.7-34
MC3419 ..................... 4.7-12
MC3423D ................... 4.2-27
MC3423P1 .................. 4.2-27
MC3425 ..................... 4.2-27
MC3425P1 .................. 4.2-27
MC3456P ................... 4.10-2
MC3458 ...................... 4.1-3
MC3476 ...................... 4.1-2
MC3479 . . . . . . . . . . . . . . . . . • . . .. 4.3-9
MC3479FN .......... . . . . . . . .. 4.3-9
MC3479P .................... 4.3-9
MC4016 .............. 3.1-20,4.7-39
MC4018 .............. 3.1-20,4.7-39
MC4024 .............. 3.1-33,4.7-39
MC4044 .............. 3.1-21,4.7-39
MC4316 .............. 3.1-20,4.7-39
MC4324 .............. 3.1-33,4.7-39
MC4344 .............. 3.1-21,4.7-39
MC4558AC ................... 4.1-3
MC4558C .................... 4.1-3
MC4741C .................... 4.1-4
MC6801 .............. 2.6-10,2.6-23
MC6801U4 ........... 2.6-10,2.6-23
MC6802 . . . . . . . . . . . . . . . . . . . .. 2.6-10
MC6803 .............. 2.6-10,2.6-23
MC6803U4 ........... 2.6-10,2.6-23
MC6805P2 .................. 2.6-11
MC6805P6 .................. 2.6-11
MC6805R2 ........... 2.6-11,2.6-25
MC6805R3 ........... 2.6-11,2.6-25
MC6805R6 .................. 2.6-11
MC6805S2 .................. 2.6-11
MC6805S3 .................. 2.6-11
MC6805U2 .................. 2.6-11
MC6805U3 .................. 2.6-11
MC6845 . . . . . . . . . . . . . . . . . . . .. 2.6-10
MC7824AC . . . . . . . . . . . . . . . . . .. 4.2-3
MC7824B .................... 4.2-3
MC7824C .................... 4.2-3
MC7924C .................... 4.2-3
MC8106 ...................... 5.8-6
MC10100 ................... 3.1-28
MC10101 ................... 3.1-27
MC10102 ................... 3.1-28
MC10103 ................... 3.1-28
MC10104 ................... 3.1-25
MC10105 ................... 3.1-27
MC10106 ................... 3.1-28
MC10107 ................... 3.1-27
MC10109 ................... 3.1-27
MC10110 .................... 3.1-28
MC10111 .................... 3.1-28
MC10113 .................... 3.1-27
MC10114 .................... 3.1-36
MC10115 .................... 3.1-35
MC10116 .................... 3.1-36
MC10117 .................... 3.1-27
MC10118 .................... 3.1-27
MC10119 .................... 3.1-26

Motorola Master Selection Guide

Device Index
Device Index

Page

MC10121 ................... 3.1-26
MC10123 ................... 3.1-16
MC10124 ................... 3.1-39
MC10125 ................... 3.1-39
MC10128 ................... 3.1-14
MC10129 ................... 3.1-35
MC10130 ................... 3.1-30
MC10131 ................... 3.1-23
MC10132 ................... 3.1-32
MC10133 ................... 3.1-31
MC10134 ................... 3.1-32
MC10135 ................... 3.1-23
MC10136 ................... 3.1-20
MC10137 ................... 3.1-20
MC10138 ................... 3.1-19
MC10141 ................... 3.1-37
MC10153 ................... 3.1-31
MC10154 ................... 3.1-19
MC10158 ................... 3.1-32
MC10159 ................... 3.1-32
MC10160 ................... 3.1-34
MC10161 ................... 3.1-20
MC10162 ................... 3.1-20
MC10163 ................... 3.1-21
MC10164 ................... 3.1-31
MC10165 ................... 3.1-22
MC10166 ................... 3.1-18
MC10168 ................... 3.1-31
MC10170 ................... 3.1-34
MC10171 ................... 3.1-20
MC10172 ................... 3.1-21
MC10173 ................... 3.1-33
MC10174 ................... 3.1-32
MC10175 ................... 3.1-31
MC10176 ................... 3.1-23
MC10177 ................... 3.1-39
MC10178 ................... 3.1-19
MC10180 ................... 3.1-13
MC10181 ................... 3.1-13
MC10186 ................... 3.1-23
MC10188 ................... 3.1-29
MC10189 ................... 3.1-29
MC10190 ................... 3.1-39
MC10191 ................... 3.1-38
MC10192 ................... 3.1-16
MC10193 ................... 3.1-21
MC10195 ................... 3.1-29
MC10197 ................... 3.1-25
MC10198 ................... 3.1-33
MC10210 ................... 3.1-28
MC10211 .................... 3.1-28
MC10212 ................... 3.1-27
MC10216 ................... 3.1-35
MC10231 ................... 3.1-23
MC10319 .............. 4.5-2,4.8-4
MC10804 ................... 3.1-38
MC10805 ................... 3.1-38
MC12002 ............ 3.1-21,4.7-39
MC12009 ............ 3.1-35,4.7-39
MC12011 ............. 3.1-35,4.7-39
MC12013 ............ 3.1-35,4.7-39
MC12014 ............ 3.1-19,4.7-39
MC12015 ............ 3.1-35,4.7-39
MC12016 ............ 3.1-35,4.7-39
MC12017 ............ 3.1-35,4.7-39
MC12018 ............ 3.1-35,4.7-39
MC12019 ............ 3.1-35,4.7-39

Motorola Master Selection Guide

Device Index

Page

MC12022A ........... 3.1-34,4.7-39
MC12022B ........... 3.1-34,4.7-39
MC12022LVA ......... 3.1-34,4.7-40
MC12022LVB ......... 3.1-34,4.7-40
MC12022SLA ......... 3.1-34,4.7-40
MC12022SLB ......... 3.1-34,4.7-40
MC12022TSA ......... 3.1-34, 4.7-40
MC12022TSB ......... 3.1-34,4.7-40
MC12022TVA ......... 3.1-34,4.7-40
MC12022TVB ......... 3.1-34,4.7-40
MC12023 ............ 3.1-35,4.7-40
MC12025 ............ 3.1-35,4.7-40
MC12026A ........... 3.1-34,4.7-40
MC12026B ........... 3.1-34, 4.7-40
MC12028A ........... 3.1-34,4.7-40
MC12028B ........... 3.1-34,4.7-40
MC12031A ........... 3.1-35,4.7-40
MC12031B ........... 3.1-35,4.7-40
MC12032A ........... 3.1-35,4.7-40
MC12032B ........... 3.1-35,4.7-40
MC12033A ........... 3.1-35,4.7-40
MC12033B ........... 3.1-35,4.7-40
MC12034A ........... 3.1-35, 4.7-40
MC12034B ........... 3.1-35,4.7-40
MC12036A ........... 3.1-34,4.7-40
MC12036B ........... 3.1-34,4.7-40
MC12038A .................. 3.1-34
MC12040 ............ 3.1-21,4.7-40
MC12052A .................. 3.1-34
MC12053A .................. 3.1-34
MC12054A .................. 3.1-35
MC12058 ................... 3.1-34
MC12061 ............ 3.1-33,4.7-40
MC12066 ................... 3.1-34
MC12073 ............ 3.1-34,4.7-40
MC12074 ............ 3.1-34,4.7-40
MC12075 ................... 3.1-34
MC12076 ............ 3.1-35,4.7-40
MC12078 ............ 3.1-35,4.7-40
MC12079 ............ 3.1-35,4.7-40
MC12080 ............ 3.1-34,4.7-40
MC12083 ............ 3.1-34,4.7-40
MC12089 ............ 3.1-35,4.7-40
MC12090 ............ 3.1-35,4.7-40
MC12093 ................... 3.1-34
MC12095 ................... 3.1-35
MC12098 ................... 3.1-35
MC12100 ............ 3.1-33,4.7-40
MC12101 ............ 3.1-33, 4.7-40
MC12147 ................... 3.1-39
MC12148 ............ 3.1-33,4.7-40
MC12149 ................... 3.1-39
MC12179 ................... 3.1-38
MC12181 ................... 3.1-38
MC12202 ................... 3.1-38
MC12206 ................... 3.1-38
MC12210 ................... 3.1-38
MC12429 ................... 3.1-17
MC12439 ................... 3.1-17
MC13022A ................... 4.8-2
MC13025 .................... 4.8-2
MC13027 .................... 4.8-2
MC13028A ................... 4.8-2
MC13029A ................... 4.8-2
MC13030 .................... 4.8-2
MC13055 .................... 4.7-2
MC13060 ............. 4.7-36,4.8-2

7.1-11

Device Index

Page

MC13077 .............. 4.8-3,4.8-6,
4.8-17,4.8-23
MC13077DW ................ 4.8-23
MC13077P .................. 4.8-23
MC13081X ................... 4.8-4
MC13109 .............. 4.7-3,4.7-4
MC13109FB .................. 4.7-4
MC13109FTA ................. 4.7-4
MC13110 ............... 4.7-3,4.7-5
MC13110FB .................. 4.7-5
MC13111 ..................... 4.7-3
MC13122 .................... 4.8-2
MC13135 .............. 4.7-3,4.7-6
MC13135DW ................. 4.7-6
MC13135P ................... 4.7-6
MC13136 .............. 4.7-3,4.7-6
MC13136DW ................. 4.7-6
MC13136P ................... 4.7-6
MC13141 .................... 4.7-2
MC13142 .................... 4.7-2
MC13143 .................... 4.7-2
MC13144 .................... 4.7-2
MC13150 .............. 4.7-2,4.7-7
MC13150FTA ................. 4.7-7
MC13150FTB ................. 4.7-7
MC13155 .................... 4.7-2
MC13156 .............. 4.7-2,4.7-8
MC13156DW ................. 4.7-8
MC13156FB .................. 4.7-8
MC13158 .................... 4.7-2
MC13158FTB ................. 4.7-9
MC13159 .................... 4.7-2
MC13173 .................... 4.7-4
MC13175 ............. 4.7-3,4.7-10
MC13175D .................. 4.7-10
MC13176 ............. 4.7-3,4.7-10
MC13176D .................. 4.7-10
MC13280AY .................. 4.8-4
MC13281A ................... 4.8-4
MC13281B ................... 4.8-4
MC13282A ................... 4.8-4
MC13283 .................... 4.8-4
MC14000UB ................. 3.1-28
MC14001B .................. 3.1-28
MC14001UB ................. 3.1-28
MC14002B .................. 3.1-28
MC14002UB ................. 3.1-28
MC14006B .................. 3.1-36
MC14007UB ................. 3.1-29
MC14008B .................. 3.1-13
MC14011B .............•.... 3.1-26
MC14011UB ................. 3.1-26
MC14012B .................. 3.1-25
MC14012UB ................. 3.1-25
MC14013B .................. 3.1-22
MC14014B .................. 3.1-37
MC14015B .................. 3.1-37
MC14016B .................. 3.1-33
MC14017B .................. 3.1-19
MC14018B .................. 3.1-19
MC14020B .................. 3.1-18
MC14021B .................. 3.1-37
MC14022B .................. 3.1-19
MC14023B .................• 3.1-26
MC14023UB ................. 3.1-26
MC14024B .................. 3.1-18
MC14025B .................. 3.1-28

Device Index

Device Index
Device Index

Page

MC14025UB ................. 3.1-28
MC14027B .................. 3.1-23
MC14028B .................. 3.1-20
MC14029B .................. 3.1-19
MCl4035B .................. 3.1-37
MC14038B .................. 3.1-13
MC14040B .................. 3.1-18
MC14042B .................. 3.1-31
MC14043B .................. 3.1-31
MC14044B .................. 3.1-31
MC14046B .................. 3.1-34
MC14049B .................. 3.1-29
MC14049UB ................. 3.1-29
MC14050B .................. 3.1-29
MC14051B .................. 3.1-31
MC14052B .................. 3.1-32
MC14053B .................. 3.1-33
MC14060B .................. 3.1-18
MC14066B .................. 3.1-33
MC14067B .................. 3.1-31
MC14068B .................. 3.1-25
MC14069UB ................. 3.1-29
MC14070B .................. 3.1-27
MC14071B .................. 3.1-28
MC14072B .................. 3.1-28
MC14073B .................. 3.1-26
MC14075B .................. 3.1-29
MC14076B .................. 3.1-25
MC14077B .................. 3.1-27
MC14078B .................. 3.1-28
MC14081B .................. 3.1-25
MC14082B .................. 3.1-25
MC14093B .................. 3.1-36
MC14094B .................. 3.1-37
MC14099B .................. 3.1-30
MC14106B .................. 3.1-36
MC14161B .................. 3.1-18
MC14163B .................. 3.1-18
MC14174B .................. 3.1-23
MC14175B .................. 3.1-25
MC14194B .................. 3.1-37
MCl4415 ................... 3.1-34
MCl4433 .................... 4.5-2
MC14443 .................... 4.5-2
MCl4447 .................... 4.5-2
MCl4467-1 ................. 4.10-3
MCl4468 ................... 4.10-3
MCl4470 ................... 4.10-3
MCl4489 .................... 4.6-9
MCl4490 ................... 3.1-13
MC14495-1 .................. 4.6-9
MC14499 .................... 4.6-9
MC14500B .................. 3.1-29
MC14501UB ................. 3.1-27
MC14502B .................. 3.1-29
MCl4503B .................. 3.1-14
MC14504B .................. 3.1-38
MCl4506UB ................. 3.1-27
MC14508B .................. 3.1-30
MC14510B .................. 3.1-19
MC14511B ............ 3.1-21.4.6-9
MC14512B .................. 3.1-31
MC14513B ............ 3.1-21.4.6-9
MC14514B .................. 3.1-20
MC14515B .................. 3.1-20
MC14516B .................. 3.1-19
MCl4517B .................. 3.1-38

Device Index

Device Index

Page

MCl4518B .................. 3.1-19
MCl4519B .................. 3.1-26
MC14520B .................. 3.1-19
MCl4521B .................. 3.1-34
MC14522B .................. 3.1-19
MC14526B .................. 3.1-19
MC14527B .................. 3.1-13
MC14528B .................. 3.1-33
MC14529B .................. 3.1-32
MC14530B .................. 3.1-27
MC14531B .................. 3.1-34
MC14532B .................. 3.1-21
MC14534B .................. 3.1-18
MC14536B .................. 3.1-34
MC14538B .................. 3.1-33
MC14539B .................. 3.1-32
MC14541B .................. 3.1-34
MC14543B ............ 3.1-21.4.6-9
MC14544B ............ 3.1-21.4.6-9
MC14547B ............ 3.1-21.4.6-9
MC14549B ............ 3.1-38.4.5-2
MC14551B .................. 3.1-32
MC14553B .................. 3.1-18
MC14555B .................. 3.1-21
MC14556B .................. 3.1-21
MC14557B .................. 3.1-36
MC14558B ............ 3.1-21.4.6-9
MC14559B ............ 3.1-38.4.5-2
MC14560B .................. 3.1-13
MC14561B .................. 3.1-13
MC14562B .................. 3.1-36
MC14566B .................. 3.1-19
MC14568B .................. 3.1-19
MC14569B .................. 3.1-19
MC14572UB ................. 3.1-27
MC14573 .................... 4.1-6
MCl4574 .................... 4.1-7
MCl4575 .............. 4.1-6.4.1-7
MCl4576C ............. 4.1-6.4.11-4
MCl4577C ............. 4.1-6.4.11-4
MC14578 ............. 4.1-7,4.10-3
MC14580B .................. 3.1-36
MC14583B .................. 3.1-36
MC14584B .................. 3.1-36
MC14585B .................. 3.1-17
MCl4598B .................. 3.1-30
MCl4599B .................. 3.1-30
MC14618 ................... 2.6-11
MC33023 ................... 4.2-13
MC33025 ................... 4.2-15
MC33030DW ................. 4.3-8
MC33030P ................... 4.3-8
MC33033 ........ 4.3-4. 4.3-5. 4.3-7
MC33033DW ................. 4.3-5
MC33033P ................... 4.3-5
MC33035 ........ 4.3-4. 4.3-5. 4.3-6
MC33035DW ................. 4.3-6
MC33035P ................... 4.3-6
MC33039 .................... 4.3-7
MC33039D ................... 4.3-7
MC33039P ................... 4.3-7
MC33060A .................. 4.2-12
MC33063A .................. 4.2-14
MC33064 ................... 4.2-30
MC33064D-5 .. .. .. .. .. .. .... 4.2-30
MC33064DM-5 .............. 4.2-30
MC33064P-5 .... .. .. .. .. .... 4.2-30

7.1-12

Device Index

Page

MC33065 ................... 4.2-23
MC33066 ................... 4.2-15
MC33067 ................... 4.2-15
MC33071 .................... 4.1-2
MC33071A ................... 4.1-2
MC33072 .................... 4.1-3
MC33072A ................... 4.1-3
MC33074 .................... 4.1-4
MC33074A ................... 4.1-4
MC33076 .................... 4.1-3
MC33077 .................... 4.1-3
MC33078 .................... 4.1-3
MC33079 .................... 4.1-4
MC33091 .................... 4.9-8
MC33091A ................... 4.9-3
MC33091 AD .. .. .. .. .. .. .. .... 4.9-8
MC33091AP .................. 4.9-8
MC33092 .................... 4.9-2
MC33092DW ................ 4.9-12
MC33093 .................... 4.9-2
MC33094 .................... 4.9-2
MC33095 .................... 4.9-3
MC33102 .................... 4.1-3
MC33110 .................... 4.7-36
MC33111 .................... 4.7-36
MC33120 ............ 4.7-11.4.7-12.
4.7-15.4.7-35
MC33120FN ................. 4.7-11
MC33120P .................. 4.7-11
MC33121 ............ 4.7-11.4.7-35
MC33121FN ................. 4.7-11
MC33121P .................. 4.7-11
MC33128 ............. 4.2-8.4.2-10
MC33128D .................. 4.2-10
MC33129 ................... 4.2-12
MC33143 .................... 4.9-6
MC33143DW ................. 4.9-6
MC33151D .................. 4.2-38
MC33151P .................. 4.2-38
MC33152D .................. 4.2-38
MC33152P .................. 4.2-38
MC33153 ................... 4.2-38
MC33153D .................. 4.2-38
MC33153P .................. 4.2-38
MC33154D .................. 4.2-39
MC33154P .................. 4.2-39
MC33160 .............. 4.2-2.4.2-8
MC33160DW ................. 4.2-9
MC33160P ................... 4.2-9
MC33161 ................... 4.2-31
MC33161D .................. 4.2-31
MC33161P .................. 4.2-31
MC33163 ................... 4.2-14
MC33164 ................... 4.2-30
MC33164D-3 ................ 4.2-30
MC33164D-5 ................ 4.2-30
MC33164DM-3 .... " ......... 4.2-30
MC33164DM-5 .............. 4.2-30
MC33164P-3 ................ 4.2-30
MC33164P-5 ................ 4.2-30
MC33165 ................... 4.2-14
MC33166 ................... 4.2-14
MC33167 ................... 4.2-14
MC33169 ............. 4.2-8.4.2-10
MC33169DTB ............... 4.2-10
MC33171 .................... 4.1-2
MC33172 .................... 4.1-3

Motorola Master Selection Guide

Device Index
Device Index

Page

MC33174 .................... 4.1-5
MC33178 .................... 4.1-3
MC33179 .................... 4.1-5
MC33181 .................... 4.1-2
MC33182 .................... 4.1-3
MC33184 .................... 4.1-5
MC33192 .................... 4.9-3
MC33192DW ................. 4.9-9
MC33193 .............. 4.9-3,4.9-9
MC33193D ................... 4.9-9
MC33193P ................... 4.9-9
MC33197A ............ 4.9-3, 4.9-10
MC33197AD ................. 4.9-10
MC33197AP ................. 4.9-10
MC33199 ............. 4.9-3,4.9-11
MC33199D .................. 4.9-11
MC33201 .................... 4.1-2
MC33202 .................... 4.1-4
MC33204 .................... 4.1-5
MC33206 .................... 4.1-4
MC33207 .................... 4.1-5
MC33215 ............ 4.7-35,4.7-36
MC33215B .................. 4.7-29
MC33215FB ............ " ... 4.7-29
MC33218A .... 4.7-27,4.7-30,4.7-36
MC33218AP ................. 4.7-27
MC33218DW ................ 4.7-27
MC33219A .... 4.7-28,4.7-30,4.7-36
MC33219ADW ............... 4.7-28
MC33219AP ................. 4.7-28
MC33261 ................... 4.2-24
MC33262 ............ 4.2-24, 4.2-25
MC33262D .................. 4.2-25
MC33262P .................. 4.2-25
MC33264 .................... 4.2-7
MC33264D ................... 4.2-7
MC33264D-2.8 ............... 4.2-7
MC33264D-3.0 ............... 4.2-7
MC33264D-3.3 ............... 4.2-7
MC33264D-3.8 ............... 4.2-7
MC33284D-4.0 ............... 4.2-7
MC33264D-4.75 .............. 4.2-7
MC33264D-5.0 ............... 4.2-7
MC33264DM ................. 4.2-7
MC33264DM-2.8 ............. 4.2-7
MC33264DM-3.0 ............. 4.2-7
MC33264DM-3.3 ............. 4.2-7
MC33264DM-3.8 ............. 4.2-7
MC33284DM-4.0 ............. 4.2-7
MC33284DM-4.75 ............ 4.2-7
MC33264DM-5.0 ............. 4.2-7
MC33267 ........ 4.2-2, 4.2-3, 4.2-8,
4.2-9, 4.9-2
MC33267T ................... 4.2-9
MC33267TV .................. 4.2-9
MC33269 .............. 4.2-5, 4.9-2
MC33269-XX . . . . . . . . . .. 4.2-2, 4.2-3
MC33272A ................... 4.1-3
MC33274A ................... 4.1-5
MC33282 .................... 4.1-3
MC33284 .................... 4.1-5
MC33293A ................... 4.9-3
MC33293AT .................. 4.9-4
MC33293ATV .. .. .. .. .. .. .. ... 4.9-4
MC33298 .............. 4.9-3, 4.9-5
MC33298DW ................. 4.9-5
MC33298P ................... 4.9-5

Motorola Master Selection Guide

Device Index

Page

MC33304 .................... 4.1-5
MC33340D .................. 4.2-32
MC33340P .................. 4.2-32
MC33341 ................... 4.2-33
MC33341D .................. 4.2-33
MC33341P .................. 4.2-33
MC33345 ................... 4.2-34
MC33345DTB ............... 4.2-34
MC33345DW ................ 4.2-34
MC33346 ................... 4.2-35
MC33346DTB ............... 4.2-35
MC33346DW ................ 4.2-35
MC33347 ................... 4.2-36
MC33347D .................. 4.2-36
MC33347DTB ............... 4.2-36
MC33348 ................... 4.2-37
MC33348D .................. 4.2-37
MC33348D-l ................ 4.2-37
MC33348D-2 ................ 4.2-37
MC33348D-3 ............... , 4.2-37
MC33348D-4 .. .. .. .. .. .. .... 4.2-37
MC33348D-5 .. .. .. .. .. .. .... 4.2-37
MC33348D-6 ................ 4.2-37
MC33348DM ................ 4.2-37
MC33348DM-l .............. 4.2-37
MC33348DM-2 .............. 4.2-37
MC33348DM-3 .............. 4.2-37
MC33348DM-4 .............. 4.2-37
MC33348DM-5 .............. 4.2-37
MC33348DM-8 .............. 4.2-37
MC33362 ............ 4.2-15,4.2-20
MC33362DW ................ 4.2-20
MC33362P .................. 4.2-20
MC33363 ............ 4.2-15,4.2-21
MC33363A ........... 4.2-15,4.2-21
MC33363ADW .. .. .. .. .. .. ... 4.2-21
MC33363DW ................ 4.2-21
MC33363P .................. 4.2-21
MC33364 ................... 4.2-22
MC33364D .................. 4.2-22
MC33364Dl ................. 4.2-22
MC33364D2 .. .. .. .. .. .. .. ... 4.2-22
MC33368 ............ 4.2-24, 4.2-26
MC33368D .................. 4.2-26
MC33463 ................... 4.2-16
MC33463H .................. 4.2-16
MC33463H-30KTl ........... 4.2-16
MC33463H-30LTl ........... 4.2-16
MC33463H-33KTl ........... 4.2-16
MC33463H-33LTl ........... 4.2-16
MC33463H-50KTl ........... 4.2-16
MC33463H-50LTl ........... 4.2-16
MC33463H-XXKTl ........... 4.2-16
MC33463H-XXLTl ........... 4.2-16
MC33464 ................... 4.2-28
MC33464H .................. 4.2-28
MC33464H-09ATl ........... 4.2-28
MC33464H-09CTl ........... 4.2-28
MC33464H-20ATl ........... 4.2-28
MC33464H-20CTl ........... 4.2-28
MC33464H-27AT1 ........... 4.2-28
MC33464H-27CT1 ........... 4.2-28
MC33464H-30ATl ........... 4.2-28
MC33464H-30CTl ........... 4.2-28
MC33464H-45ATl ........... 4.2-28
MC33464H-45CT1 ........... 4.2-28
MC33464N .................. 4.2-28

7.1-13

Device Index

Page

MC33464N-09ATR ........... 4.2-28
MC33464N-09CTR ......... " 4.2-28
MC33464N-20ATR ........... 4.2-28
MC33464N-20CTR ........... 4.2-28
MC33464N-27ATR ........... 4.2-28
MC33464N-27CTR . . . . . . . . . .. 4.2-28
MC33464N-30ATR ........... 4.2-28
MC33464N-30CTR . . . . . . . . . .. 4.2-28
MC33464N-45ATR . . . . . . . . . .. 4.2-28
MC33464N-45CTR ........... 4.2-28
MC33465 ................... 4.2-29
MC33465N ....... .. .. .. .. ... 4.2-29
MC33465N-09ATR ........... 4.2-29
MC33465N-09CTR ......... " 4.2-29
MC33465N-20ATR ......... " 4.2-29
MC33465N-20CTR . . . . . . . . . .. 4.2-29
MC33465N-27ATR ........... 4.2-29
MC33465N-27CTR ........... 4.2-29
MC33465N-30ATR ........... 4.2-29
MC33465N-3OCTR . . . . . . . . . .. 4.2-29
MC33465N-45ATR ........... 4.2-29
MC33465N-45CTR . . . . . . . . . .. 4.2-29
MC33466 ................... 4.2-17
MC33466H .................. 4.2-17
MC33466H-30JT1 ........... 4.2-17
MC33466H-30LT1 ........... 4.2-17
MC33466H-33JT1 ........... 4.2-17
MC33466H-33LT1 ........... 4.2-17
MC33466H-5OJT1 ........... 4.2-17
MC33466H-50LT1 ........... 4.2-17
MC33466H-XXJT1 ........... 4.2-17
MC33466H-XXLT1 ........... 4.2-17
MC34001 .................... 4.1-2
MC34001B ................... 4.1-2
MC34002 .................... 4.1-3
MC34002B ................... 4.1-3
MC34004 .................... 4.1-4
MC34004B ................... 4.1-4
MC34010 ............ 4.7-19,4.7-35
MC34010FN ................. 4.7-19
MC34010P .................. 4.7-19
MC34012-1 ................. 4.7-35
MC34012-2 ................. 4.7-35
MC34012-3 ................. 4.7-35
MC34014 ................... 4.7-35
MC34016 ................... 4.7-35
MC34016DW ................ 4.7-22
MC34016P .................. 4.7-22
MC34017 ................... 4.7-20
MC34017-1 ................. 4.7-35
MC34017-2 ................. 4.7-35
MC34017-3 ................. 4.7-35
MC34017D .................. 4.7-20
MC34017P .................. 4.7-20
MC34018 ..... 4.7-25,4.7-30,4.7-36
MC34018DW ................ 4.7-25
MC34018P .................. 4.7-25
MC34023 ................... 4.2-13
MC34025 ................... 4.2-15
MC34055 .................... 4.6-8
MC34055DW ................. 4.6-3
MC34058 .............. 4.6-4,4.6-7
MC34058FTA .. . .. .. .. .. .. .... 4.6-4
MC34059 .............. 4.6-4,4.6-7
MC34059FTA .. . .. .. .. .. .. .... 4.6-4
MC34060A .................. 4.2-12
MC34063A .................. 4.2-14

Device Index

Device Index
Device Index

Page

MC34064 ................... 4.2-30
MC34064D-5 ............... , 4.2-30
MC34064DM-5 .............. 4.2-30
MC34064P-5 ................ 4.2-30
MC34065 ................... 4.2-23
MC34066 ................... 4.2-15
MC34067 ................... 4.2-15
MC34071 .................... 4.1-2
MC34071A ................... 4.1-2
MC34072 .................... 4.1-3
MC34072A ................... 4.1-3
MC34074 .................... 4.1-4
MC34074A ................... 4.1-4
MC34080B ................... 4.1-2
MC34081B ................... 4.1-2
MC34082 .................... 4.1-3
MC34083B ................... 4.1-3
MC34084 .................... 4.1-4
MC34085B ................... 4.1-4
MC34114 .................... 4.7-35
MC34114DW ................ 4.7-21
MC34114P .................. 4.7-21
MC34115 ............. 4.7-34,4.7-36
MC34115DW ................ 4.7-34
MC34115P .................. 4.7-34
MC34118 ...... 4.7-26,4.7-30,4.7-36
MC34118DW ................ 4.7-26
MC34118P .................. 4.7-26
MC34119 ..... 4.7-26,4.7-27,4.7-28,
4.7-30,4.7-31,4.7-36,4.8-2
MC34119D .................. 4.7-31
MC34119P .................. 4.7-31
MC34129 ..... 4.2-12,4.7-15,4.7-36
MC34129D .................. 4.7-31
MC34129P .................. 4.7-31
MC34151 ................... 4.2-38
MC34151D .................. 4.2-38
MC34151P .................. 4.2-38
MC34152 ................... 4.2-38
MC34152D .................. 4.2-38
MC34152P .................. 4.2-38
MC34156 .................... 4.6-8
MC34160 .............. 4.2-8, 4.2-9
MC34160DW ................. 4.2-9
MC34160P ................... 4.2-9
MC34161 ................... 4.2-31
MC34161D .................. 4.2-31
MC34161P .................. 4.2-31
MC34163 ................... 4.2-14
MC34164 ................... 4.2-30
MC34164D-3 ................ 4.2-30
MC34164D-5 ................ 4.2-30
MC34164DM-3 .............. 4.2-30
MC34164DM-5 .............. 4.2-30
MC34164P-3 ................ 4.2-30
MC34164P-5 ................ 4.2-30
MC34165 ................... 4.2-14
MC34166 ................... 4.2-14
MC34167 ................... 4.2-14
MC34181 .................... 4.1-2
MC34182 .................... 4.1-3
MC34184 .................... 4.1-4
MC34216 ................... 4.7-35
MC34216A .................. 4.7-35
MC34216DW ................ 4.7-23
MC34217 ................... 4.7-35
MC34217D .................. 4.7-20

Device Index

Device Index

Page

MC34217P .................. 4.7-20
MC34250 .................... 4.6-8
MC34250FTA . . . . . . . . . . . . . . . .. 4.6-5
MC34261 ................... 4.2-24
MC34262 ............ 4.2-24, 4.2-25
MC34262D .................. 4.2-25
MC34262P .................. 4.2-25
MC34268 ....... 4.2-2, 4.2-3, 4.2-11
MC34268D .................. 4.2-11
MC34268DT ................. 4.2-11
MC34270 ................... 4.2-23
MC34271 ................... 4.2-23
MC44002 ...... 4.8-3,4.8-10,4.8-11
MC44002P .................. 4.8-11
MC44007 ...... 4.8-3,4.8-10,4.8-11
MC44007P .................. 4.8-11
MC44011 ............... 4.8-3,4.8-8,
4.8-7,4.8-17
MC44011FB .................. 4.8-7
MC44011FN .................. 4.8-7
MC44030 .................... 4.8-3
MC44035 .................... 4.8-3
MC44131 ................... 4.8-10
MC44140 .............. 4.8-3,4.8-6,
4.8-10,4.8-11
MC44144 .................... 4.8-4
MC44145 ........ 4.8-3,4.8-4,4.8-6
MC44200 ........ 4.5-3, 4.8-3, 4.8-4,
4.8-6, 4.8-8
MC44200FB . . . . . . . . . . . . . . . . .. 4.8-8
MC44251 ........ 4.5-2, 4.8-3, 4.8-4,
4.8-6,4.8-9,4.8-17
MC44251 FN .................. 4.8-9
MC44251 FU .................. 4.8-9
MC44302 ...... 4.8-6,4.8-10,4.8-17
MC44353 .................... 4.8-4
MC44354 .................... 4.8-4
MC44355 .................... 4.8-4
MC44361 ............. 4.8-6,4.8-17
MC44362 ............. 4.8-6,4.8-17
MC44365 ............. 4.8-6,4.8-17
MC44461 .............. 4.8-3, 4.8-6,
4.8-10,4.8-17
MC44462 .............. 4.8-3, 4.8-6,
4.8-10,4.8-17
MC44463 .............. 4.8-3, 4.8-6,
4.8-10,4.8-17
MC44602 ................... 4.2-13
MC44603 ............ 4.2-18,4.8-10
MC44603DW ................ 4.2-18
MC44603P .................. 4.2-18
MC44604 ................... 4.2-18
MC44604P .................. 4.2-18
MC44605 ............ 4.2-19,4.8-10
MC44605P .................. 4.2-19
MC44702 .................... 4.8-6
MC44817 ...... 4.8-4,4.8-6,4.8-10,
4.8-17,4.8-18,4.8-19,4.8-21
MC44817B ............ 4.8-4,4.8-18
MC44817BD ................. 4.8-18
MC44817D .................. 4.8-18
MC44618 ...... 4.8-4,4.8-6,4.8-10,
4.8-17,4.8-19,4.8-21
MC44818D .................. 4.8-19
MC44824 ...... 4.8-4,4.8-6,4.8-10,
4.8-17,4.8-20
MC44824D .................. 4.8-20

7.1-14

Device Index

Page

MC44825 ...... 4.8-4,4.8-6,4.8-10,
4.8-17,4.8-20
MC44825D .................. 4.8-20
MC44826 ...... 4.8-6,4.8-10,4.8-17
MC44827 ...... 4.8-4,4.8-6,4.8-10,
4.8-17,4.8-21
MC44827DTB ............... 4.8-21
MC44828 ...... 4.8-4,4.8-6,4.8-10,
4.8-17,4.8-21
MC44828DTB ............... 4.8-21
MC44829 ...... 4.8-4,4.8-6,4.8-10,
4.8-17,4.8-22
MC44829D .................. 4.8-22
MC44864 .............. 4.8-4, 4.8-6,
4.8-10,4.8-17
MC68000 ................... 4.7-17
MC68150*33 ................ 3.1-14
MC68150*40 ................ 3.1-14
MC68160 .............. 4.6-2,4.6-8
MC68160FB .................. 4.6-2
MC68194 ................... 3.1-16
MC68302 ............ 4.7-15,4.7-17
MC66331 ............ 2.6-21,2.6-25
MC68332 ............ 2.6-21, 2.6-25
MC68360 .............. 4.6-2, 4.6-8
MC68701 ............ 2.6-10,2.6-23
MC68701 U4 ........ " 2.6-10, 2.6-23
MC75172B ................... 4.6-7
MC75174B ................... 4.6-7
MC79076 .................... 4.9-2
MC88100 .............. 2.3-2,2.3-3
MC88110 ............... 2.3-2,2.3-3
MC88110RC .................. 2.3-2
MC88200 .................... 2.3-3
MC88200RC .. .. .. .. .. .. .. .... 2.3-3
MC88204RC . . . .. . . . . .. . . . . ... 2.3-3
MC88410 .................... 2.3-3
MC88913 ................... 3.1-17
MC88914 ................... 3.1-17
MC88915*55 ................ 3.1-17
MC88915*70 ................ 3.1-17
MC88915T'55 ............... 3.1-17
MC88915T*70 ............... 3.1-17
MC88915T'100 .............. 3.1-17
MC88915T*133 .............. 3.1-17
MC88915T*160 .............. 3.1-17
MC88916*70 ................ 3.1-17
MC88916*60 ................ 3.1-17
MC88920 ................... 3.1-16
MC88921 ................... 3.1-16
MC141620 ............. 4.8-3,4.8-6,
4.8-10,4.8-17
MC141621 .................. 4.8-12
MC141621A ............ 4.8-3,4.8-6,
4.8-10,4.8-17
MC141621FB ................ 4.8-12
MC141622A ............ 4.8-3,4.8-6,
4.8-10,4.8-17
MC141622AFU .............. 4.8-13
MC141624 ............. 4.8-3,4.8-6,
4.8-10,4.8-17
MC141627 ............. 4.8-3,4.8-6,
4.8-10,4.8-17
MC143120 ............. 2.7-2,2.7-5,
2.7-7, 2.7-8
MC143120B1DW .............. 2.7-3
MC143120DW ................ 2.7-3

Motorola Master Selection Guide

Device Index
Device Index

Page

MC143120E2DW ........... '" 2.7-3
MC143150 ....... 2.7-2,2.7-3,2.7-4,
2.7-5,2.7-7
MC143150B1FU .............. 2.7-3
MC143150B1FUl ............. 2.7-3
MC143150FU ................. 2.7-3
MC143150FUl .... " .... , ..... 2.7-3
MC144110 ................... 4.5-3
MC144111 .................... 4.5-3
MC144112 ................... 4.5-3
MC144143 ..... 4.8-4,4.8-6,4.8-10,
4.8-14,4.8-17
MC144143P ................. 4.8-14
MC144144 ..... 4.8-4,4.8-6,4.8-10,
4.8-15,4.8-17
MC144144P ................. 4.8-15
MC145000 ................... 4.6-9
MC145001 ................... 4.6-9
MC145010 .................. 4.10-3
MC145011 .................. 4.10-3
MCl45012 .................. 4.10-3
MC145013 .................. 4.10-3
MC145017 .................. 4.10-3
MC145018 .................. 4.10-3
MC145040 ................... 4.5-2
MC145041 ................... 4.5-2
MC145050 ................... 4.5-2
MCl45051 ................... 4.5-2
MC145053 ................... 4.5-2
MC145073 ............. 4.5-2, 4.8~
MC145074 ...... 4.5-3,4.8-6,4.8-17
MCl45076 ...... 4.5-3,4.8-6,4.8-17
MC145106 .................. 4.7-38
MC145145-2 ................ 4.7-38
MC145146-2 ................ 4.7-38
MC145149 .................. 4.7-38
MC145151-2 ................ 4.7-38
MC145152-2 ................ 4.7-38
MCl45155-2 ................ 4.7-38
MC145156-2 ................ 4.7-38
MC145157-2 ................ 4.7-38
MC145158-2 ................ 4.7-38
MC145159-1 ................ 4.7-38
MC145162 .................. 4.7-38
MC145162-1 ................ 4.7-38
MC145165 .................. 4.7-38
MC145166 .................. 4.7-38
MC145167 .................. 4.7-38
MC145168 .................. 4.7-38
MC145169 .................. 4.7-38
MC145170-1 ................ 4.7-38
MCl45173 .................. 4.7-38
MC145190 .................. 4.7-39
MC145191 .................. 4.7-39
MC145192 .................. 4.7-39
MC145200 .................. 4.7-39
MC145201 .................. 4.7-39
MC145202 .................. 4.7-39
MC145220 .................. 4.7-39
MC145225 .................. 4.7-39
MC145230 .................. 4.7-39
MC145403 ................... 4.6-7
MC145404 ................... 4.6-7
MC145405 ................... 4.6-7
MC145406 ............ 4.6-7,4.7-15
MC145407 ............ 4.6-7,4.7-15
MC145408 ................... 4.6-7

Motorola Master Selection Guide

Device Index

Page

MC145421 .................. 4.7-12
MC145421DW ............... 4.7-19
MC145421P ................. 4.7-19
MC145422 .... 4.7-12,4.7-18,4.7-19
MC145422DW ............... 4.7-18
MC145422P ................. 4.7-18
MC145425 .................. 4.7-12
MC145425DW ............... 4.7-19
MC145425P ................. 4.7-19
MC145426 ........... 4.7-12,4.7-18
MC145426DW ............... 4.7-18
MC145426P ................. 4.7-18
MC145436A ................. 4.7-15
MC145436AP ................ 4.7-15
MC145436DW ............... 4.7-15
MC145442DW ............... 4.7-32
MC145442P ................. 4.7-32
MC145443DW ............... 4.7-32
MC145443P ................. 4.7-32
MC145444DW ............... 4.7-32
MC145444H ................. 4.7-32
MCl45446AFW .............. 4.7-32
MC145447DW ............... 4.7-33
MC145447P ................. 4.7-33
MC145453 ................... 4.6-9
MC145460EVK .............. 4.7-33
MC145472 .................. 4.7-12
MC145474 .... 4.7-12,4.7-15,4.7-17
MCl45475 .... 4.7-12,4.7-15,4.7-17
MC145480DW ............... 4.7-12
MC145480P ................. 4.7-12
MC145480SD ..... " ......... 4.7-12
MC145488 ........... 4.7-15,4.7-17
MCl45488FN ................ 4.7-17
MC145500 .... 4.7-12,4.7-15,4.7-18
MCl45502 .................. 4.7-12
MCl45503 .................. 4.7-12
MCl45505 .................. 4.7-12
MC145532 .... 4.7-12,4.7-15,4.7-32
MC145532DW ............... 4.7-32
MC145532L ................. 4.7-32
MC145536 .................. 4.7-32
MC145536EVK ....... 4.7-14,4.7-15
MC145537EVK .............. 4.7-14
MCl45554 .................. 4.7-12
MCl45557 .................. 4.7-12
MC145564 .................. 4.7-12
MC145567 .................. 4.7-12
MC145572 .... 4.7-12,4.7-15,4.7-16
MC145572EVK .............. 4.7-17
MC145572FN ................ 4.7-16
MC145572PB ................ 4.7-16
MC145574 .... 4.7-12,4.7-15,4.7-16
MC145574DW ............... 4.7-16
MC145574PB ................ 4.7-16
MC145583 ................... 4.6-8
MC145705 ................... 4.8-6
MC145706 ................... 4.8-6
MC145707 ................... 4.8-6
MC146805E2 ................ 2.6-11
MC146818A ................. 2.6-11
MC146823 .................. 2.6-11
MCA231 ..................... 5.8-7
MCCF3334 . . . . . . . . . . . . . . . . . .. 4.9-2
MCCF33093 . . . . . . . . . . . . . . . . .. 4.9-2
MCCF33094 . . . . . . . . . . . . . . . . .. 4.9-2
MCCF33095 . . . . . . . . . . . . . . . . .. 4.9-3

7.1-15

Device Index

Page

MCCF79076 . . . . . . . . . . . . . . . . .. 4.9-2
MCCS142233 ................ 3.1-36
MCCS142234 ............... , 3.1-36
MCCS142235 ................ 3.1-36
MCCS142236 ................ 3.1-36
MCCS142237 ................ 3.1-36
MCCS14223B ................ 3.1-36
MCH12140 ........... 3.1-21,4.7-40
MCK12140 ........... 3.1-21,4.7-40
MCM4L4100C ................ 2.8-6
MCM4L4400C ................ 2.8-6
MCM5L400A-C ......... . . . . .. 2.8-8
MCM5L4100A ................ 2.B-8
MCM5L4100A-V .............. 2.8-B
MCM5L4400A ................ 2.8-6
MCM5L4400A-V .............. 2.8-6
MCM32Bl16 .................. 2.8-9
MCM32B216 ................. 2.8-9
MCM32BT116 ................ 2.8-9
MCM32BT216 ................ 2.8-9
MCM32C400 ................. 2.8-9
MCM32CBOO ................. 2.8-9
MCM32CT400 ................ 2.8-9
MCM32CT420 ................ 2.8-9
MCM32CT423 ................ 2.8-9
MCM32CT800 ............. . .. 2.8-9
MCM32T100 .................. 2.8-9
MCM32T200 . . . . . . . . . . . . . . . . .. 2.8-9
MCM36C400 ................ 2.8-10
MCM36C404 ................ 2.8-10
MCM36C800 ................ 2.8-10
MCM36C804 ................ 2.8-10
MCM4OC400 ................ 2.8-10
MCM40C800 ................ 2.8-10
MCM62X308 ................. 2.8-3
MCM63P631 ................. 2.8-3
MCM63P733 ................. 2.8-3
MCM64BTl16 ............... 2.8-10
MCM64BT216 ............... 2.8-10
MCM64PE32 ................. 2.8-6
MCM64PE64 ................. 2.8-6
MCM67A618A ................ 2.8-5
MCM67B618A ................ 2.8-3
MCM67C618A ................ 2.8-3
MCM67M618A ................ 2.8-3
MCM67Q709 ................. 2.8-3
MCM67Q709A . . . . . . . . . . . . . . .. 2.8-3
MCM67QB04 ................. 2.8-3
MCM67Q909 ................. 2.8-3
MCM69C232 ................. 2.8-3
MCM69C432 ................. 2.8-3
MCM69D536 ................. 2.8-3
MCM69D618 ................. 2.8-3
MCM69F536A ................ 2.8-3
MCM69F536B ................ 2.8-3
MCM69F618A ................ 2.8-3
MCM69F737 . . . . . . . . . . . . . . . . .. 2.8-3
MCM69F819 .................. 2.8-3
MCM69L536 ................ " 2.8-4
MCM69L537 . . . . . . . . . . . . . . . . .. 2.8-4
MCM69L538 ................ " 2.8-4
MCM69L618 .................. 2.8-4
MCM69L619 .................. 2.8-4
MCM69L620 ................ " 2.8-4
MCM69L736 . . . . . . . . . . . . . . . . .. 2.8-4
MCM69L736A ................ 2.8-4
MCM69L737 . . . . . . . . . . . . . . . . .. 2.8-4

Device Index

Device Index
Device Index
MCM69L737A ................
MCM69L738 .. . . . .. . . . . .. . .. ..
MCM69L738A ................
MCM69L818 ..................
MCM69L818A ................
MCM69L819 ..................
MCM69L819A ................
MCM69L820 .. .. . .. . .. . .. . . . ..
MCM69L820A ................
MCM69L821A ................
MCM69P536A ................
MCM69P536B ................
MCM69P618A ................
MCM69P737 .................
MCM69P819 .................
MCM690536 .................
MCM690618 .................
MCM69R536 .................
MCM69R537 .................
MCM69R538 .................
MCM69R539 .................
MCM69R618 .................
MCM69R619 .................
MCM69R620 .................
MCM69R621 .................
MCM69R736 .................
MCM69R736A ................
MCM69R737 .................
MCM69R737A ................
MCM69R738 .................
MCM69R738A ................
MCM69R739A ................
MCM69R818 .................
MCM69R818A ................
MCM69R819 .................
MCM69R819A ................
MCM69R820 .................
MCM69R820A ................
MCM69T618 ..................
MCM72F6DG . .. .. .. .. .. .. ....
MCM72F7DG . .. .. .. .. .. . .. ...
MCM72F8DG .. .. .. .. .. .. .. ...
MCM72F9DG .................
MCM72Fl0DG ................
MCM72Fl1DG ................
MCM84C430 .................
MCM84CT430 ................
MCM94C430 .................
MCM94CT430 ................
MCM6206BA .................
MCM6226B . . . .. .. . . . . . .. . . . ..
MCM6226BB .................
MCM6227B . . . . .. . . . . . .. . . .. ..
MCM6229B . . . .. .. . . .. . .. . .. ..
MCM6229BB .................
MCM6246 ....................
MCM6246A . .. . .. . .. . .. . . .. . ..
MCM6249 .... .. .. .. .. .. .. ....
MCM6249A .. . . .. . . . . .. . . .. ...
MCM6323 .... .. . .. .. . .. .. . ...
MCM6706B .. . . .. . .. . .. . .. . . ..
MCM6706BR .................
MCM6726B . . . .. . . . . .. . .. . .. ..
MCM6726C ..................
MCM6726D ..................
MCM6729B . . . .. . . . . .. . .. . .. ..
MCM6729C ..................

Device Index

Page
2.8-4
2.8-4
2.8-4
2.8-4
2.8-4
2.8-4
2.8-4
2.8-4
2.8-4
2.8-4
2.8-3
2.8-3
2.8-3
2.8-3
2.8-3
2.8-3
2.8-3
2.8-4
2.8-4
2.8-4
2.8-4
2.8-4
2.8-4
2.8-4
2.8-4
2.8-4
2.8-4
2.8-4
2.8-4
2.8-4
2.8-4
2.8-4
2.8-4
2.8-4
2.8-4
2.8-4
2.8-4
2.8-4
2.8-3
2.8~
2.8~
2.8~

2.~
2.~
2.8~

2.8-9
2.8-9
2.8-9
2.8-9
2.8-5
2.8-5
2.8-5
2.8-5
2.8-5
2.8-5
2.8-5
2.8-5
2.8-5
2.8-5
2.8-5
2.8-5
2.8-5
2.8-5
2.8-5
2.8-5
2.8-5
2.8-5

Device Index

Page

MCM6729D .................. 2.8-5
MCM6926 .. .. . .. .. .. .. .. .. ... 2.8-5
MCM6926A . .. .. .. .. .. .. .. . ... 2.8-5
MCM6929 ... .. .. .. .. .. .. .. ... 2.8-5
MCM6929A . . .. . . .. .. . . .. .. ... 2.8-5
MCM6943 .. . .. . .. . .. . .. .. .... 2.8-5
MCM6946 ...... . .. .. .. .. .. ... 2.8-5
MCM6949 .................... 2.8-5
MCM10139 .................. 3.1-35
MCM10143 .................. 3.1-36
MCM10145 .................. 3.1-36
MCM10146 .................. 3.1-35
MCM10149*25 ............... 3.1-35
MCM10152 .................. 3.1-35
MCM32100 ................... 2.8-9
MCM32128A ................. 2.~
MCM32130 ................... 2.8-9
MCM32230 .. .. .. .. . .. . .. .. ... 2.8-9
MCM32515 ................... 2.~
MCM36104 ................... 2.8-9
MCM36204 .. .. .. .. . .. .. .. . ... 2.8-9
MCM40100 .................. 2.8-10
MCM40200 .................. 2.8-10
MCM44100C ................. 2.8-8
MCM44400C ................. 2.8-8
MCM54100A ................. 2.8-8
MCM54100A-C ............... 2.8-8
MCM54100A-V ............... 2.8-8
MCM54400A ................. 2.8-8
MCM54400A--C .. .. .. .. . .. .... 2.8-8
MCM54400A-V ............... 2.8-8
MCM56824A ................. 2.8-5
MCM62110 ................... 2.3-3
MCM62990A ................. 2.8-3
MCM62995A ................. 2.8-5
MCM62996 . .. .. .. .. .. .. .. . ... 2.8-5
MCM81430 ................... 2.8-9
MCM84000 .. .. .. .. .. .. .. . .... 2.8-9
MCM94000 ................... 2.8-9
MCM218160B ................ 2.8-8
MCM218165B ................ 2.8-8
MCM317400C ................ 2.8-8
MCM321024 .................. 2.~
MCM417400 .................. 2.8-8
MCM417400B ................ 2.8-8
MCM417405B ................ 2.8-8
MCM516400B ................ 2.8-8
MCM517400B ................ 2.8-8
MCM517400C ................ 2.8-8
MCM517400CV ............... 2.8-8
MCM517405C ................ 2.8-8
MCM517405CV ............... 2.8-8
MCM518160B ................ 2.8-8
MCM518165B ................ 2.8-8
MCM518165BV ............... 2.8-8
MCR08BT1 ................... 5.7-2
MCR8D ...................... 5.7-4
MCR08DT1 ................... 5.7-2
MCR8M ...................... 5.7-4
MCR08MT1 .................. 5.7-2
MCR8N ...................... 5.7-4
MCR8SD ..................... 5.7-4
MCR8SM .................... 5.7-4
MCR8SN ..................... 5.7-4
MCR12D ..................... 5.7-5
MCR12LD .................... 5.7-5
MCR12LM ...... '" ........... 5.7-5

7.1-16

Device Index

Page

MCR12LN .................... 5.7-5
MCR12M ..................... 5.7-5
MCR12N ..................... 5.7-5
MCR16D ..................... 5.7-5
MCR16M ..................... 5.7-5
MCR16N ..................... 5.7-5
MCR22~ .................... 5.7-2
MCR22-8 .................... 5.7-2
MCR25D ..................... 5.7-5
MCR25M ..................... 5.7-5
MCR25N ..................... 5.7-5
MCR69-2 .................... 5.7~
MCR69-3 .................... 5.7-6
MCR69~ .................... 5.7~
MCR72-2 .................... 5.7-4
MCR72-3 .................... 5.7-4
MCR72-4 .................... 5.7-4
MCR72~ .................... 5.7-4
MCR72-8 .................... 5.7-4
MCR72-10 ................... 5.7-4
MCR100~ ................... 5.7-2
MCR100-8 ................... 5.7-2
MCR106-2 ................... 5.7-3
MCR106-3 ................... 5.7-3
MCR106-4 ................... 5.7-3
MCR108-8 ................... 5.7-3
MCR106-8 ................... 5.7-3
MCR218-4FP ................ 5.7-4
MCR218~FP ................ 5.7-4
MCR218-8FP ................ 5.7-4
MCR218-10FP ............... 5.7-4
MCR225-2FP ................ 5.7~
MCR225-4FP ................ 5.7-8
MCR225~FP ................ 5.7~
MCR225-8FP ................ 5.7-6
MCR225-10FP ............... 5.7-6
MCR264-4 ................... 5.7-6
MCR264-6 ................... 5.7~
MCR264-8 ................... 5.7~
MCR264-10 .................. 5.7-6
MCR265-4 ................... 5.7~
MCR265~ ................... 5.7~
MCR265-8 ................... 5.7~
MCR265-10 .................. 5.7~
MCR310-3 ................... 5.7-4
MCR310-4 ................... 5.7-4
MCR310-6 ................... 5.7-4
MCR31~ ................... 5.7-4
MCR310-10 .................. 5.7-4
MCR716 ..................... 5.7-3
MCR716-1 ................... 5.7-3
MCR718 ..................... 5.7-3
MCR718-1 ................... 5.7-3
MCT2 ........................ 5.8~
MCT2E ...................... 5.~
MCT271 ..................... 5.8~
MCT272 ..................... 5.8~
MCT275 ..................... 5.~
MDC3105LTl ................ 5.1-43
MDC5000Tl ................. 5.1-43
MDC5001Tl ................. 5.1-43
MFF124B .................. 5.10-39
MFF224B .................. 5.10-39
MFF324B .................. 5.10-38
MFF424B .................. 5.10-38
MFF524B .................. 5.10-37
MG8MEVB16Yl ............. 2.6-25

Motorola Master Selection Guide

Device Index
Device Index

Page

MGB20N35CL ......... 5.4-7,5.4-22
MGB20N40CL ......... 5.4-7,5.4-22
MGP5N60E ................. 5.4-22
MGP20N14CL ......... 5.4-7,5.4-22
MGP20N35CL ......... 5.4-7,5.4-22
MGP20N40CL ......... 5.4-7,5.4-22
MGP20N60 . . . . . . . . . . . . . . . . .. 5.4-22
MGR1018 ................... 5.6-14
MGR2018CT ................ 5.6-14
MGR2025CT ................ 5.6-14
MGRB1018 .................. 5.6-14
MGRB2018CT ............... 5.6-14
MGRB2025CT ............... 5.6-14
MGS1100 ................... 5.9-12
MGSF1N02LT1 ....... 5.1-24,5.1-42
MGSF1N03LT1 ....... 5.1-24,5.1-42
MGSF1P02ELT1 ...... 5.1-24,5.1-42
MGSF1P02LT1 ....... 5.1-24,5.1-42
MGSS150-1 ................. 5.7-14
MGSS150-2 ................. 5.7-14
MGW12N120 ................ 5.4-22
MGW12N120D ............... 5.4-22
MGW20N60D . . . . . . . . . . . . . . .. 5.4-22
MGW30N60 ................. 5.4-22
MGY25N120 ................. 5.4-22
MGY30N60D ................ 5.4-22
MGY40N60 .................. 5.4-22
MGY40N60D ................ 5.4-22
MHL8015 .................. 5.10-34
MHL8018 .................. 5.10-34
MHL8115 ................... 5.10-34
MHL8118 ................... 5.10-34
MHL9125 .................. 5.10-34
MHL9128 .................. 5.10-34
MHL9232 .................. 5.10-34
MHL9236 .................. 5.10-34
MHLW8000 ................. 5.10-36
MHPM7A8A120A ............. 5.3-2
MHPM7A12A120A ............ 5.3-2
MHPM7A15A60A ............. 5.3-2
MHPM7A16A120B ............ 5.3-2
MHPM7A20A60A ............. 5.3-2
MHPM7A25A120B ...........'. 5.3-2
MHPM7A30A60B ............. 5.3-2
MHW105 ................... 5.10-31
MHW590 ................... 5.10-34
MHW591 ................... 5.10-34
MHW592 ................... 5.10-34
MHW593 ................... 5.10-34
MHW607-1 ................. 5.10-31
MHW607-2 ................. 5.10-31
MHW607-3 ................. 5.10-31
MHW607-4 ................. 5.10-31
MHW704-1 ................. 5.10-31
MHW704-2 ................. 5.10-31
MHW707-1 ................. 5.10-31
MHW707-2 ................. 5.10-31
MHW707-3 ................. 5.10-31
MHW707-4 ................. 5.10-31
MHW720A1 ................ 5.10-32
MHW720A2 ................ 5.10-32
MHW803-1 ................. 5.10-32
MHW803-2 ................. 5.10-32
MHW803-3 ................. 5.10-32
MHW804-1 ................. 5.10-32
MHW806A4 ................ 5.10-32
MHW851-1 ................. 5.10-32

Motorola Master Selection Guide

Device Index
MHW851-2 .................
MHW851-3 .................
MHW851-4 .................
MHW910 ...................
MHW913 ...................
MHW914 ...................
MHW916 ...................
MHW920 ...................
MHW927B .................
MHW930 ...................
MHW953 ...................
MHW1134 ..................
MHW1184 ..................
MHW1184L .................
MHW1224 ..................
MHW1224L. ................
MHW1244 ..................
MHW1254L .................
MHW1304L .................
MHW1815 ..................
MHW1916 ..................
MHW2607-1 ...............
MHW2627-1 ...............
MHW2627-2 ...............
MHW2701-1 ...............
MHW2701-2 ...............
MHW2703 ..................
MHW2707-1 ...............
MHW2707-2 ...............
MHW2707A-1 ..............
MHW2707A-2 ..............
MHW2717-1 ...............
MHW2717-2 ...............
MHW2723 ..................
MHW2727-1 ...............
MHW2727-2 ...............
MHW2801 ..................
MHW2803 ..................
MHW2805-1 ...............
MHW2805-2 ...............
MHW2820-1 ...............
MHW2820-2 ...............
MHW2821-1 ...............
MHW2821-2 ...............
MHW2905 ..................
MHW5142A ................
MHW5172A ................
MHW5182A ................
MHW5185B ................
MHW5222A ................
MHW5225 ..................
MHW5272A ................
MHW5342A ................
MHW5382A ................
MHW6142 ..................
MHW6172 ..................
MHW6182 ..................
MHW6182-6 ...............
MHW6185-6A ..............
MHW6185B ................
MHW6205 ..................
MHW6205-6A ..............
MHW6222 . . . . . . . . . . . . . . . . ..
MHW6222-6 ...............
MHW6225 ..................
MHW6272 ..................
MHW6272-6 ...............

7.1-17

Page
5.10-32
5.10-32
5.10-32
5.10-33
5.10-33
5.10-33
5.10-33
5.10-33
5.10-33
5.10-33
5.10-33
5.10-40
5.10-40
5.10-40
5.10-40
5.10-40
5.10-40
5.10-40
5.10-40
5.10-33
5.10-33
5.10-31
5.10-31
5.10-31
5.10-31
5.10-31
5.10-31
5.10-32
5.10-32
5.10-32
5.10-32
5.10-32
5.10-32
5.10-31
5.10-32
5.10-32
5.10-32
5.10-32
5.10-32
5.10-32
5.10-32
5.10-32
5.10-32
5.10-32
5.10-33
5.10-39
5.10-39
5.10-39
5.10-39
5.10-39
5.10-39
5.10-39
5.10-39
5.10-39
5.10-39
5.10-39
5.10-39
5.10-38
5.10-38
5.10-39
5.10-39
5.10-38
5.10-39
5.10-38
5.10-39
5.10-39
5.10-38

Device Index

Page

MHW6292-6 ............... 5.10-38
MHW6342 .................. 5.10-39
MHW7142 .................. 5.10-37
MHW7182 .................. 5.10-37
MHW7185A ................ 5.10-38
MHW7185B ................ 5.10-38
MHW7185C ................ 5.10-38
MHW7205A ................ 5.10-38
MHW7205B ................ 5.10-38
MHW7205C ................ 5.10-38
MHW7222 .................. 5.10-37
MHW7242 .................. 5.10-37
MHW7272 .................. 5.10-37
MHW7292 .................. 5.10-37
MHW8142 .................. 5.10-37
MHW8182 .................. 5.10-37
MHW8185 .................. 5.10-37
MHW8205 .................. 5.10-37
MHW8222 .................. 5.10-37
MHW8242 .................. 5.10-37
MHW8272 .................. 5.10-37
MHW8292 .................. 5.10-37
MHW9005 .................. 5.1 Q-33
MHW9006 .................. 5.10-33
MHW9014 .................. 5.10-32
MHW9142 .................. 5.10-36
MHW9182 .................. 5.10-36
MHW9242 .................. 5.10-36
MIM2901 ................... 5.10-33
MIM2906 ................... 5.10-33
MIM2908 ................... 5.10-33
MJ413 ...................... 5.5-12
MJ423 ...................... 5.5-12
MJ802 ...................... 5.5-13
MJ1000 ..................... 5.5-11
MJ1001 ..................... 5.5-11
MJ1302A ............. 5.5-12,5.5-15
MJ2500 ..................... 5.5-12
MJ2501 ..................... 5.5-12
MJ2955 ..................... 5.5-12
MJ2955A ............. 5.5-12,5.5-15
MJ3000 ..................... 5.5-12
MJ3001 ..................... 5.5-12
MJ3281A ............. 5.5-12,5.5-15
MJ4502 ..................... 5.5-13
MJ10000 .................... 5.5-13
MJ10005 .................... 5.5-13
MJ10007 .................... 5.5-12
MJ10009 .................... 5.5-13
MJ10012 .................... 5.5-12
MJ10015 .................... 5.5-14
MJ10016 .................... 5.5-14
MJ10020 .................... 5.5-14
MJ10021 .................... 5.5-14
MJ10022 .................... 5.5-14
MJ10023 .................... 5.5-14
MJ11011 .................... 5.5-13
MJ11012 .................... 5.5-13
MJ11013 .................... 5.5-13
MJ11014 .................... 5.5-13
MJ11015 .................... 5.5-13
MJ11016 .................... 5.5-13
MJ11017 .................... 5.5-12
MJ11018 .................... 5.5-12
MJ11019 .................... 5.5-12
MJ11020 .................... 5.5-12
MJ11021 .................... 5.5-12

Device Index

Device Index
Device Index

Page

MJll022 .................... 5.5-12
MJll030 .................... 5.5-14
MJll031 .................... 5.5-14
MJll032 .................... 5.5-14
MJll033 .................... 5.5-14
MJ13333 .................... 5.5-13
MJ14001 .................... 5.5-14
MJ14002 .................... 5.5-14
MJ14003 .................... 5.5-14
MJ15001 ............. 5.5-12,5.5-15
MJ15002 ............. 5.5-12,5.5-15
MJ15003 ............. 5.5-13,5.5-15
MJ15004 ............. 5.5-13,5.5-15
MJ15011 .................... 5.5-12
MJ15012 .................... 5.5-12
MJ15015 ............. 5.5-12,5.5-15
MJ15016 ............. 5.5-12,5.5-15
MJ15018 .................... 5.5-11
MJ15020 ............. 5.5-11,5.5-15
MJ15021 ............. 5.5-11,5.5-15
MJ15022 .................... 5.5-13
MJ15023 .................... 5.5-13
MJ15024 ............. 5.5-13,5.5-15
MJ15025 ............. 5.5-13,5.5-15
MJ16010 .................... 5.5-13
MJ16012 .................... 5.5-13
MJ16020 .................... 5.5-14
MJ16022 .................... 5.5-14
MJ16110 .................... 5.5-12
MJ21193 ............. 5.5-13,5.5-15
MJ21194 ............. 5.5-13,5.5-15
MJD31 ...................... 5.5-11
MJD31C .................... 5.5-11
MJD32 ...................... 5.5-11
MJD32C .................... 5.5-11
MJD41C .................... 5.5-11
MJD42C .................... 5.5-11
MJD44E3 ................... 5.5-11
MJD44Hll .................. 5.5-11
MJD45Hll .................. 5.5-11
MJD47 ...................... 5.5-10
MJD50 ...................... 5.5-10
MJDl12 ..................... 5.5-11
MJDl17 ..................... 5.5-11
MJD122 ..................... 5.5-11
MJD127 ..................... 5.5-11
MJD200 ..................... 5.5-11
MJD210 ..................... 5.5-11
MJD243 ..................... 5.5-11
MJD253 ..................... 5.5-11
MJD340 ..................... 5.5-10
MJD350 ..................... 5.5-10
MJD2955 .................... 5.5-11
MJD3055 .................... 5.5-11
MJD5731 .................... 5.5-10
MJD6036 .................... 5.5-11
MJD6039 .................... 5.5-11
MJD13003 .................. 5.5-10
MJD13003-1 ................ 5.5-17
MJE171 ...................... 5.5-9
MJE172 . . . . . . . . . . . . . . . . . . . . .. 5.5-9
MJE181 ...................... 5.5-9
MJE182 . . . . . . . . . . . . . . . . . . . . .. 5.5-9
MJE200 ..................... 5.5-10
MJE210 ..................... 5.5-10
MJE243 ..................... 5.5-10
MJE253 ..................... 5.5-10

Device Index

Device Index

Page

MJE270 . . . . . . . . . . . . . . . . . . . . .. 5.5-9
MJE271 ...................... 5.5-9
MJE340 . . . . . . . . . . . . . . . . . . . . .. 5.5-8
MJE341 ...................... 5.5-8
MJE344 ................. " .... 5.5-8
MJE350 . . . . . . . . . . . . . . . . . . . . .. 5.5-8
MJE371 ...................... 5.5-9
MJE521 ...................... 5.5-9
MJE700 . . . . . . . . . . . . . . .. 5.5-4, 5.5-9
MJE702 ..................... 5.5-10
MJE703 ..................... 5.5-10
MJE800 . . . . . . . . . . . . . . .. 5.5-4, 5.5-9
MJE802 ..................... 5.5-10
MJE803 ..................... 5.5-10
MJEl123 ..................... 5.5-4
MJE1320 ..................... 5.5-3
MJE2360T ................... 5.5-3
MJE2361T ................... 5.5-3
MJE2955T ................... 5.5-5
MJE3055T ................... 5.5-5
MJE3439 ..................... 5.5-8
MJE4342 ..................... 5.5-7
MJE4343 ..................... 5.5-7
MJE4352 ..................... 5.5-7
MJE4353 ..................... 5.5-7
MJE5730 . . . . . . . . . . . . . . . . . . . .. 5.5-3
MJE5731 .. . . . . . . . . . . . . . . . . . .. 5.5-3
MJE5731A ................... 5.5-3
MJE5740 ..................... 5.5-5
MJE5741 ..................... 5.5-5
MJE5742 ..................... 5.5-5
MJE5850 . . . . . . . . . . . . . . . . . . . .. 5.5-5
MJE5851 ..................... 5.5-5
MJE5852 . . . . . . . . . . . . . . . . . . . .. 5.5-5
MJE9780 . . . . . . . . . . . . . . . . . . . .. 5.5-3
MJEl3002 .................... 5.5-9
MJE13003 ...... 5.5-9,5.5-17,5.5-19
MJE13003-1 ................ 5.5-17
MJE13005 ............. 5.5-4,5.5-17
MJE13007 ............. 5.5-5,5.5-17
MJE13009 ............. 5.5--6,5.5-17
MJE15028 .................... 5.5-5
MJE15029 .................... 5.5-5
MJE15030 ............. 5.5-5,5.5-15
MJE15031 ............. 5.5-5,5.5-15
MJE15032 ................... 5.5-15
MJE15033 ................... 5.5-15
MJE16002 .................... 5.5-4
MJE16004 . . . . . . . . . . . . . . . . . . .. 5.5-4
MJE16106 .................... 5.5-5
MJE16204 .................... 5.5-4
MJE18002 ...... 5.5-3,5.5-17,5.5-18
MJE18004 ...... 5.5-4,5.5-17,5.5-18
MJE18004D2 ......... 5.5-17,5.5-18
MJE18006 ...... 5.5-4,5.5-17,5.5-18
MJE18008 ...... 5.5-5,5.5-17,5.5-18
MJE18009 ...... 5.5--6,5.5-17,5.5-18
MJE18204 ...... 5.5-4,5.5-17,5.5-18
MJE18206 ............ 5.5-17,5.5-18
MJE18604D2 ......... 5.5-17,5.5-18
MJF18002 ............ 5.5-17,5.5-19
MJF18004 ............ 5.5-17,5.5-19
MJF18006 ............ 5.5-17,5.5-19
MJF18008 ............ 5.5-17,5.5-19
MJF18009 ............ 5.5-17,5.5-19
MJF18204 ............ 5.5-17,5.5-19
MJF18206 ............ 5.5-17,5.5-19

7.1-18

Device Index

Page

MJH6282 ..................... 5.5-7
MJH6284 . . . . . . . . . . . . . . . . . . . .. 5.5-7
MJH6285 ..................... 5.5-7
MJH6287 ..................... 5.5-7
MJH10012 ................... 5.5-6
MJHll017 .................... 5.5-7
MJHll018 .................... 5.5-7
MJH11019 .................... 5.5-7
MJHll020 .................... 5.5-7
MJHll021 .................... 5.5-7
MJHll022 .................... 5.5-7
MJH16006A .................. 5.5-6
MJL1302A ............. 5.5-8, 5.5-15
MJL3281A ............. 5.5-8,5.5-15
MJL16218 .................... 5.5-8
MJL21193 ............. 5.5-8,5.5-15
MJL21194 ............. 5.5-8,5.5-15
MJW16010 ................... 5.5-7
MJW16010A .................. 5.5-7
MJW16012 ................... 5.5-7
MJW16018 ................... 5.5-7
MJW16206 ................... 5.5-7
MJW16212 ................... 5.5-7
MKP1V120 .................. 5.7-14
MKP1V130 .................. 5.7-14
MKP3Vll0 .................. 5.7-14
MKP3V120 .................. 5.7-14
MKP3V130 .................. 5.7-14
MLP1N06CL .................. 5.4-7
MLP2N06CL .................. 5.4-7
MMAD130 ................... 5.1-40
MMAD1103 .................. 5.1-40
MMADll05 .................. 5.1-40
MMADll06 .................. 5.1-40
MMADll07 .................. 5.1-40
MMAD1108 .................. 5.1-40
MMADll09 .................. 5.1-40
MMAS40Gl0D ............... 5.9-12
MMBD101LTl ........ 5.1-33,5.1-34
MMBD301 LTl ........ 5.1-33,5.1-34
MMBD330T1 ................ 5.1-34
MMBD352LT1 ........ 5.1-33,5.1-34
MMBD353LTl ........ 5.1-33,5.1-34
MMBD354LTl ........ 5.1-33,5.1-34
MMBD701LTl ........ 5.1-33,5.1-34
MMBD770Tl ................ 5.1-34
MMBD914LTl ............... 5.1-36
MMBD1000LT1 ....... 5.1-37,5.1-42
MMBD1005LT1 ....... 5.1-38,5.1-42
MMBD10l0LT1 ....... 5.1-38,5.1-42
MMBD2000Tl ........ 5.1-37,5.1-42
MMBD2005Tl ........ 5.1-38,5.1-42
MMBD2010Tl ........ 5.1-38,5.1-42
MMBD2835LTl .............. 5.1-37
MMBD2836LT1 .............. 5.1-37
MMBD2837LT1 .............. 5.1-37
MMBD2838LT1 .............. 5.1-37
MMBD3000Tl ........ 5.1-37,5.1-42
MMBD3005Tl ........ 5.1-38,5.1-42
MMBD3010Tl ........ 5.1-38,5.1-42
MMBD6050LTl .............. 5.1-36
MMBD6100LTl .............. 5.1-37
MMBD7000LTl .............. 5.1-37
MMBF170LTl ................ 5.1-24
MMBF0201NLTl ...... 5.1-24,5.1-42
MMBF0202PLTl ...... 5.1-24,5.1-42
MMBF2201NTl ....... 5.1-24,5.1-42

Motorola Master Selection Guide

Device Index
Device Index

Page

MMBF2201PT1 .............. 5.1-24
MMBF2202PT1 .............. 5.1-42
MMBF4391LT1 ............... 5.1-24
MMBF4392LT1 ............... 5.1-24
MMBF4393LT1 ............... 5.1-24
MMBF4416LT1 ............... 5.1-23
MMBF4856LT1 ............... 5.1-24
MMBF4860LT1 ............... 5.1-24
MMBF5457LT1 ............... 5.1-23
MMBF5459LT1 ............... 5.1-23
MMBF5460LT1 ............... 5.1-23
MMBF5484LT1 ............... 5.1-23
MMBF5486LT1 ............... 5.1-23
MMBFJ175LT1 ............... 5.1-24
MMBFJ177LT1 ............... 5.1-24
MMBFJ309LT1 ............... 5.1-23
MMBFJ310LT1 ............... 5.1-23
MMBFU310LT1 .............. 5.1-23
MMBR521LT1 ...... 5.10-18,5.10-21
MMBR571LT1 ...... 5.10-18,5.10-21
MMBR901LT1 ...... 5.10-18,5.10-21
MMBR911LT1 ....... 5.10-18,5.10-21
MMBR920LT1 ...... 5.10-18,5.10-22
MMBR931LT1 .............. 5.10-18
MMBR941LT1 ...... 5.10-18,5.10-21
MMBR951ALT1 ............. 5.10-18
MMBR951LT1 ...... 5.10-18,5.10-21
MMBR5031LT1 ..... 5.10-18,5.10-22
MMBR5179LT1 ..... 5.10-18,5.10-22
MMBT404ALT1 .............. 5.1-15
MMBT918LT1 ................ 5.1-14
MMBT1010LT1 ........ 5.1-16,5.1-41
MMBT2222ALT1 ............. 5.1-10
MMBT2222AWT1 ............ 5.1-11
MMBT2369ALT1 ............. 5.1-14
MMBT2369LT1 ............... 5.1-14
MMBT2484LT1 ............... 5.1-15
MMBT2907ALT1 ............. 5.1-10
MMBT2907AWT1 ............ 5.1-11
MMBT3640LT1 ............... 5.1-14
MMBT3904LT1 ............... 5.1-10
MMBT3904WT1 .............. 5.1-11
MMBT3906LT1 ............... 5.1-10
MMBT3906WT1 .............. 5.1-11
MMBT4401LT1 ............... 5.1-10
MMBT4403LT1 ............... 5.1-10
MMBT5087LT1 ............... 5.1-15
MMBT5089LT1 ............... 5.1-15
MMBT5401LT1 ............... 5.1-16
MMBT5551LT1 ............... 5.1-16
MMBT6428LT1 ............... 5.1-15
MMBT6429LT1 ............... 5.1-15
MMBT6517LT1 ............... 5.1-16
MMBT6520LT1 ............... 5.1-16
MMBT8599LT1 ............... 5.1-10
MMBTA06LT1 ................ 5.1-16
MMBTA13LT1 .. " ............ 5.1-15
MMBTA14LT1 ................ 5.1-15
MMBTA42LT1 ................ 5.1-16
MMBTA56LT1 ................ 5.1-16
MMBTA64LT1 ................ 5.1-15
MMBTA92LT1 ................ 5.1-16
MMBTH10LT1 ............... 5.1-14
MMBTH24LT1 ............... 5.1-14
MMBTH69LT1 ............... 5.1-14
MMBTH81LT1 ............... 5.1-14
MMBV105GLT1 ....... 5.1-29,5.1--31

Motorola Master Selection Guide

Device Index

Page

MMBV109LT1 ........ 5.1-29,5.1--31
MMBV409LT1 ........ 5.1--30,5.1-31
MMBV432LT1 ........ 5.1-25,5.1-28
MMBV609LT1 ........ 5.1--30,5.1--32
MMBV809LT1 ........ 5.1--30,5.1-31
MMBV2101LT1 ....... 5.1-25,5.1-28
MMBV2103LT1 .............. 5.1-28
MMBV2104LT1 .............. 5.1-28
MMBV2105LT1 ....... 5.1-25,5.1-28
MMBV2107LT1 .............. 5.1-28
MMBV2108LT1 .............. 5.1-28
MMBV2109LT1 ....... 5.1-25,5.1-28
MMBV3102LT1 ....... 5.1-30,5.1--31
MMBV3401LT1 ....... 5.1--35,5.1-36
MMBV3700LT1 ....... 5.1--35,5.1-36
MMBZ5V6ALT1 ............... 5.2-9
MMBZ6V2ALT1 ............... 5.2-9
MMBZ15ALT1 ................ 5.2-9
MMBZ15VDLT1 ............... 5.2-9
MMBZ20ALT1 ................ 5.2-9
MMBZ27VCLT1 ............... 5.2--9
MMBZ5221 BLT1 ...... 5.2-24,5.2-28
MMBZ5222BLT1 ...... 5.2-24,5.2-28
MMBZ5223BLT1 ............. 5.2-24
MMBZ5224BLT1 ............. 5.2-24
MMBZ5225BLT1 ...... 5.2-24,5.2-28
MMBZ5226BLT1 ...... 5.2-24, 5.2-28
MMBZ5227BLT1 ............. 5.2-24
MMBZ5228BLT1 ...... 5.2-24,5.2-28
MMBZ5229BLT1 ...... 5.2-24,5.2-28
MMBZ5230BLT1 ...... 5.2-24,5.2-28
MMBZ5231 BLT1 ...... 5.2-24,5.2-28
MMBZ5232BLT1 ...... 5.2-24,5.2-28
MMBZ5233BLT1 ...... 5.2-24,5.2-28
MMBZ5234BLT1 ...... 5.2-24, 5.2-28
MMBZ5235BLT1 ...... 5.2-24,5.2-28
MMBZ5236BLT1 ...... 5.2-24,5.2-28
MMBZ5237BLT1 ...... 5.2-24, 5.2-28
MMBZ5238BLT1 ............. 5.2-24
MMBZ5239BLT1 ...... 5.2-24, 5.2-28
MMBZ5240BLT1 ...... 5.2-24, 5.2-28
MMBZ5241BLT1 ...... 5.2-24,5.2-28
MMBZ5242BLT1 ...... 5.2-24,5.2-28
MMBZ5243BLT1 ...... 5.2-24,5.2-28
MMBZ5244BLT1 ...... 5.2-24, 5.2-28
MMBZ5245BLT1 ...... 5.2-24, 5.2-28
MMBZ5246BLT1 ...... 5.2-24, 5.2-28
MMBZ5247BLT1 ...... 5.2-24,5.2-28
MMBZ5248BLT1 ...... 5.2-24, 5.2-28
MMBZ5249BLT1 ...... 5.2-24,5.2-28
MMBZ5250BLT1 ...... 5.2-24,5.2-28
MMBZ5251BLT1 ...... 5.2-24,5.2-28
MMBZ5252BLT1 ...... 5.2-24,5.2-28
MMBZ5254BLT1 ...... 5.2-24, 5.2-28
MMBZ5255BLT1 ...... 5.2-25, 5.2-28
MMBZ5256BLT1 ...... 5.2-25,5.2-28
MMBZ5257BLT1 ...... 5.2-25, 5.2-28
MMBZ5258BLT1 ...... 5.2-25, 5.2-28
MMBZ5259BLT1 ...... 5.2-25,5.2-28
MMBZ5260BLT1 ............. 5.2-25
MMBZ5261 BLT1 ...... 5.2-25, 5.2-29
MMBZ5262BLT1 ...... 5.2-25,5.2-29
MMBZ5263BLT1 ...... 5.2-25,5.2-29
MMBZ5264BLT1 ............. 5.2-25
MMBZ5265BLT1 ...... 5.2-25, 5.2-29
MMBZ5266BLT1 ...... 5.2-25,5.2-29
MMBZ5267BLT1 ............. 5.2-25

7.1-19

Device Index

Page

MMBZ5268BLT1 ...... 5.2-25,5.2-29
MMBZ5269BLT1 ...... 5.2-25,5.2-29
MMBZ5270BLT1 ...... 5.2-25,5.2-29
MMDF1 N05E ........... 5.4-4, 5.H
MMDF2C01HD ......... 5.4-4,5.H
MMDF2C02E ........... 5.4-4, 5.H
MMDF2C02HD ......... 5.4-4, 5.H
MMDF2C03HD ......... 5.4-4, 5.H
MMDF2N02E ........... 5.4-4, 5.H
MMDF2P01HD ......... 5.4-4,5.4-17
MMDF2P02E .......... 5.4-4,5.4-17
MMDF2P02HD ......... 5.4-4,5.4-17
MMDF2P03HD . " ...... 5.4-4,5.4-17
MMDF3N02HD ......... 5.4-4, 5.H
MMDF3N03HD ......... 5.4-4, 5.H
MMDF4N01 HD ......... 5.4-4, 5.H
MMDF4N01Z ........... 5.4-4,5.4-9
MMDF3055V ................. 5.4-6
MMDF3055VL ................ 5.4-6
MMFT1N10E ................. 5.4-9
MMFT2N02EL ......... 5.4-9, 5.4-20
MMFT107T1 ................. 5.1-24
MMFT960T1 ................. 5.1-24
MMFT2406T1 ................ 5.1-24
MMFT2955E ................. 5.4-18
MMFT3055E . . . . . . . . . . . . . . . . .. 5.4--9
MMFT3055EL ......... 5.4--9, 5.4-20
MMFT3055V . . . . . . . . . . . . . . . . .. 5.4-6
MMFT3055VL ................ 5.4-6
MMFT6661T1 ................ 5.1-24
MMH0026 ... . . . . . . . . . . . . . . .. 4.2--38
MMPQ2222A ................. 5.1--9
MMPQ2369 .................. 5.1--9
MMPQ2907A ................. 5.1-9
MMPQ3467 .................. 5.1-9
MMPQ3725 .................. 5.1-9
MMPQ3799 .................. 5.1-9
MMPQ3904 .................. 5.1--9
MMPQ3906 .................. 5.1--9
MMPQ6700 .......•.......... 5.1--9
MMQA5V6T1 ................ 5.2-10
MMQA5V6T3 ................ 5.2-10
MMQA6V2T1 ................ 5.2-10
MMQA6V2T3 ................ 5.2-10
MMQA6V8T1, ............... 5.2-10
MMQA6V8T3 ................ 5.2-10
MMQA12VT1 ................ 5.2-10
MMQA12VT3 ................ 5.2-10
MMQA13VT1 ................ 5.2-10
MMQA13VT3 ................ 5.2-10
MMQA15VT1 ................ 5.2-10
MMQA15VT3 ................ 5.2-10
MMQA18VT1 ................ 5.2-10
MMQA18VT3 ................ 5.2-10
MMQA20VT1 ................ 5.2-10
MMQA20VT3 ................ 5.2-10
MMQA21VT1 ................ 5.2-10
MMQA21VT3 ., .............. 5.2-10
MMQA22VT1 ................ 5.2-10
MMQA22VT3 ................ 5.2-10
MMQA24VT1 ................ 5.2-10
MMQA24VT3 ................ 5.2-10
MMQA27VT1 ................ 5.2-10
MMQA27VT3 ................ 5.2-10
MMQA30VT1 ................ 5.2-10
MMQA30VT3 ................ 5.2-10
MMQA33VT1 ................ 5.2-10

Device Index

Device Index
Device Index

Page

MMQA33VT3 ................ 5.2-10
MMSD71RKTl ............... 5.1-36
MMSD101Tl ................ 5.1-34
MMSD301Tl ................ 5.1-34
MMSD701Tl ................ 5.1-34
MMSD914Tl ................ 5.1-36
MMSD1000Tl ........ 5.1-37,5.1-42
MMSD4148Tl ............... 5.1-36
MMSF2P02E .......... 5.4-4,5.4-17
MMSF3P02HD ......... 5.4-4,5.4-17
MMSF3P02Z .......... 5.4-4,5.4-17
MMSF3P03HD ......... 5.4-4,5.4-17
MMSF4P01HD ......... 5.4-4,5.4-17
MMSF4P01Z .......... 5.4-4,5.4-17
MMSF5N02HD . . . . . . . . .. 5.4-4, 5.4-8
MMSF5N03HD . . . . . . . . .. 5.4-4, 5.4-8
MMSF5N03Z ................. 5.4-4
MMSF6N01Z ........... 5.4-4,5.4-9
MMSZ2V4T1 ......... 5.2-24,5.2-32
MMSZ2V7Tl ......... 5.2-24, 5.2-32
MMSZ3VOTl ......... 5.2-24, 5.2-32
MMSZ3V3Tl ......... 5.2-24, 5.2-32
MMSZ3V6Tl ......... 5.2-24, 5.2-32
MMSZ3V9Tl ......... 5.2-24, 5.2-32
MMSZ4V3Tl ......... 5.2-24, 5.2-32
MMSZ4V7Tl ......... 5.2-24, 5.2-32
MMSZ5V1Tl ......... 5.2-24, 5.2-32
MMSZ5V6T1 ......... 5.2-24, 5.2-32
MMSZ6V2T1 ......... 5.2-24, 5.2-32
MMSZ6V8T1 ......... 5.2-24, 5.2-32
MMSZ7V5Tl ......... 5.2-24, 5.2-32
MMSZ8V2Tl ......... 5.2-24, 5.2-32
MMSZ9V1Tl ......... 5.2-24, 5.2-32
MMSZ10T1 ........... 5.2-24,5.2-32
MMSZ11Tl ........... 5.2-24,5.2-32
MMSZ12T1 ........... 5.2-24,5.2-32
MMSZ13T1 ........... 52-24,5.2-32
MMSZ15Tl ........... 5.2-24,5.2-32
MMSZ16Tl ........... 5.2-24,5.2-32
MMSZ18Tl ........... 5.2-24,5.2-32
MMSZ20Tl ........... 5.2-24, 5.2-32
MMSZ22Tl ........... 5.2-24, 5.2-32
MMSZ24Tl ........... 5.2-24, 5.2-32
MMSZ27Tl ........... 5.2-24, 5.2-33
MMSZ30Tl ........... 5.2-25, 5.2-33
MMSZ33Tl ........... 5.2-25, 5.2-33
MMSZ36Tl ........... 5.2-25, 5.2-33
MMSZ39Tl ........... 5.2-25, 5.2-33
MMSZ43Tl ........... 5.2-25, 5.2-33
MMSZ47Tl ........... 5.2-25,5.2-33
MMSZ51Tl ........... 5.2-25, 5.2-33
MMSZ56Tl ........... 5.2-25, 5.2-33
MMSZ62T1 ........... 5.2-25, 5.2-33
MMSZ68Tl ........... 5.2-25, 5.2-33
MMSZ75Tl ........... 5.2-25, 5.2-33
MMSZ4678Tl ........ 5.2-24,5.2-31
MMSZ4679Tl ........ 5.2-24,5.2-31
MMSZ4680Tl ........ 5.2-24, 5.2-31
MMSZ4681Tl ........ 5.2-24,5.2-31
MMSZ4682Tl ........ 5.2-24, 5.2-31
MMSZ4683Tl ........ 5.2-24, 5.2-31
MMSZ4684Tl ........ 5.2-24,5.2-31
MMSZ4685Tl ........ 5.2-24, 5.2-31
MMSZ4686Tl ........ 5.2-24, 5.2-31
MMSZ4687Tl ........ 5.2-24,5.2-31
MMSZ4688Tl ........ 5.2-24,5.2-31
MMSZ4689Tl ........ 5.2-24,5.2-31

Device Index

Page

Device Index
MMSZ4690Tl ........
MMSZ4691Tl ........
MMSZ4692Tl ........
MMSZ4693Tl ........
MMSZ4694Tl ........
MMSZ4695Tl ........
MMSZ4696Tl ........
MMSZ4697Tl ........
MMSZ4698Tl ........
MMSZ4699Tl ........
MMSZ4700Tl ........
MMSZ4701Tl ........
MMSZ4702Tl ........
MMSZ4703Tl ........
MMSZ4704Tl ........
MMSZ4705Tl ........
MMSZ4706T1 ........
MMSZ4707T1 ........
MMSZ4708Tl ........
MMSZ4709T1 ........
MMSZ4710T1 ........
MMSZ4711Tl .........
MMSZ4712Tl ........
MMSZ4713Tl ........
MMSZ4714Tl ........
MMSZ4715Tl ........
MMSZ4716Tl ........
MMSZ4717Tl ........
MMSZ5221 BTl .......
MMSZ5222BTl .......
MMSZ5223BTl .......
MMSZ5224BTl .......
MMSZ5225BTl .......
MMSZ5226BTl .......
MMSZ5227BTl .......
MMSZ5228BTl .......
MMSZ5229BTl .......
MMSZ5230BT1 .......
MMSZ5231BT1 .......
MMSZ5232BTl .......
MMSZ5233BT1 .......
MMSZ5234BTl .......
MMSZ5235BTl .......
MMSZ5236BTl .......
MMSZ5237BTl .......
MMSZ5238BTl .......
MMSZ5239BTl .......
MMSZ5240BTl .......
MMSZ5241BTl .......
MMSZ5242BTl .......
MMSZ5243BTl .......
MMSZ5244BTl .......
MMSZ5245BTl .......
MMSZ5246BT1 .......
MMSZ5247BTl .......
MMSZ5248BT1 .......
MMSZ5249BTl .......
MMSZ5250BTl .......
MMSZ5251 BTl .......
MMSZ5252BTl .......
MMSZ5253BTl .......
MMSZ5254BTl .......
MMSZ5255BTl .......
MMSZ5256BTl .......
MMSZ5257BTl .......
MMSZ5258BTl .......
MMSZ5259BTl .......

5.2-24, 5.2-31
5.2-24, 5.2-31
5.2-24, 5.2-31
5.2-24, 5.2-31
5.2-24, 5.2-31
5.2-24, 5.2-31
5.2-24, 5.2-31
5.2-24, 5.2-31
5.2-24,5.2-31
5.2-24,5.2-31
5.2-24, 5.2-31
5.2-24, 5.2-31
5.2-24,5.2-31
5.2-24,5.2-31
5.2-24, 5.2-31
5.2-24, 5.2-31
5.2-24,5.2-31
5.2-24,5.2-31
5.2-24, 5.2-31
5.2-24,5.2-31
5.2-24,5.2-31
5.2-24,5.2-31
5.2-25,5.2-31
5.2-25,5.2-31
5.2-25,5.2-31
5.2-25,5.2-31
5.2-25,5.2-31
5.2-25,5.2-31
5.2-24, 5.2-29
5.2-24, 5.2-29
5.2-24, 5.2-29
5.2-24, 5.2-29
5.2-24, 5.2-29
5.2-24, 5.2-29
5.2-24, 5.2-29
5.2-24, 5.2-29
5.2-24, 5.2-29
5.2-24, 5.2-29
5.2-24,5.2-30
5.2-24, 5.2-30
5.2-24, 5.2-30
5.2-24, 5.2-30
5.2-24, 5.2-30
5.2-24, 5.2-30
5.2-24, 5.2-30
5.2-24, 5.2-30
5.2-24, 5.2-30
5.2-24, 5.2-30
5.2-24,5.2-30
5.2-24, 5.2-30
5.2-24, 5.2-30
5.2-24, 5.2-30
5.2-24, 5.2-30
5.2-24, 5.2-30
5.2-24,5.2-30
5.2-24, 5.2-30
5.2-24, 5.2-30
5.2-24, 5.2-30
5.2-24,5.2-30
5.2-24, 5.2-30
5.2-24, 5.2-30
5.2-24, 5.2-30
5.2-25, 5.2-30
5.2-25, 5.2-30
5.2-25, 5.2-30
5.2-25, 5.2-30
5.2-25, 5.2-30

7.1-20

Device Index

Page

MMSZ5260BTl ....... 5.2-25, 5.2-30
MMSZ5261 BTl ....... 5.2-25, 5.2-30
MMSZ5262BTl ....... 5.2-25, 5.2-30
MMSZ5263BTl ....... 5.2-25, 5.2-30
MMSZ5264BT1 ....... 5.2-25, 5.2-30
MMSZ5265BT1 ....... 5.2-25, 5.2-30
MMSZ5266BT1 ....... 5.2-25, 5.2-30
MMSZ5267BT1 ....... 5.2-25, 5.2-30
MMSZ5268BT1 ....... 5.2-25, 5.2-30
MMSZ5269BTl ....... 5.2-25, 5.2-30
MMSZ5270BTl ....... 5.2-25, 5.2-30
MMSZ5271 BTl .............. 5.2-25
MMT10V275 ................. 5.7-14
MMT10V400 ................. 5.7-14
MMUN2111LTl .............. 5.1-12
MMUN2112LTl .............. 5.1-12
MMUN2113LTl .............. 5.1-12
MMUN2114LTl .............. 5.1-12
MMUN2115LTl .............. 5.1-12
MMUN2116LTl .............. 5.1-12
MMUN2130LTl .............. 5.1-12
MMUN2131LTl .............. 5.1-12
MMUN2132LTl .............. 5.1-12
MMUN2133LT1 .............. 5.1-12
MMUN2134LTl .............. 5.1-12
MMUN2211LT1 .............. 5.1-12
MMUN2212LT1 .............. 5.1-12
MMUN2213LTl .............. 5.1-12
MMUN2214LTl .............. 5.1-12
MMUN2215LTl .............. 5.1-12
MMUN2216LTl .............. 5.1-12
MMUN2230LT1 .............. 5.1-12
MMUN2231LTl .............. 5.1-12
MMUN2232LTl .............. 5.1-12
MMUN2233LTl .............. 5.1-12
MMUN2234LTl .............. 5.1-12
MOC2A60-5 ................ , 5.8-10
MOC2A60-10 ............... 5.8-10
MOC2R60-10 ............... 5.8-10
MOC2R60-15 ............... 5.8-10
MOCl19 ..................... 5.8-7
MOC205 ..................... 5.8-9
MOC205R2 .. .. .. .. .. .. .. .. ... 5.8-9
MOC206 ..................... 5.8-9
MOC206R2 .. .. .. .. .. .. .. .. ... 5.8-9
MOC207 ..................... 5.8-9
MOC207R2 .. .. .. .. .. .. .. .. ... 5.8-9
MOC211 ..................... 5.8-9
MOC211R2 ................... 5.8-9
MOC212 ..................... 5.8-9
MOC212R2 ................... 5.8-9
MOC213 ..................... 5.8-9
MOC213R2 . . . .. . .. . . .. . .. . ... 5.8-9
MOC215 ..................... 5.8-9
MOC215R2 ................... 5.8-9
MOC216 ........... .. .. .. . ... 5.8-9
MOC216R2 . . . . . . . . . . . . . . . . . .. 5.8-9
MOC217 ..................... 5.8-9
MOC217R2 ................... 5.8-9
MOC223 ..................... 5.8-9
MOC223R2 . . .. . .. . . .. . .. . . ... 5.8-9
MOC256 ..................... 5.8-9
MOC256R2 . . . .. .. .. . . .. . .. ... 5.8-9
MOC3010 .................... 5.8-8
MOC3011 .................... 5.8-8
MOC3012 .................... 5.8-8
MOC3021 .................... 5.8-8

Motorola Master Selection Guide

Device Index
Device Index

Page

MOC3022 ........ . . . . . . . . . . .. 5.8-8
MOC3023 ........ . . . . . . . . . . .. 5.8-8
MOC3031 .................... 5.8-8
MOC3032 .................... 5.8-8
MOC3033 ............... . . . .. 5.8-8
MOC3041 .................... 5.8-8
MOC3042 ............... . . . .. 5.8-8
MOC3043 ................ . . .. 5.8-8
MOC3051 .................... 5.8-8
MOC3052 .... . . . . . . . . . . . . . . .. 5.8-8
MOC3061 .................... 5.8-8
MOC3062 .. . . . . . . . . . . . . . . . . .. 5.8-8
MOC3063 .. . . . . . . . . . . . . . . . . .. 5.8-8
MOC3063S . . . . . . . . . . . . . . . . . .. 5.8-3
MOC3063SR2V . . . . . . . . . . . . . .. 5.8-3
MOC3063SV ................. 5.8-3
MOC3063TV ................. 5.8-3
MOC3063V . . . . . . . . . . . . . . . . . .. 5.8-3
MOC3081 .................... 5.8-8
MOC3082 .................. .. 5.8-8
MOC3083 .................... 5.8-8
MOC3162 .................... 5.8-8
MOC3163 .................... 5.8-8
MOC5007 .................... 5.8-8
MOC5008 ......... . . . . . . . . . .. 5.8-8
MOC5009 .................... 5.8-8
MOC8020 .................... 5.8-7
MOC8021 .................... 5.8-7
MOC8030 .................... 5.8-7
MOC8050 .................... 5.8-7
MOC8080 .................... 5.8-7
MOC8100 .................... 5.8-6
MOC8107 .................... 5.8-6
MOC8108 .................... 5.8-6
MOC8111 .................... 5.8-6
MOC8112 .................... 5.8-6
MOC8113 .................... 5.8-8
MOC8204 .................... 5.8-7
MOCD207 . . . . . . . . . . . . . . . . . . .. 5.8-9
MOCD207R2 ................. 5.8-9
MOCD208 . . . . . . . . . . . . . . . . . . .. 5.8-9
MOCD208R2 ................. 5.8-9
MOCD211 .................... 5.8-9
MOCD211R2 ................. 5.8-9
MOCD213 .................... 5.8-9
MOCD213R2 ................. 5.8-9
MOCD217 . . . . . . . . . . . . . . . . . . .. 5.8-9
MOCD217R2 ................. 5.8-9
MOCD223 . . . . . . . . . . . . . . . . . . .. 5.8-9
MOCD223R2 ................. 5.8-9
MPA1000 .............. 3.1-8,3.1-7
MPA1016 ............. 3.1-5,3.1-22
MPA1036 ....... 3.1-5,3.1-6,3.1-22
MPA1064 ....... 3.1-5,3.1-6,3.1-22
MPAll00 ........ 3.1-5,3.1-8,3.1-22
MPA1765 ............ 3.1-11,3.1-36
MPA17000 .................. 3.1-11
MPA17128 ........... 3.1-11,3.1-36
MPC27T415 .................. 2.8-3
MPC27T416 .................. 2.8-3
MPC903 .................... 3.1-16
MPC904 .................... 3.1-16
MPC905 .................... 3.1-16
MPC911 .................... 3.1-17
MPC930 .................... 3.1-17
MPC931 .................... 3.1-17
MPC946 .................... 3.1-17

Motorola Master Selection Guide

Device Index

Page

MPC947 .................... 3.1-16
MPC948 .................... 3.1-16
MPC949 .................... 3.1-17
MPC950 .................... 3.1-17
MPC951 .................... 3.1-17
MPC952 .................... 3.1-17
MPC956 .................... 3.1-17
MPC970 .................... 3.1-17
MPC974 .................... 3.1-16
MPC980 .................... 3.1-17
MPC992 .................... 3.1-17
MPC2104P ................... 2.8-8
MPC2105A ................... 2.8-8
MPC2105P . . . . . . . . . . . . . . . . . .. 2.8-6
MPC2106A ................... 2.8-6
MPC2604GA ................. 2.8-3
MPC2605 .................... 2.8-3
MPC9159-410 ............... 3.1-17
MPF102 ..................... 5.1-20
MPF910 ..................... 5.1-22
MPF930 ..................... 5.1-22
MPF960 ..................... 5.1-22
MPF970 ..................... 5.1-21
MPF971 ..................... 5.1-21
MPF990 ..................... 5.1-22
MPF3821 ................... 5.1-20
MPF4392 ................... 5.1-21
MPF4393 ................... 5.1-21
MPF4856 ................... 5.1-21
MPF4857 ................... 5.1-21
MPF4859 ................... 5.1-21
MPF4860 ................... 5.1-21
MPF4861 ................... 5.1-21
MPF6659 ................... 5.1-22
MPF6660 ................... 5.1-22
MPF6661 ................... 5.1-22
MPN3404 ............ 5.1-35,5.1-36
MPN3700 ............ 5.1-35,5.1-36
MPQ2222A ................... 5.1-9
MPQ2369 .................... 5.1-9
MPQ2483 .................... 5.1-9
MPQ2484 ............. . . . . . .. 5.1-9
MPQ2907A ................... 5.1-9
MPQ3467 .................... 5.1-9
MPQ3725 .................... 5.1-9
MPQ3762 .................... 5.1-9
MPQ3798 .................... 5.1-9
MPQ3799 .................... 5.1-9
MPQ3904 .................... 5.1-9
MPQ3906 .................... 5.1-9
MPQ6001 .................... 5.1-9
MPQ6002 .................... 5.1-9
MPQ6100A ................... 5.1-9
MPQ6426 .................... 5.1-9
MPQ6501 .................... 5.1-9
MPQ6502 .................... 5.1-9
MPQ6600Al .................. 5.1-9
MPQ6700 .................... 5.1-9
MPQ6842 .................... 5.1-9
MPQ7042 .................... 5.1-9
MPQ7043 .................... 5.1-9
MPQ7051 .................... 5.1-9
MPQ7093 .................... 5.1-9
MPS404A .................... 5.1-7
MPS571 ........... 5.10-17,5.10-21
MPS650 ..................... 5.1-4
MPS651 ..................... 5.1-4

7.1-21

Device Index

Page

MPS750 ..................... 5.1-4
MPS751 ..................... 5.1-4
MPS911 ............ 5.10-17,5.10-21
MPS918 ..................... 5.1-8
MPS2222A ................... 5.1-2
MPS2369A ................... 5.1-8
MPS2907A ................... 5.1-2
MPS3563 .................... 5.1-8
MPS3646 .................... 5.1-8
MPS3866 .................... 5.1-8
MPS3904 .................... 5.1-3
MPS3906 .................... 5.1-3
MPS4250 .................... 5.1-3
MPS4258 .................... 5.1-6
MPS5179 .................... 5.1-8
MPS6428 .................... 5.1-3
MPS6521 .................... 5.1-3
MPS6523 .................... 5.1-3
MPS6595 .................... 5.1-6
MPS6602 .................... 5.1-2
MPS6652 .................... 5.1-2
MPS6715 .................... 5.1-4
MPS6717 .................... 5.1-3
MPS6727 .................... 5.1-4
MPS8099 .................... 5.1-2
MPS8599 .................... 5.1-2
MPSA05 ..................... 5.1-2
MPSA06 ..................... 5.1-2
MPSA13 ..................... 5.1-4
MPSA14 ..................... 5.1-4
MPSA16 ..................... 5.1-7
MPSA17 ..................... 5.1-7
MPSA18 ..................... 5.1-3
MPSA20 ..................... 5.1-2
MPSA27 ..................... 5.1-4
MPSA29 ..................... 5.1-4
MPSA42 ..................... 5.1-5
MPSA44 ..................... 5.1-5
MPSA55 ..................... 5.1-2
MPSA56 ..................... 5.1-2
MPSA63 ..................... 5.1-4
MPSA64 ..................... 5.1-4
MPSA70 ..................... 5.1-2
MPSA75 ..................... 5.1-4
MPSA77 ..................... 5.1-4
MPSA92 ..................... 5.1-5
MPSH07A .................... 5.1-8
MPSH10 ..................... 5.1-6
MPSHll ..................... 5.1-8
MPSH17 ..................... 5.1-8
MPSH20 ..................... 5.1-8
MPSH24 ..................... 5.1-8
MPSH69 ..................... 5.1-8
MPSH81 ..................... 5.1-6
MPSW01A ................... 5.1-4
MPSW06 ..................... 5.1-3
MPSW42 ..................... 5.1-5
MPSW45A ................... 5.1-4
MPSW51A ................... 5.1-4
MPSW56 ..................... 5.1-3
MPSW64 ..................... 5.1-4
MPSW92 ..................... 5.1-5
MPX10D ............... 5.9-7,5.9-8
MPX10DP .................... 5.9-8
MPX10GP . . . . . . . . . . . . . . . . . . .. 5.9-8
MPX10GS .................... 5.9-8
MPX10GSX .................. 5.9-8

Device Index

Device Index
Device Index

Page

MPX10GVP .................. 5.9-8
MPX50D ............... 5.9-7,5.9-8
MPX50DP . . . . . . . . . . . . . . . . . . .. 5.9-8
MPX50GP . . . . . . . . . . . . . . . . . . .. 5.9-8
MPX50GS . . . . . . . . . . . . . . . . . . .. 5.9-8
MPX50GSX .................. 5.9-8
MPX50GVP .................. 5.9-8
MPX50GVSX ................. 5.9-8
MPX100A .............. 5.9-7,5.9-8
MPX100AP . . . . . . . . . . . . . . . . . .. 5.9-8
MPX100AS ................... 5.9-8
MPX100ASX ................. 5.9-8
MPX100D .............. 5.9-7,5.9-8
MPX100DP ................... 5.9-8
MPX100GP ........... , ....... 5.9-8
MPX100GS .. " ............... 5.9-8
MPX100GSX ................. 5.9-8
MPX100GVP ................. 5.9-8
MPX100GVS ................. 5.9-8
MPX100GVSX ................ 5.9-8
MPX200A .............. 5.9-7, 5.9-8
MPX200AP . . . . . . . . . . . . . . . . . .. 5.9-8
MPX200AS . . . . . . . . . . . . . . . . . .. 5.9-8
MPX200D .............. 5.9-7,5.9-8
MPX200DP . . . . . . . . . . . . . . . . . .. 5.9-8
MPX200GP . . . . . . . . . . . . . . . . . .. 5.9-8
MPX200GS ................. " 5.9-8
MPX200GSX ................. 5.9-8
MPX200GVP ................. 5.9-8
MPX700A .............. 5.9-7, 5.9-8
MPX700AP . . . . . . . . . . . . . . . . . .. 5.9-8
MPX700ASX ................. 5.9-8
MPX700D .............. 5.9-7, 5.9-8
MPX700DP . . . . . . . . . . . . . . . . . .. 5.9-8
MPX700GP . . . . . . . . . . . . . . . . . .. 5.9-8
MPX700GSX ................. 5.9-8
MPX906D .................... 5.9-8
MPX906GVW . . . . . . . . . . . . . . . .. 5.9-8
MPX2010D .... , ........ 5.9-7,5.9-8
MPX2010DP .................. 5.9-8
MPX2010GP ................. 5.9-8
MPX2010GS ................. 5.9-8
MPX2010GSX ................ 5.9-8
MPX2010GVP ................ 5.9-8
MPX2010GVS ................ 5.9-8
MPX2050D ............. 5.9-7,5.9-8
MPX2050DP . . . . . . . . . . . . . . . . .. 5.9-8
MPX2050GP ................. 5.9-8
MPX2050GSX ................ 5.9-8
MPX2050GVP ................ 5.9-8
MPX2052D ................... 5.9-7
MPX2100 .................... 5.9-4
MPX2100A ............. 5.9-7,5.9-8
MPX2100AP .............. " .. 5.9-8
MPX2100AS .................. 5.9-8
MPX2100ASX ................ 5.9-8
MPX2100D . . . . . . . . . . . . . . . . . .. 5.9-8
MPX2100DP .................. 5.9-8
MPX2100GP ................. 5.9-8
MPX2100GS ................. 5.9-8
MPX2100GSX ................ 5.9-8
MPX2100GVP ................ 5.9-8
MPX2100GVS ................ 5.9-8
MPX2100GVSX ............... 5.9-8
MPX2200A ............. 5.9-7, 5.9-8
MPX2200AP . . . . . . . . . . . . . . . . .. 5.9-8
MPX2200AS . . . . . . . . . . . . . . . . .. 5.9-8

Device Index

Device Index

Page

MPX2200ASX ................ 5.9-8
MPX2200D ................... 5.9-8
MPX2200DP ................. , 5.9-8
MPX2200GP ................. 5.9-8
MPX2200GSX ................ 5.9-8
MPX2300DT1 .. . . . . . . . . . . . . . .. 5.9-7
MPX2700A ............. 5.9-7,5.9-8
MPX2700AP ................. , 5.9-8
MPX2700AS . . . . . . . . . . . . . . . . .. 5.9-8
MPX2700ASX ................ 5.9-8
MPX2700D ............. 5.9-7,5.9-8
MPX2700DP . . . . . . . . . . . . . . . . .. 5.9-8
MPX2700GP ................. 5.9-8
MPX2700GSX ................ 5.9-8
MPX4100A ............. 5.9-7,5.9-9
MPX4100AP .................. 5.9-9
MPX4100AS .................. 5.9-9
MPX4100ASX ................ 5.9-9
MPX4101A ............. 5.9-7,5.9-9
MPX4101AP .................. 5.9-9
MPX4101AS .................. 5.9-9
MPX4101ASX ................ 5.9-9
MPX4115A ............. 5.9-7,5.9-9
MPX4115AP .................. 5.9-9
MPX4115AS " .. , ............. 5.9-9
MPX4115ASX ................ 5.9-9
MPX4250A ............. 5.9-7,5.9-9
MPX4250AP .. .. . .. . .. . . .. . . .. 5.9-9
MPX4250ASX ................ 5.9-9
MPX5010D ........... ,. 5.9-7,5.9-9
MPX5010DP .................. 5.9-9
MPX5010GP ................. 5.9-9
MPX5010GS ................. 5.9-9
MPX5010GSX ................ 5.9-9
MPX5010GVP ................ 5.9-9
MPX5010GVS ................ 5.9-9
MPX5010GVSX ............... 5.9-9
MPX5050D ............. 5.9-7,5.9-9
MPX5050DP . .. . .. . . . . . . . . .. .. 5.9-9
MPX5050GP ................. 5.9-9
MPX5050GS ................. 5.9-9
MPX5050GSX ................ 5.9-9
MPX5050GVP ................ 5.9-9
MPX5050GVS ................ 5.9-9
MPX5050GVSX .. .. .. .. .. .. ... 5.9-9
MPX5100A ............. 5.9-7,5.9-9
MPX5100AP .................. 5.9-9
MPX5100AS .................. 5.9-9
MPX5100ASX ................ 5.9-9
MPX5100D ....... 5.9-4,5.9-7,5.9-9
MPX5100DP .................. 5.9-9
MPX5100GP ................. 5.9-9
MPX5100GS ................. 5.9-9
MPX5100GSX ................ 5.9-9
MPX5100GVP ................ 5.9-9
MPX5100GVS ................ 5.9-9
MPX5100GVSX ............... 5.9-9
MPX5500D ............. 5.9-7, 5.9-9
MPX5500DP . . .. . . . . . .. . .. . ... 5.9-9
MPX5500GP ................. 5.9-9
MPX5500GS ................. 5.9-9
MPX5500GSX ................ 5.9-9
MPX5700D ............. 5.9-7,5.9-9
MPX5700DP . .. . . .. . .. . . .. . ... 5.9-9
MPX5700GP ................. 5.9-9
MPX5700GS ................. 5.9-9
MPX5700GSX ................ 5.9-9

7.1-22

Device Index

Page

MPX5999D ............. 5.9-7,5.9-9
MPX7050D ............. 5.9-7,5.9-9
MPX7050DP . . . .. .. .. . . .. . . ... 5.9-9
MPX7050GP ................. 5.9-9
MPX7050GS ................. 5.9-9
MPX7050GSX ................ 5.9-9
MPX7100A ............. 5.9-7,5.9-9
MPX7100AP .................. 5.9-9
MPX7100AS .................. 5.9-9
MPX7100D ............. 5.9-7,5.9-9
MPX7100DP .................. 5.9-9
MPX7100GP ................. 5.9-9
MPX7100GS ................. 5.9-9
MPX7100GSX ................ 5.9-9
MPX7100GVP ................ 5.9-9
MPX7100GVSX ............... 5.9-9
MPX7200A ............. 5.9-7, 5.9-9
MPX7200AP .. .. .. .. .. .. . .. ... 5.9-9
MPX7200D ............. 5.9-7, 5.9-9
MPX7200DP .. .. . .. . . .. .. . . ... 5.9-9
MPX7200GP ................. 5.9-9
MPXB5050G ................ 5.9-10
MPXL5010 .................. 5.9-10
MPXS4100A ................. 5.9-10
MPXS4115A ................. 5.9-10
MPXT2010G ................. 5.9-10
MPXT4105 .................. 5.9-10
MPXT5006 .................. 5.9-10
MR754 ...................... 5.6-13
MR760 ...................... 5.6-13
MR852 ...................... 5.6-13
MR856 ...................... 5.6-13
MR2504 ..................... 5.6-13
MR2510 ..................... 5.6-13
MR2535L ...... 5.2-18,5.6-3,5.6-13
MR2535S ............. 5.6-3,5.6-13
MRA0510-50H ............. 5.10-12
MRA1000-3.5L ............. 5.10-12
MRA1000-7L ............... 5.10-12
MRA1000-14L .............. 5.10-12
MRA1600-002 .............. 5.10-10
MRA4003 ................... 5.6-13
MRA4004 ................... 5.6-13
MRA4005 ................... 5.6-13
MRA4006 ................... 5.6-13
MRA4007 ................... 5.6-13
MRA4935T3 ................. 5.6-13
MRA4936T3 ................. 5.6-13
MRA4937T3 ................. 5.6-13
MRF134 .................... 5.10-4
MRF136 .................... 5.10-4
MRF136Y ................... 5.10-4
MRF137 .................... 5.10-4
MRF140 .................... 5.10-4
MRF141 .................... 5.10-4
MRF141G ................... 5.10-4
MRF148 .................... 5.10-4
MRF150 .................... 5.10-4
MRF151 .................... 5.10-4
MRF151G ................... 5.10-4
MRF154 .................... 5.10-4
MRF157 .................... 5.10-4
MRF158 .................... 5.10-5
MRF160 .................... 5.10-5
MRF166C ................... 5.10-5
MRF173 .................... 5.10-4
MRF173CQ ................. 5.10-4

Motorola Master Selection Guide

Device Index
Device Index

Page

MRF174 .................... 5.1Q.-4
MRF175GU ................. 5.10-5
MRF175GV .................. 5.1Q.-4
MRF175LU .................. 5.10-5
MRF175LV .................. 5.1 Q.-4
MRF176GU ................. 5.10-5
MRF176GV . . . . . . . . . . . . . . . . .. 5.1 Q.-4
MRF177 .................... 5.10-5
MRF181S ................... 5.10-6
MRF181Z ................... 5.10-6
MRF182 .................... 5.10-6
MRF182S ................... 5.10-6
MRF183 .................... 5.10-6
MRF183S ................... 5.10-6
MRFl84 .................... 5.10-6
MRF184S ................... 5.10-6
MRF185 .................... 5.10-6
MRF186 .................... 5.10-6
MRF190S ................... 5.10-5
MRF191 .................... 5.10-5
MRF191S ................... 5.10-5
MRF192 .................... 5.10-5
MRF192S ................... 5.10-5
MRF193 .................... 5.10-5
MRF194 .................... 5.10-5
MRF195S ................... 5.10-6
MRF196 .................... 5.10-6
MRF196S ................... 5.10-6
MRF197 .................... 5.10-6
MRF197S ................... 5.10-6
MRF198 .................... 5.10-6
MRF199 .................... 5.10-6
MRF224 .................... 5.10-6
MRF240 .................... 5.10-6
MRF247 .................... 5.10-6
MRF255 .................... 5.1 Q.-4
MRF275G ................... 5.10-5
MRF275L ................... 5.10-5
MRF280S .................. 5.10-13
MRF280Z .................. 5.10-13
MRF281S .................. 5.10-13
MRF281Z .................. 5.10-13
MRF282S .................. 5.10-13
MRF284 ................... 5.10-13
MRF284S .................. 5.10-13
MRF286 ................... 5.10-13
MRF286S .................. 5.10-13
MRF313 .................... 5.10-6
MRF314 .................... 5.10-7
MRF316 .................... 5.10-7
MRF317 .................... 5.10-7
MRF321 .................... 5.10-6
MRF323 .................... 5.10-6
MRF325 .................... 5.10-6
MRF326 .................... 5.10-6
MRF327 .................... 5.10-6
MRF329 .................... 5.10-6
MRF392 .................... 5.10-8
MRF393 .................... 5.10-6
MRF421 .................... 5.10-7
MRF422 .................... 5.10-7
MRF426 .................... 5.10-7
MRF429 .................... 5.10-7
MRF448 .................... 5.10-7
MRF454 .................... 5.10-7
MRF455 .................... 5.10-7
MRF492 .................... 5.10-7

Motorola Master Selection Guide

Device Index

Page

MRF553 ............ 5.10-6,5.10-18
MRF555 ............ 5.10-9,5.10-18
MRF557 ............ 5.10-9,5.10-18
MRF559 ............ 5.10-9,5.10-18
MRF571 ........... 5.10-18,5.10-21
MRF577T1 ......... 5.10-19,5.10-21
MRF581 ........... 5.10-9,5.10-18,
5.10-21, 5.10-22
MRF581A .......... 5.10-18,5.10-22
MRF587 ........... 5.10-20,5.10-22
MRF841 .................... 5.10-9
MRF644 .................... 5.10-9
MRF650 .................... 5.10-9
MRF652 .................... 5.10-9
MRF652S ................... 5.10-9
MRF653 .................... 5.10-9
MRF653S ................... 5.10-9
MRF654 .................... 5.10-9
MRF658 .................... 5.10-9
MRF837 ............ 5.10-9,5.10-18
MRF840 .................... 5.10-9
MRF842 .................... 5.10-9
MRF847 .................... 5.10-9
MRF857S .................. 5.10-12
MRF858 ................... 5.10-12
MRF858S .................. 5.10-12
MRF859 ................... 5.10-12
MRF859S .................. 5.10-12
MRF861 ................... 5.10-12
MRF862 ................... 5.10-12
MRF891 ................... 5.10-10
MRF891S .................. 5.10-10
MRF892 ................... 5.10-10
MRF894 ................... 5.10-10
MRF896 ................... 5.10-10
MRF897 ................... 5.10-10
MRF897R .................. 5.10-10
MRF898 ................... 5.10-10
MRF899 ................... 5.10-10
MRF901 ........... 5.10-18,5.10-21
MRF917Tl ......... 5.10-19,5.10-21
MRF927Tl ......... 5.10-19,5.10-21
MRF947AT1 ........ 5.10-19,5.10-21
MRF947BTl ........ 5.10-19,5.10-21
MRF947RT3 ........ 5.10-19,5.10-21
MRF947T1 ......... 5.10-19,5.10-21
MRF951 ........... 5.10-18,5.10-21
MRF957Tl ......... 5.10-19,5.10-21
MRF1000MA ............... 5.10-10
MRF1000MB ............... 5.10-10
MRF1002MA ............... 5.10-10
MRF1002MB ............... 5.10-10
MRF1004MA ............... 5.10-10
MRF1004MB ............... 5.10-10
MRF1015MA ............... 5.10-11
MRF1015MB ............... 5.10-11
MRF1035MA ............... 5.10-11
MRF1035MB ............... 5.10-11
MRF1090MA ..•............ 5.10-11
MRF1090MB ............... 5.10-11
MRFl150MA ............... 5.10-11
MRFl150MB ............... 5.10-11
MRF1507 ................... 5.10-5
MRF1946 ................... 5.10-6
MRF1946A .................. 5.10-6
MRF2628 ................... 5.10-6
MRF2947A ................. 5.10-21

7.1-23

Device Index

Page

MRF2947ATl ............... 5.10-19
MRF2947RA ................ 5.10-21
MRF2947RAT1 ............. 5.10-19
MRF3010 ................... 5.10-6
MRF3866R2 ................ 5.10-19
MRF4427 ........... 5.10-6,5.10-19
MRF5003 ................... 5.10-5
MRF5007 ................... 5.10-5
MRF5015 ................... 5.10-5
MRF5035 ................... 5.10-5
MRF5211LTl ....... 5.10-19,5.10-21
MRF5711LT1 ....... 5.10-19,5.10-21
MRF5811LTl ....... 5.10-19,5.10-21
MRF5812 ......... 5.10-19,5.10-21,
5.10-22
MRF5943 .......... 5.10-19,5.10-22
MRF8401 .................. 5.10-13
MRF6402 .................. 5.10-13
MRF8404 .................. 5.10-13
MRF8408 .................. 5.10-13
MRF6409 .................. 5.10-10
MRF6414 .................. 5.10-10
MRF6522--6 ................. 5.10-6
MRF6522-10 ................ 5.10-6
MRF6525-5 ................ 5.10-13
MRF6525-10 ............... 5.10-13
MRF8372 ................... 5.10-9
MRF8372Rl ................ 5.10-19
MRF9011LTl ....... 5.10-19,5.10-21
MRF9242T1 ................ 5.10-15
MRF9251Tl ................ 5.10-15
MRF9282Tl ................ 5.10-15
MRF9331LTl ............... 5.10-19
MRF9411BLT1 ...... 5.10-19,5.10-21
MRF9411LT1 ....... 5.10-19,5.10-21
MRF9511ALTl ...... 5.10-19,5.10-21
MRF9511LTl ....... 5.10-19,5.10-21
MRF9742 .................. 5.10-15
MRF9745T1 ................ 5.10-15
MRF9762 .................. 5.10-15
MRF9811T1 ................ 5.10-15
MRF9822T1 ................ 5.10-15
MRF10005 ................. 5.10-11
MRF10031 ................. 5.10-11
MRF10070 ................. 5.10-11
MRF10120 ................. 5.10-11
MRF10150 ................. 5.10-11
MRF10350 ................. 5.10-11
MRF10500 ................. 5.10-11
MRF10501 ................. 5.10-11
MRF15030 ................. 5.10-13
MRF15060 ................. 5.10-13
MRF15060S ................ 5.10-13
MRF15090 ................. 5.10-13
MRF16006 ................. 5.10-10
MRF16030 ................. 5.10-10
MRF20030 ................. 5.10-13
MRF20060 ................. 5.10-13
MRF20060S ................ 5.10-13
MRF20120 ................. 5.10-13
MRFA2600 ................. 5.10-35
MRFA2602 ................. 5.10-35
MRFA2604 ................. 5.10-35
MRFIC0001 ................ 5.10-25
MRFIC0903 ................ 5.10-24
MRFIC0904 ................ 5.10-26
MRFIC0910 ................ 5.10-26

Device Index

Device Index
Device Index

Page

MRFIC0912 ................ 5.10-26
MRFIC0913 ................ 5.10-27
MRFIC0914 ................ 5.10-27
MRFIC0915 ........ 5.10-24, 5.10-25
MRFIC0916 ........ 5.10-24, 5.10-25
MRFIC0917 ................ 5.10-27
MRFIC0920 ................ 5.10-27
MRFIC0923 ................ 5.10-26
MRFIC0931 ................ 5.10-26
MRFIC1501 ................ 5.10-24
MRFIC1502 ................ 5.10-24
MRFIC1801 ................ 5.10-24
MRFIC1803 ........ 5.10-27,5.10-28
MRFIC1804 ................ 5.10-25
MRFIC1805 ................ 5.10-27
MRFIC1806 ................ 5.10-27
MRFIC1807 ........ 5.10-27,5.10-28
MRFIC1808 ................ 5.10-24
MRFIC1813 ........ 5.10-27,5.10-28
MRFIC1814 ................ 5.10-25
MRFIC1817 ................ 5.10-27
MRFIC1818 ................ 5.10-27
MRFIC2001 ................ 5.10-24
MRFIC2002 ................ 5.10-26
MRFIC2003 ................ 5.10-24
MRFIC2004 ................ 5.10-26
MRFIC2006 ................ 5.10-26
MRFIC2101 ................ 5.10-26
MRFIC2401 ................ 5.10-25
MRFIC2403 ................ 5.10-28
MRFIC2404 ................ 5.10-28
MRFIC2406 ................ 5.10-28
MRFIC2410 ................ 5.10-28
MRFIC09021 ............... 5.10-24
MSA1022-BTl ............... 5.1-14
MSA1022-CTl .............. 5.1-14
MSB81Tl ................... 5.1-14
MSB709-RTl ................ 5.1-11
MSB709-8T1 ................ 5.1-11
MSB710-QT1 ............... 5.1-11
MSB710-RTl ................ 5.1-11
MSB1218A-RTl ............. 5.1-11
MSC1621Tl ................. 5.1-14
MSC229S-BTl .............. 5.1-14
MSC2295-CTl .............. 5.1-14
MSC2404-CTl .............. 5.1-14
MSC3130Tl ................. 5.1-14
MSC3930-BT1 .............. 5.1-11
MSD601-RTl ............... 5.1-11
MSD601-8T1 ................ 5.1-11
MSD602-RTl ............... 5.1-11
MSD10l0Tl .......... 5.1-16,5.1-41
MSD1328--RTl .............. 5.1-11
MSD1819A-RTl ............. 5.1-11
MTB1Nl00E ................. 5.4-11
MTB2P50E .................. 5.4-19
MTB3Nl00E ................. 5.4-11
MTB3N120E ................. 5.4-11
MTB4N80E .................. 5.4-11
MTB6N60E ................. , 5.4-11
MTB8N50E .................. 5.4-11
MTB9N25E .................. 5.4-11
MTB10N40E ................. 5.4-11
MTB15N06V ........... 5.4-6,5.4-11
MTB15N06VL. ................ 5.4-6
MTB16N25E ................. 5.4-11
MTB20N06V ................ " 5.4-6

Device Index

Device Index

Page

MTB20N20E ................. 5.4-11
MTB23P06E ................. 5.4-19
MTB23P06V . . . . . . . . . . . . . . . . .. 5.4-6
MTB30N06EL. ............... 5.4-11
MTB30N06VL . . . . . . . . .. 5.4-6, 5.4-21
MTB30P06V . . . . . . . . . . . . . . . . .. 5.4-6
MTB33Nl0E ................. 5.4-11
MTB36N06V ........... 5.4-6,5.4-11
MTB50N06V ........... 5.4-6,5.4-11
MTB50N06VL ... 5.4-6, 5.4-11, 5.4-21
MTB50P03HDL ....... 5.4-3,5.4-19,
5.4-21
MTB52N06V . . . . . . . . . . . . . . . . .. 5.4-6
MTB52N06VL . . . . . . . . . . . . . . . .. 5.4-6
MTB60N06HD ......... 5.4-3,5.4-11
MTB75N03HDL . 5.4-3, 5.4-11, 5.4-21
MTB75N05HD ......... 5.4-3,5.4-11
MTB75N06HD ......... 5.4-3,5.4-11
MTD1N50E .................. 5.4-10
MTD1N60E .................. 5.4-10
MTD1N80E .................. 5.4-10
MTD1P50E .................. 5.4-18
MTD2N40E .................. 5.4-10
MTD2N50E .................. 5.4-10
MTD3N25E . . . . . . . . . . . . . . . . .. 5.4-10
MTD4N20E .................. 5.4-10
MTD5N10E .................. 5.4-10
MTD5N25E .................. 5.4-10
MTD5P06E .................. 5.4-18
MTD5P06V ............ 5.4-6,5.4-18
MTD6N10E ., ., ........ " .... 5.4-10
MTD6N15 ................... 5.4-10
MTD6N20E .................. 5.4-10
MTD6P10E .................. 5.4-18
MTD8N60E .................. 5.4-10
MTD9Nl0E .................. 5.4-10
MTD10N05E ................. 5.4-10
MTD10Nl0EL ........ 5.4-10,5.4-20
MTD15N06V .... 5.4-5,5.4-10,5.4-20
MTD15N06VL ................ 5.4-5
MTD20N03HDL ....... 5.4-3,5.4-10,
5.4-20
MTD20N06HD ......... 5.4-3,5.4-10
MTD20N06HDL ....... 5.4-3,5.4-10,
5.4-20
MTD20N06V . . . . . . . . . . . . . . . . .. 5.4-5
MTD20P03HDL ....... 5.4-3,5.4-18,
5.4-20
MTD20P06HDL ....... 5.4-3,5.4-18,
5.4-20
MTD30P06V ................. 5.4-19
MTD2955V ................... 5.4-6
MTD3055V ............ 5.4-5,5.4-10
MTD3055VL .... 5.4-5,5.4-10,5.4-20
MTDFl N02HD .......... 5.4-4, 5.4-9
MTDFl N03HD .......... 5.4-4, 5.4-9
MTP1N50E .................. 5.4-13
MTP1N60E .................. 5.4-13
MTP1Nl00E ................. 5.4-13
MTP2N40E .................. 5.4-13
MTP2N50E .................. 5.4-13
MTP2N60E .................. 5.4-13
MTP2P50E .................. 5.4-19
MTP3N25E .................. 5.4-13
MTP3N50E ... " ............. 5.4-13
MTP3N60E .................. 5.4-13
MTP3N100E ................. 5.4-13

7.1-24

Device Index

Page

MTP3N120E ................. 5.4-13
MTP4N40E .................. 5.4-13
MTP4N50E .................. 5.4-13
MTP4N80E .................. 5.4-13
MTP5N40E .................. 5.4-13
MTP5P06V ............ 5.4-6,5.4-19
MTP6N60E .................. 5.4-13
MTP6P20E .................. 5.4-19
MTP7N20E .................. 5.4-13
MTP8N50E .................. 5.4-13
MTP9N25E .................. 5.4-13
MTP10Nl0E ................. 5.4-13
MTP10Nl0EL ......... 5.4-13,5.4-21
MTP10N40E ................. 5.4-13
MTP12Nl0E ................. 5.4-13
MTP12P10 .................. 5.4-19
MTP15N05EL ......... 5.4-14,5.4-21
MTP15N06V ........... 5.4-5,5.4-14
MTP15N06VL ... 5.4-5,5.4-14,5.4-21
MTP16N25E ................. 5.4-13
MTP20N06V ........... 5.4-5,5.4-14
MTP20N20E ................. 5.4-13
MTP23P06V ........... 5.4-6,5.4-19
MTP27Nl0E ................. 5.4-13
MTP30N06EL . . . . . . . . . . . . . . .. 5.4-21
MTP30N06VL ... 5.4-5,5.4-14,5.4-21
MTP30P06V ................. , 5.4-6
MTP33Nl0E ................. 5.4-13
MTP36N06V ........... 5.4-5,5.4-14
MTP50N05EL ................ 5.4-21
MTP50N06EL. . . . . . . . . . . . . . .. 5.4-21
MTP50N06V ........... 5.4-5,5.4-14
MTP50N06VL ... 5.4-5,5.4-14,5.4-21
MTP50P03HDL ....... 5.4-3,5.4-19,
5.4-21
MTP52N06V ........... 5.4-5,5.4-14
MTP52N06VL ... 5.4-5,5.4-14,5.4-21
MTP60N06HD ......... 5.4-3,5.4-14
MTP75N03HDL ....... 5.4-3,5.4-14,
5.4-21
MTP75N05HD ......... 5.4-3,5.4-14
MTP75N06HD ......... 5.4-3,5.4-14
MTP2955V ............ 5.4-6,5.4-19
MTP3055EL ................. 5.4-21
MTP3055V ............ 5.4-5,5.4-14
MTP3055VL .... 5.4-5,5.4-14,5.4-21
MTSF1P02HD ......... 5.4-4,5.4-17
MTSF3N03HD .......... 5.4-4, 5.4-9
MTV6N100E ................. 5.4-12
MTV10N100E ................ 5.4-12
MTV16N50E ................. 5.4-12
MTV20N50E ................. 5.4-12
MTV25N50E ................. 5.4-12
MTV32N05E ................. 5.4-12
MTV32N20E ................. 5.4-12
MTW6N100E ................ 5.4-15
MTW7N80E ................. 5.4-15
MTW8N60E ................. 5.4-15
MTW10N100E ............... 5.4-15
MTW14N50E ................ 5.4-15
MTW16N40E ................ 5.4-15
MTW20N50E ................ 5.4-15
MTW24N40E ................ 5.4-15
MTW32N20E ................ 5.4-15
MTW32N25E ................ 5.4-15
MTW35N15E ................ 5.4-15
MTW45N10E ................ 5.4-15

Motorola Master Selection Guide

Device Index
Device Index

Page

Device Index

MTY20N50E .................
MTY25N60E .................
MTY30N50E .................
MTY55N20E .................
MTY100N10E ................
MUN2111T1 .................
MUN2112T1 .................
MUN2113T1 .................
MUN2114T1 .................
MUN2115T1 .................
MUN2116T1 .................
MUN2130T1 .................
MUN2131T1 .................
MUN2132T1 .................
MUN2133T1 .................
MUN2134T1 .................
MUN2211T1 .................
MUN2212T1 .................
MUN2213T1 .................
MUN2214T1 .................
MUN2215T1 .................
MUN2216T1 .................
MUN2230T1 .................
MUN2231T1 .................
MUN2232T1 .................
MUN2233T1 .................
MUN2234T1 .................
MUN5111DW1T1 .............
MUN5111T1 .................
MUN5112DW1T1 .............
MUN5112T1 .................
MUN5113DW1T1 .............
MUN5113T1 .................
MUN5114DW1T1 .............
MUN5114T1 .................
MUN5115DW1T1 .............
MUN5115T1 .................
MUN5116DW1T1 .............
MUN5116T1 .................
MUN5130DW1T1 ............
MUN5130T1 .................
MUN5131DW1T1 ............
MUN5131T1 .................
MUN5132DW1T1 ............
MUN5132T1 .................
MUN5133DW1T1 ............
MUN5133T1 .................
MUN5134DW1T1 ............
MUN5134T1 .................
MUN5135DW1T1 ............
MUN5211DW1T1 .............
MUN5211T1 .................
MUN5212DW1T1 ............
MUN5212T1 .................
MUN5213DW1T1 ............
MUN5213T1 .................
MUN5214DW1T1 ............
MUN5214T1 .................
MUN5215DW1T1 ............
MUN5215T1 .................
MUN5216DW1T1 ............
MUN5216T1 .................
MUN5230DW1T1 ............
MUN5230T1 .................
MUN5231DW1T1 ............
MUN5231T1 .................
MUN5232DW1T1 ............

5.4-16
5.4-16
5.4-16
5.4-16
5.4-16
5.1-12
5.1-12
5.1-12
5.1-12
5.1-12
5.1-12
5.1-12
5.1-12
5.1-12
5.1-12
5.1-12
5.1-12
5.1-12
5.1-12
5.1-12
5.1-12
5.1-12
5.1-12
5.1-12
5.1-12
5.1-12
5.1-12
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13
5.1-13

MUN5232T1 ................. 5.1-13
MUN5233DW1T1 ............ 5.1-13
MUN5233T1 ................. 5.1-13
MUN5234DW1T1 ............ 5.1-13
MUN5234T1 ................. 5.1-13
MUN5235DW1T1 ............ 5.1-13
MUN5311DW1T1 ............. 5.1-13
MUN5312DW1T1 ............ 5.1-13
MUN5313DW1T1 ............ 5.1-13
MUN5314DW1T1 ............ 5.1-13
MUN5315DW1T1 ............ 5.1-13
MUN5316DW1T1 ............ 5.1-13
MUN5330DW1T1 ............ 5.1-13
MUN5331DW1T1 ............ 5.1-13
MUN5332DW1T1 ............ 5.1-13
MUN5333DW1T1 ............ 5.1-13
MUN5334DW1T1 ............ 5.1-13
MUR120 .................... 5.6-10
MUR160 .................... 5.6-10
MUR420 .................... 5.6-10
MUR460 .................... 5.6-10
MUR620CT .................. 5.6-11
MUR820 .................... 5.6-11
MUR840 .................... 5.6-11
MUR860 .................... 5.6-11
MUR880E ............. 5.6-3,5.6-11
MUR1100E .................. 5.6-10
MUR1520 ................... 5.6-11
MUR1540 ................... 5.6-11
MUR1560 ................... 5.6-11
MUR1620CT ................ 5.6-11
MUR1620CTR ............... 5.6-11
MUR1640CT ................ 5.6-11
MUR1660CT ................ 5.6-11
MUR3020PT ................. 5.6-12
MUR3020WT ................ 5.6-12
MUR3040 ................... 5.6-12
MUR3040PT ................. 5.6-12
MUR3040WT ................ 5.6-12
MUR3060PT ................. 5.6-12
MUR3060WT ................ 5.6-12
MUR3080 ................... 5.6-12
MUR4100E .................. 5.6-10
MUR6040 ................... 5.6-12
MUR8100E .................. 5.6-11
MUR10120E ........... 5.6-3,5.6-11
MUR10150E ........... 5.6-3,5.6-11
MURB1620CT ............... 5.6-10
MURB1660CT ............... 5.6-10
MURD320 ................... 5.6-10
MURD620CT ................ 5.6-10
MURF820 ................... 5.6-11
MURF1620CT ............... 5.6-11
MURF1660CT ............... 5.6-11
MURH840CT .......... 5.6-3,5.6-11
MURH860CT .......... 5.6-3,5.6-11
MURHB840CT ......... 5.6-3,5.6-10
MURP20020CT .............. 5.6-12
MURP20040CT .............. 5.6-12
MURS120T3 ................. 5.6-10
MURS160T3 ................. 5.6-10
MURS320T3 ................. 5.6-10
MURS360T3 ................. 5.6-10
MV104 ............... 5.1-25,5.1-28
MV104G .................... 5.1-25
MV209 ............... 5.1-29,5.1-31
MV409 ............... 5.1-30,5.1-31

Motorola Master Selection Guide

7.1-25

Page

Device Index

Page

MV1403 .............. 5.1-31,5.1-32
MV1404 .............. 5.1-31,5.1-32
MV1405 .............. 5.1-31,5.1-32
MV1620 .............. 5.1-25,5.1-27
MV1624 ..................... 5.1-27
MV1626 ..................... 5.1-27
MV1628 .............. 5.1-25,5.1-27
MV1630 ..................... 5.1-27
MV1634 ..................... 5.1-27
MV1636 ..................... 5.1-27
MV1638 .............. 5.1-25,5.1-27
MV1640 ..................... 5.1-27
MV1642 .............. 5.1-25,5.1-27
MV1644 ..................... 5.1-27
MV1648 ..................... 5.1-27
MV1650 .............. 5.1-25,5.1-27
MV2101 .............. 5.1-25,5.1-27
MV2103 ..................... 5.1-27
MV2104 ..................... 5.1-27
MV2105 .............. 5.1-25,5.1-27
MV21 07 ..................... 5.1-27
MV2108 ..................... 5.1-27
MV2109 .............. 5.1-25,5.1-27
MV2111 ..................... 5.1-27
MV2113 ..................... 5.1-27
MV2114 ..................... 5.1-27
MV2115 .............. 5.1-25,5.1-27
MV7005T1 ........... 5.1-30,5.1-32
MV7404T1 ........... 5.1-31,5.1-32
MVAM108 ............ 5.1-30,5.1-32
MVAM109 ............ 5.1-30,5.1-32
MVAM115 ............ 5.1-31,5.1-32
MVAM125 ............ 5.1-31,5.1-32
MXR9745T1 ................ 5.10-15
MZ4099 ..................... 5.2-19
MZ4101 ..................... 5.2-19
MZ4104 ..................... 5.2-19
MZ4614 ..................... 5.2-19
MZ4615 ..................... 5.2-19
MZ4616 ..................... 5.2-19
MZ4617 ..................... 5.2-19
MZ4618 ..................... 5.2-19
MZ4619 ..................... 5.2-19
MZ4620 ..................... 5.2-19
MZ4622 . . . . . . . . . . . . . . . . . . . .. 5.2-19
MZ4623 ..................... 5.2-19
MZ4624 ..................... 5.2-19
MZ4625 . . . . . . . . . . . . . . . . . . . .. 5.2-19
MZ4626 ..................... 5.2-19
MZ4627 ..................... 5.2-19
MZ5520B ................... 5.2-19
MZ5521B ................... 5.2-19
MZ5523B ................... 5.2-19
MZ5524B ................... 5.2-19
MZ5525B ................... 5.2-19
MZ5527B ................... 5.2-19
MZ5529B ................... 5.2-19
MZP4728A .................. 5.2-21
MZP4729A .................. 5.2-21
MZP4734A .................. 5.2-21
MZP4735A ................ .. 5.2-21
MZP4737A .................. 5.2-21
MZP4738A .................. 5.2-21
MZP4740A .................. 5.2-21
MZP4741A .................. 5.2-21
MZP4744A .................. 5.2-21
MZP4745A .................. 5.2-21

Device Index

Device Index
Device Index

Page

MZP474SA .................. 5.2-21
MZP4749A .................. 5.2-21
MZP4750A .................. 5.2-21
MZP4751A .................. 5.2-21
MZP4752A .................. 5.2-21
MZP4753A .................. 5.2-21
NE55SD ..................... 4.10-2
NE55SN ..................... 4.10-2
P2N2222A ................... 5.1-7
P2N2907A ................... 5.1-7
PSKES.8A . . . . . . . . . . . . . . . . . . .. 5.2-4
PSKES.8CA .................. 5.2-4
PSKE7.5A .................... 5.2-4
PSKE7.5CA .................. 5.2-4
PSKE8.2A ................... , 5.2-4
PSKE8.2CA .................. 5.2-4
PSKE9.1A .................... 5.2-4
PSKE9.1 CA .................. 5.2-4
P6KE10A .................... 5.2-4
P6KE10CA ................... 5.2-4
P6KE11A ..................... 5.2-4
P6KE11CA ................... 5.2-4
P6KE12A .................... 5.2-4
PSKE12CA ................... 5.2-4
P6KE13A .................... 5.2-4
P6KE13CA ................... 5.2-4
PSKE15A .................... 5.2-4
P6KE15CA ................... 5.2-4
P6KE1SA .................... 5.2-4
P6KE16CA ................... 5.2-4
P6KE18A .................... 5.2-4
PSKE18CA ................... 5.2-4
P6KE20A .................... 5.2-4
P6KE20CA ................... 5.2-4
P6KE22A .................... 5.2-4
P6KE22CA ............. . . . . .. 5.2-4
P6KE24A .................... 5.2-4
PSKE24CA ................... 5.2-4
PSKE27A .................... 5.2-4
P6KE27CA ................... 5.2-4
P6KE30A .................... 5.2-4
PSKE30CA ..... . . . . . . . . . . . . .. 5.2-4
PSKE33A .................... 5.2-4
P6KE33CA ......... . . . . . . . . .. 5.2-4
P6KE3SA .................... 5.2-4
P6KE36CA ................... 5.2-4
P6KE39A .................... 5.2-4
P6KE39CA ................... 5.2-4
P6KE43A .................... 5.2-4
PSKE43CA . . . . . . . . . . . . . . . . . .. 5.2-4
PSKE47A .................... 5.2-4
P6KE47CA ................... 5.2-4
PSKE51A .................... 5.2-4
P6KE51CA ................... 5.2-4
P6KE56A .................... 5.2-4
PSKE5SCA ................... 5.2-4
PSKES2A .................... 5.2-4
P6KE62CA ................... 5.2-4
P6KE68A .................... 5.2-4
P6KES8CA ................... 5.2-4
P6KE75A .................... 5.2-4
P6KE75CA ................... 5.2-4
P6KE82A .................... 5.2-4
P6KE82CA ................... 5.2-4
P6KE91A .................... 5.2-4
P6KE91 CA . . . . . . . . . . . . . . . . . .. 5.2-4
P6KE120A ................... 5.2-4

Device Index

Device Index

Page

P6KE120CA .................. 5.2-4
P6KE130A ................... 5.2-5
P6KE150A ................... 5.2-5
P6KE150CA .................. 5.2-5
PSKE160A ................... 5.2-5
P6KE160CA .................. 5.2-5
PSKE180A ................... 5.2-5
PSKE180CA .................. 5.2-5
P6KE200A ................... 5.2-5
P6KE200CA . . . . . . . . . . . . . . . . .. 5.2-5
P6SMB6.8AT3 ............... 5.2-15
PSSMB7.5AT3 ............... 5.2-15
PSSMB9.1AT3 ............... 5.2-15
P6SMB10AT3 ................ 5.2-15
PSSMB12AT3 ................ 5.2-15
PSSMB12CAT3 .............. 5.2-15
P6SMB13AT3 ................ 5.2-15
P6SMB13CAT3 .............. 5.2-15
PSSMB15AT3 ................ 5.2-15
PSSMB15CAT3 .............. 5.2-15
PSSMB16AT3 ................ 5.2-15
PSSMB16CAT3 .............. 5.2-15
P6SMB18AT3 ................ 5.2-15
P6SMB18CAT3 .............. 5.2-15
P6SMB20AT3 ................ 5.2-15
P6SMB20CAT3 .............. 5.2-15
P6SMB22AT3 ................ 5.2-15
P6SMB22CAT3 .............. 5.2-15
P6SMB24AT3 ................ 5.2-15
P6SMB24CAT3 .............. 5.2-15
P6SMB27AT3 ............. '" 5.2-15
P6SMB27CAT3 .............. 5.2-15
P6SMB30AT3 ................ 5.2-15
P6SMB30CAT3 .............. 5.2-15
P6SMB33AT3 ................ 5.2-15
P6SMB33CAT3 .............. 5.2-15
P6SMB36AT3 ................ 5.2-15
P6SMB36CAT3 .............. 5.2-15
P6SMB39AT3 ................ 5.2-15
P6SMB39CAT3 .............. 5.2-15
P6SMB47AT3 ................ 5.2-15
P6SMB47CAT3 .............. 5.2-15
PSSMB51AT3 ................ 5.2-15
PSSMB51CAT3 .............. 5.2-15
PSSMB56AT3 ................ 5.2-15
PSSMB5SCAT3 .............. 5.2-15
PSSMBS2AT3 ................ 5.2-15
P6SMBS2CAT3 .............. 5.2-15
PSSMBS8AT3 . . . . . . . . . . . . . . .. 5.2-15
PSSMBS8CAT3 .............. 5.2-15
PSSMB82AT3 ................ 5.2-15
PSSMB82CAT3 .............. 5.2-15
PSSMB91AT3 ................ 5.2-15
PSSMB91CAT3 .............. 5.2-15
PSSMB100AT3 .............. 5.2-15
PSSMB110AT3 ............... 5.2-15
PSSMB120AT3 .............. 5.2-15
PSSMB150AT3 .............. 5.2-15
PSSMB1S0AT3 .............. 5.2-15
P6SMB170AT3 .............. 5.2-15
PSSMB180AT3 .............. 5.2-15
PSSMB200AT3 .............. 5.2-15
PBF259 ...................... 5.1-7
PBF259S ..................... 5.1-7
PBF493 ...................... 5.1-7
PBF493S ..................... 5.1-7
PCS8F333 . . . . . . . . . . . . . . . . . .. 2.S-21

7.1-26

Device Index

Page

PCS8HC11GO ................ 2.6-7
PCS8HC11G5 ................ 2.S-7
PCS8HC11G7 ................ 2.6-7
PCS8HC11JS ................. 2.S-7
PCS8HC711D3 ............... 2.6-8
PC68HC711E9 ................ 2.S-8
PC68HC711E20 .............. 2.6-8
PC68HC711G5 ............... 2.6-8
PC68HC711JS ................ 2.6-8
PCS8HC711 K4 . . . . . . . . . . . . . . .. 2.6-8
PC68HC711LS ................ 2.6-8
PCS8HC711M2 ............... 2.S-8
PCS8HC711N4 ............... 2.6-8
PZTS51T1 ................... 5.1-17
PZT751T1 ................... 5.1-17
PZT2222AT1 ................ 5.1-17
PZT2907AT1 ................ 5.1-17
PZTA14T1 ................... 5.1-17
PZTA42T1 ................... 5.1-17
PZTAS4T1 ................... 5.1-17
PZTA92T1 ................... 5.1-17
PZTA96T1 ................... 5.1-17
RFA8090B ................. 5.10-35
SA5.0A ...................... 5.2-2
SA5.0CA . . . . . . . . . . . . . . . . . . . .. 5.2-2
SAS.OA ...................... 5.2-2
SAS.OCA . . . . . . . . . . . . . . . . . . . .. 5.2-2
SA7.0A ...................... 5.2-2
SA7.0CA ..................... 5.2-2
SAB.OA ...................... 5.2-2
SA8.0CA . . . . . . . . . . . . . . . . . . . .. 5.2-2
SA11A ....................... 5.2-2
SA11CA ...................... 5.2-2
SA12A ....................... 5.2-2
SA12CA ..................... 5.2-2
SA13A ....................... 5.2-2
SA13CA ..................... 5.2-2
SA14A . . . . . . . . . . . . . . . . . . . . . .. 5.2-2
SA14CA ..................... 5.2-2
SA15A ....................... 5.2-2
SA15CA ..................... 5.2-2
SA16A ....................... 5.2-2
SA1SCA ..................... 5.2-2
SA17A ....................... 5.2-2
SA17CA ..................... 5.2-2
SA20A . . . . . . . . . . . . . . . . . . . . . .. 5.2-3
SA20CA ..................... 5.2-3
SA24A . . . . . . . . . . . . . . . . . . . . . .. 5.2-3
SA24CA ..................... 5.2-3
SA26A . . . . . . . . . . . . . . . . . . . . . .. 5.2-3
SA26CA ..................... 5.2-3
SA28A . . . . . . . . . . . . . . . . . . . . . .. 5.2-3
SA28CA ..................... 5.2-3
SA30A . . . . . . . . . . . . . . . . . . . . . .. 5.2-3
SA30CA ..................... 5.2-3
SA3SA . . . . . . . . . . . . . . . . . . . . . .. 5.2-3
SA36CA ..................... 5.2-3
SA51A ............. " ........ 5.2-3
SA51CA ..................... 5.2-3
SA58A . . . . . . . . . . . . . . . . . . . . . .. 5.2-3
SA58CA ..................... 5.2-3
SA60A . . . . . . . . . . . . . . . . . . . . . .. 5.2-3
SA60CA ..................... 5.2-3
SA75A ....................... 5.2-3
SA75CA ..................... 5.2-3
SA78A ....................... 5.2-3
SA78CA ..................... 5.2-3

Motorola Master Selection Guide

Device Index
Device Index

Page

SA90A .. .. . . . . . . .. . . . .. . . . . .. 5.2-3
SA90CA ..................... 5.2-3
SAll0A ...................... 5.2-3
SAll0CA .................... 5.2-3
SA130A ...................... 5.2-3
SA130CA .................... 5.2-3
SA160A ...................... 5.2-3
SA160CA .................... 5.2-3
SA170A ...................... 5.2-3
SA170CA .................... 5.2-3
SAA1042 ..................... 4.3-9
SAA1042V ................... 4.3-9
SG3525A ................... 4.2-15
SG3526 ..................... 4.2-15
SL5501 ...................... 5.8-6
SN54LSOO .................. 3.1-26
SN54LSOl .................. 3.1-26
SN54LS02 .................. 3.1-28
SN54LS03 .................. 3.1-26
SN54LS04 .................. 3.1-29
SN54LS05 .................. 3.1-29
SN54LS08 .................. 3.1-25
SN54LS09 .................. 3.1-25
SN54LS10 .................. 3.1-26
SN54LSll ................... 3.1-26
SN54LS12 .................. 3.1-26
SN54LS13 .................. 3.1-36
SN54LS14 .................. 3.1-36
SN54LS15 .................. 3.1-26
SN54LS20 .................. 3.1-25
SN54LS21 .................. 3.1-25
SN54LS22 .................. 3.1-25
SN54LS26 .................. 3.1-25
SN54LS27 .................. 3.1-28
SN54LS28 .................. 3.1-28
SN54LS30 .................. 3.1-25
SN54LS32 .................. 3.1-28
SN54LS33 .................. 3.1-28
SN54LS37 .................. 3.1-25
SN54LS38 .................. 3.1-26
SN54LS40 .................. 3.1-25
SN54LS42 .................. 3.1-20
SN54LS47 .................. 3.1-21
SN54LS48 .................. 3.1-21
SN54LS51 .................. 3.1-27
SN54LS54 .................. 3.1-26
SN54LS55 .................. 3.1-26
SN54LS73A ................. 3.1-23
SN54LS74A ................. 3.1-23
SN54LS75 .................. 3.1-30
SN54LS76A ................. 3.1-23
SN54LS77 .................. 3.1-30
SN54LS83A ................. 3.1-13
SN54LS85 .................. 3.1-17
SN54LS86 .................. 3.1-27
SN54LS90 .................. 3.1-19
SN54LS92 .................. 3.1-19
SN54LS93 .................. 3.1-18
SN54LS95B ................. 3.1-37
SN54LS107A ................ 3.1-23
SN54LS109A ................ 3.1-23
SN54LSl12A ................ 3.1-23
SN54LSl13A ................ 3.1-23
SN54LS114A ................ 3.1-23
SN54LS122 ................. 3.1-33
SN54LS123 ................. 3.1-33
SN54LS125A ................ 3.1-16

Motorola Master Selection Guide

Device Index

Page

SN54LS126A ................
SN54LS132 .................
SN54LS133 .................
SN54LS137 .................
SN54LS138 .................
SN54LS139 .................
SN54LS145 .................
SN54LS147 .................
SN54LS148 .................
SN54LS151 .................
SN54LS153 .................
SN54LS155 .................
SN54LS156 .................
SN54LS157 .................
SN54LS158 .................
SN54LS160A ................
SN54LS161A ................
SN54LS162A ................
SN54LS163A ................
SN54LS164 .................
SN54LS165 .................
SN54LS166 .................
SN54LS168 .................
SN54LS169 .................
SN54LS170 .................
SN54LS173A ................
SN54LS174 .................
SN54LS175 .................
SN54LS181 .................
SN54LS190 .................
SN54LS191 .................
SN54LS192 .................
SN54LS193 .................
SN54LS194A ................
SN54LS195A ................
SN54LS196 .................
SN54LS197 .................
SN54LS221 .................
SN54LS240 .................
SN54LS241 .................
SN54LS242 .................
SN54LS243 .................
SN54LS244 .................
SN54LS245 .................
SN54LS247 .................
SN54LS248 .................
SN54LS249 .................
SN54LS251 .................
SN54LS253 .................
SN54LS256 .................
SN54LS257B ................
SN54LS258B ................
SN54LS259 .................
SN54LS260 .................
SN54LS266 .................
SN54LS273 .................
SN54LS279 .................
SN54LS280 .................
SN54LS283 .................
SN54LS290 .................
SN54LS293 .................
SN54LS298 .................
SN54LS299 .................
SN54LS322A ................
SN54LS323 .................
SN54LS348 .................
SN54LS352 .................

3.1-16
3.1-36
3.1-25
3.1-20
3.1-20
3.1-21
3.1-20
3.1-21
3.1-22
3.1-31
3.1-32
3.1-20
3.1-20
3.1-32
3.1-32
3.1-18
3.1-18
3.1-18
3.1-18
3.1-37
3.1-37
3.1-37
3.1-19
3.1-19
3.1-36
3.1-22
3.1-23
3.1-25
3.1-13
3.1-19
3.1-19
3.1-19
3.1-19
3.1-37
3.1-38
3.1-18
3.1-18
3.1-33
3.1-15
3.1-15
3.1-16
3.1-16
3.1-15
3.1-15
3.1-21
3.1-21
3.1-21
3.1-31
3.1-32
3.1-30
3.1-32
3.1-33
3.1-30
3.1-28
3.1-27
3.1-24
3.1-31
3.1-34
3.1-13
3.1-19
3.1-18
3.1-32
3.1-37
3.1-37
3.1-37
3.1-22
3.1-32

7.1-27

Device Index
SN54LS353 .................
SN54LS365A ................
SN54LS366A ................
SN54LS367A ................
SN54LS368A ................
SN54LS373 .................
SN54LS374 .................
SN54LS375 .................
SN54LS377 .................
SN54LS378 .................
SN54LS379 .................
SN54LS386 .................
SN54LS390 .................
SN54LS393 .................
SN54LS398 .................
SN54LS399 .................
SN54LS490 .................
SN54LS540 .................
SN54LS541 .................
SN54LS569A ................
SN54LS623 .................
SN54LS640 .................
SN54LS641 .................
SN54LS642 .................
SN54LS645 .................
SN54LS669 .................
SN54LS670 .................
SN54LS682 .................
SN54LS684 .................
SN54LS688 .................
SN54LS748 .................
SN54LS795 .................
SN54LS796 .................
SN54LS797 .................
SN54LS798 .................
SN54LS848 .................
SN74LSOO ..................
SN74LSOl ..................
SN74LS02 ..................
SN74LS03 ..................
SN74LS04 ..................
SN74LS05 ..................
SN74LS08 ..................
SN74LS09 ..................
SN74LS10 ..................
SN74LS11 ...................
SN74LS12 ..................
SN74LS13 ..................
SN74LS14 ..................
SN74LS15 ..................
SN74LS20 ..................
SN74LS21 ..................
SN74LS22 ..................
SN74LS26 ..................
SN74LS27 ..................
SN74LS28 ..................
SN74LS30 ..................
SN74LS32 ..................
SN74LS33 ..................
SN74LS37 ..................
SN74LS38 ..................
SN74LS40 ..................
SN74LS42 ..................
SN74LS47 ..................
SN74LS48 ..................
SN74LS51 ..................
SN74LS54 ..................

Page
3.1-32
3.1-14
3.1-14
3.1-14
3.1-14
3.1-30
3.1-24
3.1-30
3.1-24
3.1-23
3.1-22
3.1-27
3.1-19
3.1-19
3.1-33
3.1-33
3.1-19
3.1-15
3.1-15
3.1-18
3.1-15
3.1-15
3.1-38
3.1-38
3.1-15
3.1-20
3.1-36
3.1-18
3.1-18
3.1-18
3.1-22
3.1-14
3.1-14
3.1-14
3.1-14
3.1-22
3.1-26
3.1-26
3.1-28
3.1-26
3.1-29
3.1-29
3.1-25
3.1-25
3.1-26
3.1-26
3.1-26
3.1-36
3.1-36
3.1-26
3.1-25
3.1-25
3.1-25
3.1-25
3.1-28
3.1-28
3.1-25
3.1-28
3.1-28
3.1-25
3.1-26
3.1-25
3.1-20
3.1-21
3.1-21
3.1-27
3.1-26

Device Index

Device Index
Device Index

Page

SN74LS55 ..................
SN74LS73A .................
SN74LS74A .................
SN74LS75 ..................
SN74LS76A .................
SN74LS77 ..................
SN74LS83A .................
SN74LS85 ..................
SN74LS86 ..................
SN74LS90 ..................
SN74LS92 ..................
SN74LS93 ..................
SN74LS95B .................
SN74LS107A ................
SN74LS109A ................
SN74LSl12A ................
SN74LSl13A ................
SN74LSl14A ................
SN74LS122 .................
SN74LS123 .................
SN74LS125A ................
SN74LS126A ................
SN74LS132 .................
SN74LS133 .................
SN74LS136 .................
SN74LS137 .................
SN74LS138 .................
SN74LS139 .................
SN74LSl45 .................
SN74LS147 .................
SN74LSl48 .................
SN74LS151 .................
SN74LS153 .................
SN74LS155 .................
SN74LS156 .................
SN74LS157 .................
SN74LS158 .................
SN74LS160A ................
SN74LS161A ................
SN74LS162A ................
SN74LS163A ................
SN74LS164 .................
SN74LS165 .................
SN74LS166 .................
SN74LS168 .................
SN74LS169 .................
SN74LS170 .................
SN74LS173A ................
SN74LS174 .................
SN74LS175 .................
SN74LS181 .................
SN74LS190 .................
SN74LS191 .................
SN74LS192 .................
SN74LS193 .................
SN74LS194A ................
SN74LS195A ................
SN74LS196 .................
SN74LS197 .................
SN74LS221 .................
SN74LS240 .................
SN74LS241 .................
SN74LS242 .................
SN74LS243 .................
SN74LS244 .................
SN74LS245 .................
SN74LS247 .................

3.1-26
3.1-23
3.1-23
3.1-30
3.1-23
3.1-30
3.1-13
3.1-17
3.1-27
3.1-19
3.1-19
3.1-18
3.1-37
3.1-23
3.1-23
3.1-23
3.1-23
3.1-23
3.1-33
3.1-33
3.1-16
3.1-16
3.1-36
3.1-25
3.1-27
3.1-20
3.1-20
3.1-21
3.1-20
3.1-21
3.1-22
3.1-31
3.1-32
3.1-20
3.1-20
3.1-32
3.1-32
3.1-18
3.1-18
3.1-18
3.1-18
3.1-37
3.1-37
3.1-37
3.1-19
3.1-19
3.1-36
3.1-22
3.1-23
3.1-25
3.1-13
3.1-19
3.1-19
3.1-19
3.1-19
3.1-37
3.1-38
3.1-18
3.1-18
3.1-33
3.1-15
3.1-15
3.1-16
3.1-16
3.1-15
3.1-15
3.1-21

Device Index

Device Index

Page

SN74LS248 ................. 3.1-21
SN74LS249 ................. 3.1-21
SN74LS251 ................. 3.1-31
SN74LS253 ................. 3.1-32
SN74LS257B ................ 3.1-32
SN74LS258B ................ 3.1-33
SN74LS259 ................. 3.1-30
SN74LS260 ................. 3.1-28
SN74LS266 ................. 3.1-27
SN74LS273 ................. 3.1-24
SN74LS279 ................. 3.1-31
SN74LS280 ................. 3.1-34
SN74LS283 ................. 3.1-13
SN74LS290 ................. 3.1-19
SN74LS293 ................. 3.1-18
SN74LS298 ................. 3.1-32
SN74LS299 ................. 3.1-37
SN74LS322A ................ 3.1-37
SN74LS323 ................. 3.1-37
SN74LS348 ................. 3.1-22
SN74LS352 ................. 3.1-32
SN74LS353 ................. 3.1-32
SN74LS365A ................ 3.1-14
SN74LS366A ................ 3.1-14
SN74LS367A ................ 3.1-14
SN74LS368A ................ 3.1-14
SN74LS373 ................. 3.1-30
SN74LS374 ................. 3.1-24
SN74LS375 ................. 3.1-30
SN74LS377 ................. 3.1-24
SN74LS378 ................. 3.1-23
SN74LS379 ................. 3.1-22
SN74LS386 ................. 3.1-27
SN74LS390 ................. 3.1-19
SN74LS393 ................. 3.1-19
SN74LS395 ................. 3.1-37
SN74LS398 ................. 3.1-33
SN74LS399 ................. 3.1-33
SN74LS490 ................. 3.1-19
SN74LS540 ................. 3.1-15
SN74LS541 ................. 3.1-15
SN74LS569A ................ 3.1-18
SN74LS623 ................. 3.1-15
SN74LS640 ................. 3.1-15
SN74LS641 ................. 3.1-38
SN74LS642 ................. 3.1-38
SN74LS645 ................. 3.1-15
SN74LS669 ................. 3.1-20
SN74LS670 ................. 3.1-36
SN74LS682 ................. 3.1-18
SN74LS684 ................. 3.1-18
SN74LS688 ................. 3.1-18
SN74LS748 ................. 3.1-22
SN74LS795 ................. 3.1-14
SN74LS796 ................. 3.1-14
SN74LS797 ................. 3.1-14
SN74LS798 ................. 3.1-14
SN74LS848 ................. 3.1-22
T2322B ...................... 5.7-7
T2322D ...................... 5.7-7
T2322M ...................... 5.7-7
T2323B ...................... 5.7-8
T2323D ...................... 5.7-8
T2323M ...................... 5.7-8
T2500B ...................... 5.7-8
T2500BFP .................... 5.7-9
T2500D ...................... 5.7-8

7.1-28

Device Index

Page

T2500DFP ................... 5.7-9
T2500M ...................... 5.7-8
T2500MFP ................... 5.7-9
T2500N ...................... 5.7-8
T2500NFP ................... 5.7-9
T2800B ..................... 5.7-10
T2800D ..................... 5.7-10
T2800M ..................... 5.7-10
TCA0372 ..................... 4.1-4
TCA3385 .................... 4.7-35
TCA3388 .................... 4.7-35
TCA3388DP ................. 4.7-24
TCA3388FP ................. 4.7-24
TCF5600 . . . . . . . . . . . . . . . . . . .. 4.2-23
TCF6000 . . . . . . . . . . . . . . . . . . . .. 4.9-3
TDA 1085C .................. 4.3-10
TDA1085CD ................. 4.3-10
TIL111 ....................... 5.8-6
TIL113 ....................... 5.8-7
TIL117 ....................... 5.8-6
TIP29C ...................... 5.5-3
TIP30C ...................... 5.5-3
TIP31C ...................... 5.5-3
TIP32C ...................... 5.5-3
TIP33C ...................... 5.5-6
TIP34C ...................... 5.5-6
TIP35A ...................... 5.5-7
TIP35C ...................... 5.5-7
TIP36A ...................... 5.5-7
TIP36C ...................... 5.5-7
TIP41C ...................... 5.5-4
TIP42C ...................... 5.5-4
TIP47 ........................ 5.5-3
TIP48 ....................... , 5.5-3
TIP49 . . . . . . . . . . . . . . . . . . . . . . .. 5.5-3
TIP50 . . . . . . . . . . . . . . . . . . . . . . .. 5.5-3
TIP102 ....................... 5.5-5
TIP107 . . . . . . . . . . . . . . . . . . . . . .. 5.5-5
TIPl12 ....................... 5.5-3
TIPl17 ....................... 5.5-3
TIP122 ....................... 5.5-4
TIP127 ....................... 5.5-4
TIP140 ....................... 5.5-6
TIP141 ....................... 5.5-6
TIP142 ....................... 5.5-6
TIP145 ....................... 5.5-6
TIP146 ....................... 5.5-6
TIP147 ....................... 5.5-6
TIP2955 ...................... 5.5-7
TIP3055 . . . . . . . . . . . . . . . . . . . . .. 5.5-7
TL062AC . . . . . . . . . . . . . . . . . . . .. 4.1-3
TL062C ...................... 4.1-3
TL062V ...................... 4.1-3
TL064AC ..................... 4.1-4
TL064C ...................... 4.1-4
TL064V ...................... 4.1-5
TL071 AC . . . . . . . . . . . . . . . . . . . .. 4.1-2
TL071C ...................... 4.1-2
TL072AC . . . . . . . . . . . . . . . . . . . .. 4.1-3
TL072C ...................... 4.1-3
TL074AC ..... '" .. , .... , ..... 4.1-4
TL074C ...................... 4.1-4
TL081AC ..................... 4.1-2
TL081C ...................... 4.1-2
TL082AC ..................... 4.1-3
TL082C ...................... 4.1-3
TL084AC . . . . . . . . . . . . . . . . . . . .. 4.1-4

Motorola Master Selection Guide

Device Index
Device Index

Page

TL084C ...................... 4.1-4
TL431AC ..................... 4.4-2
TL431AI ...................... 4.4-2
TL431 BC .. .. .. .. .. .. . .. .. .. .. 4.4-2
TL431BI ...................... 4.4-2
TL431C ...................... 4.4-2
TL4311 ....................... 4.4-2
TL494 ...................... 4.2-15
TL594 ...................... 4.2-15
TL780-XXC .................. 4.2-3
TP3007S ................... 5.10-10
TP3008 .................... 5.10-10
TP3021 .................... 5.10-10
TP3034 .................... 5.10-10
TP3069 .................... 5.10-10
TP5002S .................... 5.10-9
TP5015 ..................... 5.10-9
TP5051 ..................... 5.10-9
TPV596A ................... 5.10-12
TPV597 .................... 5.10-12
TPV598 .................... 5.10-12
TPV695A ................... 5.10-13
TPV6030 ................... 5.10-13
TPV7025 ................... 5.10-13
TPV8100B ................. 5.10-13
UAA1016B ................... 4.3-3
UAA1041 ..................... 4.9-9
UAA1041B ............. 4.9-3,4.9-9
UAA2016 ..................... 4.3-3
UAA2016D ................... 4.3-3
UAA2016P ................... 4.3-3
UC2842A ................... 4.2-12
UC2842B ................... 4.2-13
UC2843A ................... 4.2-12
UC2843B ................... 4.2-13
UC2844 ..................... 4.2-12
UC2844B ................... 4.2-13
UC2845 ..................... 4.2-12
UC2845B ................... 4.2-13
UC3842A ................... 4.2-12
UC3842B ................... 4.2-12
UC3842BV .................. 4.2-12
UC3843A ................... 4.2-12
UC3843B ................... 4.2-13
UC3843BV .................. 4.2-13
UC3844 ..................... 4.2-12
UC3844B ................... 4.2-13
UC3844BV .................. 4.2-13
UC3845 ..................... 4.2-12
UC3845B ................... 4.2-13
UC3845BV .................. 4.2-13
ULN2003A ................... 4.6-8
ULN2004A ................... 4.6-8
ULN2803 . . . . . . . . .. . . .. . . . . . .. 4.6-8
ULN2804 . . .. . . . .. . .. .. . . . . . .. 4.6-8
VN10LM .................... 5.1-22
VN0300L .................... 5.1-22
VN0610LL ................... 5.1-22
VN1706L .................... 5.1-22
VN2222LL ................... 5.1-22
VN2406L .................... 5.1-22
VN2410L .................... 5.1-22
XC56L002PV40 ............... 2.1-5
XC56LOO7FJ40 ............... 2.1-5
XC56L811BU40 ............... 2.1-3
XC56L812BU40 ............... 2.1-3
XC68HC05C4 ............... 2.6-23

Motorola Master Selection Guide

Device Index

Page

XC68HC05D32 ........ 2.5-6, 2.6-23
XC68HC05F2 .. .. .. .. .. .. .... 2.6-23
XC68HC05F6 ................ 2.6-23
XC68HC05F8 ................ 2.6-23
XC68HC05G9 ............... 2.6-23
XC68HC05G10 .............. 2.6-23
XC68HC05H2 ............... 2.6-23
XC68HC0518 ................ 2.6-23
XC68HC05J3 .. .. .. .. .. .. .... 2.6-23
XC68HC05KO . . . . . . .. . . . . . . .. 2.6-23
XC68HC05K1 .. .. .. .. .. .. .... 2.6-23
XC68HC05L 1 ................ 2.6-24
XC68HC05L2 .. .. .. .. .. .. .... 2.6-24
XC68HC05L4 .. .. .. .. .. .. .... 2.6-24
XC68HC05L 11 ............... 2.6-24
XC68HC05M4 ............... 2.6-24
XC68HC05P3 . . . . . . .. . .. . . . .. 2.6-24
XC68HC05P18 ............... 2.5-7
XC68HC05RC16 .............. 2.5-8
XC68HC05SC11 ............. 2.6-24
XC68HC05SC21 ............. 2.6-24
XC68HC05SC24 ... . . . . . . . . .. 2.6-24
XC68HC05SC27 ............. 2.6-24
XC68HC05SC28 .............. 2.5-8
XC68HC05T2 .......... 2.5-8, 2.6-24
XC68HC05T3 .. .. . .. .. .. .. ... 2.6-24
XC68HC05T4 .............. " 2.6-24
XC68HC05T12 ............... 2.6-24
XC68HC05X4 .. .. . . .. .. .. .... 2.6-24
XC68HC05X16 .............. 2.6-24
XC68HC11CO ................. 2.6-8
XC68HC11E20 ................ 2.6-8
XC68HC11N4 ................. 2.6-8
XC68HC11P2 ................. 2.6-8
XC68HC705B32 .............. 2.5-9
XC68HC705C ............... 2.6-23
XC68HC705C5 .............. 2.6-23
XC68HC705D9 ............... 2.5-9
XC68HC705F6 . . . . . .. . . . . . . . .. 2.5-9
XC68HC705F8 . . .. . . . . . . . . . .. 2.6-23
XC68HC705G9 .............. 2.6-23
XC68HC705G10 ............. 2.6-23
XC68HC70518 ............... 2.6-23
XC68HC705J3 .. .. .. .. . .. .... 2.6-23
XC68HC705K1 .............. 2.6-23
XC68HC705L1 ........ 2.5-10,2.6-24
XC68HC705L2 . . . . . .. . . .. . . .. 2.6-24
XC68HC705L4 . . . . .. . .. . . . ... 2.6-24
XC68HC705P9 .............. 2.6-24
XC68HC705T10 ............. 2.6-24
XC68HC705T12 ............. 2.6-24
XC68HC705V8 .............. 2.5-10
XC68HC705X4 ....... 2.5-10,2.6-24
XC68HC711P2 ................ 2.6-8
XC68HC916X1 .............. 2.6-18
XC68HC916Y1 .............. 2.6-18
XC56001AFC27 ............... 2.1-5
XC56001AFC33 ............... 2.1-5
XC56001AFE27 ............... 2.1-5
XC56001AFE33 ............... 2.1-5
XC56001ARC27 .............. 2.1-5
XC56001ARC33 .............. 2.1-5
XC56002PV40 ................ 2.1-5
XC56002PV66 ................ 2.1-5
XC56004FJ50 ................ 2.1-5
XC56004FJ66 ................ 2.1-5
XC56005PV50 ................ 2.1-5

7.1-29

Device Index

Page

XC56007FJ50 ................ 2.1-5
XC56007FJ66 ................ 2.1-5
XC56009PV80 ................ 2.1-5
XC56156FE60 ................ 2.1-2
XC56156FV40 ................ 2.1-2
XC56156FV50 ................ 2.1-2
XC56166FV60 ................ 2.1-2
XC68334 ................... , 2.6-21
XC96002RC33 ............... 2.1-17
XC96002RC40 ............... 2.1-17
XCP56002PV80 ............... 2.1-5
XMMAS40GWB .............. 5.9-12
J1A78S40 .................... 4.2-14
1.5KE6.8A . .. . .. . . . . . . .. . . . . .. 5.2-7
1.5KE6.8CA .. .. .. .. .. .. .. .... 5.2-7
1.5KE7.5A .................... 5.2-7
1.5KE7.5CA .................. 5.2-7
1.5KE8.2A . . . . . . . . .. . . . . . . . . .. 5.2-7
1.5KE8.2CA .................. 5.2-7
1.5KE10A .................... 5.2-7
1.5KE10CA ................... 5.2-7
1.5KE11A .................... 5.2-7
1.5KE11CA ................... 5.2-7
1.5KE12A .................... 5.2-7
1.5KE12CA .. . . . . . . . . . . . . . . ... 5.2-7
1.5KE13A .................... 5.2-7
1.5KE13CA ................... 5.2-7
1.5KE15A .................... 5.2-7
1.5KE15CA .. . .. .. .. .. .. .. .... 5.2-7
1.5KE16A .. , . .. .. .. .. .. .. .... 5.2-7
1.5KE16CA .. .. . .. .. .. .. .. .... 5.2-7
1.5KE18A .................... 5.2-7
1.5KE18CA . . . . . . .. . . . . . . . . ... 5.2-7
1.5KE20A .. .. .. .. .. .. .. .. .... 5.2-7
1.5KE20CA ................. " 5.2-7
1.5KE22A .................... 5.2-7
1.5KE22CA . . . . . . . . . . . . . . . . ... 5.2-7
1.5KE24A .................. " 5.2-7
1.5KE24CA . . . . . . . . . . . .. . . . . .. 5.2-7
1.5KE27A .................... 5.2-7
1.5KE27CA ................. " 5.2-7
1.5KE30A .................... 5.2-7
1.5KE30CA ................... 5.2-7
1.5KE33A .................... 5.2-7
1.5KE33CA . . . . . . . . . . . . . . . . . .. 5.2-7
1.5KE36A .. . . . . . . . . . . . . . . . . .. 5.2-7
1.5KE36CA .. .. .. .. .. .. .. .. ... 5.2-7
1.5KE39A .................... 5.2-7
1.5KE39CA ................... 5.2-7
1.5KE43A .................... 5.2-7
1.5KE43CA ................. " 5.2-7
1.5KE47A .................... 5.2-7
1.5KE47CA ................. " 5.2-7
1.5KE51A .................... 5.2-7
1.5KE51CA ................... 5.2-7
1.5KE56A .................... 5.2-7
1.5KE56CA ................... 5.2-7
1.5KE62A .................... 5.2-7
1.5KE62CA ................. " 5.2-7
1.5KE68A .................... 5.2-7
1.5KE68CA ................... 5.2-7
1.5KE75A .................... 5.2-7
1.5KE75CA . .. .. .. .. .. .. .. .... 5.2-7
1.5KE82A .................... 5.2-7
1.5KE82CA . . . . . . . .. . . . . . . . . .. 5.2-7
1.5KE91A .................... 5.2-7
1.5KE91CA ................... 5.2-7

Device Index

Device Index
Device Index

Page

1.5KE100A ................... 5.2-7
1.5KE100CA . . . . . . . . . . . . . . . . .. 5.2-7
1.5KE110A ................... 5.2-7
1.5KE110CA .................. 5.2-7
1.5KE120A ................... 5.2-7
1.5KE120CA .................. 5.2-7
1.5KE130A ................... 5.2-7
1.5KE130CA .................. 5.2-7
1.5KE150A ................... 5.2--8
1.5KE150CA .................. 5.2--8
1.5KE160A ................... 5.2--8
1.5KE160CA .................. 5.2--8
1.5KE170A ................... 5.2--8
1.5KE170CA .................. 5.2--8
1.5KE180A ................... 5.2--8
1.5KE180CA .............. " .. 5.2--8
1.5KE200A ................... 5.2--8
1.5KE200CA . . . . . . . . . . . . . . . . .. 5.2--8
1.5KE220A .. . . . . . . . . . . . . . . . .. 5.2-8
1.5KE220CA . . . . . . . . . . . . . . . . .. 5.2--8
1.5KE250A ................... 5.2--8
1.5KE250CA . . . . . . . . . . . . . . . . .. 5.2--8
1.5SMC6.8AT3 ............... 5.2-17
1.5SMC8.2AT3 . . . . . . . . . . . . . .. 5.2-17
1.5SMC9.1AT3 ............... 5.2-17
1.5SMC10AT3 ............... 5.2-17
1.5SMC11AT3 ............... 5.2-17
1.5SMC12AT3 ............... 5.2-17
1.5SMC13AT3 ............... 5.2-17
1.5SMC15AT3 ............... 5.2-17
1.5SMC18AT3 ............... 5.2-17
1.5SMC22AT3 ............... 5.2-17
1.5SMC24AT3 ............... 5.2-17
1.5SMC27AT3 ............... 5.2-17
1.5SMC30AT3 ............... 5.2-17
1.5SMC33AT3 ............... 5.2-17
1.5SMC36AT3 ............... 5.2-17
1.5SMC39AT3 ............... 5.2-17
1.5SMC43AT3 ............... 5.2-17
1.5SMC47AT3 ............... 5.2-17
1.5SMC51AT3 ............... 5.2-17
1.5SMC56AT3 ............... 5.2-17
1.5SMC62AT3 ............... 5.2-17
1.5SMC68AT3 ............... 5.2-17
1.5SMC75AT3 ............... 5.2-17
1.5SMC82AT3 ............... 5.2-17
1.5SMC91AT3 ............... 5.2-17
1N821 ...................... 5.2--34
1N821 A ..................... 5.2--34
1N823 ...................... 5.2--34
1N823A . . . . . . . . . . . . . . . . . . . .. 5.2--34
1N825 ...................... 5.2--34
1N825A . . . . . . . . . . . . . . . . . . . .. 5.2--34
1N827 ...................... 5.2--34
1N827A . . . . . . . . . . . . . . . . . . . .. 5.2--34
1N829 ...................... 5.2--34
1N829A . . . . . . . . . . . . . . . . . . . .. 5.2--34
1N4004 ..................... 5.6--13
1N4007 ..................... 5.6--13
1N4678 ..................... 5.2-19
1N4679 ..................... 5.2-19
1N4681 ..................... 5.2-19
1N4682 ..................... 5.2-19
1N4683 ..................... 5.2-19
1N4684 ..................... 5.2-19
1N4685 ..................... 5.2-19
1N4686 ..................... 5.2-19

Device Index

Device Index

Page

1N4687 ..................... 5.2-19
1N4688 ..................... 5.2-19
1N4689 ..................... 5.2-19
1N4690 ..................... 5.2-19
1N4691 ..................... 5.2-19
1N4692 ..................... 5.2-19
1N4693 ..................... 5.2-19
1N4694 ..................... 5.2-19
1N4695 ..................... 5.2-19
1N4696 ..................... 5.2-19
1N4697 ..................... 5.2-19
1N4698 ..................... 5.2-19
1N4699 ..................... 5.2-19
1N4700 ..................... 5.2-19
1N4702 ..................... 5.2-19
1N4703 ..................... 5.2-19
1N4704 ..................... 5.2-19
1N4705 ..................... 5.2-19
1N4707 ..................... 5.2-20
1N4708 ..................... 5.2-20
1N4728A .................... 5.2-21
1N4729A .................... 5.2-21
1N4730A .................... 5.2-21
1N4731A .................... 5.2-21
1N4732A .................... 5.2-21
1N4733A .................... 5.2-21
1N4734A .................... 5.2-21
1N4735A .................... 5.2-21
1N4736A .................... 5.2-21
1N4737A .................... 5.2-21
1N4738A .................... 5.2-21
1N4739A .................... 5.2-21
1N4740A .................... 5.2-21
1N4741 A .................... 5.2-21
1N4742A .................... 5.2-21
1N4743A .................... 5.2-21
1N4744A .................... 5.2-21
1N4745A .................... 5.2-21
1N4746A .................... 5.2-21
1N4747A .................... 5.2-21
1N4748A .................... 5.2-21
1N4749A .................... 5.2-21
1N4750A .................... 5.2-21
1N4751A .................... 5.2-21
1N4752A .................... 5.2-21
1N4753A .................... 5.2-21
1N4754A .................... 5.2-21
1N4755A . . . . . . . . . . . . . . . . . . .. 5.2-21
1N4756A .................... 5.2-21
1N4757A .................... 5.2-21
1N4758A . . . . . . . . . . . . . . . . . . .. 5.2-21
1N4759A .................... 5.2-21
1N4760A .................... 5.2-21
1N4761 A .................... 5.2-22
1N4762A .................... 5.2-22
1N4763A .................... 5.2-22
1N4764A .................... 5.2-22
1N4935 ..................... 5.6--13
1N4937 ..................... 5.6--13
1N5139 ..................... 5.1-26
1N5140 .............. 5.1-25,5.1-26
1N5140A .................... 5.1-25
1N5143 ..................•.. 5.1-26
1N5144 .............. 5.1-25,5.1-26
1N5144A .................... 5.1-25
1N5145 ..................... 5.1-26
1N5148 .............. 5.1-25,5.1-26

7.1--30

Device Index
1N5148A ....................
1N5221B ....................
1N5222B ....................
1N5223B ....................
1N5224B ....................
1N5225B ....................
1N5226B ....................
1N5227B ....................
1N5228B ....................
1N5229B ....................
1N5230B ....................
1N5231B ....................
1N5232B ....................
1N5233B ....................
1N5234B ....................
1N5235B ....................
1N5236B . . . . . . . . . . . . . . . . . . ..
1N5237B ....................
1N5238B ....................
1N5239B ....................
1N5240B ....................
1N5241B ....................
1N5242B ....................
1N5243B ....................
1N5244B ....................
1N5245B ....................
1N5246B ....................
1N5247B ....................
1N5248B ....................
1N5249B . . . . . . . . . . . . . . . . . . ..
1N5250B . . . . . . . . . . . . . . . . . . ..
1N5251B ....................
1N5252B ....................
1N5253B ................... ,
1N5254B ................... ,
1N5255B ................... ,
1N5256B . . . . . . . . . . . . . . . . . . ..
1N5257B ................... ,
1N5258B . . . . . . . . . . . . . . . . . . ..
1N5259B . . . . . . . . . . . . . . . . . . ..
1N5260B ..........•.........
1N5261B ....................
1N5262B ................... ,
1N5263B . . . . . . . • . . . . . . . . . . ..
1N5264B . . . . . . . . . . . . . . . . . . ..
1N5265B . . . . . . . . . . . . . . . . . . ..
1N5266B . . . . . . . . . . . . . . . . . . ..
1N5267B . . . . . . . . . . . . . . . . . . ..
1N5268B . . . . . . . . . . . . . . . . . . ..
1N5269B . . . . . . . . . . . . . . . . . . ..
1N5270B . . . . . . . . . . . . . . . . . . ..
1N5271 B . . . . . . . . . . . . . . . . . . ..
1N5272B . . . . . . . . . . . . . . . . . . ..
1N5273B . . . . . . . . . . . . . . . . . . ..
1N5274B ....................
1N5275B . . . . . . . . . . . . . . . . . . ..
1N5276B . . . . . . . . . . . . . . . . . . ..
1N5278B . . . . . . . . . . . . . . . . . . ..
1N5279B . . . . . . . . . . . . . . . . . . ..
1N5280B . . . . . . . . . . . . . . . . . . ..
1N5281B ....................
1N5283 .....................
1N5287 .....................
1N5297 .....................
1N5298 .....................
1N5305 .....................
1N5309 .....................

Page
5.1-25
5.2-19
5.2-19
5.2-19
5.2-19
5.2-19
5.2-19
5.2-19
5.2-19
5.2-19
5.2-19
5.2-19
5.2-19
5.2-19
5.2-19
5.2-19
5.2-19
5.2-19
5.2-19
5.2-19
5.2-19
5.2-19
5.2-19
5.2-19
5.2-19
5.2-19
5.2-19
5.2-19
5.2-19
5.2-20
5.2-20
5.2-20
5.2-20
5.2-20
5.2-20
5.2-20
5.2-20
5.2-20
5.2-20
5.2-20
5.2-20
5.2-20
5.2-20
5.2-20
5.2-20
5.2-20
5.2-20
5.2-20
5.2-20
5.2-20
5.2-20
5.2-20
5.2-20
5.2-20
5.2-20
5.2-20
5.2-20
5.2-20
5.2-20
5.2-20
5.2-20
5.2--34
5.2-34
5.2--34
5.2--34
5.2--34
5.2--34

Motorola Master Selection Guide

Device Index
Device Index

Page

1N5310 ..................... 5.2-34
1N5311 ..................... 5.2-34
1N5312 ..................... 5.2-34
1N5313 ..................... 5.2-34
1N5314 ..................... 5.2-34
1N5333B .................... 5.2-21
1N5334B .. .. .. .. .. .. .. .. .... 5.2-21
1N5335B .. .. .. .. .. .. .. .. .... 5.2-21
1N5336B .. .. .. .. .. .. .. .. .... 5.2-21
1N5337B . . . . . . . . . . . . . . . . . . .. 5.2-21
1N5338B . . . . . . . . . . . . . . . . . . .. 5.2-21
1N5339B .. .. . .. . .. .. .. .. .... 5.2-21
1N5340B .. .. .. .. .. .. .. .. .... 5.2-21
1N5341B .................... 5.2-21
1N5342B .................... 5.2-21
1N5343B . .. .. .. . .. .. .. .. .... 5.2-21
1N5344B . .. .. .. .. .. .. .. .. ... 5.2-21
1N5345B .. .. .. .. .. .. . .. .. ... 5.2-21
1N5346B .. .. .. .. .. .. .. .. .... 5.2-21
1N5347B .................... 5.2-21
1N5348B .. .. .. .. .. .. .. .. .... 5.2-21
1N5349B .. .. .. .. .. .. .. .. .... 5.2-21
1N5350B .................... 5.2-21
1N5351B .................... 5.2-21
1N5352B .. .. .. .. .. .. .. .. .... 5.2-21
1N5353B .. .. .. .. .. .. .. .. .... 5.2-21
1N5354B . . . .. . . . . . .. . . . . . . .. 5.2-21
1N5355B .. .. .. .. .. .. .. .. .... 5.2-21
1N5356B .. .. .. .. .. .. .. .. .... 5.2-21
1N5357B .................... 5.2-21
1N5358B .. .. .. .. .. .. .. .. .... 5.2-21
1N5359B .. .. .. .. .. .. .. .. .... 5.2-21
1N5360B .. .. .. .. .. .. .. .. .... 5.2-21
1N5361B .................... 5.2-21
1N5362B .. .. .. .. .. .. .. .. .... 5.2-21
1N5363B .. .. .. .. .. .. .. .. .... 5.2-21
1N5364B .. .. .. .. .. .. .. .. .... 5.2-21
1N5365B .. .. .. .. .. .. .. .. .... 5.2-21
1N5366B . . . . . . . . . . . . . . . . . . .. 5.2-21
1N5367B .. .. .. .. .. .. .. .. .... 5.2-21
1N5368B .. .. .. .. .. .. .. .. .... 5.2-21
1N5369B .. .. .. .. .. .. .. .. .... 5.2-21
1N5370B . . . . . . . . . . . . . . . . . . .. 5.2-21
1N5371B .................... 5.2-21
1N5372B .. .. .. .. .. .. .. .. .... 5.2-21
1N5373B .. .. .. .. .. .. .. .. .... 5.2-21
1N5374B .................... 5.2-22
1N5375B .. .. .. .. .. .. .. .. .... 5.2-22
1N5376B . .. .. .. . .. .. .. .. .... 5.2-22
1N5377B .. .. .. .. .. .. .. .. .... 5.2-22
1N5378B .. .. .. .. .. .. .. .. .... 5.2-22
1N5379B .. .. .. .. .. .. .. .. .... 5.2-22
1N5380B .. .. .. .. .. .. .. .. .... 5.2-22
1N5381 B . . . . . .. . . . . . . . . . . . .. 5.2-22
1N5382B .. . .. .. .. .. .. .. .. ... 5.2-22
1N5383B .................... 5.2-22
1N5384B .. .. .. .. .. .. .. .. .... 5.2-22
1N5385B .. .. .. .. .. .. .. .. .... 5.2-22
1N5386B .................... 5.2-22
1N5387B .. . .. .. .. .. .. .. .. ... 5.2-22
1N5388B . . . . . .. . . .. .. . . . . . .. 5.2-22
1N5404 ..................... 5.6-13
1N5406 ..................... 5.6-13
1N5441A ............. 5.1-25,5.1-26
1N5444A .................... 5.1-26
1N5445A . .. .. .. . .. .. .. .. .... 5.1-25
1N5446A .................... 5.1-26

Motorola Master Selection Guide

Device Index

Page

1N5448A .................... 5.1-26
1N5449A .................... 5.1-26
1N5450A ............. 5.1-25,5.1-26
1N5451A .................... 5.1-26
1N5452A ............. 5.1-25,5.1-26
1N5453A .................... 5.1-26
1N5455A .................... 5.1-26
1N5456A ............. 5.1-25,5.1-26
1N5817 ...................... 5.6-6
1N5818 ...................... 5.6-6
1N5819 ...................... 5.6-6
1N5820 ...................... 5.6-6
1N5821 ...................... 5.6-6
1N5822 ...................... 5.6-6
1N5908 ...................... 5.2-6
1N5913B . . . . . . . . . . . . . . . . . . .. 5.2-21
1N5914B .................... 5.2-21
1N5916B .................... 5.2-21
1N5917B .................... 5.2-21
1N591 8B .................. " 5.2-21
1N5919B .. .. .. .. .. .. .. .. .... 5.2-21
1N5920B .. .. .. .. .. .. .. .. .... 5.2-21
1N5921 B .. .. .. .. .. .. .. .. .... 5.2-21
1N5922B .. .. .. . .. .. .. .. .. ... 5.2-21
1N5923B .. .. .. .. .. .. .. .. .... 5.2-21
1N5924B .. .. .. .. .. .. .. .. .... 5.2-21
1N5925B . . . . . . . . . . . . . . . . .. .. 5.2-21
1N5926B .. .. .. .. .. .. .. .. .. .. 5.2-21
1N5927B .. .. .. .. .. .. .. .. .... 5.2-21
1N5929B .. .. .. .. .. .. .. .. .... 5.2-21
1N5930B .. .. .. .. .. .. . .. .. ... 5.2-21
1N5931B .................... 5.2-21
1N5932B .................... 5.2-21
1N5933B .................... 5.2-21
1N5934B . . . . . . . . . . . . . . . . . . .. 5.2-21
1N5935B .. .. .. .. .. .. .. .. .... 5.2-21
1N5936B .................... 5.2-21
1N5937B .. .. .. .. .. .. .. .. .... 5.2-21
1N5938B .. .. .. .. .. .. .. .. .... 5.2-21
1N5939B .. .. .. .. .. .. .. .. .... 5.2-21
1N5941B .................... 5.2-21
1N5943B .. .. .. .. .. .. .. .. .... 5.2-21
1N5946B .. .. .. .. .. .. .. .. .... 5.2-22
1N5951B .................... 5.2-22
1N5953B .. .. .. .. .. .. .. .. .... 5.2-22
1N5954B .. .. .. .. .. .. .. .. .... 5.2-22
1N5955B . . . . . . . . . . . . . . . . . . .. 5.2-22
1N5956B . . . . . . . . . . . . . . . . . . .. 5.2-22
1N5985B .................... 5.2-19
1N5987B .................... 5.2-19
1N5988B .................... 5.2-19
1N5989B .................... 5.2-19
1N5990B .................... 5.2-19
1N5991B .................... 5.2-19
1N5992B .................... 5.2-19
1N5993B .................... 5.2-19
1N5994B .................... 5.2-19
1N5995B .................... 5.2-19
1N5996B .................... 5.2-19
1N5997B .................... 5.2-19
1N5998B .................... 5.2-19
1N5999B .................... 5.2-19
1N6000B .................... 5.2-19
1N6002B .................... 5.2-19
1N6003B .................... 5.2-19
1N6004B .................... 5.2-19
1N6007B .. .. .. .. .. .. .. .. .... 5.2-20

7.1-31

Device Index

Page

1N6267A ..................... 5.2-7
1N6268A .. .. .. .. .. .. .. .. .. ... 5.2-7
1N6269A .. .. .. .. .. .. .. .. .. ... 5.2-7
1N6271 A ..................... 5.2-7
1N6272A .. .. . .. .. .. .. .. .. .... 5.2-7
1N6273A ..................... 5.2-7
1N6274A ..................... 5.2-7
1N6275A .. .. .. .. .. .. . .. .. .... 5.2-7
1N6276A .. .. .. .. .. .. .. .. .. ... 5.2-7
1N6277A ..................... 5.2-7
1N6278A .. .. . .. .. .. .. .. .. .... 5.2-7
1N6279A ..................... 5.2-7
1N6280A .. .. . . . . . . . . . . . . . . . .. 5.2-7
1N6281A ..................... 5.2-7
1N6282A ..................... 5.2-7
1N6283A ..................... 5.2-7
1N6284A .. .. . .. .. .. .. .. .. .... 5.2-7
1N6285A .. .. .. .. .. .. .. .. .. ... 5.2-7
1N6286A ..................... 5.2-7
1N6287A ..................... 5.2-7
1N6288A .. .. .. .. .. .. .. .. .. ... 5.2-7
1N6289A ..................... 5.2-7
1N6290A ..................... 5.2-7
1N6291A ..................... 5.2-7
1N6292A ..................... 5.2-7
1N6293A ..................... 5.2-7
1N6294A . . .. . . . . . . .. . . .. . . ... 5.2-7
1N6295A ..................... 5.2-7
1N6296A ..................... 5.2-7
1N6297A ..................... 5.2-7
1N6298A ..................... 5.2-7
1N6299A .. .. .. .. .. .. .. .. .. ... 5.2-8
1N6300A .. .. .. .. .. .. .. .. .. ... 5.2-8
1N6301A ..................... 5.2-8
1N6302A .. .. .. .. .. .. .. .. .. ... 5.2-8
1N6303A .. .. .. .. .. .. .. .. .. ... 5.2-8
1SMA5.0AT3 ................ 5.2-11
lSMA6.0AT3 ................ 5.2-11
1SMA6.5AT3 ................ 5.2-11
1SMA7.0AT3 ................ 5.2-11
1SMA7.5AT3 ................ 5.2-11
1SMA8.0AT3 ................ 5.2-11
1SMA8.5AT3 ................ 5.2-11
1SMA9.0AT3 ................ 5.2-11
1SMA10AT3 ................. 5.2-11
lSMA10CAT3 ............... 5.2-12
1SMA11AT3 ................. 5.2-11
1SMA11CAT3 ................ 5.2-12
lSMA12AT3 ................. 5.2-11
lSMA12CAT3 ............... 5.2-12
1SMA13AT3 ................. 5.2-11
1SMA13CAT3 ............... 5.2-12
1SMA14AT3 ................. 5.2-11
1SMA14CAT3 ............... 5.2-12
1SMA15AT3 ................. 5.2-11
1SMA15CAT3 ............... 5.2-12
lSMA16AT3 ................. 5.2-11
1SMA16CAT3 ............... 5.2-12
1SMA17AT3 ................. 5.2-11
1SMA17CAT3 ............... 5.2-12
1SMA18AT3 ................. 5.2-11
lSMA18CAT3 ............... 5.2-12
1SMA20AT3 ................. 5.2-11
1SMA20CAT3 ............... 5.2-12
1SMA22AT3 ................. 5.2-11
1SMA22CAT3 ............... 5.2-12
1SMA24AT3 ................. 5.2-11

Device Index

Device Index
Device Index

Page

lSMA24CAT3 ...............
lSMA26AT3 .................
lSMA26CAT3 ...............
lSMA28AT3 .................
1SMA28CAT3 ...............
lSMA30AT3 .................
lSMA30CAT3 ...............
lSMA33AT3 .................
1SMA33CAT3 ...............
lSMA36AT3 .................
lSMA36CAT3 ...............
1SMA40AT3 . . . . . . . . . . . . . . . ..
lSMA40CAT3 ...............
lSMA43AT3 .................
lSMA43CAT3 ...............
lSMA45AT3 .................
lSMA45CAT3 ...............
lSMA48AT3 .................
lSMA48CAT3 ...............
lSMA51AT3 .................
lSMA51CAT3 ...............
lSMA54AT3 .................
lSMA54CAT3 ...............
lSMA58AT3 .................
lSMA58CAT3 ...............
lSMA60AT3 .................
lSMA60CAT3 ...............
lSMA64AT3 .................
lSMA64CAT3 ...............
lSMA70AT3 .................
lSMA70CAT3 ...............
lSMA75AT3 .................
lSMA75CAT3 ...............
lSMA78CAT3 ...............
lSMA5913BT3 ...............
lSMA5914BT3 ...............
lSMA5915BT3 ...............
lSMA5916BT3 ...............
1SMA5917BT3 . . . . . . . . . . . . . ..
lSMA5918BT3 ...............
lSMA5919BT3 ...............
1SMA5920BT3 . . . . . . . . . . . . . ..
1SMA5921 BT3 . . . . . . . . . . . . . ..
1SMA5922BT3 . . . . . . . . . . . . . ..
1SMA5923BT3 . . . . . . . . . . . . . ..
1SMA5924BT3 . . . . . . . . . . . . . ..
1SMA5925BT3 . . . . . . . . . . . . . ..
1SMA5926BT3 ...............
1SMA5927BT3 . . . . . . . . . . . . . ..
1SMA5928BT3 . . . . . . . . . . . . . ..
1SMA5929BT3 . . . . . . . . . . . . . ..
1SMA5930BT3 . . . . . . . . . . . . . ..
1SMA5931BT3 ...............
1SMA5932BT3 . . . . . . . . . . . . . ..
1SMA5933BT3 . . . . . . . . . . . . . ..
1SMA5934BT3 . . . . . . . . . . . . . ..
1SMA5935BT3 . . . . . . . . . . . . . ..
1SMA5936BT3 . . . . . . . . . . . . . ..
1SMA5937BT3 . . . . . . . . . . . . . ..
1SMA5938BT3 . . . . . . . . . . . . . ..
1SMA5939BT3 . . . . . . . . . . . . . ..
1SMA5940BT3 . . . . . . . . . . . . . ..
1SMA5941 BT3 . . . . . . . . . . . . . ..
1SMA5942BT3 . . . . . . . . . . . . . ..
1SMA5943BT3 . . . . . . . . . . . . . ..
1SMA5944BT3 . . . . . . . . . . . . . ..
1SMA5945BT3 . . . . . . . . . . . . . ..

5.2-12
5.2-11
5.2-12
5.2-11
5.2-12
5.2-11
5.2-12
5.2-11
5.2-12
5.2-11
5.2-12
5.2-11
5.2-12
5.2-11
5.2-12
5.2-11
5.2-12
5.2-11
5.2-12
5.2-11
5.2-12
5.2-11
5.2-12
5.2-11
5.2-12
5.2-11
5.2-12
5.2-11
5.2-12
5.2-11
5.2-12
5.2-11
5.2-12
5.2-12
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-25
5.2-25
5.2-25
5.2-25
5.2-25
5.2-25
5.2-25
5.2-25
5.2-25
5.2-25

Device Index

Device Index
15MB5.0AT3 ................
15MB6.0AT3 ................
15MB6.5AT3 ................
15MB7.0AT3 ................
15MB7.5AT3 ................
15MB8.0AT3 ................
15MB8.5AT3 ................
15MB9.0AT3 ................
15MB10AT3 .................
15MB10CAT3 ...............
15MBllAT3 .................
15MB11CAT3 ................
15MB12AT3 .................
15MB12CAT3 ...............
15MB13AT3 .................
15MB13CAT3 ...............
15MB14AT3 .................
15MB14CAT3 ...............
15MB15AT3 .................
15MB15CAT3 ...............
15MB16AT3 .................
15MB16CAT3 ...............
15MB18AT3 .................
15MB18CAT3 ...............
15MB20AT3 .................
15MB20CAT3 ...............
15MB22AT3 .................
15MB22CAT3 ...............
15MB24AT3 .................
15MB24CAT3 ...............
15MB26AT3 .................
15MB26CAT3 ...............
15MB28AT3 .................
ISMB28CAT3 ...............
15MB30AT3 .................
15MB30CAT3 ...............
15MB36AT3 .................
15MB36CAT3 ...............
15MB40AT3 .................
15MB40CAT3 ...............
ISMB43AT3 .................
15MB43CAT3 ...............
15MB45AT3 .................
15MB45CAT3 ...............
15MB48AT3 .................
15MB48CAT3 ...............
15MB51AT3 .................
15MB51CAT3 ...............
15MB54AT3 .................
15MB54CAT3 ...............
15MB58AT3 .................
15MB58CAT3 ...............
15MB60AT3 .................
15MB60CAT3 ...............
15MB64AT3 .................
15MB64CAT3 ...............
15MB70AT3 .................
15MB70CAT3 ...............
15MB75AT3 .................
15MB78AT3 .................
15MB85AT3 .................
15MB90AT3 .................
15MB100AT3 ................
15MB110AT3 ................
15MB120AT3 ................
15MBl30AT3 ................
15MB150AT3 ................

7.1-32

Page
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-13
5.2-14
5.2-14
5.2-14
5.2-14
5.2-14
5.2-14
5.2-14
5.2-14
5.2-14
5.2-14
5.2-14
5.2-14
5.2-14

Device Index
15MB160AT3 ................
15MB170AT3 ................
15MB5913BT3 . . . . . . . . . . . . . ..
15MB5915BT3 ...............
15MB5916BT3 ...............
15MB5917BT3 ...............
15MB5918BT3 ...............
15MB5919BT3 ...............
15MB5920BT3 . . . . . . . . . . . . . ..
15MB5921 BT3 . . . . . . . . . . . . . ..
15MB5922BT3 ...............
15MB5923BT3 . . . . . . . . . . . . . ..
15MB5924BT3 . . . . . . . . . . . . . ..
15MB5925BT3 . . . . . . . . . . . . . ..
15MB5926BT3 . . . . . . . . . . . . . ..
15MB5927BT3 . . . . . . . . . . . . . ..
15MB5928BT3 . . . . . . . . . . . . . ..
15MB5929BT3 ...............
15MB5930BT3 . . . . . . . . . . . . . ..
15MB5931BT3 ...............
15MB5932BT3 . . . . . . . . . . . . . ..
15MB5933BT3 ...............
15MB5934BT3 . . . . . . . . . . . . . ..
15MB5935BT3 . . . . . . . . . . . . . ..
15MB5936BT3 ...............
15MB5937BT3 ...............
15MB5938BT3 . . . . . . . . . . . . . ..
15MB5939BT3 . . . . . . . . . . . . . ..
15MB5940BT3 ...............
15MB5941BT3 ...............
15MB5942BT3 ...............
15MB5943BT3 . . . . . . . . . . . . . ..
15MB5944BT3 ...............
15MB5945BT3 . . . . . . . . . . . . . ..
15MB5946BT3 . . . . . . . . . . . . . ..
15MB5947BT3 . . . . . . . . . . . . . ..
15MB5949BT3 . . . . . . . . . . . . . ..
15MB5950BT3 . . . . . . . . . . . . . ..
15MB5951 BT3 ...............
15MB5952BT3 . . . . . . . . . . . . . ..
15MB5953BT3 . . . . . . . . . . . . . ..
15MB5954BT3 . . . . . . . . . . . . . ..
lSMC5.0AT3 ................
lSMC6.0AT3 ................
lSMC6.5AT3 ................
lSMC7.0AT3 ................
lSMC7.5AT3 ................
lSMC8.0AT3 ................
lSMC8.5AT3 ................
lSMC9.0AT3 ................
lSMC10AT3 .................
lSMC11AT3 .................
lSMC12AT3 .................
lSMC13AT3 .................
lSMC14AT3 .................
lSMC15AT3 .................
lSMC16AT3 .................
lSMC17AT3 .................
lSMC18AT3 .................
lSMC20AT3 .................
lSMC22AT3 .................
lSMC24AT3 .................
lSMC26AT3 .................
lSMC28AT3 .................
lSMC30AT3 .................
lSMC33AT3 .................
lSMC36AT3 .................

Page
5.2-14
5.2-14
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-24
5.2-25
5.2-25
5.2-25
5.2-25
5.2-25
5.2-25
5.2-25
5.2-25
5.2-25
5.2-25
5.2-25
5.2-25
5.2-25
5.2-25
5.2-25
5.2-25
5.2-25
5.2-25
5.2-16
5.2-16
5.2-16
5.2-16
5.2-16
5.2-16
5.2-16
5.2-16
5.2-16
5.2-16
5.2-16
5.2-16
5.2-16
5.2-16
5.2-16
5.2-16
5.2-16
5.2-16
5.2-16
5.2-16
5.2-16
5.2-16
5.2-16
5.2-16
5.2-16

Motorola Master Selection Guide

Device Index
Device Index

Page

1SMC40AT3 ................. 5.2-16
1SMC43AT3 ................. 5.2-16
1SMC45AT3 ................. 5.2-16
1SMC48AT3 ................. 5.2-16
1SMC51AT3 ................. 5.2-16
1SMC54AT3 ................. 5.2-16
1SMC58AT3 ................. 5.2-16
1SMC60AT3 ................. 5.2-16
1SMC64AT3 ................. 5.2-16
1SMC70AT3 ................. 5.2-16
1SMC75AT3 ................. 5.2-16
1SMC78AT3 ................. 5.2-16
2N930 ...................... 5.1-19
2N930A ..................... 5.1-19
2N1893 ..................... 5.1-18
2N2219A .................... 5.1-18
2N2222A .................... 5.1-18
2N2369A .................... 5.1-19
2N2484 ..................... 5.1-19
2N2904A .................... 5.1-18
2N2905A .................... 5.1-18
2N2906A .................... 5.1-18
2N2907A .................... 5.1-18
2N3019 ..................... 5.1-18
2N3020 ..................... 5.1-18
2N3055 ..................... 5.5-12
2N3055A ............. 5.5-12,5.5-15
2N3251A .................... 5.1-18
2N3442 ..................... 5.5-12
2N3467 ..................... 5.1-19
2N3637 ..................... 5.1-19
2N3700 ..................... 5.1-18
2N3715 ..................... 5.5-12
2N3716 ..................... 5.5-12
2N3771 ..................... 5.5-13
2N3772 ..................... 5.5-13
2N3773 ..................... 5.5-13
2N3791 ..................... 5.5-12
2N3792 ..................... 5.5-12
2N3799 ..................... 5.1-19
2N3903 ...................... 5.1-2
2N3904 ...................... 5.1-2
2N3905 ...................... 5.1-2
2N3906 ...................... 5.1-2
2N3964 ..................... 5.1-19
2N4032 ..................... 5.1-18
2N4033 ..................... 5.1-18
2N4036 ..................... 5.1-18
2N4123 ...................... 5.1-2
2N4124 ...................... 5.1-2
2N4125 ...................... 5.1-2
2N4126 ...................... 5.1-2
2N4264 ...................... 5.1-6
2N4265 ...................... 5.1-6
2N4398 ..................... 5.5-13
2N4399 ..................... 5.5-13
2N4400 ...................... 5.1-2
2N4401 ...................... 5.1-2
2N4402 ...................... 5.1-2
2N4403 ...................... 5.1-2
2N4410 ...................... 5.1-2
2N4918 ...................... 5.5-9
2N4919 ...................... 5.5-9
2N4920 ...................... 5.5-9
2N4921 ...................... 5.5-9
2N4922 ...................... 5.5-9
2N4923 ...................... 5.5-9

Motorola Master Selection Guide

Device Index

Page

2N5038 ..................... 5.5-13
2N5039 ..................... 5.5-13
2N5086 ...................... 5.1-3
2N5087 ...................... 5.1-3
2N5088 ...................... 5.1-3
2N5089 ...................... 5.1-3
2N5191 ...................... 5.5-9
2N5192 ...................... 5.5-9
2N5194 ...................... 5.5-9
2N5195 ...................... 5.5-9
2N5301 ..................... 5.5-13
2N5302 ..................... 5.5-13
2N5401 ...................... 5.1-5
2N5457 ..................... 5.1-20
2N5458 ..................... 5.1-20
2N5459 ..................... 5.1-20
2N5460 ..................... 5.1-20
2N5461 ..................... 5.1-20
2N5462 ..................... 5.1-20
2N5484 ..................... 5.1-20
2N5485 ..................... 5.1-20
2N5486 ..................... 5.1-20
2N5551 ...................... 5.1-5
2N5555 ..................... 5.1-21
2N5631 ..................... 5.5-13
2N5639 ..................... 5.1-21
2N5640 ..................... 5.1-21
2N5655 ...................... 5.5-6
2N5656 ...................... 5.5-8
2N5657 ...................... 5.5-9
2N5668 ..................... 5.1-20
2N5684 ..................... 5.5-14
2N5685 ..................... 5.5-14
2N5686 ..................... 5.5-14
2N5878 ..................... 5.5-12
2N5879 ..................... 5.5-12
2N5880 ..................... 5.5-12
2N5881 ..................... 5.5-12
2N5882 ..................... 5.5-12
2N5883 ..................... 5.5-13
2N5884 ..................... 5.5-13
2N5885 ..................... 5.5-13
2N5886 ..................... 5.5-13
2N6027 ........... " ........ 5.7-14
2N6028 ..................... 5.7-14
2N6031 ..................... 5.5-13
2N6035 ...................... 5.5-9
2N6036 ..................... 5.5-10
2N6038 ...................... 5.5-9
2N6039 ..................... 5.5-10
2N6040 .................. . . .. 5.5-5
2N6041 ...................... 5.5-5
2N6042 ...................... 5.5-5
2N6043 ...................... 5.5-5
2N6044 ...................... 5.5-5
2N6045 ...................... 5.5-5
2N6050 ..................... 5.5-12
2N6051 ..................... 5.5-12
2N6052 ..................... 5.5-12
2N6055 ..................... 5.5-11
2N6056 ..................... 5.5-11
2N6057 ..................... 5.5-12
2N6058 ..................... 5.5-12
2N6059 ..................... 5.5-12
2N6071 ...................... 5.7-8
2N6071A ..................... 5.7-8
2N6071B ..................... 5.7-8

7.1-33

Device Index

Page

2N6073 ...................... 5.7-8
2N6073A ................... " 5.7-8
2N6073B ..................... 5.7-8
2N6075 ...................... 5.7-8
2N6075A ..................... 5.7-8
2N6075B ..................... 5.7-8
2N6107 ...................... 5.5-4
2N6109 ...................... 5.5-4
2N6111 ...................... 5.5-4
2N6237 ...................... 5.7-3
2N6238 ...................... 5.7-3
2N6239 ...................... 5.7-3
2N6240 ...................... 5.7-3
2N6241 ...................... 5.7-3
2N6274 ..................... 5.5-14
2N6275 ..................... 5.5-14
2N6277 ..................... 5.5-14
2N6282 ..................... 5.5-13
2N6283 ..................... 5.5-13
2N6284 ..................... 5.5-13
2N6285 ..................... 5.5-13
2N6286 ..................... 5.5-13
2N6287 ..................... 5.5-13
2N6288 ...................... 5.5-4
2N6292 ...................... 5.5-4
2N6328 ..................... 5.5-13
2N6338 ..................... 5.5-13
2N6339 ..................... 5.5-13
2N6340 ..................... 5.5-13
2N6341 ..................... 5.5-13
2N6342 ..................... 5.7-10
2N6343 ..................... 5.7-10
2N6344 ..................... 5.7-10
2N6345 ..................... 5.7-10
2N6346 ..................... 5.7-10
2N6346A .................... 5.7-12
2N6347 ..................... 5.7-10
2N6347A ................... , 5.7-12
2N6348 ..................... 5.7-10
2N6348A .................... 5.7-12
2N6349 ..................... 5.7-10
2N6349A .................... 5.7-12
2N6379 ..................... 5.5-14
2N6387 ...................... 5.5-5
2N6388 ...................... 5.5-5
2N6426 ...................... 5.1-4
2N6427 ...................... 5.1-4
2N6431 ..................... 5.1-19
2N6436 ..................... 5.5-13
2N6437 ..................... 5.5-13
2N6438 ..................... 5.5-13
2N6488 ...................... 5.5-6
2N6491 ...................... 5.5-6
2N6497 ...................... 5.5-4
2N6498 ...................... 5.5-4
2N6504 ...................... 5.7-5
2N6505 ...................... 5.7-5
2N6506 ...................... 5.7-5
2N6507 ...................... 5.7-5
2N6508 ...................... 5.7-5
2N6509 ...................... 5.7-5
2N6517 ...................... 5.1-5
2N6519 ...................... 5.1-5
2N6520 ...................... 5.1-5
2N6547 ..................... 5.5-12
2N6576 ..................... 5.5-12
2N6577 ..................... 5.5-12

Device Index

Device Index
Device Index

Page

2N6578 ..................... 5.5-12
2N6609 ..................... 5.5-13
2N6667 ...................... 5.5-5
2N6668 ...................... 5.5-5
2N7000 ..................... 5.1-22
2N7002LT1 .................. 5.1-24
2N7008 ..................... 5.1-22
2SA1774 .................... 5.1-12
2SC4617 .................... 5.1-12
3EZ4.3D5 ................... 5.2-21
3EZ7.5D5 ................... 5.2-21
3EZ8.2D5 ................... 5.2-21
3EZ9.1D5 ................... 5.2-21
3EZ10D5 .................... 5.2-21
3EZ11D5 .................... 5.2-21
3EZ13D5 .................... 5.2-21
3EZ14D5 .................... 5.2-21
3EZ19D5 .................... 5.2-21
3EZ22D5 . . . . .. . .. . .. . . . .. ... 5.2-21
3EZ27D5 .................. " 5.2-21
3EZ28D5 .................... 5.2-21
3EZ33D5 . . .. .. . . . . .. . .. . . ... 5.2-21
3EZ36D5 . . .. .. . .. . .. . . . .. ... 5.2-21
3EZ43D5 . . .. .. . .. . .. . . . .. ... 5.2-21
3EZ47D5 .................... 5.2-21
3EZ56D5 .. .. .. . .. . . .. . . .. ... 5.2-21
3EZ68D5 .. .. .. . .. . . . . . . . . . .. 5.2-21
3EZ75D5 . .. . .. . . . .. . .. . . . ... 5.2-22
3EZ91 D5 .................... 5.2-22
3EZ110D5 ................... 5.2-22
3EZ120D5 .. .. .. .. .. .. .. .. ... 5.2-22
3EZ130D5 . .. .. . .. . . . . . . .. . .. 5.2-22
3EZ140D5 ................... 5.2-22
3EZ160D5 .. .. .. .. .. .. .. .. ... 5.2-22
3EZ190D5 .. . .. . .. . . . . . . .. . .. 5.2-22
3EZ200D5 . .. .. . .. . . .. . . .. ... 5.2-22
3EZ220D5 . . . .. . .. . . .. . . .. ... 5.2-22
3EZ240D5 ................... 5.2-22
3EZ330D5 . . . .. . . .. . . . . . . . . .. 5.2-22
3EZ400D5 . .. . . .. . . .. . . .. . ... 5.2-22
4N25 ........................ 5.8-6
4N26 ........................ 5.8-6
4N27 ........................ 5.8-6
4N28 ........................ 5.8-6
4N29 ........................ 5.8-7
4N29A ....................... 5.8-7
4N30 ........................ 5.8-7
4N31 ........................ 5.8-7
4N32 ........................ 5.8-7
4N33 ........................ 5.8-7
4N35 ........................ 5.8-6
4N36 ........................ 5.8-6
4N37 ........................ 5.8-6
4N38 ........................ 5.8-6
4N38A ....................... 5.8-6
68HC05A16 ................. 2.5-14
68HC05B4 .................. 2.5-14
68HC05B6 .................. 2.5-14
68HC05B8 .................. 2.5-14

Device Index

Device Index

Page

68HC05B16 ................. 2.5-14
68HC05B32 .......... 2.5-11.2.5-14
68HC05BD3 ................. 2.5-14
68HC05BD5 ................. 2.5-14
68HC05BS8 ................. 2.5-14
68HC05CO ........... 2.5-11.2.5-14
68HC05C4 .................. 2.5-14
68HC05C4A ................. 2.5-14
68HC05C5 ........... 2.5-14.2.5-17
68HC05C8A ................. 2.5-14
68HC05C9 .................. 2.5-15
68HC05C9A .. .. .. .. .. .. .. ... 2.5-15
68HC05C12A ................ 2.5-14
68HC05CCV .......... 2.5-15.2.5-17
68HC05CJ4 ................. 2.5-15
68HC05D9 .................. 2.5-15
68HC05D24 ................. 2.5-15
68HC05D32 ................. 2.5-15
68HC05E6 .................. 2.5-15
68HC05F4 .................. 2.5-15
68HC05F6 .................. 2.5-15
68HC05F8 .................. 2.5-15
68HC05G1 .................. 2.5-15
68HC05G3 .................. 2.5-15
68HC05J1 ................... 2.5-15
68HC05J1A .......... 2.5-15.2.5-17
68HC05J3 ................... 2.5-15
68HC05KO ........... 2.5-15.2.5-17
68HC05K1 ........... 2.5-15,2.5-17
68HC05K3 .................. 2.5-15
68HC05L1 ................... 2.5-15
68HC05L2 ................... 2.5-15
68HC05L5 ................... 2.5-15
68HC05L7 ............ 2.5-15,2.5-17
68HC05L9 ............ 2.5-15,2.5-17
68HC05L10 ................. 2.5-17
68HC05L11 ........... 2.5-15.2.5-17
68HC05L16 ................. 2.5-15
68HC05M4 ........... 2.5-15.2.5-17
68HC05MC4 .......... 2.5-11,2.5-15
68HC05P1 .................. 2.5-16
68HC05P3 .................. 2.5-16
68HC05P4 .................. 2.5-16
68HC05P6 .................. 2.5-16
68HC05P7 .................. 2.5-16
68HC05P8 .................. 2.5-16
68HC05P9 .................. 2.S-16
68HCOSP9A ................. 2.5-11
68HCOSP18 .......... 2.5-11.2.5-16
68HCOSRC16 ................ 2.5-16
68HCOSRC17 ................ 2.5-11
68HCOSSC11 ................ 2.5-16
68HC05SC21 ................ 2.5-16
68HCOSSC24 ................ 2.5-16
68HC05SC27 ................ 2.5-16
68HCOSSR3 .......... 2.5-11.2.S-16
68HCOST1 ........... 2.5-16,2.5-17
68HCOST2 ........... 2.S-16, 2.5-17
68HC05T10 ................. 2.S-16

7.1-34

Device Index

Page

68HCOSV7 ........... 2.S-11, 2.S-16
68HCOSV12 ................. 2.S-16
68HC05X4 .................. 2.5-16
68HCOSX16 ................. 2.S-16
68HCOSX32 ................. 2.5-16
68HC08XL36 ......... 2.5-11.2.S-16
68HC70SA24 ......... 2.5-14.2.5-18
68HC70SBS .......... 2.5-14.2.5-18
68HC70SB16 ......... 2.5-14.2.5-18
68HC70SB32 ......... 2.5-14,2.5-18
68HC705BD3 ......... 2.5-14.2.5-18
68HC70SBD5 ......... 2.5-14.2.S-18
68HC705BS8 ................ 2.5-14
68HC70SC4A ......... 2.5-14.2.5-18
68HC70SCS ... 2.S-14. 2.S-17. 2.5-18
68HC70SC8 ................. 2.5-18
68HC70SC8A ......... 2.S-14. 2.S-18
68HC70SC9 .......... 2.5-1S. 2.5-18
68HC70SC9A ................ 2.5-1S
68HC70SCCV ........ 2.5-15,2.5-17
68HC70SCJ4 ................ 2.5-1S
68HC70SD9 .......... 2.5-1S. 2.5-18
68HC70SD32A ........ 2.5-11,2.5-1S
68HC70SES ................. 2.5-11
68HC705E6 .......... 2.5-1S, 2.5-18
68HC705F4 .......... 2.S-1S.2.5-18
68HC705F6 .......... 2.5-15. 2.S-18
68HC70SF8 .......... 2.S-1S.2.5-18
68HC70SG1 .......... 2.5-1S.2.5-18
68HC705G4 ................. 2.5-1S
68HC705J1A .. 2.5-1S. 2.5-17. 2.S-18
68HC705J2 ........... 2.5-1S.2.5-18
68HC70SJ3 ........... 2.5-15. 2.5-18
68HC70SJP7 ................ 2.5-11
68HC70SK1 .. , 2.5-1S, 2.5-17. 2.5-18
68HC705L1 .......... 2.5-1S.2.5-18
68HC70SL2 .......... 2.S-1S.2.5-18
68HC705L5 .......... 2.S-1S. 2.5-18
68HC705L10 ................ 2.5-1S
68HC705L16 ......... 2.5-1S, 2.5-18
68HC70SMC4 ........ 2.5-11.2.5-15.
2.5-18
68HC70SP3 ................. 2.5-18
68HC70SP6 .......... 2.5-16,2.5-18
68HC70SP6A ................ 2.5-11
68HC70SP9 .......... 2.5-16.2.5-18
68HC70SRC16 ........ 2.5-11.2.5-16
68HC705RC17 ............... 2.5-11
68HC70SSR3 ......... 2.5-16. 2.S-18
68HC70ST10 ......... 2.5-16,2.5-18
68HC70SV8 .......... 2.5-16,2.5-18
68HC70SV12 ......... 2.5-16,2.5-18
68HC70SX4 .......... 2.5-16.2.5-18
68HC705X32 .. 2.5-11,2.5-16.2.5-18
68HC708XL36 ....... 2.5-12,2.S-16.
2.5-18
68HC80SK3 ................. 2.5-11
68HC80SP18 ......... 2.S-16. 2.5-18

Motorola Master Selection Guide

General Index
A

B (continued)

A-D Converters ....................................... 4.5-2

Buffers,3-State ...................................... 3.1-13

Acceleration Sensors ................................. 5.9-12

Bus Interface ........................................ 3.1-14

Adjustable Output Regulators ........................... 4.2-4

c

ADPCM Transcoder .................................. 4.7-32

C-Compiler Packages ................................. 2.1-20
C-Quam AM Stereo Decoders .......................... 4.8-2

Advanced Comb Filter-II (ACF-II) ...................... 4.8-13
Advanced Digital Consumer Division (ADCD)
New Market Focus .................................. 1.1-1

Cache/Memory Management Units ...................... 2.3-3

Advanced Digital Consumer Products .................... 1.0-1

Calling Line ID Receiver Evaluation Kit .................. 4.7-33

Advanced NTSC Comb Filter .......................... 4.8-12
Advanced PAUNTSC Encoder ......................... 4.8-23

Calling Line Identification (CLlD) Receiver
with Ring Detector .................................. 4.7-33

AGC Amplifiers ....................................... 4.1-5

Case Outlines, Logic .................................. 3.1-54

Alternator Voltage Regulator ........................... 4.9-12

CATV Distribution Amplifiers, RF .............. 5.10-36-5.10-40

Amplifier ............................................ 3.1-13

CBM ................................................ 3.1-16

Amplifier Modules, RF ........................ 5.1 Q-31-5.1 0-35

Central Processing Unit ................................ 2.6-2

Amplifiers - Miscellaneous .............................. 4.1-6

Central Processing Units .............................. 2.6-12
Clock Distribution Chips ............................... 3.1-16

Amplifiers and Comparators Package Overview ........... 4.1-8

Clock Drivers ........................................ 3.1-16
Closed Loop Brushless Motor Adapter .................... 4.3-7

Amplifiers, CATV Distribution, RF .............. 5.10-36-5.10-40
Amplifiers, Integrated Power, RF ....................... 5.10-26
Amplifiers, RF ............................... 5.10-29-5.10-40

Closed-Caption Decoder .............................. 4.8-14

Amplifiers, Wideband Linear, RF ....................... 5.1Q-34

CMOS ...............................................

Analog Circuits Package Overview ...................... 4.10-4

CMOS A-D Converters ................................ 4.5-2
CMOS D-A Converters ................................ 4.5-3

Analog MPQ Table .................................... 4.11-5

al~

CMOS Display Drivers ................................. 4.6-9
CMOS Dual Programmable Comparators ................. 4.1-6

Analog-to-Digital Converter (ADC) ...................... 2.6-13
Analog-to-Digital Conversion Modules ................... 2.6-13

CMOS Dual Programmable Operational Amplifiers ......... 4.1-6

AND/NAND Gates .................................... 3.1-25

CMOS Dual Video Amplifiers ............................ 4.1-6
CMOS Micropower DC-ta-DC Converters ............... 4.2-16

Arithmetic Operators .................................. 3.1-13
Asynchronous Fast Static RAMs ......................... 2.8-5

CMOS Micropower Undervoltage Sensing Circuits ........ 4.2-28

Audio/Servo Loads, Bipolar Power Transistors ............ 5.5-15

CMOS Micropower Undervoltage Sensing Circuits
with Output Delay .................................. 4.2-29

Automotive Direction Indicator ........................... 4.9-9
Automotive Electronic Circuits Package Overview ......... 4.9-13

CMOS Quad Programmable Operational Amplifiers ........ 4.1-6

Automotive ISO 9141 Serial Link Driver .................. 4.9-11

Coax Cable Drivers ................................... 3.1-17
Comb Filters .......................................... 4.8-3

Automotive Wash Wiper Timer ......................... 4.9-10

Communication Modules .............................. 2.6-13

B
Balanced Modulator/Demodulator ........................ 4.7-4

Communications Circuits Package Overview ............. 4.7-41
Communications, Power and Signal Technologies Group ... 5.0-1

Battery Management Circuits .......................... 4.2-32

Comparators ................................... 3.1-17,4.1-7

Bipolar A-D Converters ................................ 4.5-2

Complex Gates ...................................... 3.1-26
Configurable Timer Module (CTM) ...................... 2.6-12

Bipolar Amplifiers ...................................... 4.1-6

Configuration and Order Information for MMDS/MMEVS ... 2.5-14
Configuration and Order Information for Other Motorola
Development Tools (EVM/EVS/ICS) ................... 2.5-17

Bipolar Dual Operational Amplifiers ...................... 4.1-6
Bipolar Dual Voltage Comparators ....................... 4.1-6
Bipolar Power Electronic Lamp Ballasts ................. 5.5-16

Configuration and Order Information for Programmers ..... 2.5-18

Bipolar Power Transistors .............................. 5.5-2

Bipolar Power Transistors, DPAK ....................... 5.5-10

Consumer Electronic Circuits Package Overview ......... 4.8-24
Continuously Variable Slope Delta (CVSD)
Modulator/Demodulator ............................. 4.7-34
Converters .......................................... 3.1-18

Bipolar Power Transistors, Electronic Lamp Ballasts ....... 5.5-16

Coprocessors ........................................ 2.2-10

Bipolar Power Transistors, Metal ....................... 5.5-11

Cordless Universal Telephone Interface ................. 4.7-22

Bipolar Power Transistors, Plastic ..... 5.5-3, 5.5-6, 5.5-7, 5.5-8
Bipolar Telecommunication Circuit Summary ............. 4.7-35

Counters ............................................ 3.1-18
CPSTG Surface Mount Information ..................... 5.11-2

Bipolar Transistors, Power, RF ................. 5.10-7-5.10-14

CPU16 .............................................. 2.6-12

Block Erasable Flash EEPROM (BE FLASH) ............. 2.6-13
Bounce Eliminator .................................... 3.1-13

CPU32 .............................................. 2.6-12
Critical Conduction SMPS Controller .................... 4.2-22

Brushless DC Motor Controllers ......................... 4.3-4

CSIC Microcontroller Division World Wide Web Site ....... 2.5-23

Buffers .............................................. 3.1-13

Current Mode Switching Regulator ...................... 4.7-31

Bipolar Power Transistors, Audio ....................... 5.5-15
Bipolar Power Transistors, Audio/Servo Loads ............ 5.5-15

Motorola Master Selection Guide

7.2-1

General Index

General Index
o

E (continued)

D-A Converters ....................................... 4.5-3

EDACs ............................................. 3.1-21

D2PAK .............................................. 4.11-5

EIA Standard Line Drivers .............................. 4.6-7

Data Communication Devices ............. , ............ 2.2-12

EIA Standard Line Receivers ............................ 4.6-7

Data Conversion Package Overview ..................... 4.5-4

EIA-232-E/V.28 CMOS Drivers/Receivers ................ 4.6-7

DC Servo Motor Controller/Driver ........................ 4.3-8

Electronic Ignition ...................•................. 4.9-2

Decoder . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.1-22

ElectronicTelephone .................•................ 4.7-19

Decoder/Demultiplexers ............................... 3.1-20

ElectronicTelephoneCircuits .•........................ 4.7-19

Decoders ............................................ 3.1-20

Embedded Controllers ................................. 2.2-5

Deflection ............................................ 4.8-3

Encoder/Decoder ..................................... 3.1-22

Demultiplexers ....................................... 3.1-20

Encoders ...................................... 3.1-21,4.8-3

Design-In Software Packages .......... " .... " ., ..... , 2.1-19

Enhanced Closed-Caption Decoder ..........•......... 4.8-15

Detectors ............................................ 3.1-21

Enhanced Ethernet Transceiver ......................... 4.6-2

Developer's Workbench ................................ 2.7-6

Entertainment Radio Receiver Circuits - Audio Amplifiers ... 4.8-2

Device Index, logic ................................... 3.1-40

Entertainment Receiver RF/IF ........................... 4.8-2

Device Nomenclatures, logic .......................... 3.1-50

Evaluation Boards, RF Monolithic Integrated Circuits ..... 5.10-23

Digital I/O and Special Functions ........................ 2.6-2

Expanders ........................................... 3.1-22

Digital Signal Processors ............................... 2.1-1

EZFETs, HDTMOS Power MOSFETs .................... 5.4-9

Digital-to-Analog Conversion ............................ 2.6-2

80 mA Micropower Voltage Regulator .................... 4.2-5

Digitally Controlled Video Processor
for Multimedia Applications ........................... 4.8-7

F

Direct Memory Access (DMA) .......................... 2.6-13
Discrete Products ..................................... 5.0-1

FACT .•......•...................................... 3.1-51

Discrete Transistors, RF ....................... 5.10-2-5.10-19

Family Wideband General Purpose Amplifiers ............. 4.1-5

Discrete Wireless Transmitter Devices, RF ...... 5.10-15-5.10-16

FAST ............................................... 3.1-50

Display Decode Drivers ............................... 3.1-21

Fast Static RAM Modules ....•......................... , 2.8-6

Dividers ............................................. 3.1-21
DMA Controllers ..................................... 2.2-10

Fast Static RAMs ...................................... 2.8-2
FETs, TMOS Power MOSFETs .......................•.. 5.4-1

Double-Ended Controllers ............................. 4.2-15

Fiber Distributed Data Interface ........................ 2.2-13

DPAK ........................................ 4.11-5,5.5-10

Fiber Optic Receivers, RF ............................ 5.10-36

DPAK-Surface Mount, Bipolar Power Transistors ......... 5.5-10

Field Programmable Gate Array .........•.............. 3.1-22

DRAM Modules ....................................... 2.8-9

Field Programmable Gate Arrays (FPGA) ................ , 3.1-5

Driver ............................................... 3.1-21

Field-Effect Transistors, Small Signal ............ 5.1-20, 5.1-42

DSP DevelopmentTools ............................... 2.1-18

Fixed Voltage Medium and low Dropout Regulators ........ 4.2-3

DTl Circuits ......................................... 3.1-52

Flash EEPROM (FLASH) .............................. 2.6-13

Dual Bipolar Comparators .............................. 4.1-7

Flash Memory ........................................ 2.8-7

Dual Channel Controllers .............................. 4.2-23

Flip-Flop/Registers ................................... 3.1-22

Dual CMOS Comparators .............................. 4.1-7

Flip-Flops ........................................... 3.1-22

Dual Comparators ..................................... 4.1-7

Footprints for Soldering, CPSTG ....................... 5.11-5

Dual Data Link Controller .............................. 4.7-17

Freeware Bulletin Board ............................... 2.5-23

Dual High-Side Switch ................................. 4.9-6

Fuzzy logic ......................................... 2.6-26

Dual Operational Amplifier and Dual Voltage Comparator .,. 4.1-6

5.0 V, 200 M-BitlSec PR-IV Hard Disk Drive
Read Channel ...................................... 4.6-5

Dual Operational Amplifiers ............................. 4.1-3
Dual Timing Circuits .................................. 4.10-2
Dual Tone Multiple Frequency Receiver ................. 4.7-15

G

Dual Universal Asynchronous/Synchronous Receiver
Transmitter (DUART) ............................... 2.6-13

GaAs Power Amplifier Support IC ....................... 4.2-10
GaAs Rectifiers Power Manager ........................ 5.6-14

Dynamic RAMs ....................................... 2.8-7

Gas Sensors ......................................... 5.9-12

Dynamic RAMs (HCMOS) .............................. 2.8-8

ECl ................................................. 3.1-3

Gates
AND/NAND .......................................
Complex .........................................
NOR ..........................•..................
OR ..............................................
XORIXNOR ......................................

ECLinPS ............................................ 3.1-51

General Purpose I/O .................................. 2.2-12

ECLinPS Lite ................................. 3.1-51,3.1-53

General Purpose Timer (GPT) .......................... 2.6-12

E
Easy Switcher Single-Ended Controllers with
On-Chip Power Switch .............................. 4.2-14

General Index

7.2-2

3.1-25
3.1-26
3.1-28
3.1-28
3.1-27

Motorola Master Selection Guide

General Index
H

L (continued)

H4EPIus Series Gate Arrays ............................ 1.1-7

Linear Voltage Regulators - Adjustable Output ............ 4.2-4
Linear Voltage Regulators - Fixed Output ................. 4.2-2

Hard Disk Drive Read Channel ......................... , 4.6--8

Literature. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 1.1-8
Logic Device Index ................................... 3.1-40
Logic Devices
CMOS ............................................ 3.1-2
ECL .............................................. 3.1-3
Family Comparison ................................. 3.1-1
How to Choose .................................... 3.1-1
TIL ............................................... 3.1-2
LogicFunctions ...................................... 3.1-12

HDTMOS Power MOSFETs ............................. 5.4-3
HDTMOS Power MOSFETs, EZFET .............. 5.4-4,5.4-17
HDTMOS Power MOSFETs, Micr08 ............... 5.4-4,5.4-17
Hex EIA-485 Transceiver with Three-State Outputs ....... 4.6--4
HF Transistors, RF ................................... 5.10-7
High Cell Density (HDTMOS) ........................... 5.4-3
High Frequency Amplifiers .............................. 4.1-5
High Side TMOS Driver ................................ 4.9-8
High-8ide Driver Switch ................................ 4.3-4

LonWorks Products .................................... 2.7-1

High-Speed CMOS ................................... 3.1-51

Low Dropout Regulator ............................... " 4.2-9
Low Side Protected Switch ............................. 4.9-7

How to Access Freeware .............................. 2.6-26
HTL Circuits ......................................... 3.1-52

LS - Low Power Schottky ............................. 3.1-50
LVQ ................................................ 3.1-52

Hybrid Power Modules ................................. 5.3-1

M
ICU ................................................. 3.1-29

M5C Series Gate ArrayslM5CB Series Standard Cells ...... 1.1-6

IEEE 802.3 Transceivers ............................... 4.6--8

M6800 Series Microprocessors and Peripherals .......... 2.6-10
M68000 Family ....................................... 2.2-1
M68300 Family ...................................... 2.6-19

IGBTs (Insulated Gate Bipolar Transistor),
Power MOSFETs ............................. 5.4-7,5.4-22
IGBTs, Hybrid Power Modules ........................... 5.3-2

Integrated Circuits, RF Monolithic .............. 5.10-23-5.10-28

M68HC05 CSIC Family ................................
M68HC05 Industry Solutions .........................
68HC05 General-Purpose Microcontrollers ..........
Automotive ......................................
Computer .......................................
Consumer .......................................
Industrial ........................................
Low-Voltage Microcontrollers " ....................
Telecommunications ..............................
Television and Video ..............................

Integrated Circuits, RF Monolithic, Evaluation Boards ..... 5.10-23

M68HC05 Family ..................................... 2.6-22

Integrated Power Amplifers, RF ....................... 5.10-26
Integrated Power Stage IGBTs, Hybrid Power Modules ..... 5.3-2

M68HC05 Microcontroller Development Tools
Choosing Between the MMEVS and MMDS ........... 2.5-14
Modular Architecture Benefits ....................... 2.5-14

Ignition IGBTs, Power MOSFETs ....................... 5.4-22
Industrial Control Unit ................................. 3.1-29
Infrared Transceiver ................................... 4.7-4
Inkjet Drivers ......................................... 4.6-8
Insulated Gate Bipolar Transistor,
Power MOSFETs ............................. 5.4-7, 5.4-22
Insulated Gate Bipolar Transistors, Hybrid Power Modules .. 5.3-2

Integrated Processors .................................. 2.2-7

2.5-2
2.5-2
2.5-2
2.5-2
2.5-2
2.5-3
2.5-3
2.5-2
2.5-3
2.5-3

M68HC08 Family ..................................... 2.5-13
M68HC11 Family ............................... 2.6-2,2.6-22

Integrated Services Digital Network ..................... 4.7-15
Integration Modules ................................... 2.6-12

M68HC16 Family ..................................... 2.6-14
M88000 RISC Family .................................. 2.3-1

Interface Circuits Package Overview .................... 4.6-10
Intermodule Bus Peripherals ........................... 2.6-12
Inverter/Butters,2-State ............................... 3.1-29

Masked ROM (MRM) ................................. 2.6-13
Math Coprocessor ..................................... 2.6-2

Inverters ............................................ 3.1-29

MC2681 - Dual Universal Asynchronous
Receiver/Transmitter, DUART ........................ 2.2-12

ISDN Voice/Data Circuits .............................. 4.7-15
ISO 8802-3[IEEE 802.3]1 OBASE-T Transceiver .......... 4.6-3

MC68000 - The 16-Bit Foundations ..................... 2.2-4
MC68008 - An 8-Bit Compatible Competitor .............. 2.2-4
MC68010 - A Virtual Memory Enhancement ............. 2.2-4

L
Land Mobile/Portable Amplifiers, RF ........... 5.10-31-5.10-33

MC68020 -

The Original 32--Bit Performance Standard ... 2.2-4

Latches ............................................. 3.1-30

MC68030 -

The Second Generation 32-Bit MPU .......... 2.2-3

LCD Driver Product Summary ........................... 1.1-3

MC68040 MC68060 -

Third-Generation 32-Bit Microprocessor ....... 2.2-3
Superscaler 32-Bit Microprocessor ........... 2.2-3

LCX ................................................ 3.1-52
Line Drivers .......................................... 4.6-7

MC68184 - Broadband Interface Controller ............. 2.2-10
MC68185-Twisted-PairModem ...................... 2.2-11

Line Receivers ........................................ 4.6-7
Line Transceivers ..................................... 4.6-7

MC68194- Carrierband Modem ....................... 2.2-11
MC68195 - LocalTalk Adaptor ......................... 2.2-11

Linear Amplifiers, Wideband, RF ............... 5.10-34-5.10-35
Linear Four-Quadrant Multipliers ....................... 4.10-2
Linear Transistors, RF ....................... 5.10-12-5.10-13

MC68230 MC68302 -

Parallel Interfacemmer, PI/T ............... 2.2-12
Integrated Multiprotocol Processor ........... 2.2-8

Linear Voltage Regulators .............................. 4.2-2

MC68306 -

Integrated 68ECOO Processor ............... 2.2-8

Motorola Master Selection Guide

7.2-3

General Index

General Index
M (continued)

M (continued)

MC68330-lntegrated CPU32 Processor ................ 2.2-8

Micropower Voltage Regulators for Portable Applications ... 4.2-5

MC68331 - 32-Bit Microcontroller ....................... 2.2-8

Micropower Voltage Regulators with On/Off Control ........ 4.2-7

MC68332 - 32-Bit Microcontroller ...................... , 2.2-9
MC68334 -

32-Bit Microcontroller ...................... , 2.2-9

Microprocessor Voltage Regulator and Supervisory Circuit .. 4.2-9
Microprocessors ................................ 2.2-2, 2.3-2

MC68340 -

Integrated Multiprotocol Processor with DMA .. 2.2-9

Microwave Transistors, RF ................... 5.10-10-5.10-11

MC68440 - Dual Direct Memory Access
Controller, DDMA ................................... 2.2-10

MiniMOS Products ............................. 5.4-8,5.4-17

MC68450 -

Miscellaneous Logic .................................. 3.1-31

DMA Controller, DMAC .................... 2.2-10

Modular Microcontroller Families ....................... 2.6-22

MC68605 - X.25 Protocol Controller, XPC .............. 2.2-11

Modular Microcontrollers .............................. 2.6-12
Modulator ............................................ 4.8-4

MC68606 - Multi-Link LAPD Controller
CCITT 0.920/0.921, LAPD .......................... 2.2-11

Monitor On Screen Display (MOSD) ..................... 1.1-4

MC68681 - Dual Universal Asynchronous
ReceiverfTransmitter, DUART ........................ 2.2-12

Monitor Subsystem .................................... 4.8-4

MC68824 -

Monolithic Integrated Circuits, RF .............. 5.10-23-5.10-28
MOSFET/IGBT Drivers ................................ 4.2-38

Token Bus Controller, TBC ................. 2.2-10

MC68836 -

FDDI Clock Generator ..................... 2.2-13

MC68837 -

Elasticity Buffer and Link Manager .......... 2.2-13

MC68838 -

Media Access Controller ................... 2.2-13

MC68839 -

FDDI System Interface .................... 2.2-13

MC68851 -

Paged Memory Management Unit, PMMU .... 2.2-10

MC68881 -

A Floating Point Coprocessor ............... 2.2-10

MC68882 -

Enhanced Floating Point Coprocessor ....... 2.2-10

MC68901 -

Multifunction Peripheral, MFP .............. 2.2-12

MOSFETs, Power, RF .......................... 5.10-4-5.10-5
MOSFETs, Power, TMOS ............................... 5.4-1
Motor Controllers ...................................... 4.3-4
Motorola Programmable Array (MPA) .................... 3.1-5
Motorola Programmable Logic (MPA) ................... 3.1-22
Motorola SPS World Marketing Internet Server ............ 6.1-1
Motorola Technical Training Courses ..................... 6.1-7
MPC105 PCI BridgeIMemoryControlier ................. 2.4-15

MC68ECOOO - Low-Powered HCMOS
Embedded Controller ................................ 2.2-6

MPC106 PCI Bridge/Memory Controller ................. 2.4-16
MPC601 RISC Microprocessor .......................... 2.4-2

MC68EC020 - 32-Bit Embedded Controller .............. 2.2-6

MPC602 RISC Microprocessor .......................... 2.4-3

MC68EC030 - 32-Bit Enhanced Embedded Controller ..... 2.2-6

MPC603 RISC Microprocessor ........................ " 2.4-3
MPC603e RISC Microprocessor ....................... " 2.4-8

MC68EC040 - 32-Bit High-Performance
Embedded Controller ................................ 2.2-5
MC68F333 - 32-Bit Microcontroller ..................... 2.2-9

MPC604 RISC Microprocessor .......................... 2.4-9

MC68HCOOO - A Micropower Alternative ................ 2.2-4

MPC604e RISC Microprocessor ......................... 2.4-9

MC68HC001 - Low Power HCMOS 8-/16-/32-Bit
Microprocessor ..................................... 2.2-4

MPC620 RISC Microprocessor ......................... 2.4-13

MC881 OORC .......................................... 2.3-2

MPC8211MPC823 RISC Microprocessors and the
MC68328 DragonBalllntegrated Processor ............. 1.1-2
MUlti-Channel Communications Interface (MCCI) ......... 2.6-13

MC88200RC - 16-Kilobyte Cache/Memory
Management Unit ................................... 2.3-3

Multi-integrated Devices, Small Signal .................. 5.1-43
Multiplexer/Data Selectors ............................. 3.1-31

MECL 10H .......................................... 3.1-50

Multipliers ........................................... 4.10-2

MECL 10K .......................................... 3.1-50

Multistandard Videommebase Processor ................ 4.8-11

MECL III ............................................ 3.1-52

Multivibrators ........................................ 3.1-33

MC68HC05 Microcontrollers ............................ 2.5-6

Medium Power MOSFETs, TMOS ................ 5.4-9,5.4-18

N

Medium Power Transistors, RF ................ 5.10-14-5.10-16
Memory Modules ..................................... 2.6-13

NAND Gates ......................................... 3.1-25

Memory Products ..................................... 2.8-1

Narrowband Dual Conversion Receivers - FMlFSK - VHF .. 4.7-3

Memory Support ..................................... 3.1-31

Narrowband FM Coilless Detector IF Subsystem ........... 4.7-7

Metal ............................................... 5.5-11
Metal Gate 14000 Series CMOS ........................ 3.1-51

Narrowband FM Receiver .............................. 4.7-6
Narrowband Single Conversion Receivers - VHF .......... 4.7-2

Metal To-204AA, TO-204AE, Bipolar Power Transistors .. 5.5-11

Network Devices ..................................... 2.2-10

Mfax - Touch-Tone FAX for Technical Data ............... 6.1-1

Neuron Chips ......................................... 2.7-2

MI-Bus Interface Stepper Motor Controller ............... , 4.9-9

NOR Gates .......................................... 3.1-28

Micro-B ............................................. 4.11-5

o

Micro8 Products ....................................... 5.4-8

Octal Serial Switch .................................... 4.9-5

Microcomputer Components, Single-Chip
Microcontrollers (MCU) ......................... 2.5-1, 2.6-1
Microcontroller Development Tools ............... 2.5-14, 2.8-22

On-Chip Memory ...................................... 2.6-2
On-Line Help ................................. 2.5-23, 2.6-26

Microcontroller Electronic Bulletin Board " ............... 2.6-26

One-lime Programmable (OTP) 1 Emulator MCUs ......... 2.5-9

Micropower Voltage Regulator for External Transistor ....... 4.2-6

Operational Amplifiers .................................. 4.1-2

General Index

7.2-4

Motorola Master Selection Guide

General Index
o (continued)

P (continued)

Optoelectronic Devices ................................. 5.8-1

Precision Low Voltage References ....................... 4.4-2

Optoelectronic Devices, 8-Pin Devices ............. 5.8-1, 5.8-5

Prescalers ........................................... 3.1-34
Pressure Sensors, 4-Pin ................... 5.9--8,5.9--8,5.9-9

Optoelectronic Devices, Optoisolators .............. 5.8-1, 5.8-2
Optoelectronic Devices, POWER OPTO Isolators ... 5.8-1, 5.8-10

Pressure Sensors, 8-Pin ................... 5.9--8, 5.9--8, 5.9-9
Pressure Sensors, Compensated and
Calibrated (On-Chip) .......................... 5.9-7,5.9-8

Optoisolators, Optoelectronic Devices .............. 5.8-1,5.8-2
Optoisolators, SO--8 ................................... 5.8-9
Ordering Information, Logic ............................ 3.1-50

Pressure Sensors, Compensated and Calibrated,
Medical Grade ...................................... 5.9-7
Pressure Sensors, High Impedance ...................... 5.9-7

Osciliatormmers ..................................... 3.1-34

Pressure Sensors, Motorola's Patented X-ducer ........... 5.9-2

Oscillators ........................................... 3.1-33

Pressure Sensors, Packaging Options .................. 5.9-14
Pressure Sensors, Signal Conditioned .............. 5.9-7, 5.9-9

OR Gates ........................................... 3.1-28

OveriUndervoltage Protection Circuit .................... 4.2-27
Overvoltage Crowbar Sensing Circuit ................... 4.2-27
120 rnA Micropower Voltage Regulator ................... 4.2--8

Pressure Sensors, Uncompensated ...................... 5.9-7
Programmable Logic ............................ 3.1-5,3.1-22

P

Programmable Telephone Line Interface Circuit with
Loudspeaker Amplifier .............................. 4.7-23

Packaging Specifications, CPSTG ...................... 5.12-7

Programmble Delay Chips ............................. 3.1-35

Parity Checkers ...................................... 3.1-34

PROMs, Logic ....................................... 3.1-35

PBX Architecture (Analog Transmission) ................. 4.7-12

Pulse-Width Modulation ................................ 2.8-2

PCI (peripheral component interconnect) bus ............. 2.4-15

Q

PCM Monocircuits Codec-Filters (CMOS LSI) ............ 4.7-12
Peripheral Drivers ..................................... 4.8-8

QuadBipolarComparators ............................. 4.1-7

Peripherals ............................. 2.1-5,2.1-12,2.1-19

Quad CMOS Comparators .............................. 4.1-7
Quad Comparators .................................... 4.1-7

Phase-Locked Loop .... . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.1-34

Quad Fuel Injector Driver ............................... 4.9-4
Quad Operational Amplifiers ............................ 4.1-4

Phase-Locked Loop Components ...................... 4.7-38
Pin Conversion, Logic ................................. 3.1--85

Quad Programmable Operational Amplifier ............ : ... 4.1--8
Queued Analog-to-Digital Converter (QADC) ............. 2.8-13
Queued Serial Module (QSM) .......................... 2.6-13

PLCC ............................................... 4.11-5
PLL Frequency Synthesizers ........................... 4.7-38
PLL Tuning Circuit with 3-Wire Bus ..................... 4.8-21
PLL Tuning Circuit with 12C Bus .......... 4.8-19, 4.8-21, 4.8-22

R

PLL Tuning Circuits with 3-Wire Bus .................... 4.8-18

RAMs, Logic ......................................... 3.1-35
ReadlWrite Channel ................................... 4.6--8
Receiver Functions, RF ...................... 5.10-24-5.10-25

PLL Tuning Circuits with 12C Bus ....................... 4.8-20
Power Audio Output Stage ............................. 5.5-15
Power Bipolar Transistors, RF .................. 5.10-7-5.10-14

Receivers ........................................... 3.1-35

Power Controliers ..................................... 4.3-2

Rectifier Numbering System ............................ 5.8-2
Rectifiers ............................................. 5.6-1

Power Factor Controliers ....................... 4.2-24, 4.2-25
Power Management Controlier ......................... 4.2-10

Rectifiers, Application Specific .......................... 5.6-3

Power MOSFETs, D2PAK ............... 5.4-11,5.4-19,5.4-21

Rectifiers, Automotive Transient Suppressors ............. 5.6-3
Rectifiers, Axial Lead Schottky .......................... 5.6--8

Power MOSFETs, D3PAK ............................. 5.4-12
Power MOSFETs, DPAK ................ 5.4-10, 5.4-18, 5.4-20

Rectifiers, Axial Lead Ultrafast ......................... 5.8-10
Rectifiers, Fast Recovery .............................. 5.6-13

Power MOSFETs, High Power Products ...... , .......... 5.4-16
Power MOSFETs, Ignition IGBTs ........................ 5.4-7

Rectifiers, GaAs Power Manager ....................... 5.6-14
Rectifiers, General Purpose ............................ 5.6-13

Power MOSFETs, Logic Level Packages ......... 5.4-20,5.4-21
Power MOSFETs, RF .......................... 5.10-4-5.10-5

Rectifiers, Low VF Schottky ............................. 5.6-3
Rectifiers, MEGAHERTZ ............................... 5.6-3

Power MOSFETs, SO--8 ......................... 5.4--8,5.4-17
Power MOSFETs, SOT-223 .............. 5.4-9,5.4-18,5.4-20

Rectifiers, POWERTAP II ........................ 5.8-9,5.8-12

Power MOSFETs, TMOS ............................... 5.4-1

Rectifiers, SCANSWITCH .............................. 5.6-3
Rectifiers, Surface Mount Schottky ....................... 5.6-4

Power MOSFETs, TD-220AB ............ 5.4-13,5.4-19,5.4-21
Power MOSFETs, TO-247 Isolated Mounting Hole ........ 5.4-15
Power MOSFETs, TD-264 High Power Products ......... 5.4-16

Rectifiers, Surface Mount Ultrafast ...................... 5.6-10
Rectifiers, SWITCHMODE .................... : ......... 5.6-4

Power MOSFETs, TO-3PBL ........................... 5.4-16

Rectifiers, TD-218 Types and TD-247 Schottky ........... 5.6--8

POWER OPTO Isolators, Optoelectronic Devices ... 5.8-1, 5.8-10
Power Supply Circuits Package Overview ............... 4.2-40

Rectifiers, TD-218 Types Ultrafast ...................... 5.8-12
Rectifiers, TO-220 Type Schottky ........................ 5.6-7

Power/Motor Control Circuits Package Overview .......... 4.3-11

Rectifiers, TD-220 Type Ultrafast ....................... 5.8-11

PowerPC RISC Family ................................. 2.4-1

Rectifiers, TD-247 Ultrafast ............................ 5.8-12

Motorola Master Selection Guide

7.2-5

General Index

General Index
S (continued)

R (continued)
Rectifiers, Ultrafast ................................... 5.6-10

Serial EPROMs ...................................... 3.1-36

Register Files ........................................ 3.1-36
Registers .........•................................. , 3.1-36

Shift Registers ....................................... 3.1-36
Sigma-Delta A-D Converters ........................... 4.5-2
Sigma-Delta D-A Converters ........................... 4.5-3

RF Amplifier Modules ........................ 5.10-31-5.10-35
RF Amplifiers ............................... 5.10-29-5.10-40

Silicon Controlled Rectifiers (SCRs), Thyristors ............ 5.7-2
Single Bipolar Comparators ............................. 4.1-7
Single CMOS Comparators ............................. 4.1-7

RF CATV Distribution Amplifiers ............... 5.10-36-5.10-40
RF Communications .......................•........... 4.7-2
RF Discrete Transistors ....................... 5.10-2-5.10-19

Single Comparators .................................... 4.1-7

RF Front End ICs ...................................... 4.7-2
RF HF Transistors ........... , ........................ 5.10-7

Single Operational Amplifiers ........................... 4.1-2
Single Timing Circuits ................................. 4.10-2

RF Integrated Power Amplifiers ............... 5.10-26-5.10-28
RF Land Mobile/Portable Amplifiers ............ 5.10-31-5.10-33

Single-Chip Integration Module (SCIM) .................. 2.6-12
Single-Ended Controllers .............................. 4.2-12

RF Linear Transistors ........................ 5.10-12-5.10-13

Single-Ended Controllers with On-Chip Power Switch ..... 4.2-14

RF Medium Power Transistors ................ 5.10-14-5.10-16

Single-Ended GreenLine Controllers .................... 4.2-18

RF Microwave Transistors .................... 5.10-10-5.10-11
RF Monolithic Integrated Circuits .............. 5.10-23-5.10-28

Small Signal Bipolar Transistors .................. 5.1-2,5.1-41
Small Signal GreenLine Devices ........................ 5.1-41
Small Signal Integrated Relay/Solenoid Driver ............ 5.1-43

RF Power Bipolar Power Transistors ............ 5.10-7-5.10-14
RF Power MOSFETs ........................... 5.10-4-5.10-5

Small Signal Low Voltage Bias Stabilizer ................. 5.1-43

RF Products ......................................... 5.10-1
RF Receiver Functions ....................... 5.10-24-5.10-25

Small Signal Multi-integrated Devices ................... 5.1-43
Small Signal Transistors ................................ 5.1-1

RF Small Signal Transistors ................... 5.10-16-5.10-21

Small Signal Transistors, RF .................. 5.10-16-5.10-21
Small Signal Tuning and Switching Diodes .. 5.1-25, 5.1-35, 5.1-42

RF Switching ....................................... 5.10-24
RF Transmitter Functions ..................... 5.10-25-5.10-27
RF TV Transmitters .................................. 5.1 Q-35

Small Signal, D0-204AA (DO-7) ................ 5.1-26,5.1-32

RF UHF Transistors ........................... 5.1 Q-8-5.1 Q-9

Small Signal, HDTMOS ............................... 5.1-42
Small Signal, JFETs .................................. 5.1-20

Small Signal, FETs ............................ 5.1-23,5.1-42

RF VHF Transistors ............................ 5.10-7-5.1Q-8
RF, LDMOS ........ 5.10-5-5.10-6,5.10-15,5.10-25,5.10-26,
5.10-27,5.10-31-5.10-34
RF, Micr0-8 ........................................ 5.10-24

Small Signal, SC-59 .................... 5.1-11,5.1-36,5.1-42
Small Signal, SC-70/S0T-323 .......... 5.1-11,5.1-14,5.1-24,
5.1-31,5.1-34,5.1-36,5.1-42

RF, PFP-16 ........................................ 5.10-26

Small Signal, Schottky Diodes ................... 5.1-33,5.1-34

RF, PLD-l ......................................... 5.10-15

Small Signal, SO-14 .................................. 5.1-40

RF, PLD-l.5 ......................................... 5.10-5
RF, SC-70/S0T-323 ........................ 5.10-19-5.10-20

Small Signal, SO-16 ............................ 5.1-9,5.1-40
Small Signal, SOD-123 ............................... 5.1-42

RF, SC-70MUSOT-363 .............................. 5.10-19

Small Signal, SOT-143 ............................... 5.1-43
Small Signal, SOT-223 ................. 5.1-16,5.1-24,5.1-32

RF, SO-16 ................................. 5.10-24-5.10-28

Small Signal, SOT-23 ........... 5.1-10,5.1-23,5.1-28,5.1-31,
5.1-36,5.1-42,5.1-43
Small Signal, SOT-363 .......... 5.1-11,5.1-23,5.1-34,5.1-43

RF, S0-8 .................. 5.10-9,5.10-15,5.10-19-5.10-27
RF, SOT-143 ...... 5.10-15, 5.10-19-5.10-21, 5.10-24-5.10-25
RF, SOT-23 ............•....•..... 5.10-18,5.10-21-5.10-22
RF, SOT--89 ........................................ 5.10-15

Small Signal, SOT-4161SC-90 ......................... 5.1-12
Small Signal, TMOS MOSFETs ......................... 5.1-22

RF, TQFP-48 ....................................... 5.10-24

Small Signal, TO-l16 ........................... 5.1-9,5.1-40

RF, TSSOP-16 ............ 5.10-24-5.10-25,5.10-27-5.10-28

Small Signal, T0-205AD (T0-39) ...................... 5.1-18
Small Signal, T0-206AA (TO-18) ...................... 5.1-18

RF, TSSOP-16HS ................................... 5.10-27
RF, TSSOP-20 ..................................... 5.10-25

Small Signal, TO-226AA (T0-92) .. 5.1-2,5.1-20,5.1-22,5.1-28

RF, TSSOP-20HS ................................... 5.10-28

Small Signal, T0-226AC (T0-92) ....... 5.1-27,5.1-31,5.1-32,
5.1-34,5.1-36

s

Small Signal, T0-226AE (T0-92) ................ 5.1-3,5.1-22

Schmitt Triggers ...................................... 3.1-36

Small Signal, T0-236AB ........ 5.1-10,5.1-23,5.1-31,5.1-32,
5.1-34,5.1-36,5.1-42

Schottky Diodes, Small Signal .......................... 5.1-33
Scorpion Graphics Processor (MC921 00) . . . . . . . . . . . . . . . .. 1.1-5
SCSI Bus Terminators ................................. 3.1-36
SCSI Regulator ...................................... 4.2-11

SMARTDISCRETES Products .......................... 5.4-7
Smoke Detectors (CMOS) ............................. 4.10-3
S0--8, Optoisolators ................................... 5.8-9

Second Generation SIT-Interface Transceivers ........... 4.7-16

S0--8, Thyristors ..................................... 5.7-14

Second Generation U-Interface Transceivers ............ 4.7-16

SOE Case, Ceramic, RF ............................. 5.10-20

Sensors .............................................. 5.9-1
Serial Communication .................................. 2.6-2

SOE Case, Plastic, RF ....................... 5.10-17-5.10-19
SOIC ............................................... 4.11-5

General Index

7.2--8

Motorola Master Selection Guide

General Index
5 (continued)

T (continued)

SOT-23 (5 Pin) ...................................... 4.11-5

Thyristors, SIDACs ................................... 5.7-14

SOT-89 (3 Pin) ...................................... 4.11-5

Thyristors, Silicon Bidirectional Switch ................... 5.7-14

SOT-89 (5 Pin) ...................................... 4.11-5

Thyristors, Silicon Controlled Rectifiers ................... 5.7-2
Thyristors, SOT-223 ............................. 5.7-2,5.7-7

Speakerphone Integrated Circuits ....................... 4.7-30
Speakerphones ...................................... 4.7-25

Thyristors, T0-220AB ........................... 5.7-4,5.7-8

Special Functions ..................................... 4.9-3

Thyristors, T0-225AA ........................... 5.7--3,5.7-7
Thyristors, T0-226AA (T0-92) .................... 5.7-2,5.7-7

Special Logic Circuits ................................. 3.1-52
Special Switching Regulator Controllers ................. 4.2-23

Thyristors, TRIACs, General Purpose .................... 5.7-7
Thyristors, Triggers ................................... 5.7-14

Specialized Control Modules ........................... 2.6--13

Time Processor Unit (TPU) ............................ 2.6--12

Speech Networks .................................... 4.7-21
Standby RAM (SRAM) ................................ 2.6--13

Timer ................................................ 2.6--2
Timer Module (TM) ................................... 2.6--13

Standby RAM with TPU Emulation (TPURAM) ............ 2.6--13

Timers .............................................. 2.6--12

Special Regulators .................................... 4.2-8

Timing Circuits ....................................... 4.10-2

Stepper Motor Driver ................................... 4.3-9
Subscriber Loop Interface Circuit (SUC) ................. 4.7-11

TMOS MOSFETs, Small Signal .................. 5.1-22,5.1-42
TMOS Power MOSFETs ................................ 5.4-1

Supervisory Circuits .................................. 4.2-27
Surface Mount Information, CPSTG ..................... 5.11-2

TMOS Power MOSFETs Numbering System ....•......... 5.4-2

Surface Mount Products, Bipolar Power Transistors ....... 5.5-10

TMOS V ............................................. 5.4-5

Surface Mount Products, Optoelectronic Devices .......... 5.8-9

T0-218, Bipolar Power Transistors ...................... 5.5-6

Surface Mount Products, Power MOSFETs ........ 5.4-8, 5.4-9,
5.4-10,5.4-11,5.4-12,5.4-17,5.4-18,5.4-19,5.4-20

T0-220AB, Bipolar Power Transistors ................... , 5.5-3
T0-220AB, Thyristors ........................... 5.7-4,5.7-8

Surface Mount Products, Rectifiers ...................... 5.6--4

T0-225AA, Bipolar Power Transistors .................... 5.5-8

Surface Mount Products, RF .. 5.10-9,5.10-15,5.10-18-5.10-26

T0-225AA, Thyristors ........................... 5.7--3,5.7-7

Surface Mount Products, Small Signal ..... 5.1-9,5.1-10,5.1-23,
5.1-28,5.1-32,5.1-36,5.1-37,5.1-41

T0-226AA (T0-92), Thyristors .................... 5.7-2,5.7-7

Surface Mount Products, Thyristors ...................... 5.7-7
Surface Mount Products, TVSlZeners ............. 5.2-9, 5.2-24

T0-264, Bipolar Power Transistors ...................... 5.5-8

Switching Regulator Control Circuits .................... 4.2-12

T0-92, Thyristors .................................... 5.7-14

SWITCHMODE Rectifiers .............................. 5.6--4

Tone Ringers ........................................ 4.7-20

Synchronous Fast Static RAMs .......................... 2.8-3

Transceivers ......................................... 3.1--38

TO-247, Bipolar Power Transistors ...................... 5.5-7
T0-92 .............................................. 4.11-5

Synthesizers ......................................... 3.1-38

Transient Voltage Suppressors .......................... 5.2-2

System Integration Module (SIM) ....................... 2.6-12

Transient Voltage Suppressors, Axial Leaded for
Through-hole Designs ............................... 5.2-2

T
Tape and Reel Configurations .......................... 4.11-2

Transient Voltage Suppressors, General Purpose .......... 5.2-2
Transient Voltage Suppressors, Mini Mosorb .............. 5.2-2

Tape and Reel Information Table ........................ 4.11-4

Transient Voltage Suppressors, Mosorb .................. 5.2-6

Tape and Reel Specifications, CPSTG ................... 5.12-2

Transient Voltage Suppressors, Overvoltage Transient .... 5.2-18

Tape and Reel, Logic ................................. 3.1-86
Tape/Reel and Ammo Pack ............................ 4.11-5

Transient Voltage Suppressors, 5MB .................... 5.2-13

Technical Data and Literature ..................... 6.1-2,6.1-6

Transient Voltage Suppressors, SMC ................... 5.2-16

Technical Data Services ................................ 6.1-1

Transient Voltage Suppressors, Surface Mount ............ 5.2-9

Transient Voltage Suppressors, SMA ... " ............... 5.2-11

Technical Training Centers ............................. 6.1-13

Transient Voltage Suppressors, Surmetic 40 ....... 5.2-4, 5.2-21

Telecommunications .................................. 4.7-11
Telephone Accessory Circuits .......................... 4.7-31

TranSistors, Discrete, RF ...................... 5.10-2-5.10-19
TranSistors, Medium Power, RF ............... 5.10-14-5.10-16

Telephone Accessory CircuHs - Audio Amplifiers ......... 4.7-31

TranSistors, Power Bipolar, RF ................. 5.10-7-5.10-14

Telephone Line Interface .............................. 4.7-24

TranSistors, Power MOSFETs, RF .............•. 5.10-4-5.10-5

Telephone Line Interface and Speakerphone Circuit ....... 4.7-29

Transistors, Small Signal, RF ................. 5.10-16--5.10-21

Telephone Speech Network with Dialer Interface •......... 4.7-21

Translators .......................................... 3.1--38

Terminators, SCSI Bus ................................ 3.1-36

Transmitter Functions, RF .................... 5.10-25-5.10-27
Transmitters - AM/FM/FSK ............................. 4.7--3

Third Party Developers for 68HC05 and 68HC705 Family
MCUs ............................................ 2.5-19

TRIACs, Thyristors .................................... 5.7-7

Third-Party Development Tools ...............•......... 2.6--27

Triple 8-Bit AID Converter .............................. 4.8-9

Third-Party Support ................................... 2.6--27
Thyristors, High Voltage Bidirectional TVS Devices ........ 5.7-14

Triple B-Bit D/A Converter .............................. 4.8-8
TTL ................................................. 3.1-2

Thyristors, Programmable Unijunction Transistors ......... 5.7-14

Tuner PLL Circuits ..................................... 4.8-4

Motorola Master Selection Guide

7.2-7

General Index

General Index
v

T (continued)

VCO ................................................ 3.1-39
Very High Voltage Single-Ended Controller
with On-Chip Power Switch .......................... 4.2-15

TV Decoder .......•.................................. 4.8-3
TV IF Circuits ......................................... 4.8-3

Very High Voltage Switching Regulator ........... 4.2-20, 4.2-21
VHF Transistors, RF ........................... 5.10-7-5.10-8
Video Capture Chip Sets ............................... 4.8-3

TV Picture-in-Picture .................................. 4.8-3
TV Transmitters, RF ................................. 5.10-35
TVSlZeners ..................................•....... 5.2-1

Video Circuits ......................................... 4.8-3

TVSlZeners, 00-204AA (00-7) ....................... 5.2-34

Video Circuits - Miscellaneous .......................... 4.8-4
Video Data Converters ................................. 4.8-4
Voice Switched Speakerphone Circuit ..... 4.7-25, 4.7-26, 4.7-28
Voice Switched Speakerphone wHh !lProcessor Interface " 4.7-27
Voice/Data Communication (Digital Transmission) ........ 4.7-18

TVSlZeners, D0--204AH (D0-35) ............... -5.2-19, 5.2-34
TVSlZeners, SMA .............................. __ ... _ 5.2-24
TVSlZeners, 5MB .................................... 5.2-24
TVSlZeners, SMC .................................... 5.2-16

Voltage Controlled Oscillator ........................... 3.1-39
Voltage References Package Overview ................... 4.4-2
Voltage Regulator/Supervisory .......................... 4.2-8
Voltage Regulators .................................... 4.9-2

TVSlZeners, SOD-123 ......................... 5.2-24,5.2-29
TVSlZeners, SOT-23 .................... 5.2-9, 5.2-24, 5.2-27
2-Wire ISDN Universal Dignal Loop Transceiver II
(UDLT II) .......................................... 4.7-19

w

2-Wire Universal Digital Loop Transceiver (UDLT) ........ 4.7-18

Wideband (FM/FSK) IFs ................................ 4.7-2
Wideband FM IF Subsystem ...... _..................... 4.7-9

28-Channellnkjet Driver ............................... 4.6-8
300 Baud FSK Modems ............................... 4.7-32

Wideband FM IF System ............................... 4.7-8
Wideband Linear Amplifiers, RF ............... 5.10-34-5.10-35
Wideband Single Conversion Receivers - VHF ............ 4.7-2

u

Worldwide Design Centers. . . . . . . . . . . . . . . . . .. . . . . . .. . . .. 1.1-8
U-Interface Transceiver Evaluation Kit .................. 4.7-17

x

UHF Transistors, RF ........................... 5.10-8-5.10-9

XNOR Gates ........................................ 3.1-27
XOR Gates .......................................... 3.1-27

UHF, FMlAM Transmitter .............................. 4.7-10
Ultrafast Rectifiers .................................... 5.6-10

z

Undervoltage Sensing Circuit .......................... 4.2-30
Universal Cordless Phone Subsystem ICs ................ 4.7-3

Zener Diodes, Axial Leaded for Through-hole
Designs ..................................... 5.2-2,5.2-19
Zener Diodes, Current Regulator ....................... 5.2-34
Zener Diodes, Surmetic 30 ............................ 5.2-21

Universal Cordless Telephone Subsystem IC ............. , 4.7-4
Universal Cordless Telephone Subsystem IC
wnh Scrambler ...................................... 4.7-5

Zener Diodes, Voltage Reference ....................... 5.2-34
Zener Diodes, Voltage Regulator ....................... 5.2-19

Universal Microprocessor Power Supply Controllers ....... 4.2-23
Universal Motor Speed Controller ....................... 4.3-10

Zero Voltage Controller ................................. 4.3-3
Zero Voltage Switch ................................... 4.3-2

Universal Voltage Monitor ............................. 4.2-31

General Index

7.2-8

Motorola Master Selection Guide

Subject Index
A

B

Advanced Digital Consumer Products ..••.•.••.•...••• 1.0-1
Advanced Digital Consumer Division (ADCD)
New Market Focus ............................... 1.1-1
H4EPIus Series Gate Arrays ......................... 1.1-7
LCD Driver Product Summary ........................ 1.1-3
Literature .......................................... 1.1-8
M5C Series Gate Arrays/M5CB Series Standard Cells . .. 1.1-6
Monitor On Screen Display (MOSD) ................... 1.1-4
MPC821/MPC823 RISC Microprocessors and the
MC68328 DragonBalllntegrated Processor .......... 1.1-2
Scorpion Graphics Processor (MC921 00) .............. 1.1-5
Worldwide Design Centers ........................... 1.1-8
Amplifier Modules ••..••••.•..•..•••.••.•••. 5.10-31-5.10-35
RF ..................................... 5.10-31-5.10-35
TV Transmitters ........................ 5.10-35-5.10-36
Land Mobile/Portable ................... 5.10-31-5.10-33
Wideband Linear ....................... 5.10-34-5.10-35
Amplifiers ......................................... 5.10-29
RF ............................................. 5.10-29
CATV Distribution ...................... 5.10-36--5.10-40
Integrated Power ............................... 5.10-26
Modules .............................. 5.10-31-5.10-35
Amplifiers and Comparators .......................... 4.1-1
Amplifiers and Comparators Package Overview ........ 4.1--8
Comparators ....................................... 4.1-7
Dual ............................................ 4.1-7
Bipolar ....................................... 4.1-7
CMOS ........................................ 4.1-7
Quad ........................................... 4.1-7
Bipolar ....................................... 4.1-7
CMOS ........................................ 4.1-7
Single .......................................... 4.1-7
Bipolar ....................................... 4.1-7
CMOS ........................................ 4.1-7
High Frequency Amplifiers ........................... 4.1-5
AGCAmplifiers .................................. 4.1-5
Family Wideband General Purpose ............... 4.1-5
Miscellaneous Amplifiers ............................ 4.1-6
Bipolar .......................................... 4.1-6
Dual Operational Amplifier and Dual Voltage
Comparator ................................. 4.1-6
CMOS .......................................... 4.1-6
Dual Programmable Operational Amplifier and
Dual Programmable Comparator ............... 4.1-6
Dual Video Amplifiers ........................... 4.1-6
Quad Programmable Operational Amplifier ........ 4.1-6
Operational Amplifiers ............................... 4.1-2
Dual Operational Amplifiers ........................ 4.1--3
Quad Operational Amplifiers ....................... 4.1-4
Single Operational Amplifiers ...................... 4.1-2
Automotive Electronic Circuits ••••••••••••••••••••.••• 4.9--1
Alternator Voltage Regulator ........................ 4.9-12
Automotive Direction Indicator ........................ 4.9--9
Automotive Electronic Circuits Package Overview ...... 4.9-13
Automotive ISO 9141 Serial Link Driver ............... 4.9-11
Automotive Wash Wiper Timer ...................... 4.9-10
Dual High-Side Switch .............................. 4.9-6
Electronic Ignition .................................. 4.9--2
High Side TMOS Driver ............................. 4.9--8
Low Side Protected Switch .......................... 4.9--7
MI-Bus Interface Stepper Motor Controller ............. 4.9--9
Octal Serial Switch ................................. 4.9--5
Quad Fuel Injector Driver ............................ 4.9-4
Special Functions .................................. 4.9--3
Voltage Regulators ................................. 4.9--2

Bipolar Power Transistors ............................ 5.5-2
Audio ............................................ 5.5-15
Audio/Servo Loads ................................ 5.5-15
DPAK-5urface Mount .............................. 5.5-10
Electronic Lamp Ballasts ........................... 5.5-16
Metal ............................................ 5.5-11
Plastic
T0-218 ......................................... 5.5-6
T0-220AB ...................................... 5.5-3
TO-225AA ...................................... 5.5--8
T0-247 . . . . . . . . . . . . . . . . . . . . .
. ........... 5.5-7
T0-264 ......................................... 5.5--8

Motorola Master Selection Guide

c
Communication Circuits .............................. 4.7-1
Communications Circuits Package Overview .......... 4.7-41
Electronic Telephone ............................... 4.7-19
The Complete Electronic Telephone Circuit ......... 4.7-19
ISDN Voice/Data Circuits ........................... 4.7-15
Dual Data Link Controller ......................... 4.7-17
Integrated Services Digital Network ................ 4.7-15
Second Generation srr-Interface Transceivers ..... 4.7-16
Second Generation U-Interface Transceivers ....... 4.7-16
U-Interface Transceiver Evaluation Kit ............. 4.7-17
Narrowband FM Coilless Detector IF Subsystem ........ 4.7-7
Narrowband FM Receiver ........................... 4.7-6
Phase-Locked Loop Components ................... 4.7--38
Phase-Locked Loop Functions .................... 4.7-39
PLL Frequency Synthesizers ..................... 4.7-38
RF Communications ................................ 4.7-2
Balanced Modulator/Demodulator .................. 4.7-4
Infrared Transceivers ............................. 4.7-4
Narrowband Dual Conversion Receivers
- FM/FSK - VHF ............................... 4.7--3
Narrowband Single Conversion Receivers - VHF ..... 4.7-2
RF Front End ICs ................................ 4.7-2
Transmitters - AM/FM/FSK ........................ 4.7--3
Universal Cordless Phone Subsystem ICs ........... 4.7--3
Wideband (FM/FSK) IFs .......................... 4.7-2
Wideband Single Conversion Receivers - VHF ....... 4.7-2
Speakerphones ................................... 4.7-25
Telephone Line Interface and Speakerphone Circuit .. 4.7-29
The Motorola Family of Speakerphone
Integrated Circuits ............................. 4.7--30
Voice Switched Speakerphone Circuit. . . . . . . . . . . .. 4.7-25,
4.7-26, 4.7-28
Voice Switched Speakerphone
with ~Processor Interface ...................... 4.7-27
Speech Networks ................................. 4.7-21
Cordless Universal Telephone Interface ............ 4.7-22
Programmable Telephone Line Interface Circuit
with Loudspeaker Amplifier ..................... 4.7-23
Telephone Line Interface ......................... 4.7-24
Telephone Speech Network with Dialer Interface ..... 4.7-21
Telecommunications ............................... 4.7-11
PBX Architecture (Analog Transmission) ........... 4.7-12
Dual Tone Multiple Frequency Receiver .......... 4.7-15
PCM Monocircuits Codec-Filters (CMOS LSI) .... 4.7-12
Subscriber Loop Interface Circuit (SLlC) ............ 4.7-11
Telephone Accessory Circuits ....................... 4.7--31
300 Baud FSK Modems .......................... 4.7--32
ADPCM Transcoder ............................. 4.7--32
Audio Amplifier .................................. 4.7--31

7.2-9

Subject Index

Subject Index
C (continued)

D (continued)

Communication Circuits (continued)
Calling Line ID Receiver Evaluation Kit ............. 4.7-33
Calling Line Identification (CLlD) Receiver
with Ring Detector ............................. 4.7-33
Continuously Variable Slope Delta (CVSD)
Modulator/Demodulator ........................ 4.7-34
Current Mode Switching Regulator ................. 4.7-31
Summary of Bipolar Telecommunication Circuits ..... 4.7-35
Tone Ringers ..................................... 4.7-20
UHF, FMlAM Transmitter ........................... 4.7-10
Universal Cordless Telephone Subsystem IC ........... 4.7-4
Universal Cordless Telephone Subsystem IC
with Scrambler ................................... 4.7-5
Voice/Data Communication (Digital Transmission) ...... 4.7-18
2-Wire ISDN Universal Digital Loop Transceiver II
(UDLT II) ..................................... 4.7-19
2-Wire Universal Digital Loop Transceiver (UDLT) ... 4.7-18
Wideband FM IF Subsystem ......................... 4.7-9
Wideband FM IF System ............................ 4.7--6

Data Conversion .....................................
A-D Converters ....................................
Bipolar ..........................................
CMOS ..........................................
Sigma-DeHa ....................................
D-A Converters ....................................
CMOS ..........................................
Sigma-Delta ....................................
Data Conversion Package Overview ..................

Communications, Power and Signal
Technologies Group •.......•.....•.....••..••.•.•.. 5.0-1
Consumer Electronic Circuits .•..•..•.••.••.•.••.••••• 4.8-1
Consumer Electronic Circuits Package Overview ...... 4.8-24
Entertainment Radio Receiver Circu~s ................ 4.8-2
Audio Amplifiers .................................. 4.8-2
C-Quam AM Stereo Decoders ..................... 4.8-2
Entertainment Receiver RF/IF ...................... 4.8-2
Video Circuits ...................................... 4.8-3
Advanced Comb Filter-II (ACF-II) ................. 4.8-13
Advanced NTSC Comb Filter ..................... 4.8-12
Advanced PAUNTSC Encoder .................... 4.8-23
Closed-Caption Decoder ......................... 4.8-14
Comb Filters ..................................... 4.8-3
Deflection ....................................... 4.8-3
Digitally Controlled Video Processor for Muttimedia
Applications ................................... 4.8-7
Encoders ....................................... 4.8-3
Enhanced Closed-Caption Decoder ............... 4.8-15
Miscellaneous ................................... 4.8-4
Modulator ....................................... 4.8-4
Monitor Subsystem ............................... 4.8-4
MultistandardVideommebase Processor ........... 4.8-11
PLL Tuning Circuit with 3-Wire Bus ................ 4.8-21
PLL Tuning Circuit with 12C Bus ..... 4.8-19, 4.8-21, 4.8-22
PLL Tuning Circuits with 3-Wire Bus ............... 4.8-18
PLL Tuning Circuits with 12C Bus .................. 4.8-20
Triple 8-Bit AID Converter ......................... 4.8-9
Triple 8-Bit D/A Converter ......................... 4.B-B
Tuner PLL Circuits ............................... 4.8-4
TV Decoder ..................................... 4.8-3
TV IF Circuits .................................... 4.8-3
TV Picture-in-Picture ............................. 4.8-3
Video Capture Chip Sets .......................... 4.8-3
Video Data Converters ............................ 4.8-4
Converters, Digital Signal Processors ••..•.••.••••..•.. 2.1-1
Analog-To-Digital .................................. 2.1-18

D
D2PAK ....................................... 5.4-11,5.6-5
Rectifiers ................................... 5.6-5,5.6-10
TMOS Power MOSFETs .............. 5.4-11,5.4-19,5.4-21
D3PAK ............................................. 5.4-12
TMOS Power MOSFETs ............................ 5.4-12

Subject Index

7.2-10

4.5-1
4.5-2
4.5-2
4.5-2
4.5-2
4.5-3
4.5-3
4.5-3
4.5-4

Development Tools
Digital Signal Processors ............................ 2.1-1
Design·ln Software .............................. 2.1-19
LonWorks Products ........................... 2.7-1,2.7-2
Developer's Workbench ........................... 2.7--6
Support Tools .................................... 2.7-7
Discrete Products .................................... 5.0-1
RF .............................................. 5.10-1
DD--204AA (DD--7)
Tuning and SWitching Diodes, Small Signal .... 5.1-26,5.1-32
Zener Diodes ..................................... 5.2-34
DD--204AH (D0-35), Zener Diodes ••.•.•••••.•• 5.2-19,5.2-34
DPAK ..•.......•...•..•.........•..•••• 5.4-10,5.5-10,5.6-5
Bipolar Power Transistors .......................... 5.5-10
Rectifiers ................................... 5.6-5,5.6-10
TMOS Power MOSFETs .............. 5.4-10,5.4-18,5.4-20

E
Evaluation Boards, RF Monolithic
Integrated Circuits ............................... 5.10-23
EZFETs .............................................. 5.4-9
Power MOSFETs ................................... 5.4-9

F
Fiber Optic Receivers, RF ........................... 5.10-36
Field Programmable Gate Arrays (FPGA) ••..•.......•.. 3.1-5
Field-Effect Transistors •.•••••••••••••.•• 5.1-1, 5.1-20, 5.4-1
JFETs, Small Signal ............................... 5.1-20
MOSFETs, Small Signal ..................... 5.1-22,5.1-42
Power MOSFETs ................................... 5.4-1
Surface Mount FETs, Small Signal ................... 5.1-23

G
GaAs Rectifiers Power Manager •••••.•••••••.••.•.••. 5.6-14

H
HDTMOS Power MOSFETs
EZFET ..................................... 5.4-4,5.4-17
High Power
D2PAK ......................................... 5.4-3
DPAK ........................................... 5.4-3
T0-220 ......................................... 5.4-3
Micro8 ..................................... 5.4-4,5.4-17
So-a ............................................. 5.4-4
High Cell Density (Power MOSFETs) ••.•••••••.•••••••• 5.4-3
Hybrid Power Modules ................................ 5.3-2
Integrated Power Stage IGBTs ....................... 5.3-2

IGBTs ......................................... 5.3-2, 5.4-7
Hybrid Power Modules .............................. 5.3-2
Power MOSFETs ............................ 5.4-7,5.4-22

Motorola Master Selection Guide

Subject Index
I (continued)

M (continued)

Ignition IGBTs ••••••••.•••••••.••.••.••••••••••••••••• 5.4-7
TMOS Power MOSFETs ...................... 5.4-7,5.4-22

Microcomputer Components (continued)
Cache/Memory Management Units ................. 2.3-3
Microprocessors ................................. 2.3-2
Memory Products .................................. 2.8-1
Dynamic RAMs .................................. 2.8-7
HCMOS ...................................... 2.8-6
Modules ...................................... 2.8-9
Fast Static RAMs ................................ 2.8-2
Asynchronous ................................. 2.8-5
Synchronous .................................. 2.8-3
Modules ...................................... 2.8-6
Flash Memory ................................... 2.8-7
Microcontroller Development Tools ............ 2.5-14, 2.6-22
On-Line Help .............................. 2.5-23, 2.6-2B
PowerPC RISC Family .............................. 2.4-1
MPC105 PCI Microprocessor ..................... 2.4-15
MPC10B PCI Microprocessor ..................... 2.4-1B
MPCB01 RISC Microprocessor ..................... 2.4-2
MPCB02 RISC Microprocessor ..................... 2.4-3
MPCB03 RISC Microprocessor ..................... 2.4-3
MPCB03e RISC Microprocessor .................... 2.4-6
MPCB04 RISC Microprocessor ..................... 2.4-9
MPCB04e RISC Microprocessor .................... 2.4-9
MPCB20 RISC Microprocessor .................... 2.4-13
Single-Chip Microcontrollers (MCU) ............. 2.5-1, 2.6-1
Configuration and Order Information
for MMDS/MMEVS ............................ 2.5-14
Configuration and Order Information
for Other Motorola Development Tools
(EVM/EVS/lCS) ............................... 2.5-17
Configuration and Order Information
for Programmers .............................. 2.5-18
M6600 Series Microprocessors and Peripherals ..... 2.6-10
MB8300 Family ................................. 2.6-19
MB6HC05 CSIC Family ........................... 2.5-2
MB8HC08 Family ................................ 2.5-13
M68HC11 Family ................................. 2.6-2
M68HC1B Family ................................ 2.6-14
MCB8HC05 Microcontrollers ....................... 2.5-6
Modular Microcontrollers ......................... 2.6-12
One-lime Programmable (OTP) / Emulator MCUs .... 2.5-9
Third Party Developers for 68HC05 and 68HC705
Family MCUs ................................. 2.5-19
Third-Party Support ................................ 2.6-27
Mini Mosorb ......................................... 5.2-2
TranSient Voltage Suppressors .. 5.2-2, 5.2-13, 5.2-15, 5.2-16

Insulated Gate Bipolar Transistors •.•.••••••••.•• 5.3-2, 5.4-7
Integrated Power Stage IGBTs, Hybrid Power Modules .. 5.3-2
TMOS ............................................ 5.4-7
Integrated Circuits, Monolithic ••••••.•••••.• 5.10-23-5.10-28
RF ..................................... 5.10-23-5.10-26
Evaluation Boards .............................. 5.1 (}--23
Receiver Functions ..................... 5.10-24-5.1 (}--25
Switching ..................................... 5.1 (}--24
Transmitter Functions ................... 5.1 (}--25-5.1 (}--27
Interface Circuits ..................................... 4.6-1
5.0 V, 200 M-BitlSec PR-IV Hard Disk
Drive Read Channel .............................. 4.6-5
CMOS Display Drivers .............................. 4.6-9
Display Drivers .................................. 4.6-9
Functions ....................................... 4.6-9
Enhanced Ethemet Transceiver ...................... 4.6-2
Hex EIA-485 Transceiver with Three-5tate Outputs .... 4.6-4
Inkjet Drivers ...................................... 4.6-8
28-Channellnkjet Driver .......................... 4.6-8
Interface Circuits Package Overview ................. 4.6-10
ISO 8802-3 [IEEE 802.3]1 OBASE-T Transceiver ....... 4.6-3
Line Drivers ....................................... 4.6-7
EIA Standard .................................... 4.6-7
EIA-232-EN.26 CMOS Drivers/Receivers ........... 4.6-7
IEEE 802.3 Transceivers .......................... 4.6-8
Line Transceivers ................................ 4.6-7
Peripheral Drivers ................................ 4.6-8
Line Receivers ..................................... 4.6-7
EIA Standard .................................... 4.6-7
ReadlWrite Channel ................................ 4.6-8
Read/Write Channels, Hard Disk Drive Read Channel ... 4.6-8

L
Linear Amplifiers, Wideband •.•••.•••••••••• 5.10-34-5.10-35
RF ..................................... 5.1 (}--34-5.1 0-35
Logic Integrated Circuits
Motorola Logic Families, Which Is Besllor You? ........ 3.1-1
Programmable Logic ................................ 3.1-5
Logic Level Power MOSFETs ••••.•••••••••••.• 5.4-20, 5.4-21

M
Medium Power MOSFETs, TMOS ••••••••••••••• 5.4-9,5.4-18
Mfax-Touch-Tone FAX for Technical Data ••••••••••••• 6.1-1
Micro-8 ............................................ 5.10-24
RF ............................................. 5.1(}--24
Micr08 Products ..................................... 5.4-8
Microcomputer Components
Fuzzy Logic ...................................... 2.6-2B
MB8000 Family
Coprocessors ................................... 2.2-10
Data Communication Devices ............. " ...... 2.2-12
DMA Controllers ................................ 2.2-10
Embedded Controllers ............................ 2.2-5
Fiber Distributed Data Interface ................... 2.2-13
General Purpose I/O ............................. 2.2-12
Integrated Processors ............................ 2.2-7
Microprocessors ........................... 2.2-1,2.2-2
Network Devices ................................ 2.2-10
M88000 RISC Family ............................... 2.3-1

Motorola Master Selection Guide

7.2-11

MiniMOS Products ............................ 5.4-8,5.4-17
MOSFETs, Power, TMOS •..••••.••.••••••••••.••••..•• 5.4-1
Mosorb .............................................. 5.2-6
Transient Voltage Suppressors ....................... 5.2-6
Motorola Programmable Array (MPA) ••.•••••••.•.••..• 3.1-5
Motorola SPS World Marketing Internet Server •••• " .•• , 6.1-1
Motorola Technical Training Courses ••••••••.••••.••.• 6.1-7

o
Optoelectronic Devices ••••.••••••••..•••••.••• 5.8-1,5.8-10
Optoisolators .......................... 5.8-1,5.8-2,5.8-5
POWER OPTO Isolators ..........•.......... 5.8-1,5.8-10
Optoisolators .................................. 5.8-1,5.8-2
Other Analog Circuits ................................ 4.10-1
Multipliers ........................................ 4.1 (}--2
Linear Four-Quadrant Multipliers .................. 4.1 (}--2
Other Analog Circuits Package Overview ............. 4.10-4
Smoke Detectors (CMOS) .......................... 4.10-3

Subject Index

Subject Index
o (continued)

P (continued)

Other Analog Circuits (continued)
liming Circuits .................................... 4.10-2
Duals .......................................... 4.10-2
Singles ........................................ 4.10-2

Po_r Supply Circuits (continued)
Overvoltage Crowbar Sensing Circuit .............. 4.2-27
Undervoltage Sensing Circuit ..................... 4.2-30
Universal Voltage Monitor ........................ 4.2-31
Switching Regulator Control Circuits ................. 4.2-12
CMOS Micropower DC-to-DC Converters .......... 4.2-16
Fixed Frequency PWM Micropower Dc-to-DC
Converter .................................. 4.2-17
Variable Frequency Micropower Dc-to-DC
Converter .................................. 4.2-16
Critical Conduction SMPS Controller ............... 4.2-22
Double-Ended Controllers ........................ 4.2-15
Easy Switcher Single-Ended Controllers with
On-Chip Power Switch ........................ 4.2-14
Single-Ended Controllers ........................ 4.2-12
Single-Ended Controllers with On-Chip
Power Switch ................................. 4.2-14
Single-Ended GreenUne Controllers ............... 4.2-18
High Safety Latched Mode GreenUne PWM
Controller for (Multi)Synchronized Applications .. 4.2-19
High Safety Standby Ladder Mode GreenLine
PWM Controller ............................. 4.2-18
Mixed Frequency Mode GreenUne PWM
Controller .................................. 4.2-18
Very High Voltage Single-Ended Controller with
On-Chip Power Switch ........................ 4.2-15
Very High Voltage Switching Regulator ...... 4.2-20, 4.2-21
Voltage Regulator/Supervisory
GaAs Power Amplifier Support IC ................. 4.2-10
Low Dropout Regulator ........................... 4.2-9
Microprocessor Voltage Regulator and Supervisory
Circuit ........................................ 4.2-9
Power Management Controller .................... 4.2-10
PoweriMotor Control Circuits •.•••••••.••••••..••••.•. 4.3-1
Motor Controllers ................................... 4.3-4
Brushless DC Motor Controllers .................... 4.3-4
Closed Loop Brushless Motor Adapter .............. 4.3-7
DC Servo Motor Controller/Driver ................... 4.3-8
Stepper Motor Driver ............................. 4.3-9
Universal Motor Speed Controller .................. 4.3-10
Power Controllers .................................. 4.3-2
High-8ide Driver Switch .......................... 4.3-4
Zero Voltage Controller ........................... 4.3-3
Zero Voltage Switch .............................. 4.3-2
Power/Motor Control Circuits Package Overview ....... 4.3-11
Po_rPC RiSe Microprocessors ••••••.••••.••.•.••.•• 2.4-2

p
Packaging Specifications, CPSTG
Adhesion Pull Tests ................................ 5.12-9
Fan Fold Box Styles ............................... 5.12-9
TQ-92 EIA Radial Tape ............................ 5.12-7
PFP-16 ............................................ 5.10-26
RF ............................................. 5.10-26
PLD-1 ............................................. 5.10-15
RF ............................................. 5.10-15
PLD-1.5 ............................................ 5.10-5
RF .............................................. 5.10-5
Power MOSFETs, TMOS .............................. 5.4-1
POWER OPTO Isolators .•••...•...•..••...••.•• 5.8-1, 5.8-10
Power Supply Circuits ................................ 4.2-1
Battery Management Circuits ....................... 4.2-32
Battery Charger ICs ............................. 4.2-32
Battery Fast Charge Controller .................. 4.2-32
Power Supply, Battery Charger, Regulation
Control Circuit .............................. 4.2-33
Battery Pack ICs ................................ 4.2-34
Uthium Battery Protection Circuit for One Cell
Battery Packs .............................. 4.2-37
Uthium Battery Protection Circuit for One or
Two Cell Battery Packs ...................... 4.2-36
Uthium Battery Protection Circuit for One to
Four Cell Battery Packs ...................... 4.2-34
Uthium Battery Protection Circuit for Three to
Four Cell Battery Packs ...................... 4.2-35
Unear Voltage Regulators ........................... 4.2-2
Adjustable Output ................................ 4.2-4
Adjustable Output Regulators .................... 4.2-4
Fixed Output .................................... 4.2-2
Fixed Voltage Medium and Low Dropout Regulators . 4.2-3
Unear Voltage Regulators ....................... 4.2-2
Micropower Voltage Regulators for Portable Applications .. 4.2-5
120 mA Micropower Voltage Regulator .............. 4.2-6
80 mA Micropower Voltage Regulator ............... 4.2-5
Micropower Voltage Regulator for External Power
Transistor ..................................... 4.2-6
Micropower Voltage Regulators with On/Off Control ... 4.2-7
MOSFET/IGBT Drivers ............................. 4.2-38
High Speed Dual Drivers ......................... 4.2-38
Inverting ..................................... 4.2-38
Noninverting ................................. 4.2-38
Single IGBT Driver .............................. 4.2-38
Single IGBT Gate Driver ......................... 4.2-39
Power Supply Circuits Package Overview ............. 4.2-40
Special Regulators ................................. 4.2-6
SCSI Regulator ................................. 4.2-11
Voltage Regulator/Supervisory ..................... 4.2-8
Special SWitching Regulator Controllers .............. 4.2-23
Dual Channel Controllers ......................... 4.2-23
Power Factor Controllers .................. 4.2-24, 4.2-25
Universal Microprocessor Power Supply Controllers. . 4.2-23
Supervisory Circuits ............................... 4.2-27
CMOS Micropower Undervoltage Sensing Circuits ... 4.2-28
CMOS Micropower Undervoltage Sensing Circuits
with Output Delay ............................. 4.2-29
OverlUndervoltage Protection Circuit ............... 4.2-27

Subject Index

7.2-12

POWERTAP II, Rectifiers ••••••••••••••••••••••• 5.6-9,5.6-12
Processors, Digital Signal Processors •.•.•••••••••..•. 2.1-1
16-Bit ....................................... 2.1-2,2.1-3
24-Bit ............................................. 2.1-3
32-Bit ............................................ 2.1-17
Peripherals .......................... 2.1-5,2.1-12,2.1-19

R
Receiver Functions •••..•••.•..••..•••..•....••.•••. 5.10-24
RF ............................................. 5.10-24
1.5 - 2.2 GHz Front End ........................ 5.10-24
2.4 GHz Front End ............................. 5.10-25
900 MHz Front End ............................. 5.10-24
Rectifier Numbering System •••••.•••.•••.••.••••.•••. 5.6-2
Rectifiers ............................................
Application Specific .................................
Automotive Transient Suppressors .................
Low VF Schottky .................................
MEGAHERTZ Rectifiers ...........................

5.6-1
5.6-3
5.6-3
5.6-3
5.6-3

Motorola Master Selection Guide

Subject Index
R (continued)

5 (continued)

Rectifiers (continued)
SCANSWITCH Rectifiers .......................... 5.6-3
Fast Recovery .................................... 5.6-13
GaAs Rectifiers Power Manager ..................... 5.6-14
General Purpose Rectifiers ......................... 5.6-13
SWITCHMODE Rectifiers ........................... 5.6-4
Axial Lead Schottky .............................. 5.6-6
POWERTAP II ................................... 5.6-9
Surface Mount Schottky ........................... 5.6-4
T0-218 Types and T0-247 Schottky ............... 5.6-8
T0-220 Type Schottky ............................ 5.6-7
Ultrafast Rectifiers ................................. 5.6-10
Axial Lead ...................................... 5.6-10
POWERTAP II .................................. 5.6-12
Surface Mount .................................. 5.6-10
T0-218 Types .................................. 5.6-12
T0-220 Type ................................... 5.6-11
T0-247 ........................................ 5.6-12

Small Signal Tuning and Switching Diodes (continued)
Schottky ......................................... 5.1--{33
Switching .................................. 5.1-35,5.1-42
SMARTDISCRETES Products .•.••.••..••.••••.•••..•• 5.4-7
Ignition IGBTs ...................................... 5.4-7
T0-220AB ........................................ 5.4--7
5MB .......................................... 5.2-13,5.6-4
Rectifiers ................................... 5.6-4, 5.6-10
TVS/Zeners ............................... 5.2-13,5.2-24
SMC .......................................... 5.2-16,5.6-4
Rectifiers ................................... 5.6-4,5.6-10
Transient Voltage Suppressors ...................... 5.2-16

RF Products ••...••••••.••.•.•••..•••••••••••..•••.• 5.1D-1
RF. LDMOS .••...••..••.•..••..•....•..•••..• 5.11l--5--5.1D-6
Amplifier Modules ........................ 5.10--31-5.10--34
High Power Discrete Transistors .............. 5.10-5--5.10--6
Medium Power Discrete Transistors ................. 5.10--15
Monolithic Integrated Circuits ....................... 5.10-26

s
SC--59
Bipolar Transistors, Small Signal .................... 5.1-11
Switching Diodes, Small Signal ...................... 5.1--{36
SC-701S0T--323 ............................ 5.1D-19--5.1D-20
Bipolar Transistors, Small Signal .................... 5.1-11
RF ..................................... 5.10--19-5.10--20
Schottky Diodes, Small Signal ....................... 5.1--{34
Switching Diodes, Small Signal ...................... 5.1--{36
Tuning Diodes, Small Signal ........................ 5.1--{31
VHF/UHF Amplifiers, Mixers, Oscillators, Small Signal .. 5.1-14
SC--70MLlSOT--{363 ................................. 5.1D-19
RF ............................................. 5.10-19
Sensors ............................................. 5.9-1
Acceleration ...................................... 5.9-12
Gas ............................................. 5.9-12
Pressure .......................................... 5.9-2
Compensated and Calibrated ................ 5.9-7,5.9-8
High Impedance ........................... 5.9-7,5.9-9
Signal Conditioned ......................... 5.9-7, 5.9-9
Uncompensated ........................... 5.9-7,5.9--8
Silicon Controlled Rectifiers .......................... 5.7-2
Thyristors ......................................... 5.7-2
SMA ......................................... 5.2-11.5.2-24
TVSlZeners ............................... 5.2-11, 5.2-24
Small Signal GreenLine Devices .••.•••••••••.•••...•• 5.1-41
Small Signal Multi-integrated Devices •.••••.•••..•••• 5.1-43
Integrated Relay/Solenoid Driver .................... 5.1--43
Low-Voltage Bias Stabilizer ......................... 5.1-43
Small Signal Transistors •••.••.•••.••••.•••.•••. 5.1-1,5.1-2
Bipolar Transistors ........................... 5.1-2,5.1-41
Metal-Can ..................................... 5.1-18
Plastic-Encapsulated ......... 5.1-2,5.1-8,5.1-10,5.1--41
Small Signal Tuning and Switching Diodes ••..•• 5.1-1,5.1-25
Abrupt Junction ................................... 5.1-25
Hyper-Abrupt Junction ............................. 5.1-29
Multiple Switching ................................. 5.1--{39

Motorola Master Selection Guide

7.2-13

SO-14 .............................................. 5.1-40
Multiple Switching Diodes, Small Signal .............. 5.1-40
SO-16 ..................................... 5.1 D-24--5.1 0-25
Bipolar Transistors, Small Signal ..................... 5.1-9
Multiple Switching Diodes, Small Signal .............. 5.1--40
RF ..................................... 5.10--24--5.10--28
SO-8 ........................... 5.4-4.5.8-9,5.10-9. 5.1D-15
Optoisolators ...................................... 5.8-9
RF ..................... 5.10-9,5.10-15,5.10--19--5.10--27
Thyristors ........................................ 5.7-14
TMOS Power MOSFETs ...................... 5.4-8,5.4-17
S00-123 ..................................... 5.2-29.5.6-4
Rectifiers .......................................... 5.6-4
TVS/Zeners ............................... 5.2-24, 5.2-29
SOT-143 •••.•.•••.••••••.•• 5.1-43. S.1D-1S. 5.10-19--5.10-21
RF ............ 5.10--15,5.10--19-5.10--21,5.10--24--5.10--25
Small Signal ...................................... 5.1-43
SOT-223
Bipolar Transistors, Small Signal .................... 5.1-16
FETs, Small Signal ......................... 5.1-24,5.1-42
Thyristors ......................................... 5.7-2
Tuning and Switching Diodes, Small Signal ........... 5.1--{32
SOT-23 • • • .. • • • .. • .. .. • • • • .. • • • • . • •• 5.1-43, 5.2-27, 5.10-18
Bipolar Transistors, Small Signal .................... 5.1-10
FETs, Small Signal ......................... 5.1-23,5.1--42
Multi-integrated Devices, Small Signal ............... 5.1-43
RF ............................ 5.10--18,5.10--21-5.10--22
Schottky Diodes, Small Signal ....................... 5.1-34
Switching Diodes, Small Signal ............... 5.1-37,5.1--42
Tuning Diodes, Small Signal ........................ 5.1-28
TVS/Zeners ................................ 5.2-9, 5.2-27
SOT--363 ..................................... 5.1-11,5.1-43
FETs, Small Signal ................................ 5.1-23
Plastic-Encapsulated Surface Mount Transistors,
Small Signal .................................... 5.1-11
Schottky Diodes, Small Signal ....................... 5.1--{34
Small Signal ...................................... 5.1-43
SOT-416/SC--90 ..................................... 5.1-12
Plastic-Encapsulated Surface Mount Transistors,
Small Signal .................................... 5.1-12
Switching Diodes, Small Signal ...................... 5.1-37
SOT-89 ............................................ 5.10-15
RF ............................................. 5.10--15
Surface Mount Information. CPSTG
Footprints for Soldering
D2PAK ........................................
D3PAK ........................................
DPAK ..........................................
MICR08 .......................................
OPTOS0-8 ....................................
SC-59 .........................................

5.11-5
5.11-5
5.11-5
5.11-5
5.11-5
5.11--5

Subject Index

Subject Index
S (continued)

S (continued)

Surface Mount Information, CPSTG (continued)

Surface Mount Products, Discrete ••.•••..••..•.. 5.8-5, 5.8-9
Optoisolators ................................ 5.8-5, 5.8-9
6-Pin Devices ................................... 5.8-5
S0-8 ........................................... 5.8-9

SC-70/S0T-323 ................................ 5.11-5
SC-90 .........................................
SMA ...........................................
5MB ...........................................
SMC ..........................................
SO-I4 .........................................
SO-I6 .........................................
S0-8 ..........................................
SOD-123 ......................................
SOT-143 ......................................
SOT-223 ......................................
SOT-23 .......................................
SOT-363 ......................................
TSSOP-6 ......................................
Using Surface Mount Packages .....................

5.11-5
5.11-5
5.11-5
5.11-5
5.11-5
5.11-5
5.11-5
5.11-5
5.11-5
5.11-5
5.11-5
5.11-5
5.11-5
5.11-2

Surface Mount Products, CPSTG .••..••. 5.1-9,5.1-43,5.2-9,
5.2-11,5.2-13,5.4-11,5.5-10,5.6-4,5.10-9
Bipolar Power Transistors .......................... 5.5-10
DPAK .......................................... 5.5-10
Rectifiers .......................................... 5.6-4
D2PAK .................................. 5.6-5,5.6-10
DPAK .................................... 5.6-5,5.6-10
5MB ..................................... 5.6-4,5.6-10
SMC .................................... 5.6-4,5.6-10
RF .............................................. 5.10-9
Micr0-8 ....................................... 5.10-24
PFP-16 ....................................... 5.10-26
PLD-1 ........................................ 5.10-15
PLD-1.5 ....................................... 5.10-5
SC-70/S0T-323 ....................... 5.10-19-5.10-20
SC-70MUSOT-363 ............................ 5.10-19
S0-16 ................................ 5.10-24-5.10-28
S0-8 ................. 5.10-9,5.10-15,5.10-19-5.10-27
SOT-143 .... 5.10-15,5.10-19-5.10-21,5.10-24-5.10-25
SOT-23 ..................... 5.10-18,5.10-21-5.10-22
TOFP-48 ..................................... 5.10-24
TSSOP-16 ........... 5.10-24-5.10-25,5.10-27-5.10-28
TSSOP-16HS ................................. 5.10-27
TSSOP-20 .................................... 5.10-25
TSSOP-20HS ................................. 5.10-28
Small Signal ................................ 5.1-9,5.1-43
SC-59 ........................... 5.1-11,5.1-36,5.1-42
SC-70/S0T-323 ... 5.1-11,5.1-14,5.1-24,5.1-36,5.1-42
S0-16 .......................................... 5.1-9
SOD-123 ...................................... 5.1-42
SOT-143 ...................................... 5.1-43
SOT-223 ............................... 5.1-17,5.1-24
SOT-23 .......... 5.1-10,5.1-23,5.1-36,5.1-37,5.1-43
SOT-363 ................. 5.1-1,,5.1-23,5.1-34,5.1-43
SOT-4161SC-90 ......................... 5.1-12,5.1-37
Thyristors, SOT-223 ................................ 5.7-7
TMOS Power MOSFETs ............................. 5.4-8
D2PAK .......................... 5.4-11,5.4-19,5.4-21
D3PAK ........................................ 5.4-12
DPAK ............................ 5.4-10, 5.4-18, 5.4-20
S0-8 .................................... 5.4-8,5.4-17
SOT-223 ......................... 5.4-9,5.4-18,5.4-20
TVSlZeners ......................... 5.2-9, 5.2-11, 5.2-13
SMA .................................... 5.2-11,5.2-24
5MB .................................... 5.2-13,5.2-24
SMC .......................................... 5.2-16
SOD-123 ............................... 5.2-24,5.2-29
SOT-23 .......................... 5.2-9, 5.2-24, 5.2-27

Subject Index

7.2-14

Surmetlc 30 ......................................... 5.2-21
Zener Diodes ..................................... 5.2-21
Surmetlc 40 ......................................... 5.2-21
Transient Voltage Suppressors ................ 5.2-4, 5.2-21
Switching .......................................... 5.10-24
RF ............................................. 5.10-24
SWITCH MODE Rectifiers
Axial Lead Schottky .................................
POWERTAP II .....................................
Surface Mount Schottky .............................
T0-218 Types and T0-247 Schottky .................
T0-220 Type Schottky ..............................

5.6-6
5.6-9
5.6-4
5.6-8
5.6-7

T
Tape and Reel Options ...............................
Analog MPO Table .................................
Tape/Reel and Ammo Pack .......................
D2PAK ......................................
DPAK .......................................
Micr0-8 ......................................
PLCC .......................................
SOIC ........................................
SOT-23 (5 Pin) ...............................
SOT-89 (3 Pin) ...............................
SOT-89 (5 Pin) ...............................
T0-92 .......................................
Tape and Reel Configurations .......................
Tape and Reel Information Table .....................

4.11-1
4.11-5
4.11-5
4.11-5
4.11-5
4.11-5
4.11-5
4.11-5
4.11-5
4.11-5
4.11-5
4.11-5
4.11-2
4.11-4

Tape and Reel Specifications, CPSTG •....•..•••......
Embossed Tape and Reel Data ......................
Embossed Tape and Reel Ordering Information ........
Lead Tape Packaging SpecHications for
Axial-Lead Components .........................

5.12-2
5.12-4
5.12-3
5.12-6

Technical Data and Literature ..•••..•....••..•.. 6.1-2, 6.1-6
Technical Data Services
"IMAGINE" SPS Customer Magazine ................. 6.1-1
Mfax- Touch-Tone FAX ............................. 6.1-1
Motorola SPS World Marketing Internet Server ......... 6.1-1
Technical Training, Technical Training Centers .•.••... 6.1-13
Thyristors .•••••••.•••••.•••..••••••.......•..•...... 5.7-2
Silicon Controlled Rectifiers .......................... 5.7-2
General Purpose, Plastic .......................... 5.7-2
TRIACs ........................................... 5.7-7
General Purpose, Plastic .......................... 5.7-7
Triggers
High Voltage Bidirectional TVS Devices ............ 5.7-14
Programmable Unijunction Transistors ............. 5.7-14
SIDACs ........................................ 5.7-14
Silicon Bidirectional SwHch ....................... 5.7-14
TMOS MOSFETs .................................... 5.1-22
Small Signal ............................... 5.1-22,5.1-42
TMOS Power MOSFETs ••••...••..••••..••..•...•..... 5.4-1
TMOS Power MOSFETs Numbering System •.•...••••.. 5.4-2
TMOS V ............................................. 5.4-5
TO-116
Bipolar Transistors, Small Signal ..................... 5.1-9
Multiple Switching Diodes, Small Signal .............. 5.1-40

Motorola Master Selection Guide

Subject Index
T (continued)

T (continued)

T0-205AD (T0-39) •.••••.•••.•••.•••••.••.•••.•••••• 5.1-18
Bipolar Transistors, Small Signal .................... 5.1-18

Transistors, Discrete (continued)
HF .......................................... 5.10-7
Linear .............................. 5.10-12-5.10-13
Microwave .......................... 5.10-10-5.10-11
UHF ................................. 5.10-8-5.10-9
VHF .................................. 5.10-7-5.10-8
Power MOSFETs ......................... 5.10-4-5.10-5
Small Signal ........................... 5.10-16-5.10-21
Transmitter Functions .............................. 5.10-25
RF ............................................. 5.10-25
1.5 - 2.2 GHz Transmit Chain ............ 5.10-27-5.10-28
2.4 GHz Transmit Chain ......................... 5.10-28
900 MHz Transmit Chain ................ 5.10-26-5.10-27
TRIACs .............................................. 5.7-7
Thyristors ......................................... 5.7-7
TSSOP-16 ................................. 5.10-24-5.10-25
RF .................... 5.10-24-5.10-25,5.10-27-5.10-28
TSSOP-16HS, RF .................................. 5.10-27
TSSOP-20 ......................................... 5.10-25
RF ............................................. 5.10-25
TSSOP-20HS ...................................... 5.10-28
RF ............................................. 5.10-28
TVSlZeners .......................................... 5.2-1
Transient Voltage Suppressors ....................... 5.2-2
General-Purpose ................................ 5.2-2
Overvoltage Transient ........................... 5.2-18
Surface Mount ................................... 5.2-9
Zener Diodes ..................................... 5.2-19
Current Regulator ............................... 5.2-34
Voltage Reference ............................... 5.2-34
Voltage Regulator ............................... 5.2-19

T0-206AA (T0-18) ••••••••••••••••••••••••••••.••••• 5.1-18
Bipolar Transistors, Small Signal .................... 5.1-18
T0-218 .............................................. 5.6-8
Rectifiers ................................... 5.6-8,5.6-12
T0-220 Types ........................................ 5.6-7
Rectifiers ................................... 5.6-7,5.6-11
T0-220AB ................................... 5.4-13,5.4-19
Thyristors ................................... 5.7-4,5.7-8
TMOS Power MOSFETs .............. 5.4-13, 5.4-19, 5.4-21
TO-225AA (T0-126) •••••••••••••••••••••••••••••••.•• 5.7-3
Thyristors ................................... 5.7-3,5.7-7
T0-226AA (T0-92)
Bipolar Transistors, Small Signal ..................... 5.1-2
JFETs, Small Signal ............................... 5.1-20
Thyristors ............................ 5.7-2,5.7-7,5.7-14
TMOS MOSFETs, Small Signal ...................... 5.1-22
Tuning and Switching Diodes,
Small Signal ...................... 5.1-25,5.1-28,5.1-35
TO-226AC (T0-92)
Schottky Diodes, Small Signal ....................... 5.1-34
Tuning and Switchin9 Diodes,
Small Signal ...................... 5.1-27, 5.1-31, 5.1-36
T0-226AE (T0-92)
Bipolar Transistors, Small Signal ..................... 5.1-3
TMOS MOSFETs, Small Signal ...................... 5.1-22
TO-236AB
Bipolar Transistors, Small Signal .................... 5.1-10
FETs, Small Signal ................................ 5.1-23
Schottky Diodes, Small Signal ....................... 5.1-34
Tuning and Switching Diodes,
Small Signal ...................... 5.1-31,5.1-36,5.1-42
TO-247 ....................................... 5.4-15,5.6-8
Rectifiers ................................... 5.6-8,5.6-12
TMOS Power MOSFETs ............................ 5.4-15
TO-264 High Power Products ........................ 5.4-16
TMOS Power MOSFETs ............................ 5.4-18
T0-3PBL ........................................... 5.4-16
TMOS Power MOSFETs ............................ 5.4-16
TQFP-48 .......................................... 5.10-24
RF ............................................. 5.10-24

u
Ultrafast Rectifiers ..................................
Axial Lead ........................................
POWERTAP II ....................................
Surface Mount ....................................
T0-218 Types ....................................
T0-220Type .....................................
T0-247 ..........................................

5.6-10
5.6-10
5.6-12
5.6-10
5.6-12
5.6-11
5.6-12

v

Transceivers, Digital Signal Processors •••••••.•••••••• 2.1-1

Voltage References ................................... 4.4-1
Precision Low Voltage References .................... 4.4-2
Voltage References Package Overview ................ 4.4-2

Transient Voltage Suppressors .••••••••••••.•••••••••• 5.2-2
Axial Leaded for Through-hole Designs ............... 5.2-2

z

Transistors, Discrete ................................ 5.10-2
RF .............................................. 5.10-2
Medium Power ......................... 5.10-14-5.10-16
Power Bipolar .......................... 5.10-7-5.10-14
1.5 GHz .................................... 5.10-10
900 MHz ............................. 5.10-9-5.10-10

Zener Diodes
Current Regulator .................................
Voltage Reference .................................
Voltage Regulator .................................
Axial Leaded for Through-hole Designs ...... 5.2-2,
Surface Mount Packages .........................

Motorola Master Selection Guide

7.2-15

5.2-34
5.2-34
5.2-19
5.2-19
5.2-24

Subject Index

Subject Index

7.2-16

Motorola Master Selection Guide

1/1/97

MOTOROLA AUTHORIZED DISTRIBUTOR & WORLDWIDE SALES OFFICES
NORTH AMERICAN DISTRIBUTORS
Santa Clara

UNITED STATES
ALABAMA
Huntsville

Wyle Electronics ............ (408)727-2500

Santa Fe Springs

Arrow/Schweber Electronics.. (205)837--6955
FAI . . . . . . . . . . . . . . . . . . . . . . .. (205)837-9209
Future Electronics. . . . . . . . . .. (205)830-2322
Hamilton/Hallmark .......... (205)837-8700
Newark. . . . . . . . . . . . . . . . . . .. (205)837-9091
Time Electronics .......... 1-800-789-TIME
Wyle Electronics ............. (205)830-1119

ARIZONA
Phoenix

Newark. . . . . . . . . . . . . . . . . . .. (310)929-9722

Sierra Madre
PENSTOCK. . . . . . . . . . . . . . .. (818)356--6775

Sunnyvale
Hami~on/Hallmark

.......... (408)435-3500
PENSTOCK..... . . . . . . . . . .. (408)730-0300
Time Electronics .......... 1-800-789-TIME

Thousand Oaks
Newark . . . . . . . . . . . . . . . . . . .. (805)449-1480

FAI . . . . . . . . . . . .... ...... . ..
Future Electronics. . . . . . . . . ..
Hamilton/Hallmark ..........
Wyle Electronics ..... . . . . . ..

(602)731-4661
(602)968-7140
(602)738-7000
(602)804-7000

Scottsdale
Alliance Electronics ......... (602)483-9400

Tempe
Arrow/Schweber Electronics .. (602)431-0030
Newark. . .. ....... .. .... . .. (602)966--6340
PENSTOCK ................ (602)967-1620
Time Electronics .......... 1-800-789-TIME

CALIFORNIA
Agoura Hills

Torrance
Time Electronics .......... 1-800-789-TIME

Tustin
Time Electronics .......... 1-800-789-TIME

Woodland Hills
Hamilton/Hallmark .......... (818)594-0404

COLORADO
Lakewood
FAI ........................ (303)237-1400
Future Electronics. . . . . . . . . .. (303)232-2008

Denver
Newark. . . . . . . . . . . . . . . . . . .. (303)373-4540

Englewood

Future Electronics. . . . . . . . . .. (818)865-0040
Time Electronics Corporate. 1-800-789-TIME

Calabassas
Arrow/Schweber Electronics .. (818)88Q-9686
Wyle Electronics ............ (818)880-9000

Chatsworth
Time Electronics .......... 1-800-789-TIME

Culver City
Hamilton/Hallmark .......... (310)558-2000

Garden Grove
Newark .................... (714)893-4909

Irvine
Arrow/Schweber Electronics ..
FAI ....................... .
Future Electronics .......... .
Hamilton/Hallmark ......... .
Wyle Laboratories Corporate .
Wyle Electronics ........... .

(714)587-0404
(714)753-4778
(714)453-1515
(714)641-4100
(714)753-9953
(714)863-9953

Los Angeles
FAI ....................... . (818)879-1234
Wyle Electronics ........... . (818)880-8000

Manhattan Beach
PENSTOCK ............... . (310)546-8953

N~~~f3'c~ar~ ............ . (805)375-6680
Palo Alto
Newark ................... . (415)812--6300

Riverside
Newark ................... . (909)980-2105

Rocklin
HamiitonlHalimark ......... . (916)624-4500

Sacramento
FAI ....................... . (916)782-7882
Newark ................... . (916)565-1760
Wyle Electronics ........... . (916)638--6282

San Diego
Arrow/Schweber Electronics.. (619)565-4800
FAI . . . . . . . . . . . . . . . . . . . . . . .. (619)623-2888
Future Electronics. . . . . . . . . .. (619)625-2800
Hamilton/Hallmark .......... (619)571-7540
Newark ..................... (619)453-8211
PENSTOCK. . . . . . . . . . . . . . .. (619)623-9100
Wyle Electronics ............ (619)565-9171

San Jose
Arrow/SchweberElectronics .. (408)441-9700
Arrow/SchweberElectronics .. (408)428-8400
FAI . . . . . . . . . . . . . . . . . . . . . . .. (408)434-0369
Future Electronics ............ (408)434-1122

Arrow/Schweber Electronics.. (303)799-0258
Hamilton/Hallmark .......... (303)790-1662
PENSTOCK. . . . . . . . . . . . . . .. (303)799-7845
Time Electronics .......... 1-800-789-TIME

Thornton
Wyle Electronics . . . . . . . . . . .. (303)457-9953

CONNECTICUT
Bloomfield
Newark. . . . . . . . . . . . . . . . . . .. (203)243-1731

Cheshire
FAI . . . . . . . . . . . . . . . . . . . . . . .. (203)250-1319
Future Electronics. . . . . . . . . .. (203)250-0083
Hamilton/Hallmark .......... (203)271--6700

Southbury
Time Electronics .......... 1-800-789-TIME

Wallingfort
Arrow/SchweberElectronics .. (203)265-7741

FLORIDA
Altamonte Springs
Future Electronics. . . . . . . . . .. (407)865-7900

Clearwater
FAI . . . . . . . . . . . . . . . . . . . . . . .. (813)530-1665
Future Electronics. . . . . . . . . .. (813)530-1222

Deerfield Beach
Arrow/Schweber Electronics.. (305)429-8200
Wyle Electronics ............ (305)420-0500

Ft. Lauderdale
FAI . . . . . . . . . . . . . . . . . . . . . . .. (305)428-9494
Future Electronics. . . . . . . . . .. (305)436-4043
Hamilton/Hallmark .......... (305)484-5482
Newark ..................... (305)486-1151
Time Electronics .......... 1-800-789-TIME

Lake Mary
Arrow/Schweber Electronics.. (407)333-9300

LargolTampa/St. Petersburg
Hamilton/Hallmark .......... (813)507-5000
Newark .................... (813)287-1578
Wyle Electronics ............ (813)578-3004
Time Electronics .......... 1-800-789-TIME

Orlando
FAI ..... . . . . . . . . . . . . . . . . . .. (407)865-9555
Newark . . . . . . . . . . . . . . . . . . .. (407)896--6350

Tallahassee
FAI . . . . . . . . . . . . . . . . . . . . . . .. (904)668-7772

Tampa
Newark. . . . . . . . . . . . . . . . . . .. (813)287-1578
PENSTOCK.. . . . . . . . .. . . . .. (813)247-7556

Winter Park
Hamilton/Hallmark .......... (407)657-B300
PENSTOCK ................. (407)672-1114

GEORGIA
Atlanta
FAI ........................ (404)447-4767
Time Electronics .......... 1-800-789-TIME
Wyle Electronics ............ (404)441-9045

Duluth
Arrow/SchweberElectronics .. (404)497-1300
Hamilton/Hallmark .......... (404)623-5475

Norcross
Future Electronics ...........
Newark . . . . . . . . . . . . . . . . . . ..
PENSTOCK. . . . . . . . . . . . . . ..
Wyle Electronics . . . . . . . . . . ..

(770)441-7676
(770)448-1300
(770)734-9990
(770)441-9045

IDAHO
Boise
FAI . . . . . . . . . . . . . . . . . . . . . . .. (208)376-8080
Newark ..................... (208)342-4311

ILLINOIS
Addison
Wyle Laboratories . . . . . . . . . .. (708)620-0969

Bensenville
Hamilton/Hallmark .......... (847)797-7300

Chicago
FAI . . . . . . . . . . . . . . . . . . . . . . .. (708)843-0034
Newark Electronics Corp... 1-800-4NEWARK

Hoffman Estates
Future Electronics

(708)882-1255

Itasca
Arrow/Schweber Electronics.. (708)250-0500

Palatine
PENSTOCK. . . . . . . . . . . . . . .. (708)934-B700

Schaumburg
Newark. . . . . . . . . . . . . . . . . . .. (708)310-8980
Time Electronics .......... 1-800-789-TIME

INDIANA
Indianapolis
Arrow/Schweber Electronics.. (317)299-2071
Bailey's Electronics. . . . . . . . .. (317)848-9958
Hamilton/Hallmark .......... (317)575-B535
FAI ... . ... . .. . ..... .. ... . .. (317)469-0441
Future Electronics. . . . . . . . . .. (317)469-0447
Newark. . . . . . . . . . . . . . . . . . .. (317)259-0085
Time Electronics .......... 1-800-789-TIME

Ft. Wayne
Newark ... . .. . . . .. . . . . .. . .. (219)484-0766
PENSTOCK ................ (219)432-1277

IOWA
Cedar Rapids
Newark .. . . .. . . .. . . . . . . . . .. (319)393-3800
Time Electronics .......... 1-800-789-TIME

KANSAS

Kansas City
FAI ........................ (913)381--6800

Lenexa
Arrow/Schweber Electronics ... (913)541-9542

Olathe
PENSTOCK. . . . . . . . . . . . . . .. (913)829-9330

Overland Park
Future Electronics ........... (913)649-1531
Hamilton/Hallmark .......... (913)663-7900
Newark. . . . . .. .. . . . . . . . . ... (913)677-0727
Time Electronics .......... 1-800-789-TIME

MARYLAND
Baltimore
FAI .. .. .. .. .. .. .. .. .. .. .... (410)312-0833

Columbia
Arrow/Schweber Electronics.. (301 )598-7800
Future Electronics. . . . . . . . . .. (410)290-0600
Hamilton/Hallmark .......... (410)72O-B400
Time Electronics .......... 1-800-789-TIME
PENSTOCK ................ (410)290-3746
Wyle Electronics ............ (410)312-4844

Hanover
Newark.. . .. .. .. . .. .. . .. . .. (410)712--6922

For changes to this information contact Technical Publications at FAX (602) 244-6560

1/1/97

AUTHORIZED DISTRIBUTORS - continued
UNITED STATES - conlinued
MASSACHUSETTS
Boslon
Arrow/Schweber Electronics.. (508)658-0900
FAI ....
'" (508)779-3111
Newark ...
... 1-800-4NEWARK

Bollon
Future Corporate

(508)779-3000

Burlington
PENSTOCK
............ . (617)229--9100
Wyle Electronics ...
(617)271-9953

Peabody
1--800-789-TIME
(508)532-9893

Time Electronics
Hamilton/Hallmark

Woburn
Newark

(617)935--8350

MICHIGAN
Detroit
FAI ..... .
Future Electronics .. .

(313)513-0015
(616)698-6800

Grand Rapids
Newark

(616)954--8700

Livonia
Arrow/Schweber Electronics. (810)455--0850
Future Electronics ......... . (313)261-5270
Hamilton/Hallmark ..
(313)416-5800
Time Electronics ...
1-800--789-TIME

Troy
Newark .............. .

(810)583-2899

MINNESOTA
Bloomington
Wyle Electronics ....

(612)853--2280

Burnsville
PENSTOCK ..

(612)882-7630

Eden Prairie
Arrow/Schweber Electronics . (612)941-5280
FAI.
(612)947-0909
Future Electronics ..
(612)944-2200
(612)881-2600
Hamilton/Hallmark
Time Electronics .
1--800-789-TIME

Minneapolis
Newark .............. .

(612)331-6350

MISSOURI
Earth City
(314)291-5350

SI. Louis
Arrow/Schweber Electronics .
Future Electronics
FAI ..
Newark ...

Time Electronics ...

(314)567-6888
(314)469-6805
(314)542-9922
(314)453-9400
1-800-789-TIME

NEW JERSEY
Bridgewater
PENSTOCK ....

(908)575-9490
..... (609)424--0110

East Brunswick
(908)937--8600

Newark

Fairfield
FAI.

'" (201)331-1133

Marlton
Arrow/Schweber Electronics
FAI ..

(609)596--8000
(609)988--1500
(609)596-4080

Pinebrook
Arrow/SchweberElectronics .. (201)227-7880
Wyle Electronics ............ (201 )882-8358

Parsippany
Future Electronics ... ,
Hamilton/Hallmark

(201 )299-0400
(201)515--1641
1-800-789-TIME

NEW MEXICO
Albuquerque
Hamilton/Hallmark
Newark ..

........ (505)293--5119
(505)828-1878

NEW YORK
Bohemia
Newark.

(516)737-0600

Melville
Wyle Laboratories

(516)293--8446

Pittsford
(716)381-4244

Newark ........... .

Arrow/Schweber Electronics .. (716)427-0300
Future Electronics ....... .
(716)387-9550
(716)387-9600
FAI .................... .
(716)272-2740
Hamilton/Hallmark .. .
Trme Electronics ... .
1-800--789-TIME

Syracuse
(315)451-4405
FAI.
Future Electronics ....
(315)451-2371
Newark ..
(315)457-4873
Time Electronics .......... 1-800--789-TIME

NORTH CAROLINA
Charlotte

Arrow/Schweber Electronics .. (919)876-3132
(919)876--0088
FAI
Future Electronics ............ (919)790-7111
Hamilton/Hallmark
(919)872-0712
Newark. .
. .. 1--800-4NEWARK
1-800-789-TIME
Time Electronics ....

Arrow/Schweber Electronics .. (412)963--8807
Newark ........ .
(412)788-4790
Trme Electronics
... 1--800-789-TIME

TENNESSEE
Knoxville
. .. (615)588-6493

TEXAS
Austin
Arrow/Schweber Electronics .. (512)835-4180
Future Electronics ....... .
(512)502-0991
FAI ............. .
(512)346--8426
Hamilton/Hallmark ........ . (512)258--8848
Newark ...
(972)458--2528
PENSTOCK .....
(512)348--9762
Time Electronics .
1--800-789-TIME
Wyle Electronics
(512)833-9953

Carollton
Arrow/Schweber Electronics.

Arrow/Schweber Electronics

(513)435-5563

Cleveland

FAI ..
............
(214)231-7195
Future Electronics......
(214)437-2437
Hamilton/Hallmark
(214)553--4300
Newark. . . . . . . . . . . . . . . .
(214)458--2528
Time Electronics. . .. . . .
1--800-789-TIME
Wyle Electronics. . . . . . . . . . .. (214)235-9953

EIPaso
(915)577-9531
(915)772-6367

FI. Worth
Allied Electronics ....

(216)448--0061
· . . .. (216)391-9330
· .. 1-800-789-TIME
. ....... (614)326-0352
. . .. 1-800-789-TIME

Dayton
FAI ............. .
. . . . . .. (513)427-6090
Future Electronics ..
. . .. (513)426-0090
Hamilton/Hallmark ..
(513)439-6735
(513)294-8980
Newark ..
Time Electronics .......... 1-800-789-TIME
Future Electronics . .

(216)449--8996

Solon
Arrow/Schweber Electronics . (216)248--3990
Hamilton/Hallmark
.. (216)498--1100

Worthington
Hamilton/Hallmark

(614)888-3313

OKLAHOMA
Tulsa
(918)492-1500
(918)459-6000
(918)252-5070

FAI ..
Hamilton/Hallmark
Newark.

OREGON
Beaverton
Arrow/Almac Electronics Corp.
Future Electronics ..... .
Hamilton/Hallmark
Wyle Electronics

(503)629-8090
(503)645-9454
(503)526-6200
(503)643-7900

(214)380-6464

Dallas

FAI
Newark

OHIO
Centerville

(817)336-5401

Houston
Arrow/Schweber Electronics. (713)647-6868
FAI .........
(713)952-7088
Future Electronics ............ (713)785-1155
Hamilton/Hallmark......... (713)781-6100
Newark. . . .
(713)894-9334
Time Electronics .......... 1-800-789-TIME
Wyle Electronics .
(713)879-9953

Richardson
PENSTOCK .....

(214)479-9215

San Antonio
FAI ..... .
Newark

(210)738-3330
(210)734--7960

UTAH
Salt Lake City
Arrow/Schweber Electronics.
FAI ......... .
Future Electronics
Hamilton/Hallmark
Newark ....... .
Wyle Electronics ...

(801 )973-6913
(801)467-9696
(801)467-4448
(801 )268--2022
(801)261-5660
(801 )974--9953

West Valley City
1--800-789-TIME
(801 )974--9953

Time Electronics
Wyle Electronics .

WASHINGTON
Bellevue
Almac Electronics Corp.
PENSTOCK ..

(206)643-9992
(206)454--2371

Bothell
Future Electronics ..

(206)489-3400

Kirkland
· . . .. (503)297-5020
(503)297-1984
(503)646-1670
· .. 1-800-789-TIME

PENNSYLVANIA
Coatesville
PENSTOCK ....

(516)567-4200

Time Electronics .......... 1-800--789-TIME
Wyle Electronics. . . . . . . . . . . (609)439-9110

PENSTOCK ............... . (817)249--0442

Raleigh

FAI ............ .
Newark ........... .
PENSTOCK.
Time Electronics ....

.... (609)439--9110

Philadelphia

Benbrook

FAI .. . . . . . . . . . . . . . . . . . . .
(704)548-9503
Future Electronics ............ (704)547-1107
Newark. . .
. . . .. (704)535-5650

Newark ...
Time Electronics

...... (215)654--1434

Wyle Electronics

Newark ..

Rochester

Portland

Wayne
Time Electronics

Hamilton/Hallmark

FAI ...
Newark.
Time Electronics ..

Newark .....

MI. Laurel

Pittsburgh

Konkoma

Mayfield Heights

Cherry Hill

Future Electronics.

FI. Washington

Arrow/Schweber Electronics .. (516)231-1000
FAI ................ .
(516)348--3700
Future Electronics ........... (516)234-4000
Hamilton/Hallmark
(516)737-0600
Newark .................. 1--800-4NEWARK
PENSTOCK. . . . . . . .
(516)724-9580

Columbus

Hamilton/Hallmark

Hamilton/Hallmark

Hauppauge

Newark

(206)814--8230

Redmond
Hamilton/Hallmark
Time Electronics ..
Wyle Electronics

(206)882-7000
1--800--789--TIME
. ........ (206)881-1150

Seattle
(610)383-9536

FAI ............ .
Wyle Electronics ..

For changes to this information contact Technical Publications at FAX (602) 244-6560

(206)485-6616
(206)881-1150

1/1/97

AUTHORIZED DISTRIBUTORS - continued
UNITED STATES - continued
WISCONSIN
Brookfield

Saskatchewan
HamiitonlHalimark

Arrow/Schweber Electronics .. (414)792-{)150
Future Electronics .......... . (414)879-{)244
Wyle Electronics .......... .. (414)521-9333

Madison
Newark .. . . . . . . . . . . . . . . . . .. (608)278-{)177

Milwaukee
FAI ........................ (414)792-1l778
Time Electronics .......... 1--800-789-TIME

New Berlin
Hamiijon/Hallmark .......... (414)780-7200

Wauwatosa
Newark .................... (414)453-9100

CANADA
ALBERTA
Calgary

Ottawa
Arrow Electronics ...........
Electro Sonic Inc. ...........
FAI .. .. .. .. .. .. .. .. .. .. ....
Future Electronics ...........
Hamilton/Hallmark ..........

(800)663-5500

BRITISH COLUMBIA
Vancouver
Arrow Electronics ........... (604)421-2333
Electro Sonic Inc. . .......... (604)273-2911
FAI ........................ (604)654-1050
Future Electronics ............ (604)294-1166
Hamilton/Hallmark
(604)420-4101

Toronto
Arrow Electronics ...........
Electro Sonic Inc............
FAI .. .. .. .. .. .. .. .. .. .. ....
Future Electronics ...........
Hamilton/Hallmark ..........
Newark .. .. .. . .. .. .. .. .. ...

MANITOBA
Winnipeg
Electro Sonic Inc.
(204)78~105
FAI ....................... . (204)785-3075
Future Electronics .......... . (204)944-1446
Hamilton/Hallmark ......... . (800)663-5500

PENSTOCK ............... . (613)592--8088
(403)255-1l550
(403)291-5333
(403)250-5550
(800)663-5500

Edmonton

(905)670-7769
(416)494-1666
(905)612-9888
(905)612-9200
(905)564--8060
(905)670-2888

QUEBEC
Montreal

ONTARIO
Kanata

Electro Sonic Inc.
FAI ....................... .
Future Electronics .......... .
Hamilton/Hallmark ......... .

(613)226--8903
(613)728--8333
(613)820--8244
(613)727-1800
(613)226-1700

London
Newark ................... . (519)68s-4280

M~~S~~~~~'f
Source Exif Data:
File Type                       : PDF
File Type Extension             : pdf
MIME Type                       : application/pdf
PDF Version                     : 1.3
Linearized                      : No
XMP Toolkit                     : Adobe XMP Core 4.2.1-c041 52.342996, 2008/05/07-21:37:19
Create Date                     : 2017:06:16 10:12:22-08:00
Modify Date                     : 2017:06:16 10:45:09-07:00
Metadata Date                   : 2017:06:16 10:45:09-07:00
Producer                        : Adobe Acrobat 9.0 Paper Capture Plug-in
Format                          : application/pdf
Document ID                     : uuid:60b6caa7-0ea5-274c-a016-215587d6674f
Instance ID                     : uuid:fd6d51c8-102a-784e-aeff-a9f3cf443dd0
Page Layout                     : SinglePage
Page Mode                       : UseNone
Page Count                      : 734
EXIF Metadata provided by EXIF.tools

Navigation menu