210830 013_Flash_Memory_Vol_2_1994 013 Flash Memory Vol 2 1994

User Manual: 210830-013_Flash_Memory_Vol_2_1994

Open the PDF directly: View PDF PDF.
Page Count: 526

Download210830-013_Flash_Memory_Vol_2_1994 210830-013 Flash Memory Vol 2 1994
Open PDF In BrowserView PDF
ti/' l

l

u.s. and CANADA LITERATURE ORDER FORM
NAME: _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _,
COMPANY: __________________________________
ADDRESS: _'________________________________----------CITY: _ _ _ _ _ _ _ _ _ _ _ _ _ STATE: _ _ _ Z,P: _ __
COUNTRY: ____________________________________________
_ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ ___
PHONENO.:~_~

ORDER NO

TITLE

OTY.

=
=
=
=
=
=
=
=
=
=

x
x
x
x
x
x
x
x
x
x

I

TOTAL

PRICE

Subtotal
Must Add Your
Local Sales Tax

Include postage:
Must add 15% of Subtotal to cover U.S.
and Canada postage. (20% all other.)

)

Postage

Total
Pay by check, money order, or Include company purchase order with this form ($200 minimum). We also
accept VISA, MasterCard or American Express. Make payment to Intel Uterature Sales. Allow 2-3 weeks for
delivery.
'

o

VISA 0 MasterCard 0 American Express Expiration Date _ _ _ _ _ _ _ _ _ _ _ ___
AccoumNo. _____________________________________________
Signature ______

~

___________________________________

Mall To: Intel Uterature Sales

P.O. Box 7641

Mt. Prospect, IL 60056-7641

International Customers outside the U.S. and Canada
should use the Imematlonal order form on the next page or
contact their local Sales OffIce or Distributor.

For phone orders In the U.S. and canada, call Toll Free: (800) 548-4725
or FAX to (708) 296-3699. Please print clearly In Ink to expedite your order.
Prices good until 12/31/94.
Source HB

LOFUS1/100693

1,\,

I,~

i~

INTERNATIONAL. LITERATURE ORDER FORM
NAME: _________

~

_________

~

__________

COMPANY: _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ __
ADDRESS: _______~--------------------------------CITY: _----"_ _ _ _ _ _ _ _ _ _---:- STATE: _ _ _ ZIP: _ __
COUNTRY:. _ _ _ _ _-,-_ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ __
PHONE NO.: -'-_--'-____________----'_ _ _ _-'-_ __
ORDER NO.

TITLE

QTV.

PRICE

=
=
=
=
=
=
=
=
=
=

x
x
x
x
x
x
x
x
x

I

TOTAL

x
Subtotal
Must Add Your
Local Sales Tax
Total

PAYMENT

Cheques should be made payable to your local Intel Sales Office (see inside back cover).
Other forms of payment may be available in your country. Please contact the Literature Coordinator at your'
local Intel Sales Office for details.
.
The completed form should be marked to the attention of the LITERATURE COORDINATOR and returned to
your local Intel Sales Office.

LOFINT1/1OO693

I

FLASH MEMORY
VOLUME II

1994

Intel Corporation makes no warranty for the use of its products and assumes no responsibility for any errors which may
appear in this document nor does it make a commitment to update the information contained herein.
Intel retains the right to make changes to these specifications at any time, without notice.
Contact your locai Intel sales office or your distributor to obtain the latest specifications before placing your product order.
MDSis an ordering code only and is not used as a product name or trademark of Intel Corporation.
Intel Corporation and .Intel's FASTPATH are not affiliated with Kinetics, a division of Excelan, Inc. or its FASTPATH
trademark or products.
*Other brands and names are the property of their respective owners.
Additional copies of this document or other Intel literature may be obtained from:
Intel Corporation
Literature Sales
P.O. Box7~1
Mt. Prospect, IL 60056-7641
or call 1-800-879-4683
©INTEL CORPORATION. 1993

LGCPV1/100693

intel~
DATA SHEET DESIGNATIONS
Intel uses various data sheet markings to designate each phase of the document as it
relates to the product. The marking appears in the upper, right-hand corner of the data
sheet. The following is the definition of these markings:
Data Sheet Marking

Description

Product Preview

Contains information on products in the design phase of
development. Do not finalize a design with this
information. Revised information will be published when
the product becomes available.

Advanced Information

Contains information on products being sampled or in
the initial production phase of development. *

Preliminary

Contains preliminary information on new products in
production. *

No Marking

Contains information on products in full production. *

'Specifications within these data sheets are subject to change without notice. Verify with your local Intel sales
office that you have the latest data sheet before finalizing a design.

DSDSG1/100693

I

intel~

Memory Overview

Flash Overview

FlashFileTM Components

Boot Block Components

Bulk..Erase Components

Memory Cards

Flash AT A Drives

Automotive Components

Process Engineering Reports

infel·
Article Reprin.ts

I

Table of Contents

I~

lr

Alphanumeric Index ...................................................... .

xiv

CHAPTER 1
Memory Overview
Intel Memory Technologies ................................................ .

1-1

CHAPTER 2
Flash Overview
Flash Overview .......................................................... .
APPLICATION NOTES
AP-357 Power Supply Solutions for Flash Memory ............................ .
AP-374 Flash Memory Write Protection Techniques ..................•........
AB-29 Flash Memory Applications in Laser Printers ........................... .

I;;

'T_

2-1
2-7
2-42
2-49

CHAPTER 3
FlashFile™ Components
DATA SHEETS
DD28F032SA 32 Mbit (2 Mbit x 16, 4 Mbit x 8) FlashFile™ Memory ............. .
28F016SA 16 Mbit (1 Mbit x 16, 2 Mbitx 8) FlashFile™ Memory ................ .
28F008SA 8 Mbit (1 Mbit x 8) FlashFile™ Memory ............................ .
28F008SA-L 8 Mbit (1 Mbit x 8) FlashFile™ Memory .......................... .
APPLICATION NOTES
AP-359 28F008SA Hardware Interfacing .................................... .
AP-360 28F008SA Software Drivers ........................................ .
AP-362 Implementing Mobile PC Designs Using High Density FlashFile™
Components .......................................................... .
AP-364 28F008SA Automation and Algorithms ............................... .
AP-375 Upgrade Considerations from the 28F008SA to the 28F016SA .......... .
AP-377 28F016SA Software Drivers ........................................ .
AP-378 System Optimization Using the Enhanced Features of the 28F016SA .... .
ENGINEERING REPORT
ER-27 The Intel 28F008SA Flash Memory ................................... .
SUPPORT TOOLS .
Intel 28F008SA FlashFile™ Memory Evaluation Module D, FLASHEVAL4 Product
Brief .................................................................. .

I

I
i
i\~

J
3-1
3-6
3-49
3,77
3-105
3-116
3-139
3-194
3-208
3-221
3-259
3-282

3-307

CHAPTER 4
Boot Block Components
DATA SHEETS
28F400BX-T IB, 28F004BX-T IB 4 Mbit (256K x 16, 512K x 8) Boot Block Flash
Memory Family ..........................................•..............
28F400BX-TLlBL, 28F004BX-TL/BL 4 Mbit (256K x 16, 512K x 8) Low Power Boot
Block Flash Memory Family ............................................. .
28F200BX-T IB,28F002BX-T IB 2 Mbit (128K x 16, 256K x 8) Boot Block Flash
Memory Family ............................. : .......................... .
28F200BX-TLlBL, 28F002BX-TL/BL 2 Mbit (128K x 16, 256K x 8) Low Power Boot
Block Flash Memory Family ............................................. .
28FOOl BX-T/28FOOl BX-B 1M (128K x 8) CMOS Flash Memory ...............•.
APPLICATION NOTES
, AP-341 Designing an Updatable BIOS Using Flash Memory .................... .
AP-363 Extended Flash Bios Concepts for Portable Computers ................ .
ENGINEERING REPORTS
ER-26 The Intel 28FOOl BX-T and 28FOOl BX-B Flash Memories ................ .
ER-29 The Intel2/4-Mbit Boot Block Flash Memory Family .................... .
SUPPORT TOOLS
Boot Block Flash: The Next Generation White Paper .......................... .

J

I

4-1
4-49

I
I~
I

4-92

i

,1I

4-138
4-179
4-208
4-251
4-273
4-288
4-317
xi

I~

'~

l:kJ

I

Table of Contents' (Continued)
Intel2/4Mbit Boot Block Flash Memory Evaluation Module (D,FLASHEVAL5)
Product Brief ....................... .............
'
; ................. ,., .•. 4-321

CHAPTERS
Bulk-Erase Components
DATA SHEETS
28F020 2048K (256K x 8) CMOS Flash Memory ............ " . . . . . . . . . . . . . . . . . .
28F010 1024K (128K x 8) CMOS Flash Memory. . . . . . . . .. . . . . . . . . . . . . . . . . . . . . .
28F512512K (64Kx 8) CMOS Flash Memory.................................
28F256A 256K (32K x 8) CMOS Flash Memory ..........•....................
APPLICATION NOTES
AP-316 USing Flash Memory for In-System Reprograrnmable Nonvolatile Storage.
AP-325 Guide to First Generation FI,ash Memory Programming .. : . . . . . . . . . . . . . ..
ENGINEERING REPORT
ER"24 Intel Flash Memory 28F256A, 28F512, 28F010, 28F020 .•. " , .... " . .. . ..
SUPPORT TOOLS
Intel Flash Memory Evaluation Kit II (D, FLASHEVAL2)ProductBrief.............
Small Outline Package Physical Dimensions .. , . . . . . . . . . . .. . . . . . . . . . . . . . .. . . ..

5-1
5-33
5-64
5-92
5-117
5-162
5-184
5-207
5-209

CHAPTER 6
Memory Cards
DATA SHEETS
Series 2 + Flash Memory Cards, iMC040FLSP . .. . .. . . . . . . . . . . . . . . . . . . . . .. . . . .
Series 2 + Flash Memory Cards, iMC004FLSP/iMC020FLSP ......... " , . . . . . .. .
Series 2 Flash Memory Cards, iMC002/004/010/020FLSA . . . . . . . . . . . . . . . . .. . . .
iMC004FLKA 4-Megabyte Flash Memory Card................................
iMC002FLKA2-Mbyte Flash Memory Card ............ ; .................... ,.
iMC001 FLKA1-Megabyte Flash Memory Card , . , , ',,' , . " .. , . , , . . . . . . . . . . . . . . ..
APPLICATION NOTES
',
,
AP-343 Solutions for High Density Applications Using Intel Flash Memory........
AP-361 Implementing the Integrated Registers of the Series 2 Flash Memory Card .
AB-56 Preparing for the Next Generation Intel Flash Memory Card. . . . . . . . . . . . . •.
SUPPORT TOOLS
Intel FlashFile™Memory-The Key to Diskless Mobile PCs. . . . . . . . . . . . . . . . .. . ...
Intel ExCATM Hardware Developer's Kit Product Brief ..... " . . . . . . . . . . . .. . . . . ..

6-1
6-6
6-37
6-75
6-105
6-135
6-165
6-195
6-212
6-220
6-226

CHAPTER 7
Flash ATA Drive
Flash Drive iFD005P2SAliFD010P2SA ................. ;....................
iFD005P2SAl010P2SAFIash Drive Product Brief.............................

7-1
7-32

CHAPTER 8
Automotive Components
A28F400BX-T IB 4-Mbit(256K x 16,512K x 8) Boot Block Flash Memory Family
8-1
(Automotive) ...................................................•... ;...
A28F200BX-T IB 2-Mbit (128K x 16, 256Kx 8) Boot Block Flash Memory Family
'
; .......................... ; . . . 8-35
(Automotive) .. ,;. ........................
8-68
A28F010 t024K (128 x 8) CMOS Flash Memory (Automotive)...................
8-91
A28F512 512K (64K x 8) CMOS Flash Memory (Automotive) ........ ,...........
A28F256A 256K (32K x 8) CMOS Flash Memory (Automotive) ........•........• 8-115

CHAPTER 9
Process Engineering Reports
ER-20 ETOXTM II Flash Memory Techno!ogy ..•. .............................
ER-28 ETOXTM III Flash Memory Technology. . . . .. . . .. . . . . . . . . . . . . . . . . . . . . . . .

xii

9-1
9-6

Table of Contents (Continued)
ER-33 ETOXTM IV Flash Memory Technology: Insight to Intel's Fourth Generation
Process Innovation ................................... ; . . . . . . . • . . . • . . . . . . .
CHAPTER 10
Article Reprints
AR-710 Flash Solid-State Drive with 6MB/s Read/Write Channel and Data
Compression ..•..••..•....•......•..•..................................
AR-711 Flash: Big News in Storage? .........•.....•..........•...•...•......
AR-715 Flash Memory: Meeting the Needs of Mobile Computing •...............
AR-716 Flash Memory for Top Speeds in Mobile Computing Applications ........ .
AR-717 The Many Facets of Flash Memory ............•...........•.•........
AR-718 Standardizing on a Flash File System; •.....•..•...•..••......•...•...
AR-723 Interfacing BootBlock Flash Memories to the MCS$ 96 Family .....•.....

9-19

10-1
10-4
10-8
10-16
10-18
10-27
10-31

xiii

. AlphanumeriC Index ......;

,

\

28F001 BX"T't28FOQ1 BX'!'B .tM (128K x 8)eMOSF~a$h~Memory .: ... , •,...•..; .\ ': .• : .• ,;; ,;
28F008SA 8 Mbit (1 Mbit x 8) FlashFile™ Memory ................... ";~,,, • , .••... : ... .
. 28FOQ8SA-L 8 Mbit (1 Mbit x 8) FlashFile™ .Memory .•........••.............. , ..... .
28F010 1024K (128K x 8) CMOS Flash Memory •••..••.•••...•••....•...•... , .' ..••.•
28F016SA 16 Mbit (1 Mbit x 16, 2 Mbit x 8) FlashFileT~ Memory ...•.....••...••.• ~ •...
28F020 2048K (256K x 8) CMOS FlashMemory .. ~: ~ .•. ; ; •.•..••. '.' .•. ~: .•...•..... : .
28F200BX-T IB, 28FOO2BX-TIB 2 Mbit (128Kx 16, 256K x 8) Boot Block Fla.sh Memory
.i'family .• : . " .••..•.••.••.•...• ; ...••..•.• ; .; ...•.. : ,,:.',"; .•. '~'~'" .:.'; ,. ..,.:: •...•..•..
?8F200BX-TL/BL, 28F002BX-rLlSt 2 Mbit (1281<'x16, ~56K x8) LowPciwerBOot BI9Ck
.Flash Memory Fainily .... ,.' .. ;':.';: ..... : .. : ., •.. ~ .. ; ..• : .... ; .' •.•....•.• : ....... .
28F256A 256K (32K x 8) CMOS Flash Memory ;; .........•..•.......•.. : ........... .
28F400BX-TIBi28F004BX-TIB 4Mbit (256Kx '16, 512Kx 8) Boot Block Flash Memory
Family ......••..•..•.••.•...••. ; ......... " . '....•. '.•.•.. '......................... "
. 28F400BX-TLlBL, 28FOO4BX-TL/BL 4 Mbit (256K x 16, 512K x 8) Low Power Boot Block
Flash Memory Family. ; ..••.....•.•••.•.....•......•.•.......•.••.••......•..•..
28F512 512K (64K x 8) CMOS Flash Memory .....•.•..•..•...•.....•.••..•..........
A28F010 1024K (128 x 8) CMOS Flash Memory (Automotive) •...•..•......•..•.......
A28F200BX-TIB 2-Mbit (128K x 16, 256K x8) Boot Block Flash Memory Family
.
(Automotive) .................................................................. .
A28F256A 256K (32K x 8) CMOS Flash Memory (Automotive) •..•.........••.....•....
A28F400BX-T/B 4-Mbit (256~ x 16,512Kx 8) Boot Block Flash Memory Family'
(Automotive) ........•........•.....••..•.•.....•..........••.......•...•.......
A28F512. 512K (64K x 8) CMOS Flash Memory (Automotive) ......•.....•....... : .....
AB-29 Flash Memory Applications in Laser Printers ..•.•...•.•...••....•...• ; .....•..•
AB~56 Preparing for the Next Generation Intel Flash Memory Card •.......•.. '......... .
AP-3t6 Using Flash Memory for In-System Reprogrammable Nonvolatile Storage ..•.....
AP-3,25 Guide to First Generation Flash Memory Programming ...••..•..•..............
AP-341 Designing an Updatable BIOS Using Flash Memory •...•..•....••..•..........
AP-343 Solutions for High Density Applications Using Intel Flash Memory .............. .
AP-357 Power Supply Solutions for Flash Memory ................................... .
AP-359 28F008SA Hardware Interfacing .••....•..•......•.....••...........•.......
AP-360 28F008SA Software Drivers ......•.....•.....•..................•.........•
AP-361 Implementing the Integrated Registers of the Series 2. Flash Memory Card .•.....
AP-362 Implementing Mobile PC Designs Using High Density FlashFile™ Components •..
AP-363 Extended Flash Bios Concepts for Portable Computers .•••.. : ..... : ...•...•...
AP-364 28F008SA Automation and Algorithms ..•....••..•..•.....•.................
AP-374 Flash Memory Write Protection Techniques .....................•......•.....
AP-375 Upgrade Considerations from the 28FOO8SA to the 28F016SA .......•..•.......
AP-377 28F016SA Software Drivers ................................................ .
AP-378 System Optimization Using the Enhanced Features of the 28F016SA ....•.......
AR-71 0 Flash Solid-State Drive with 6MB/s Read/Write Channel and Data Compression .•
AR-711 Flash: Big News in Storage? .•.••..•••...•.....•.....•.•••.••...•..•••.. ; .•
AR-715 Flash Memory: Meeting the Needs of Mobile Computing .....•.................
AR-716 Flash Memory for Top Speeds in Mobile Computing Applications ...........•...
AR-717 The Many Facets .of Flash Memory ..............•....•.•.•.....•...•..•..•.
AR~718 Standardizing on a Flash File System ............................ : ......... .
AR~723 Interfacing BootBlack Flash Memories to the MCS~ 96 Family ................. .
Boot Block Flash:The Next Generation White Paper ..•••.....••....••..••.......•.••
DD28F032SA 32 Mbit (2 Mbit x 16, 4 Mbit x 8) FlashFile™ Memory .................... .
ER-20 ETOXTM II Flash MemoryTechnology •••...•...••••..••.........•..••........
ER~24lntel Flash Memory 28F256A, 28F512, 28F010, 28F020 •.......................
ER-26 The Intel 28FOO1 BX-T and 28FOO1 BX-B Flash Memories ....•..•..•. ; ..•.......
ER-27 The Intel 28F008SA Flash Memory ..•..•. ; ••.•..••.•....••.•...........•..•..
ER-28 ETOXTM III Flash Memory Technology .•.................•..•........ ,' ......•.
xiv

4-179
3-49 .

·3,77
5~33

... 3-6
5-1
4-92
4-138
5-92
. 4-1
4-49
5-64
8-68

8-35
8-115
8-1
8-91
2-49
6-212
5-117
5-162
4-208
6-165
2-7
3-105
3-116 .
6-195
3-139
4-251
3-194
2-42
3-208
3-221
3~259

10-1
,10-4
10-8
10-16
10-18
10-27
10-31
4-317
3-1
9-1
5-184
4-273
3-282
9-6

Alphanumeric Index (Continued)
ER-29 The Intel 2/4-Mbit Boot Block Flash Memory Family. . . . . . . . . . . . . . . . . . . . . . . . . . .. 4-288
ER-33 ETOXTM IV Flash Memory Technology: Insight to Intel's Fourth Generation Process
Innovation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . .. . . . . . . . . . . .. . . .. . . . . . . . 9-19
Flash Drive iFD005P2SAliFD010P2SA .............................................
7-1
Flash Overview. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . • . . . . . . . . .. . . . . . . . . . . . . .
2-1
iFD005P2SAl010P2SA Flash Drive Product Brief.................................... 7-32
iMC001 FLKA 1-Megabyte Flash Memory Card. . . . . . .. . . . . . .. . . . . . . . . . . . . . . . .. . . . . . .. 6-135
iMC002FLKA 2-Mbyte Flash Memory Card . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 6-105
iMC004FLKA 4-Megabyte'Flash Memory Card ...... , . . . . . . . . . . . .. . . . . . . .. . ... . . . . . . . 6-75
Intel 28 F008SA FlashFile™ Memory Evaluation Module D, FLASHEVAL4 Product Brief... 3-307
Intel 2/4Mbit Boot Block Flash Memory Evaluation Module (D,FLASHEVAL5) Product
Brief ......................................................................... 4-321
Intel ExCATM Hardware Developer's Kit Product Brief................................ .6-226
Intel Flash Memory Evaluation Kit II (D, FLASHEVAL2) Product Brief................... 5-207
Intel FlashFile™ Memory-The Key to Diskless Mobile PCs ............................ 6-220
Intel Memory Technologies ............................................ ............
1-1
Series 2 Flash Memory Cards, iMC002/004/0101020FLSA ......................... :.
6-37
Series 2 + Flash Memory Cards, iMC004FLSPliMC020FLSP ..... '.' . . . . . . . . . . . . . . . . . . .
6-6
Series 2 + Flash Memory Cards, iMC040FLSP .................................... ; . .
6-1
Small Outline Package Physical Dimensions.. . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . .. 5-209

xv

II
i

Memory Cards

6

I

f
:.~

1'1:
;~

SERIES 2+ FLASH MEMORY CARDS
40 MEGABYTES
IMC040FLSP

•
•
•
•
•
•

Single Power Supply Operation
Automatically Reconfigures for 3.3V
and 5V Systems
150 ns Maximum Access Time with
13 MBIS Read Throughput
High Performance Random Writes
- 0.85 MBIS Sustained Throughput
- 1 KB Burst Write @ 10 MBIS
PCMCIA 2.01JEIDA 4.1 Compatible
PCMCIA Type 1 Form Factor

Architecture
• -Revolutionary
Pipelined Command Execution

•
•
•
•

- Write During Erase
- Series 2 Command Superset
50 ,..,A Typical Deep PowerDown
State-of~the-Art 0.6 ,..,m ETOX IV Flash
Technology
1 Million Erase Cycles per Block
640 Independent Lockable Blocks

Intel's Series 2+ Flash Memory Card sets the new record for high-performance disk emulation and XIP
applications in mobile PC's and dedicated equipment. Manufactured with Intel's DD28F032SA 32-Mbit
FlashFile™ Memory, this card takes advantage of a revolutionary architecture that provides innovative capabilities, low power operation and very high read/write performance.
The Series 2 + Card provides today's highest density, highest performance non-volatile read/write solution for
solid-state storage applications. These applications are further enhanced with this product's symmetrically
blocked architecture,extended MTBF, low power 3.3V operation, built-in Vpp generator, and multiple blocklocking methods. The Series 2 + Card's dual read and write voltages allow interchange between 3.3V and 5V
systems.

'~

I
'.1

I~

it
"~I'

1.:/:

i

290512-2

ETOX and FlashFile™ are trademarks of Intel Corporation.

November 1993
Order Number: 290512-001

6-1

I

SERIES 2 + FLASH MEMORY CARD

PRODUCT OVERVIEW
The 40 Megabyte, Series 2 + Flash Memory Cards
contain a flash memory array that consists of 10
DD28F032SA TSOP . memory devices. Each
DD28FO~2SA, encapsulating two 28F016SA devices, contains sixty-four diStinct, individuaIlY-Elrasable,
64 Kbyte blocks; therefore, the cards contain 640
device blocks:
The Series 2 + Card offers additional product features to those of the Series 2 Card product family
(refer to ,the iMC002FLSA, iMC004FLSA, iMC010FLSA, and iMC020FLSA data sheets). Some of the
more notable card-level enhancements inClude: single power supply operation at either 3.3V or 5V and
page buffers to increase write performance.
The card incorporates Vee and Vpp detect circuitry,
referred to as SmartPower, to sense the voltage level present at the card interface. The card's control
logic automatically configures its circuitry and the
DD28F032SA memory array accordingly. The Card
Information Structure reports that the card is 3.3V or
5V compatibl,e. The card also detects the presence
of 12V on the socket Vpp pin and passes this supply
to each DD28F032SA. When 12V is unavailable, the
card generates the required Vpp via its integrated
Vpp-generation circuitry, whether Vee is 3.3V or 5V.
At the device level, internal algorithm automation allows write and block erase operations to be executed using a two-write command seqlJence in the
same way as the 28F008SA FlashFiI~ memory in the
Series 2 Card. A superset of commands and additional performance enhancements have been added
to the basic 28F008SA command-set:
• Page buffer writes to flash result in 4 times
faster writes than Series 2 Cards.

.'In~,·.~
+:-.
bl6ck retirement. These techniques, analogous to
those used in hard disk drives, have already been
employed in many flash file systems. '
The Series 2 + Card has two means for p.utting its
flash devices into a Deep-Sleep mode for reduced
power consumption: 1)Write to the card's PCMCIAcompatible Configuration and Status Register, to activate a Reset-PowerDown to all devices simultaneously; 2) Issue a command to individual devices,
referred to as the software-Controlled Deep-Sleep
mode. Using this approach, the device will retain
status register contents and finish any operation in
progress.
The carciJ achieves its PCMCIA-compatible wordwide access by pairing the DD28F032SA devices resulting in an accessible memory block size of
64 KWords. The card's decoding logic (contained
within its ASICs) allows the system to write or read
one word at a time, or one byte at a time by refer- •
encing the high or low byte. Erasure can be performed on the entire. block pair (high and low device
block simultaneously) or on the high or low byte portion separately. Although the DD28F032SA supports
byte or word-wide data access, the byte interface
was utilized within the card to allow the delivery of
higher performance benefits (such as the doubling
'
of the effective page buffer size).
The Series 2 + Card's ASICs also contain the Card
Information Structure and the Component Management Registers that provide five control functionsReady-Busy mode selection, software-controlled
write protection, card status, voltage-control and
status, and soft reset.

• Command queuing permits the devices to receive new commands during the execution of the
current command.

The memory, card interface supports the Personal
Computer Memory Card Industry Association
(PCMCIA 2.01) and Japanese Electronics Industry
Development Association (JEIDA 4.1) 68-pin card
format. The Series 2 + Flash Card meets all
PCMCIAI JEIDA Type 1 mechanical specifications,

• Automatic data writes during erase allows the
DD28F032SA to perform write operations to one
block of memory while performing ,an erase on
another block.

SERIES 2 + CARD ARCHITECTURE
OVERVIEW

• Software locking of memory blocks provides a
means to selectively protect code or !iata within
the card.
• Erase all unlocked blocks provides a quick and
simple method' to sequentially erase, the blocks
within a DD28F032SA.

Each block of the DD28F032SA can be written and
erased a minimum ,of 100,000 cycles. The Series
2 + Card can achieve 1 million Block Erase Cycles
by providing wear-leveling a:igorithmsand graceful

6-2

As depicted in Figure 1, the Series 2 + Card consists of three major functional elements-the
DD28F032SA Flash Memory array, card control logic and SmartPower circuitry. The card control logic
handles the interface between the flash memory array and the host system's PCMCIA signals. The
SmartPower circuitry provides the card's integrated
Vpp generator and a means for detecting the socket's voltage levels.

SERIES 2 + FLASH MEMORY CARD

0< 15:0>

ZOO<15:8>

A<25:0>

ZOO<7:0>

REG#

ZA<20:0>

CE1#

ZWE#

CE2#
WE#
OE#
RST#
WAIT#

ZOE#

CARD
CONTROL
LOGIC

BV01#

ZCEO#<7:0>
ZCEI #<2:0>
ZRY/ZBY#
ZRP#
zWP

BV02#
WP

CEO# DD28F032SA 0<7:0>

D<7:0> DD28F032SA CEO.
A<20:0> Device 9 CEI.
Ryley·
WE·
RP.
OE·
WP

C01#
C02#
ROY /BSY#
Vss

CEI"

RYley.

RP"
WP

Vee Vpp Vss 3/5#

Vee

I I

I

3/5# Vss Vpp Vee

T

I

RYley.
RP#
WP

I I I I
Ryley.

WE·
OE·

RP#
WP

Vee Vpp Vss 3/5#

Vee
VpPI

Vpp

v pP2

GENERATION

Vce
Vpp

1I

T

1 1'1

WE·
OE·

3/5"

Vss Vpp Vee

I

I I I

I I I I
0<7:0> DD28F032SA CEO#
A<20:0> Clylce 1 CEI#

T T

CEO# DD28FD32SA 0<7:0>
CE1# Device 8 A<20:0>

D<7:0> DD28FO.2SA CEO#
A<20:0> Device 7 CEI#
Ryley.
WE#
RP#
OE·
WP
Vee Vpp Vss 3/5#

lij

Device 8 A<20:0>
WE·
OE.

... :..~!~2 ..~,,>
CE1#

Device 0 A<20:0>

Ryley.
RP#
WP

3/5.

WE#
OE#

till
f---

Vss Vpp Vee

I I
T

vss

vss

VS1
VS 2

SmartPower

3/5#

290512-1

Figure 1. Series 2+ Card Block Diagram showing Major Functional Elements including the Card's
Control Logic, Smart Power Circuitry and the DD28F032SA Flash Memory Components

6·3

intel®

SERIES 2 + FLASH MEMORY CARD

The Series 2+ Card signals pomplywith the PCMCIA specification, as shown in Table 1. Table 2 describes the
functionality of these signals.
Table 1. Series 2+ Flash Memory Ca~d Signals
Pin

Signal

I/O

1 GND

Function

Active

Ground

Pin .

Si~nal

35

GND'

I/O

Function

2

D03

I/O

Data Bit 3

36

CD1#

0

3

D04

I/O

Data Bit 4

37

0011

1/0

Data Bit 11

4

005

I/O

Data Bit 5

38

0012

1/0

Data Bit 12

5

DOs

I/O

Data Bit 6

39

0013

1/0

Data Bit 13

6

007

I/O

40

0014

1/0

Data Bit 14

7

CE1#

I

41

0015

1/0

Data Bit 15

8

A10

I

Address Bit 10

9

OE#

I

Output Enable

I
I

Data Bit 7
Card Enable 1

LOW

Card Detect 1

42

CE2#

I

Card Enable 2

43

VS1

0

Voltage Sense 1

Address Bit 11

44

RFU

Reserved

Address Bit 9

45

RFU

Reserved

LOW

10

A11

11

As

12

As

I

Address Bit 8

46

A17

I

Address Bit 17

13

A13

I

Address Bit 13

I

Address Bit 18

14

A14

I

Address Bit 14

47 . A1S
48 A1S

I

Address Bit 19

15

WE#

I

Write Enable

LOW

49

A20

I

Address Bit 20

16

RDY/BSY#

Ready-Busy

LOW

50

A21

I

Address Bit 21

17

Vee

Supply Voltage

51

Vee

18

VPP1

Supply Voltage

52

VpP2

19

A1S

I

Address Bit 16

53

A22

I

Address Bit 22

20

A15

I

Address Bit 15

54

A23

I

Address Bit 23

21

I

Address Bit 24

(

A12

I

Address Bit 12

55

A24

22

A7

I

Address Bit 1

56

A25

23

Active

~round

LOW

LOW

Supply Voltage
$upply Voltage

Address Bit 25

As

I

Address Bit 6

57

VS2

0

Voltage Sense 2

24

A5

I

Address Bit 5

58

RST

I

Reset

HIGH

25

0

Extend Bus Cycle

LOW

A4

I

Address Bit 4

59

WAIT#

26

A3

I

Address Bit 3

60

RFU

27

Reserved

A2

I

Address Bit 2

61

REG#

I

Register Select

28

A1

I

Address Bit 1

62

BVD2

0

Batt. Volt Det 2

29

Ao

I

Address Bit 0

63

BVD1

0

000

1/0

Data BitO

64

DOs

1/0

Data Bit 8

001

1/0

Data Bit 1

65

DOs

1/0

Data Bit 9

32

002

1/0

Data Bit 2

66

0010

1/0

Data Bit 10

33

WP

0

67

CD2#

0

34

GND

68

GND

30
31

6·4

Write Protect
Ground

HIGH

N.C.

LOW

Batt. Volt Det 1

Card Detect 2
Ground

LOW

infel~

SERIES 2 + FLASH MEMORY CARD
Table 2. Series 2 + Flash Memory Card Signal Descriptions

Symbol

Type
I

Ao-A25

Description
ADDRESS INPUTS: Ao through A25 are address bus lines which enable direct
addressing of 64 megabytes of memory on a card. Ao is not used in word
access. A25 is the most significant bit.

000-0015

I/O

CE1#,CE2#

I

CARD ENABLE 1,2: CE1 # enables even bytes, CE2# enables odd bytes.
Multiplexing Ao, C01 # and C02# allows 8-bit hosts to access all data on 000
through 1?07.

QE#

I

OUTPUT ENABLE: Active low signal gating read data from the memory card.

DATA INPUT/OUTPUT: 000 through 0015 constitute the bidirectional data
bus. 0015 is the most significant bit.

WE#

I

WRITE ENABLE: Active low signal gating write data to the memory card.

ROY/BSY#

0

READY/BUSY OUTPUT: Indicates status of internally timed erase or write
activities. A high output indicates the memory card is ready to accept accesses.
A low output indicates that a device(s) in the memory card is(are) busy with
internally timed activities. -

C01#,C02#

0

CARD DETECT 1, 2: These signals provide for correct card insertion detection.
They are positioned at opposite ends of the card to detect proper alignment. The
signals are connected to ground internally on the memory card and will be
forced low whenever a card is placed in the socket. The host socket interface
circuitry shall supply 10K or larger -pull-up resistors on these Signal pins.

WP

0

WRITE PROTECT: Write Protect reflects the status of the Write"Protect switch
on the memory card. WPset high = write protected, providing internal hardware
write lockout to the flash array.
WRITE/ERASE POWER SUPPLY: (12V nominal) for erasing memory array
blocks or writing data in the array. They must be 12V to perform an erase/write
operation, when not using the card's integrated Vpp generator; These signals
"lay be disconnected but are required for ExCA compliance.

VPP1, VPP2

Vee
GNO

,

REG#

CARD POWER SUPPLY: (3.3V/5V nominal) for all internal Circuitry.
I

GROUND for all internal circuitry.

I

REGISTER SEI.,ECT: provides access to Series 2 + Flash Memory Card
registers and Card Information Structure in the Attribute Memory Plane.

RST

I

RESET from system, active high. Places card in Power-Ori Oefault State.

WAIT#

0

WAIT: (Extend Bus Cycle) Used by Intel's I/O cards and is driven high.

BV01, BV02

0

BATTERY VOLTAGE DETECT: Upon completion of the power On reset cycle,
these signals are driven high to maintain SRAM-card compatibility.

VS1, VS2

0

VOLTAGE SENSE: Signals notify the host socket of the card's Vee
requirements. VS1 is grounded and VS2 open indicates a 3.3V /5V card as
depicted in the CIS;
.-

RFU

RESERVED FOR FUTURE USE.

N.C.

NO INTERNAL CONNECTION. Pin may be driven or left floating.

6-5

. OS@W£OO©f§

OOOIF@OO~£i1'O@OO

SERIES 2+ FLASH MEMORY CARDS
4 and 20 Megabytes
iMC004FLSp, iMC020FLSP

•
•
•
•
•
•

Single Power Supply Operation
Automatically Reconfigures for 3.3V
and 5V Systems
150 ns Maximum Access Time with
13 MBtS Read Throughput
High Performance Random Writes
- 0.85 MBtS Sustained Throughput
-1 KB Burst Write @ 10 MBtS
PCMCIA2.0tJEIDA 4.1 Compatible
PCMCIA Type 1 Form Factor

•

Revolutionary Architecture
- Pipelined COmmand Execution
- Write During Erase
- Series 2 Command Superset

•
•
•
•

12 ,..,A Typical' Deep Powerdown
State-of-the Art 0.6 ,..,m ETOX IV FlllSh
Technology
1 Million Erase Cycles per Block
320 Independent Lockable Blocks

Intel's Series 2 + Flash Memory Card' sets the new record for high-performance disk emulation and XIP
applications in mobile PC's and dedicated equipment. Manufactured with Intel's 28F016SA 16-Mbit
FlashFile™ Memory, this card takes advantage of a revolutionary architecture that provides innovative capabilities, low power operation andvery,high read/write performance.
The Series 2+ Card provides today's highest density, highest p(:lrformance non-volatile read/write solution for
solid-state storage applications. These applications are further enhanced with this product's symmetrically
blocked architecture, extended MTBF, low power 3.3V operation, built-in Vpp generator, and multiple blocklocking methods. The Series 2 + Card's dual read and write voltages allow interchange between 3.3V and 5V
systems.

290491-8
ETOX and FlashFile™ are trademarks of Intel Corporation.

6-6

December 1993
Order Number: 290491-002

Series 2 + Flash Memory Cards
CONTENTS

PAGE

CONTENTS

PAGE

SCOPE OF DOCUMENT ................. 6-8

OPERATION SPECiFiCATIONS ........ 6-22

PRODUCT OVERVIEW .................. 6-8

Absolute Maximum Ratings .............. 6-22
Operating Conditions .................... 6-22

ARCHITECTURE OVERVIEW . ........... 6-9

Block Diagram ............................ 6-9
Card Pinout .and Pin Descriptions ........ 6-10
Address Decode Logic .................. 6-12
Data Control Logic ...................... 6-12
Component Management Registers ..... 6-13
Smart Power Circuitry ................... 6-14
DEVICE COMMAND SET ............... 6-14

DC CHARACTERISTICS . ............... 6-22
General ................................. 6-22
Vee = 5V, Vpp = 12V .............. ; ... 6-23
Vee = 5V, Vpp = OV ............... ~ ... 6-24
Vee';" 3.3V, Vpp = 12V ................ 6-25
Vee. = 3.3V, Vpp = OV ..... ~ ........... 6-26
AC CHARACTERISTICS . ............... 6-27

Series 2 + Command Set ................ 6-14
Command Bus Cycle Definitions
(28F008SA-Compatible Mode) ........ 6-15
Command Bus Cycle Definitions
(Performance Enhancement Mode) .... 6-16

Common and Attribute Memory: ReadOnly Operations ....................... 6-27
Common and Attribute Memory: Write
Operations ............................ 6-29
Common and Attribute Memory: CE#Controlled Write Operations ........... 6-31

DEVICE STATUS REGISTERS ......... 6-17

ORDERING INFORMATION ............ 6-31

Compatible Status Register (CSR) ....... 6-17
Global Status Register (GSR) ............ 6-17
Block Status Register (BSR) ............. 6-18

Power-Up/Power-Do,,:,n ................. 6-33

PCMCIA CARD INFORMATION
STRUCTURE ......................... 6-18

CAPACITANCE ......................... 6-34
OPERATION TIMINGS .................. 6-34
PACKAGING ........................... 6-35

SYSTEM DESIGN
CONSIDERATIONS .................. 6-21

Power Supply Decoupling ............... 6-21
Power Up/Down Protection .............. 6-21
Hot Insertion/Removal .................. 6-21

I

6-7

SERIES 2 + FLASH MEMORY CARDS

,SCOPE OF DOCUMENT
"the documentation for Intel's Series 2+ Flash
Memory Card includes this data sheet and a detailed
design guide. The data sheet provideS all AC and
DC characteristics (lncliJdin!;ltiming waveforms)ahd
a convenient reference for the devicecQmmand set
lind the card's integrated registers (including the
28F016SA's status registers). The design guide (order number 297373-001) provides a complete l;Iescription of the methods for using the card. It also
.col')tains the full list of software algorithms and flowcharts and a section for upgrading from Intel's 'Series 2 Flash Memory Cards.

PRODUCT OVERVIEW
The 4 and 20 Megabyte, Series 2 + Flash Memory
. Cards cohtain a flash memory array that consists of
2 to 10 2SF016SA TSOP memory devices, respectively. Each 2SF016SA contains 32 distinct, individually-erasable, 64 Kbyte blocks; therefore, the cards
contain: 64 and 320 device blocks, respectively.
The Series 2 + Card offers additional product features to those of the Series 2. Card producUamily
(refer . to
the. iMC002FLSA, .iMCOO4FLSA,
iMC010FLSA, and iMC020FLSA data. sheets). Some
of the more notable card-level enhancements inplude: interchangeable operation at 3.3V or 5V and
internal Vpp generation.
The card incorporates Vee detect circuitry, referred
to as SmartPower, to sense the voltage level present at the card interface. The card's control logic
automatically configures its circuitry and the
2SF016SA memory array accordingly. The Card Information Structure reports that the card is 3.3V or
5V compatible. The card also detects the presence
of 12V 9n the socket Vpp pin and passes this supply
to each 2SF016SA. When 12V are unavailable, the
card generates the required Vpp via its internal Vppgeneration circuitry, whether Vee is 3.3V or 5V.
At the device level, internal algorithm automation allows write and block erase operations to be executed using a, two-write command· sequence in the
same way as the 2sFoOSSA FlashFile memory in the
Series 2 Card. A superset of commands and addi-·
tional. performance enhancements have been added
to the basic 2SFOOSSA command-set:
• Page buffer writes to flash results in writes up to
4 times faster than Series 2 Cards. .

6-8

·

• 'Command queuing permits the devices to receive new commands during the execution of the
currel1t command.
• ~utomatlc data writes during erase allows the
2SF016SA to perform write operations to one
block of memory while performing an erase Clri another block.

• Software locking of memory blocks provides a
means to selectively protect code or data within
the card.
· • Erase all unlocked blocks provides a quick and
simple method to sequentially erase the blocks
within a 28F016SA.
The Series 2 + Card has two means for putting its .
flash devices into· a Deep-Sleep mode for reduced '
power consumption: 1) Issue a command to individual devices, referred to as the software-controlled
Deep-Sleep mode. Using this approach the .device
will retain status register contents and finish any operation in progress: 2) Write to the card's PCMCIAcompatible Configuration and Status Register to activate a Reset-PowerDown to. all devices simultaneously.
The card achieves its PCMQIA-compatlble wordwide access by pairing the 2SF016SA devices resulting in an accessible memory block size of
64 KWords. The card's decoding logic (contained
within its ASICs) allOws the system to write or read
one word at a time, or one byte at a time by referencing the high or low byte. Erasure call be performed on the entire block pair (high and low device
block simultaneously) or on the high 'or low byte portion separately. Although the 2SF016SA supports
byte or word-wide data access, the byte interface
was utilized within the card to allow the deU)fery 91
higher performance benefits (such as the doubling
of t!'leeffective page buffer size):
The Series 2 + Card's ASICs also contain .the Component ManagementRegisters that provide fiv8 con~
trol . functions-Ready-Busy mode selection, software-controlled write protection, card status,
voltage-control and status, and. soft reset. ~.
· The memory card . interface supports the Personal
Computer Memory Card Industry Association.
(PCMCIA 2.01) and Japanese ElectrClnics Industry
Development Association (,JEIDA 4.1) 6S-pln card
format. The Series 2+ Flash Card meets all
· PCMCIAlJEIDA Type 1 mechanical specifications;

SERIES 2 + FLASH MEMORY CARDS

SERIES 2 + ARCHITECTURE OVERVIEW
As depicted in Figure 1, the Series 2 + Card consists of three major functional elements-the
28F016SA Flash Memory array, card control logic
and SmartPower circuitry. The card control logic

0< 15:0>

ZOQ<15:8>

A<25:0>

ZOQ<7:0>

REG#

ZA<20:0>

CE1#

ZWE#

CE2#
W[#

ZCEO#<7:0>

0[#
RST#
WA1T#

handles the interface between the flash memory array and the host system's PCMCIA signals. The
Smart Power circuitry provides the card's integrated
Vpp generator and a means for detecting the socket's voltage levels.

ZOE#

CARD
CONTROL
lOGIC

BV01#

ZCE1#<2:0>
ZRY /ZBY#
ZRP#
zWP

BV02#
WP
C01#

0<7:0> 28F016SA CEO#
A<20:0> Device 9 eEl#

C02#

WE#
OE#

ROY /BSY#

Vss

Vee

RY/BY#
RP#
WP
Vee vpp Vss 3/5#

J

J J J

Vee

Vee

Vpp

VpP2

GENERATION

Vpp

i

i

1

28F018SA

CEO#

WE#
OE#

WE#
RY/BY#
OE#
RP#
WP
3/5# Vss Vpp Vee

RY/BY#
RP#
WP

I I I I

VpP1

i

0<7:0> 28F018SA CEO#
A<20:0> Device 7 eE1#

Vee Vpp Vss 3/5#

Lij

CEO# 28F018SA
0<7:0>
eEl# Device 8 A<20:0>
WE#
RY/BY#
RP#
OE#
WP
3/5# Vss Vpp Vee

I I I I
0<7:0>

28F018SA

CEO#
Device 1 eEl#
RY/BY#
RP#
WP
Vee Vpp Vss 3/5#

A<20:0>
WE#
OE#

eEl#

0<7:0>
Device 6 A<20:0>

I

I! I I

1 11 "'. ~.~!P I O<~,.,

eE1#" Device 0 A<20:0>
RY/BY#
WE#
RP#
OE#
WP
3/5# Vss Vpp Vee

1 I
1

till
t--

I I
j

Vss

Vss

VS1
VS 2

SmartPower

3/5#

290491-1

Figure 1. Series 2+ Card Block Diagram Showing
Major Functional Elements Including the Card's Control Logic,
Smart Power Circuitry and the 28F016SA Flash Memory Components

~'I:
t

I.,

6-9
I

SERIES 2 + FLASH'MEMORY CARDS
The Series 2 + Card signals comply with the PCMCIA specification, as shown in Table .1. Table 2 describes the
functionality of these signals.
Table 1. Series 2+ Flash MelTlory Card Signals
::

Pin

Signal

1

GND

1/0

Pin

Signal

Ground

Function

Active

35

GND

1/0

Function

2

D03

I/O

Data Bit 3

36

CD1#

0

3

D04

I/O

Data Bit4

37

DOn

I/O

Data Bit 11

4

D05

I/O

Data Bit 5

38

D012

I/O

Data Bit 12

5

39

D013

I/O

Data Bit 13

40

D014

I/O

Data Bit 14

41

DOt5

I/O

D06

I/O

Data Bit 6

6

007

I/O

Data Bit7

7

CE1#

I

Card Enable 1

8: A10

I

Address Bit 10

9

LOW

Data Bit 15

I

Card Enable 2

0

Voltage Sense 1

OE#

I

Output Enable

43

VS1

A11

I

Address Bit 11

44

RFU

11

RFU

LOW

Card Detect 1

42 . CE2#

10

A9

I

Address Bit 9

45

12

As

I

Address Bit 8

46

13

A13

I

Address Bit 13

47

14

A14

I

Addressait14

48

I,

'.

LOW

Reserved

A17

I

Address Bit 17

A1S

I

Address Bit 18

A19

I

Address Bit 19

15

WE#

Write Enable

LOW

49

A20

I

Address Bit 20

RDY/BSY#

Ready-Busy

LOW

50

A21

I

Address Bit 21

17

Vee

Supply Voltage

51

Vee

Supply Voltage

VPP1

Supply Voltage

52

VPf>2

Supply Voltage

19 A16

I

Address Bit 16

53

A22

I

Address Bit 22

20

I

Address Bit 15

54

A23

I

AddresS Bit 23

A15

LOW

Reserved

16

18

Active

Ground

21

A12

I

Address Bit 12

55

A24

I

Address Bit 24

22

A7

I

Address Bit 7

56

A25

I

Address Bit 25

.

23

A6

I

Address Bit 6

57

VS2

0

Voltage Sense 2

N.C.

24

A5

I

Address Bit 5

58

RST

I

Reset

HIGH

25

A4

I

Address Bit 4

59

WAIT#

0

Extend Bus Cycle

LOW

26

A3

I

Address. Bit 3

60

RFU

27

A2

I

Address Bit 2

61

REG #

I

Register Select

28

A1

I

Address Bit 1

62

BVD2

0

Batt. Volt Det 2

I

Batt. Volt Det 1

Reserved

29

Ao

Address Bit 0

63

BVD1

0

30

DOo

I/O

Data BitO

64

DOs

I/O

Data Bit 8
Data Bit 9

31

D01

I/O

Data Bit 1

65

D09

I/O

32

D02

I/O

Data Bit 2

.,

66

DO 10

I/O

33

WP

0

Write Protect

HIGH

67

CD2#

34

GND

68

GND

6·10

Ground

:

0

LOW

Oata.Bit 10
Card Detect 2
Ground

LOW

intel~

SERIES 2 + FLASH MEMORY -CARDS

Table 2. Series 2 + Flash Memory Card Signal Descriptions
Symbol
Ao-A25

Type
I

Description
ADDRESS INPUTS: Ao through A25 are address bus lines which enable direct
addressing of 64 megabytes of memory on a card. Ao is not used in word
access. A25 is the most significant bit.

000-0015

I/O

DATA INPUT/OUTPUT: 000 through 0015 constitute the bidirectional data
bus. 0015 is the most significant bit.

CE1#,CE2#

I

CARD ENABLE 1,2: CE1 # enables even bytes, CE2# enables odd bytes.
Multiplexing Ao, C01 # and C02# allows a.bit hosts to access all data on OClo
through 007.

OE#

I

OUTPUT ENABLE: Active low signal gating read data from the memory card.

WE#

I

WRITE ENABLE: Active low signal gating write data to the memory card.

ROY/BSY#

0

READY/BUSY OUTPUT: Indicates status of internally timed erase or write·
activities. A high output indicates the memory card is ready to accept accesses.
A low output indicates that a device(s) in the memory card is(are) busy with
internally timed activities.

C01#, C02#

0

CARD DETECT 1,2: These signals provide for correct card insertion detection.
They are positioned at opposite ends of the card to detectproper alignment. The
signals are connected to gro!Jnd internally on the memory card and will be
forced low whenever a card is placed in the socket. The host socket interface
circuitry shall supply 10K or larger pull-up resistors on these signal pins.

WP

0

WRITE PROTECT: Write Protect reflects the status·of the Write-Protect switch
on the memory card. WP set high = write protected, providing internal hardware
write lockout to the flash array.
WRITE/ERASE POWER SUPPLY: (12V nominal) for erasing memory array
blocks or writing data in the array. They must be 12V to perform an erase/write
operation, when not using the card's integrated Vpp generator. These signals
may be disconnected but are required for ExCA compliance.

VPPh VPP2

CARD POWER SUPPLY: (3.3V /f$V nominal) for all internal circuitry.

Vee
GNO

I

GROUND for all internal circuitry.

REG#

I

REGISTER SELECT: Provides access to Series 2+ Flash· Memory Card
registers and Card Information Structure in the Attnbute Memory Plane.

RST

I

RESET: Active high signal from system for placing card in
State.

WAIT#

0

WAIT: (Extend Bus Cycle) Used by Intel's I/O cards and is driven high.

BV01, BV02

0

BATTERY VOLTAGE DETECT: Upon completion of the power on reset cycle,
these Signals are driven high to maintain SRAM·card compatibility.

VS1, VS2

0

VOLTAGE SENSE: Notify the host socket of the card's Vee 'requirements. VS1
is grounded and VS2 open indicates a 3.3V /5V card as depicted in the CIS.

Power~On

RFU

RESERVED FOR FUTURE USE.

N.C.

NO INTERNAL CONNECTION. Pin may be driven or left floating.

Default

6-1f

.'.ft'tel"
"'.'". ' '
1
.1181 '

SERIES 2 + :FLASHMEMORV CARDS

\

.'

WE#, OE#,CEf#, andCE2# as logic inputs. The
Data Control logic selects any of the PCMCIAWord.
Wide, Byte-Wide, lind Odd~Byte modes' for either
ijeads or Writes to Common or Attribute Memory. All
accesses to, the Attribute Memory Plane must be
, made through 07-0; no valid data can be written on
the high byte. Reads of 015-8 will yield FFH

CARD CQNTROL LOGlC
The.C~rdControl Logic, contained within tWo ASICs,
handlell.the address decoding. find data control for
,the Series 2 + Card. The card's Component Management Registers are also contained within the
Card Control Logic.

ODD,BYTE

EVEN BYTE

MEMORY
ADDRESS

ADDRESS DECODE ,LOGIC
At the highest level,' the Address Decode section
determines when to select. the Common Memory
(REG# = VI H) or Attribute Memory (REG# = VIU
Planes. Within the Attribute Memory Plane, the address decode logic determines when to select the
Card Information Structure (CIS) or Component
Management Registers (CMR). The CIS is contained
at even-byte locations beginning at addressOOOOH.
The CMRs are, mapped at even-byte locations be- .
ginning at address 4000H as shown in Figure 2.

1FFFFFH
004200H
004000H

000100H

OOOOOOH

Figure 2. Attribute Memory Plane

DATA CONTROL LOGIC
As shown in Table 3, data paths and directions are
selected by the Data Control logic using REG#, Ao. '

Table 3. Data Access Mode Truth Tables
MODE

REG#

CE2#

CE1#

Ao

OEiI!

WE#

VPP2

VPP1

015-8

07-0'

•,COMMON MEMORY PLANE
STANDBY
BYTE-READ

WORD-READ
ODDBYTE READ

X

VIH

VIH

X

VIH

VIH

VIL

VIL

VIH

VIH

VIL

VIH

X
X

" VIH

VIL

VIL

VIH

VIL

¥IH. '

X
"

X

VPPL

VPPL'

HIGH-Z

HIGH-Z

HIGH-Z

EVEN-BYTE

VIL

VIH

VPPL

VPPL

VIL

ViH

VPPL

VPPL

HIGH-Z

ODD-B'0'E

VPPL

ODD-BYTE

EVEN-BYTE

VIL

VIH

VPPL

'VIL

VIH

VpPL VPPL ODD-BYTE

HIGH~Z

' VIH

VIH

VIL

VIL

VIH

VIL

XXX

VPPH

Xxx

VIH

VIH

VIL

VIH

VIH

VIL

VPPH

XXX

XXX

WORD-WRITE

VII>!

VII_

Vil

X,

VIH

VIL

VPPH

VPPH ODD-BYTE

EVEN-BYTE

ODDBYTE WRITE

VIH

VIL

VIH

X

' ¥IH'

VIL

VPPH

VPPL ODD-BYTE

XXX

BYTE,WRITE

EVEN-BYTE
ODD-BYTE

ATTRIBUTE MEMORY PLANE

X

VIH

VIH

X

X

X

VpPL

HIGH-Z

VIL

VIH

VIL,

¥IL

VIL

,VIH

VPPL· VPPL

HIGH-Z

VIL

VIH

VIL

VIH

V1L

VIH

VpPL

VpPL

HIGH-Z

FFH"

WORD-READ

VIL

VIL

VIL

X

VIL

VIH

VpPL

VpPL

FFH

EVEN-BYTE

ODDBYTE READ

VIL

VIL

VIH

~

VIL

VIH

VPPL

VPPL

FFH

HIGH-Z

BYTE WRITE

VIL

VIH

V1L

VIL

VIH

VIL

VPPL

VpPL

XXX

EVEN-BYiE

XXX
XXX
XXX

EVEN-BYTE

STAND.BY
BYTE-READ

VPPL

VIL

VIH

VIL

VIH

VIH

VIL

VPPL

VPPL

WORD-WRITE

VIL

VIL

VIL

VIH

VIL

VPPL

VPPL

ODDBYTE WRITE

VIL

VIL

V1H

X
X

VIH

VIL, ' VPPL

VPPL

" HIGH-Z
EVEN-BYTE

XXX

XXX'

SERIES 2 + FLASH MEMORY CARDS

COMPONENT MANAGEMENT
REGISTERS

4100H-Card Status Register
(Intel-READ ONLY)

The Component Management Registers (CMRs) are
classified into two categories: those defined by
PCMCIA R2.0 and those included by Intel to enhance the interface between the host system and
the card's flash memory array. The CMRs provide
five control functions-Ready-Busy Mode selection,
Voltage Control, Software-controlled Write Protection, Card Status, and Soft Reset.

BIT 5 SOFT RESET
- Mirrors the SRESET Bit
(7) of the Configuration
Option Register.
1 = RESET
BIT 4 COMMON
MEMORYWP
- Indicates the Write
Protect Status of the
Common Memory
Plane, Minus the
CMCIS.

Card Register Tables
4000H-Configuration Option Register
(PCMCIA)

BIT 7 SOFT RESET
1 = Reset to Power On
State
= End Reset Cycle

rREsERvEo,l SRESET 1CMWP 1PwrOwn 1CISWP 1WP 1ROYIBSY " J
6 1 5
1 4 1 3 1 2 111
0
J

I7 I

BIT 3 POWER DOWN
- Reflects the PwrDwn
Bit (2) of the
Configuration and
Status Register.
1 = POWER DOWN

BITS 6-0
- Driven Low

BIT 2 COMMON
MEMORY CIS WP
- Indicates the Write
Protect Status of the
Common Memory CIS.
1 = WRITE
PROTECTED
BIT 1 WRITE PROTECT
SWITCH
- Reports the Status of
the Card's Mechanical
Write Protect Switch.
1 = WRITE PROTECT
SWITCH ON
BIT 0 READY IBUSY #
- Mirrors the Card's
RDY/BSY# Pin
1 = READY

o

Default·

1H or 3H

Default: 02H

4104H-Write Protection Register (Intel)
4002H-Configuration and Status Register
(PCMCIA)

BIT 2 POWER DOWN
1 = Force All Devices Into Deep Sleep via the
Device's RP# Pin. All Device Register
Contents are Lost.
= Power Up
Default:

o

RESERVED'

BIT 2 BLOCK LOCKING
ENABLE
1 = Enable Independent
28F016SA Block
Locking.
= All Blocks Unlocked.

o
OOH

BIT 1 COMMON
MEMORYWP
1 = Force Common
Memory, Minus the
CMCIS, to Write
Protected Status.
= WriteProtect
According to
Independent
27F016S Block
Locking.

I

BL:EN

I

CMWP
1

I

CI:WP

I

BIT 0 COMMON
MEMORY CIS WP
1 = Force Only the
Common Memory
CIS Into Write
Protected Status.
= Write Protected
According to
Independent
28F016SA Block
Locking.

o

o

Default: 04H

I,

!

6-13

SE~IES 2

+ FLASH MEMORY CARDS

410CH-'Voltage Control Reglster·(lntel)

BIT 7 Vee LEVEL
1 - Host Supplying
3.3V.
0= Host Supplying 5V.

BIT 0 Vee GENERATION
1 - Turn on Integrated
Vpp Generator
o = Turn off Integrated
. VppGenerator

BIT 1 Vpp VAblD
1 = Vpp Between 11.4V
and 12.6V
o = Vpp Invalid.

Default;. 82H or 02H

4140H-Ready/Busy Mode Register (Intel)

7

I

6

I

RESERVED'

5

I

4

I

BIT 1 READY
ACKNOWbEDGE
- Clear to Set up ROY /
BSY # Pin. Then Clear
After Each Becomes
Ready to Acknowledge
TranSition.

3

I

I
2

RACK

I

MODE

1·0

Series 2 + Command Set
Code (H)

Series 2-Compatible Mode

00

Invalid/Reserved

10

Byte Write

20

Single Block Erase

40

Byte Write

50

Clear Status Register

BIT 0 ROYIBSY #. MODE

70

Read Compatible Status Register

1 = High Performance
Mode
o = PCMCIA Level
Mode

90

Intelligent Identifier

BO

Erase Suspend

DO

Erase Confirm/Resume

FF

Read Array

Default OOH

NOTE:
"Reserved bits should be zero (low) to insure future
compatability.

SMART POWER
The Smart Power circuitry generates and monitors
the card's programming VOltage. When a host system does not provide a Vpp supply, the card's integrated generator can be switched on via the card's
Voltage Control Register. The Smart Power circuitry
also detects the host system's VCC level (3.3V or
5V) and configures the card's flash mem()ry devices
accordingly (using the 2BF016SA 3/5# pin as
shown in Figure 1).

DEVICE COMMAND SET
The 28F016SA-based Series 2+ Command Set increases functionality over earlier 2BFOOB-based de-

6-14

signs while maintaining backwards compatibility.
The extended 2BF016SAcommand set supports
many new features to improve programmability and
write performance such as: page buffered writing.
individual block-locking, multiple ROY /BSY # configurations and device level queuing capabilities. The
following pages .list the. Series 2 + .command set and
Bus Cycle Operations overview.

Code (H)

Series 2 + Performance
Enhancement

OB

Page Buffer Write to Flash

71

Read Extended Status Registers

72

Page Buffer Swap

74

Single Load to Page Buffer

75

Read Page Buffer

77

Lock Block

BO

Abort

96

RY /BY # Reconfiguration

97

Status Bits Upload

A7

Erase All Unlocked Blocks

EO

Sequential Load to Page Buffer

FO

Sleep

/

SERIES 2 + FLASH MEMORY CARDS

Command Bus Cycle Definitions (28F008SA·Compatlble Mode)
First Bus Cycle
Command

Second Bus Cycle

Data

R/W

Adrs.

x8

x16

Read Array

W

DA

FFH

Intelligent Identifier

W

DA

ReadCSR

W

DA

Notes

Data

R/W

Adrs.

FFFFH

R

DA

90H

9090H

R

IA

10

10

70H

7070H

R

DA

CSRD

eSRD

x8

x16

AD

AD

Clear Status Register

W

DA

50H

5050H

Word/Byte WriteS

W

WA

40H

4040H

W

WA

WD

WD

Word/Byte Write (Altemate)§

W

WA

10H

1010H

W

WA

WD

WD

2

Block Erase/Confirm§

W

BA

20H

2020H

W

BA

DOH

DODOh

Erase Suspend/Resume

W

DA

BOH

BOBOH

W

DA

DOH

DODOh

ADDRESSES:
DA
= Device Address
BA
= Block Address
IA
= Identifier Address
WA
= Write Address
§ =

DATA:
AD
CSRD
10
WD

=
=
=
=

1

Array Data
CSR Data
Identifier Data
Write Data

Queueable Commands

NOTES:
1. The CSR is automatically available after the device enters Data Write, Erase or Suspend operations.
2. This command clears CSR.3, CSR.4 and CSR.5. Also clears GSR.5 and BSR.5 and BSR.2 bits.

6-15

intel®

S~RIES 2 +.FLASH,MEMORY .CARDS

Command Bus Cycle Definitions (28F016SA Superset Mode)
First Bus Cycle

.
. Command
R/W

Adrs.

Third Bus Cycle

Second Bus Cycle

Data
x8'

x16

Data

R/W • Adrs.

R/W

x8

x16

Adrs•

Data
x8

Notes

x16

PAGE BUFFER CONTROL
Read Page Buffer

W

OA

75H

7575H

R

PA

PO

PO PO

PO

PDPD

1

Page Buffer Swap

W

DA

12H

7272H

Single load to Page
Buffer

W

DA

74H

7474H

R

PA

Sequential load to
Page Buffer

W

DA

EOH

EOEOH

W

DA

BCl

W

DA

BCH

2,3

Page Buffer Write to
Flash Array§

W

DA

OCH

OCOCH

W

AO

BC(L,H)

W

WA

BC(H,L)

2,3,4

96H

9696H

W

DA

READY IBUSY CONFIGURATION
0303H

5

RY IBY # Pulse·On·
Erase§

W

RY/BY# Pulse-OnWrite§

W

DA

96H

9696H

W

DA

02H

0202H

5

RY/BY# Enable§

W

DA

96H

9696H

W

DA

01H

0101H

5

RY IBY # Disable§

W

DA

96H

9696H

W

DA

04H

0404H

5

DA

03H

.'

WRITE PROTECTION AND DEVICE STATUS
Lock Blockl Confirm§

W

DA

77H

7777H

W

BA

DOH

DODOH

Upload Status Bitsl
Confirm§

W

DA

97H

9797H

W

DA

DOH

DODOH

6

ADDITIONAL FUNCTIONS
Read Extended
Registers

W

DA

71H

7171H

R

RA

GSRD/BSRD

Erase All Unlocked
Blocks/Confirm§

W

DA

A7H

A7A7H

W

DA

DOH

Sleep

W

DA

FOH

FOFOH

Abort

W

DA

SOH

SOSOH

ADDRESSES:
DA = Device Address
BA = Block Address
IA
= Identifier Address
PA = Page Buffer Address
RA = Extended Register Address
WA = Write Address
= Don't Care
X

DATA:
AD
CSRD
G/BSRD

10
WD
PO

=
=
=
=
=
=

Array Data
CSR Data
GSR/BSR Data
Identifier Data
Write Data
Page Buffer Data

7

DODOH

DATA COUNTS:
WC(L,H) = Word Count (Low, High)
BC(L,H) = Byte Count (Low, High)
WD(L,H) = Write Data (Low, High)

§ = Queueable CommandS
NOTES:
1. This command allows the user to swap between available page buffers (0 or 1).
2. BCH/WCH must be at OOH for this product because of the 256-byte Page Buffer size AND to avoid writing the Page
Buffer contents into more than one 256"byte segment within an array block. They are simply shown for Page Buffer expandability.
3. Page Address and Page Data (whose count is given in cycles 2 and 3) are supplied starting in the 4th cycle (not shown).
4. AO is automatically complemented to load the second byte of data.
5. These commands reconfigure RY IBY # output to one of two pulse modes, or they enable and disable the RY IBY # function.
6. Upon device power-up, all BSR lock bits are locked. The Lock Status Upload command must be written to reflect the
actual lock bit status.
7. RA can be the GSR address or any BSR address.

6·16 .

SERIES 2 + FLASH MEMORY CARDS

DEVICE STATUS REGISTERS
Each 2BF016SA has three types of status registers:
the Compatible Status Register, the Global Status
Register and the Block Status Register. The Compatible Status register is identical to the 28FOOBSA
Status Register. The Global Status Register provides queue and page buffer information about each
device. Each block within the device has a Block
Status Register assigned to it. The BSR contains the
Block-Locking Status and other information specific
to the block being addressed.
Compatible Status Register (CSR)

CSR.7 WRITE STATE
MACHINE STATUS
1 = Ready
0= Busy
CSR.B ERASE SUSPEND

IIAIYI

1 = Erase Suspended

o=

Erase in Progress!
Completed

CSR.5 ERASE STATUS
1 = Error in Block
EraSUre
o = Successful Block
Erasure

CSR.4 DATA-WRITE

STmlI
1=

o=

Error in Data Write
Data Write
Successful

CSR.S V-SWUS
1 - Vpp Low Detected,
Operation Aborted
0= VppOK

Global Status Register (GSR)

I

I
~

GSR.7 WRITE STATE
MACHINE STATUS
1 - Ready
0= Busy

1 = unA...,.nn

o=

Suspended
Operation in
Progress!
Completed

GSR.5 DEVICE
OPERATION STATUS
1 - Opel'ation
Unsuccessful
0= Operation
Successful or
Running
G$R.4 DEVICE SLEEP!

§IIIII!
1 = Device in Sleep

o=

GSR.' QUEUE STATUS
1 - QueueFull
o =' Queue Available
GSR.2 PAGE BUFFER
AVAILABLE STATUS
1 - One or Two Buffers
. Available
o = No Page Buffer
Available
I.

GSR.1 PAGE BUFFER

IIAIYI

1 = Selected Page

o=

Buffer. Ready
Selected Page
Buffer Busy

GSR.O PAGE BUFFER
SELECT STATUS
1 - Page Buffer 1
Selected
o = Page Buffer 0
Selected

Device Not in Sleep
Default: BEH

~
Reserved
Default: BOH

6-17

intel®

SERIES 2 + FLA§HMEMORY CARDS

PCMCIA CARD INFORMATION
STRUCTURE

BloCk Status Register (BSR)

.as

The Card Information Structure begins at address
OOOOOOOH of the card's Attribute Memory 1'lane. It
contains a variable·length chain of data blocks (tuples) that conform to a basic format (Table 4). The
CIS of the Series 2 + Flash Memory Card is found in
Table S.

7

BSR.7 BLOCK STATUS.
1 ';Ready
..
.
0= ,Busy
BSR.6 BLOCK-LOCK
STATUS
1 = . Block Unlocked
o = Block Locked
BSR.5BLOCK
OPERATION STATUS
1 - Operation
Unsuccessful
o = Operation
Successful or
Running

BSR.4BLOCK
OPERATION ABORT
STATUS
1 = Operation Aborted
o = Operation Not
Aborted

Table 4. PCMCIA Tuple Format

BSR.3 QUEUE STATUS
1 -. Queue Full
o = Queue Available

BYTES

BSR.2 Vpp STATUS
1 = Vpp Low Detect
0= VppOK

0

TUPLE CODE: CISTPL-xxx. The
tuple code OFFh indicates no more
tuples in the list.

1

TUPLE LINK: TPLLlNK. Link to the
next tuple in the list. This can be
viewed as the number of additional
bytes in tuple, excluding this byte. If
the link field is zero, the tuple body is
empty; If the link field contains OFFh,
this tuple is the lasttuple in the list.

BSR.1-0 RESERVED
Default: COH

..

DATA

2-n

Bytes specific to this tuple.

Table 5. Series 2 + Tuples
Value

Description

1AH

17H

CISTPLDEVICEJ

1CH

04H

TPLLlNK

Address

Value

Description

Address

OOH

01H

CISTPL DEVICE

02H

04H

TPLLlNK

04H

57H

FLASH

1EH

1FH

ROM

06H

22H
2AH

1S0 ns
200 ns

20H

22H

1S0ns

OEH
4EH

CARD SIZE
4MB
20MB

08H

22H

01H

2 Kb

24H

FFH

END OF DEVICE

26H

1DH

CISTPLDEVICLOA

28H

OSH

TPLLlNK

OAH

FFH

END OF DEVICE

OCH

1CH

CISTPLDEVICLOC

2AH

02H

OTHER CONDITIONS-3 Vee

17H

ROM
200 ns

OEH

OSH

TPLLlNK

2CH

10H

02H

. OTHER CONDITIONS-3 Vee

2EH

2AH
. 01H

2Kb

12H

S7H

FLASH

30H

14H

2AH

200 ns

32H

FFH

. END OF DEVICE

18H

CISTPLJEDEC_C

OEH
4EH

CARD SIZE
4MB
20MB

34H
36H

02H

TPLLlNK

38H

89H

INTELJ-ID

FFH

END OF DEVICE

3AH

AOH

28F016J-ID

3CH

OOH

Null Control Tuple

16H
18H

6-18

SERIES 2 + FLASH MEMORY CARDS

Table 5. Series 2 + Tuples (Continued)
Value

Description

Address

Value

Description

3EH

15H

CISTPL VERS 1

40H

39H

TPLLlNK

7CH

65H

e

7EH

6CH

42H

04H

I

TPLLV1_MAJOR

80H

20H

SPACE

44H

01H

TPLLV1_MINOR

82H

43H

C

84H

4FH

0

46H

49H

TPLLV1_INFO
I

86H

52H

R

48H

6EH

n

88H

50H

P

4AH

74H

t

8AH

4FH

0

4CH

65H

e

8CH

52H

R

4EH

6CH

I

8EH

41H

A

50H

OOH

END TEXT

90H

54H

T

52H

53H

S

54H

32H

2

Address

92H

49H

I

94H

4FH

0

56H

45H

E

96H

4EH

N

58H

34H
32H

4MB
20MB

98H

20H

SPACE

5AH

20H
30H

4MB
20MB

9AH

31H

1

9CH

39H

9

53H

S

9EH

39H

9

20H

SPACE

AOH

33H

3

57H

W

A2H

20H

SPACE

20H

SPACE

A4H

47H

G

OOH

ENDTEXT

A6H

4CH

L

41H

A

5CH

5EH

60H
62H

43H

C

A8H

64H

4FH

0

AAH

44H

0

P

ACH

45H

E

4BH

K
END TEXT

66H

50H

68H

59H

Y

AEH

6AH

52H

R

BOH

OOH

6CH

49H

I

B2H

FFH

END OF LIST

1AH

CISTPLCONF
TUPLLlNK

6EH

47H

G

B4H

70H

4814

H

B6H

05H

72H

54H

T

B8H

01H

TPCC_SZ

04H

TPCC_LAST

74H

20H

SPACE

BAH

76H

49H

I

BCH

OOH

TPCC_RADR

BEH

40H

TPCC_RADR

78H

6EH

n

7AH

74H

t

..

6·19

SERIES 2 + FLASH MEMORY CARDS
Table 5. Series 2 + Tuples. (Continued)
!Address Value
COH

03H

Description

Address Value

TPCC_RMSK

C2H

OOH

NULL CONTROL TUPLE

C4H

1BH

CISTPLCFTABLE_ENTRY

C6H

08H

TPLLlNK

C8H

01H

TPCE_INDEX (01H)

CAH

01H

TPCE_FS (Vcc ONLY)

CCH

7.9H

TPCE_PD
Vcc PARAMETER SELECTION
BYTE

Description
TPCE_PD
Vcc PARAMETER SELECTION
BYTE

102H

79H

104H

B5H

Vcc = 3.3V

106H

1EH

EXTENSION BYTE

108H

'04H

Icc STATIC 1 rnA

10AH

1EH

ICC AVERAGE 150 rnA

10CH

1EH

Icc PEAK 150 rnA

10EH

53H

Icc PWRDWN 500 /LA

CEH

55H Vcc NOMINAL VOLTAGE 5V ±5%

110H

1BH

CISTPLCFTABLE_ENTRY

DOH

53H

Icc STATIC 500 /LA

112H

10H

TPLLlNK

D2H

1EH

ICC AVERAGE 150 rnA

114H

04H

TPCE_INDEX (04H)

D4H

1EH

Icc PEAK 150 rnA

116H

02H

TPCLFS (Vcc AND Vpp)

118H.

79H

TPCE_PD
Vcc PARAMETER SELECTION
BYTE

11AH

B5H

Vcc = 3.3V

D6H

1BH

Icc PWRDWN 200 /LA

D8H

1BH

CISTPLCFTABLE_ENTRY

DAH

OFH

TPLLlNK

DCH

02H

TPCLINDEX (02H)

DEH

02H

TPCE_FS (Vcc AND Vpp)

EOH

79H

TPCE_PD
Vcc PARAMETER SELECTION
BYTE

E2H

55H Vec NOMINAL VOLTAGE 5V ±5%

E4H

2BH

11CH

1EH

EXTENSION BYTE

11EH

2BH

Icc STATIC 250 /LA .

120H

06H

Icc AVERAGE 100 rnA

122H

06H

Icc PEAK 100 rnA

124H

52H

Icc PWRDWN 50 /LA

126H

79H

TPCE_PD
Vpp PARAMETER SELECTION
BYTE

Icc STATIC 250 /LA

E6H

06H

Icc AVERAGE 100 rnA

E8H

06H

Icc PEAK 100 rnA

EAH

52H

Icc PWRDWN 50 /LA

ECH

79H

128H

8EH

12.0V ±5%

12AH

7DH

NC OK ON STANDBY &PWD

TPCE_PD
Vpp PARAMETER SELECTION
BYTE

12CH

53H

IppSTATIC 500/LA

12EH

25H

Ipp AVERAGE 20 rnA

EEH

8EH

12.0V ±5%

130H

25H

IppPEAK20 rnA

FOH

7DH

NC OK ON STANDBY & PWD

132H

1BH

Ipp PWRDWN 150 /LA

F2H

53H

Ipp STATIC 500 /LA

134H

OOH

NULL CONTROL TUPI,.E

F4H

25H

Ipp AVERAGE 20 rnA

136H

OOH

NULL CONTROL TUPLE

25H

Ipp PEAK 20 rnA

138H

1EH

CISTPL DEVICEGEO

F6H
F8H

52H

Ipp PWRDWN 50 /LA

13AH

06H

TPLLlNK

FAH

1BH

CISTPLCFTABLE_ENTRY

13CH

02H

DGTPLBUS

FCH

09H

TPLLlNK

13EH

11H

DGTPLEBS

FEH

03H

TPCE_INDEX (03H)

140H

01H

DGTPLRBS

100H

01H

TPCE_FS (Vcc ONLY)

142H

01H

DGTPLWBS

SERIES 2 + FLASH MEMORY CARDS

Table 5. Series 2 + Tuples (Continued)
Description

Address

Value

144H

01H

DGTPLPART= 1

146H

01H

FLASH DEVICE INTERLEAVE

148H

20H

CISTPL MANFID

14AH

04H

TPLLlNK (04H)

POWER UP/DOWN PROTECTION

14CH
14EH

89H
OOH

TPLMID_MANF
LSB
MSB

150H

12H
42H
11H
41H

4 MB-150 ns
20 MB-150 ns
4 MB-200ns
20 MB-200 ns

The PCMCIAlJEIDA specified socket properly sequences the power supplies and control signals to
the flash memory card via shorter and longer pins.
This assures that hot insertion and removal will not
result in card damage or data loss.

152H

83H
84H

TPLMID_CARD MSB
TPLMID_CARD MSB

154H

21H

CISTPLFUNCID

156H

02H

TPLLlNK

158H

01H

TPLFID_FUNCTION
(MEMORY)

15AH

OOH

TPLFID_SYSiNIT
(NONE)

15CH

FFH
OOH

CISTPL-END
INVALID ECIS ADDRESS
(15EH-1FEH)

SYSTEM DESIGN CONSIDERATIONS
~

The card connector should also have a 4.7 ,...F electrolytic capacitor between Vee and GND, as well as
between VpP1IVPP2 and GND. The bulk capacitors
overcome voltage slumps caused by printed-circuitboard trace inductance, and supply charge to the
smaller capacitors as needed.

POWER SUPPLY DECOUPLING

Flash memory power-switching characteristics require careful device decoupling. System designers
are interested in three supply current issues: standby, active and transient current peaks which are produced by rising and falling edges of CE1 # and
CE2 #. The capacitive and inductive loads on the
card and internal flash memory device pairs determine the magnitudes of these peaks.

Each device in the card is designed to offer protection against accidental erasure or writing, caused by
spurious system-level signals that may exist during
power transitions. The card will power up into the
read state.
A system designer must guard against active writes
for Vee voltages above VLKO when Vpp is active.
Since both WE# and CE1 # must be low for a command write, driving either to VIH will inhibit writes.
With its control register architecture, alteration of device contents only occurs after successful completion of the two-step command sequences. While
these precautions are sufficient for most applications, an alternative approach would allow Vee to
reach its steady state value before raising VPP1/
VPP2 above Vee + 2.0V. In addition, upon powering
down, VPP1IVPP2 should be below Vee + 2.0V before lowering Vee.
NOTE:
The Integrated Vpp generator defaults to the power
off condition after reset and system power up.
HOT INSERTION/REMOVAL

The capability to remove or insert PC cards while the
system is powered on (Le., hot insertion/removal)
requires careful design techniques on the system
and card levels. To design for· this capability consider card over-voltage stress, system power droop
and control line stability.

Three-line control and proper decoupling capacitor
selection suppress transient voltage peaks. Series
2 + Cards contain on-card ceramic decoupling capacitors connected between Vee and GND, and between VPP1IVpP2 and GND.

6-21

intel®

SERtES 2 + FLASH MEMORY CARDS

OPERATION SPECIFICATIONS
ABSOLUTE· MAXIMUM RATINGS·
Operating TEilmperature
During Read .................. 0·Cto +60·C(1)
During Erase/Write .............. O·C to + 60·C
Storage Temperature .......... -30·C to + 70·C(2)
Voltage on Any Pin with
Respect to Ground ... - 2.0V to + Vee + 2.0V(2)

NOTICE: This data .sheet contains information on
produCts in the sampling and initial production phases
of development. The specifications are subject to
change without notice. Verify with your local Intel
Sales office that you have the latest data sheet before finalizing a design.

• WARNING: Stressing the device beyond the "Absolute
Maximum Ratings" may cause permanent damage.
These are stress ratings only. Operation beyond the
"Operating Conditions" is not recommended and extended exposure beyond the "Operating Conditions"
may affect device reliability.

Vpp1IVpp2 Supply Voltage with
RespeCt to Ground .
during Erase/Write ....... - 2.0V to + 14.0v(2, 3) .
Vec SupplyVoltage with
Respect to Ground ............ - 0.5V to + 6.0V
NOTES:
1. Operating temperature is for commercial product defined by this specification.
2. Minimum O.C. input voltage is -0.5V. During transitions, inputs may undershoot to 2.0V for periods less than 20 ns.
Maximum D.C. voltage on output pins is Vee + 0.5V, which may overshoot to Vee + 2.0V for periods less than 20 ns.
3. Maximum D.C. input voltage on VPP1IVPP2 may overshoot to + 14.0V for periods less than 20 ns.

OPERAtiNG CONDITIONS
Symbol
Vee3.3
Vee5

Parameter

Min

Max

Units

Vee Supply Voltage (5%)

3.0

3.6

V

4.75

5.25

V

Vee Supply Voltage (5%)

SERIES 2 + DC CHARACTERISTICS, GENERAL
Symbol

Parameter

ILl

Input Leakage Current

ILO

Output Leakage Current

Notes

Min

Max

Units

1,3

±1

±20

p,A

1

±1

±20

p,A

Test Conditions
Vee = Vee MAX
VIN = Vee or GND
Vee

= Vee MAX
= VeeorGND

Your
VIL

Input Low Voltage

1

-0.5

0.8

V

Min = - 0.3V for
3.3VVee

VIH

Input High Voltage

1

0.7Vee

Vee +0.3

V

Max = Vee + 0.5V
for 5VVee

VOL

Output Low Voltage

1

0.4

V

Max = 0.45V for 5V
W/IOH = -2.0 mA

VOH1

Output High Voltage
(@3.3V)

1

2.4

V

VOH2

Output High Voltage
(@5.0V)

1

0.85Vee

V

VPPL

Vpp during Read Only
Operations

1,2

0.0

6-22

6.5

V

IOH

=

-2.5I"!lA

SERIES 2 + FLASH MEMORY CARDS

SERIES 2 + DC CHARACTERISTICS, GENERAL (Continued)
Symbol

Parameter

Notes

Min

Max

Units

VPPH

Vpp during Read/Write Operations

1

11.4

12.6

V

VLKO

Vee Erase/Write Lock Voltage

1

2.0

Test Conditions

V

NOTES:
1. Values are the same for byte and word wide modes and for all card densities.
2. Block Erases/Data Writes are inhibited when Vpp and VPPL are not guaranteed in the range between VPPH and VPPL.
3. Exceptions: With VIN = GND. the leakage on CE1 #. eE2#. REG#. OE# and WE# will be S:500 ,...A due to internal pull
up resistors and. with VIN = Vee. RST leakage will be S:500 IJ.A due to internal pull-down resistors.

SERIES 2+ DC CHARACTERISTICS(1)
Symbol

Parameters

Density
(Mbytes)

Notes

Vee = 5V, vpp = 12V

xSMode
Typ

Max

x16 Mode
Typ

Max

Units

Test
Conditions

leCR

Vce Read
Current

4,20

2,3

85

120

rnA

Vec = Vee MAX
tcYCLE = 150 ns

Icew

Vee Write
Current

4,20

2,3

85

120

rnA

Data Write in
Progrfilss

lecE

Vee Erase
Current

4,20

2,3

75

100

rnA

Block (Pair)
Erase in
Progress

leesL

Vee Sleep
Current

4

20

IJ-A

20

60

20

60

IJ-A

Ices

Vee Standby
Current

4,20

2,3

61

115

170

210

IJ-A

Vec = Vec MAX
Control Signals
= VIH

Ippw

Vpp Write
Current (Vpp =
VPPH)

4,20

2,3

7

12

14

24

rnA

Data Write in
Progress

IpPE

VPP Erase
Current (V pp
VPPH)

4,20

2,3

5

10

10

20

rnA

Block (Pair)
Erase in
Progress

IpPSL

VppSleep
Current

VPP

= OV

IpPS1

VPP Standby or
Read Current
(Vpp ~ Vce>

VPP

= OV

12

20

=

4
20
4
20

2,3

20

12

0

0

IJ-A

0

0

IJ-A

0

0

IJ-A

0

0

IJ-A

NOTES:
1. All currents are RMS values unless otherwise specified. Typical Vee = 5V. Vpp = 12V, T = 25°C.
2. Two devices active in word mode, one device active in byte mode.
3. Currents are not added in for devices not accessed or in sleep mode.

6-23

inte!®

SERIES 2 + FLASH MEMORY CARDS

SERIES 2 + DC CHARACTERISTICS,CMOS(1} vcc = 5V, vpp= OV··
Symbol

Parameters

Density
(MBytEls)

Notes

x8Mode
Typ

Max

x16Mode
Typ

Max

Test
Conditions

Units

ICCR

Vcc Read
Current

4,20

2,3,4

86

120

mA

Vcc = MAX
\cYCLE = 150 ns

.Iccw

VccWrite
Current

4,20

2,3,5

119

150

mA

Data Write in
Progress

ICCE

VccErase
Current

4,20

2,3,5

104

150

mA

Block Erase in
Progress

ICCSL

Vcc Sleep
Current

4

4

-

Iccs

VccStandby
Current

20
4,20

3,4

12

20

12

20

p,A

20

60

20

60

p,A

61

115

110

250

p,A

Vcc = Vcc MAX
Control Signals
= VIH

•• Ipp specs not Incluclecl because all Ipp IS derived from Icc via the Internal Vpp Generation Circuitry.

NOTES:
1.
2.
3.
4.
5.

All currents are RMS values unless otherwise specifiecl. Typical Vcc = 3.3V, Vpp = 12V, T = 25'C.
Two devices active in word mode, one device active in byte mode.
Currents .are not added in for devices not accessed or in sleep mode.
Vpp Generation Circuitry turned off.
Vpp Generatio.n Circuitry turned on.

6·24

I

SERIES 2 + FLASH MEMORY CARDS

SERIES 2+ DC CHARACTERISTICS(1}
Symbol

Parameters

Density
(MBytes)

Notes

vcc

=

3.3V, vPP

.,x8Mode
Typ

Max

=

Typ

Teat
Conditions

Units

Max

ICCR

Vcc Read
Current

4,20

2,3

44

64

rnA

Vcc = Vcc MAX
tCYCLE = 200 ns

Iccw

VccWrite
Current

4,20

2,3

36

48

rnA

Data Write in
Progress

ICCE

VccErase
Current

4,20

2,3

36

48

rnA

Block (Pair)
Erase in
Progress

ICCSL

VccSleep
Current

Iccs

VccStandby
Current

Ippw

VccWrite
Current (Vpp
VPPH)

=

IpPE

VccErase
Current (Vpp
VpPH)

=

IpPSL

VccSleep
Current

IpPS1

VccStandby
or R~ad
Current (Vpp ::;;
Vce>

4

20

20

20

60

60

115

250

p.A
p.A
p.A

2,3

4,20

2,3

10

15

20

30

mA

Data Write in
Progress

4,20

2,3

4

10

8

20

rnA

Block (Pair)
Erase in
Progress

p.A
p.A
p.A
p.A

VPP

=

OV

Vpp

=

OV

4

0

0

0

0

4
20

2,3

0

0

0

0

~:

Vcc = Vcc MAX
Control Signals
= VIH

4,20

20

I

12V

x16Mode

NOTES:
1. All currents are RMS values unless otherwise specified. Typical Vee = 3.3V, Vpp = 12V, T = 25°C.
2. Two devices active in word mode, one device active in byte mode.
3. Currents are not added in for devices not accessed or in sleep mode.

6-25

"

SERIES 2 + FLASH MEMORY CARDS
SERIES

2+

DC CHARACTERISTICS(1) vcc = 3;3V, Vpp= OV··

Parameter.

SYlflbQl

, Density
(""Byte.)

VceRead
Current

4,20

VctWrite
Current

4,20

ICCE

VccErase
CUrrent

4,20

ICCSL

VccSleep
Current

.' 4

VccStandby
Current

4;20

ICCR

Iccw

Iccs

,

Note.

x8Mode.
Typ
,,

Max

x16Mode
Typ

Max

,

Te.t
Condition.

Unit.

44

64

mA

Vcc = Vcc MAX
tcVCLE = 200 ns

2,3,5

100

177

mA

Data Write in
.Progress

2,3,5

79

134

mA

Block (Pair)
Erase in
Progress

JJ.A
JJ.A
/A:A

2,3,4
I

4

20
3,4

12

20,

12

20

20

60

20

60

61

155

110

250

..

Vcc = Vcc MAX
Control Signals
= VIH

•• Ipp specs not Included because all Ipp IS derived from Icc via the internal Vpp Generation Circuitry.
NOTES:
1. All currents are RMS values unless otherwise specified. Typical Vee = 3.3V. Vpp = 12V. T = 25°C.
2. Two devices active in word mode, one device active in byte mode •.
3. CurreniS·are not added In for devices not accessed or In t;lleep mode.
4. Vpp Generation Circuitry tumed off.
5. Vpp Generation Circuitry tumed on.

.6-26

SERIES 2 + FLASH MEMORY CARDS

AC CHARACTERISTICS
AC Timing Diagrams and characteristics are guaranteed to meet or exceed PCMCIA Release 2.01 spec-

COMMON AND ATTRIBUTE MEMORY
Symbol

ifications. No delay occurs when switching between
the Common and Attribute Memory Planes.

Read-OnlyOperations

Parameter

150 ns .

Notes

200ns

Units

JEDEC

PCMCIA

tAVAV

tRC

Read Cycle Time

tA.VQV

ta (A)

Address Access Time

150

200

ns

tELQV

ta (CE)

Card Enable Access Time

150

200

ns

tGLQV

ta (OE)

Output Enable Access Time

75

100

ns

tEHQX

tdis (CE)

Output Disable Time from CE #

75

90

ns

Min

150

tdis (OE)

Output Disable Time from OE #

tGLQX

ten (CE)

Output Enable Time From CE #

5

tELQX

ten (OE)

Output Enable Time from OE #

5

tsu (Vce>

Min

Max

200

75

tGHQZ

tpHQV

Max

ns

90
5

ns
ns

5

ns

Powerdown Recovery to
Output Delay. Vcc = 5V

530

530

ns

Powerdown Recovery to
Output Delay. Vcc = 3.3V

670

670

ns

CE Setup Time on Powerup

0

0

ms

i;~
!.,,'
I'"~

i
I
I

m
N
CX>

U)

1ft

:D

in
U)

Vee POWER-UP

DEVICE AND
ADDRESS SELECTION

STANDBY

N
OUTPUTS ENABLED

DATA VALID

STANDBY

+

Vee POWER-DOWN

YIH
ADDRESSES (A)

""

!j;

ADDRESSES STABLE

(/)

::J:

YIL

I-

:!!
a
c

~

==
o==

1ft

·1

t AvAV

YIH

CE

:D

<

(c)
YIL

~

«

,

vv vv vv y v yy y

Y V

VI'

t

__ _

~

JIo

0

~

<
CD

YIH

DE

(G)

0'
...

VIL

-...
3

__ _

'

bO< IS,S>

WE#

00<7,0>

OE#
WE#

ROY!SSY#

OE#

RST
A<2S,0>

REG#

RY !SY#< 19,0>
,RP#<9,0>

CARD
CONTROL
LOGIC

CE I #
CEZ #
WAIT

:::Sr
BVOZ

A< 19,0>
WP

CE#<19,0>
WP

v~j

~
-

,

2SFOOSSA
~ AI9 -AO

oeq-ooo ~

CE#
WRITE-PROTECT
SWITCH

f-f-

2SFOOSSA

~ A19 -Ao

RY!BY#
WE# DEVICE 0

'"""

f-

r-

OE#

CD 1#

GND

Vee

RP#
Vpp ,

ceq-oOo

CE#

RY!BY#
WE# DEVICE 1
OE#
RP#
'GNO

Vee

--

-

Vppz

CARD
DETECT

~ AI9 -Ao

oeq-ooo -

CE#

~

RY!SY#
WE#
DEVICE 2

I

l --

1
A'9 -AO
CE#

Vee

CE#

007 -000
RY!BY#

WE# DEVICE 3

!
..

RP#
Vpp ,

I

J oeq~DOO

RY!BY#
WE# DEVICE' S
OE#
GND

AI9 -Ao

r-

--

-

OE#

OE#
GNO

I-

Vee

RP#
Vpp,

RP#
GNO

I

··
·

J I
-

I
A'9

-Ao

CE#

Vee

VpP 2

.!.

I

I oeq~oOo ~J
RY!SY#

r--

WE# DEVICE '9
' - - - OE#
RP#
GNO

Vee

VpP2

GND
Vee
VpPI
Vppz

290434-3

Figure 1. Detailed Block Diagram. The Card Control Logic Provides
Decoding Buffering and Control Signals.
6-40

SERIES 2 FLASH MEMORY CARDS

APPLICATIONS
Intel's second generation Series 2 Flash Memory
Cards facilitate high performance disk emulation for
the storage of data files and application programs on
a purely solid-state removable medium. File management software, such as Microsoft's Flash File
System, in conjunction with the Series 2 Flash Memory Cards enable the design of high-performance
light-weight notebook, palmtop, and pen-based PCs
that have the processing power of today's desktop
computers.
Application software stored on the flash memory
card substantially reduces the slow disk-to-DRAM
download process. Replacing the mechanical disk
results in a dramatic enhancement of read performance and substantial reduction of power consumption, size and weight-considerations particularly
important in portable PCs and equipment. The Series 2 Card's high performance read access time allows the use of Series 2 Cards in an "execute-inplace" (XIP) architecture. XIP eliminates redundancy
associated with DRAM/Disk memory system architectures. Operating systems stored in Flash Memory
decreases system boot or program load times, enabling the design of PCs that boot, operate, store
data files and execute application programs from/to
nonvolatile memory with put losing the ability to perform an update.
File management systems modify and store data
files by allocating flash memory space intelligently.
Wear leveling algorithms, employed to equally distribute the number of rewrite cycles, ensure that no
particular block is cycled excessively relative to other blocks. This provides hundreds of thousands of
hours of power on usage.
This file management software enables the user to
interact with the flash memory card in precisely the
same way as a magnetic disk.
For example, the Microsoft Flash File System enables the storage and modification of data files by
utilizing a linked-list directory structure that is evenly
distributed along with the data throughout the memory array. The linked-list approach minimizes file
fragmentation losses by using variable-sized data
structures rather than the standard sector/cluster
method of disk-based systems.
Series 2 Flash Memory Cards provide durable nonvolatile memory storage for mobile PCs on the road,
facilitating simple transfer back into the desktop environment.

For systems currently using a static RAM/battery
configuration for data acquisition, the Series 2 Flash
Memory Card's nonvolatility eliminates the need for
battery backup. The concern for battery failure no
longer exists, an important consideration for portable computers and medical instruments, both requiring continuous operation. Series 2 Cards consume
no power when the system is off, and only 5 p.A in
Deep-Sleep mode (20 Megabyte card). Furthermore,
Flash Memory Cards offer a considerable cost and
density advantage over memory cards based on
static RAM with battery backup.
Besides disk emulation, the Series 2 Card's electrical block-erasure, data writability, and inherent nonvolatility fit well with data accumulation and recording needs. Electrical block-erasure provides design
flexibility to selectively rewrite blocks of data, while
saving other blocks for infrequently updated parameters and lookup tables. For example, networks and
systems that utilize large banks of battery-backed
DRAM to store configuration and status benefit from
the Series 2 Flash Card's nonvolatility and reliability.

SERIES 2 ARCHITECTURE
OVERVIEW
The Series 2 Flash Memory Card contains a 2 to 20
Megabyte Flash Memory array consisting of 2 to 20
28F008SA FlashFile Memory devices. Each
28F008SA contains sixteen individually-erasable, 64
Kbyte blocks; therefore, the Flash Memory Card
contains from 32 to 320 device blocks. It also contains two Card Control Logic devices that manage
the external interface, address decoding, and component management logic. (Refer to Figure 1 for a
block diagram.)
To support PCMCIA-compatible word-wide access,
devices are paired so that each accessible memory
block is 64 KWords (see Figure 2). Card logic allows
the system to write or read one word at a time, or
one byte at a time by referencing the high or low
byte. Erasure can be performed on the entire block
pair (high and low device blocks simultaneously), or
on the hiQh or low byte portion separately.
Also in accordance with PCMCIA specifications this
product supports byte-wide operation, in which the
flash array is divided into 128K x 8 bit device blocks.
In this configuration, odd bytes are multiplexed onto
the low byte data bus.

6-41

.SERIES 2.FLASH MEMORY CARDS

015
~ow Byte

High Byte

x 16 mode

..............

~

,,

",

"

. [.en Byte,

;.;..;..-~

x 8 .mode

.r----------..
,'1

Odd Byt.
I
._-------:"",.."

...... ......

"",,,,,,,,,,,,

."1.ii:a_...... _ _
""

,,

"

,,

"
""

,
.,
,

"

,,

.16 mode
~IOCK :,....r--"" •

8 mod.

,
BLOCK PAIR
290434-1

Flgunt2. Memory Architecture. Each Device Pair Consists of Sixteen 64 KWord Blocks.
.. Write/erase automation simplifies the system software Interface to the card. A two-step command sequence initiates write or erase operations and provides additional data security. Internal device circuits
automatically execute the algorithms. and timinQs
necessary for data-write or block-erase operations,
including verifications for long-term data integrity.'
While perfotming either data-write Or block-erase,
the memory card interface reflects this by bringing
its RDY/BSY# (Ready/Busy) pin low. This output
goes high when the operation completes. This feaErase blocking facilitates solid-state storage applicature reduces CPU overhead and allows software
tions by allowing .selective memory reclamation. Multiple 64 Kbyte blocks may be sirnultaneously erased
polling or. hardware interrupt mechanisms. :Writing
within the memory card as long as not more than
memory data is achieved in .single byte or word inone block per device is erasing. This shortens the
crements, typically in 10 p.s.
I" ,
total time required for erasure, but requires additional supply current. A block typically requires 1.6 secRead access time is 200 ns or less over the O·C to
onds .to erase. Each memory block can be erased
SO·C temperature range.
arid completely written 1'00,000 times.
The Reset-PowerDowil mode reduces power conErase suspend allows the system to temporarily insumption to less than 5 p.A. to help extend battery
terrupt a block erase operation. This mode permits
life of portable host systEims. Activated through softreads from alternate· device blocks while that same
ware control, this mode optionally affects the entire
device contains an erasing block. Upon cOmpletion
flash array (Global Reset-PowerDown Register) or
of the read operation, erasure of the ··suspended
specific device »airs (Sleep Control Register).
block must be resumed.

Series' 2 Flash Memory Cards offer additional features over the Bulk Erase Flast) .card prOduct family
(refer
to
iMC001 FLKA,. iMC002FLKA
and
iMCP04FLKA data sheets). Some of the more notable enhancements include: high density 'capability,
erase blocking, internal write/erase automation,
erase suspension to read, Component Management
Registers. that provide software control Of devicelevel functions and a deep-sleep mode.

6-42

I

SERIES 2 FLASH MEMORY CARDS

PCMCIA/JEIDA INTERFACE

BATTERY VOLTAGE DETECT

The Series 2 Flash Memory Card interface supports
the PCMCIA 2.01 and JEIDA 4.1 68-pin card format
(see Tables 1 and 2). Detailed speCifications are described in the PC Card Standard, Release 2.0, September 1991, published by PCMCIA. The Series 2
Card conforms to the requirements of both Release
1 and Release 2 of the PC Card Standard.

PCMCIA requires two signals, BVD1 and BVD2, be
supplied at the interface to reflect card battery condition. Flash Memory Cards do not require batteries.
When the power on reset cycle is complete, BVD1
and BVD2 are driven high to maintain compatibility.

CARD DETECT

Series 2 Card pin definitions are equivalent to the
Bulk-Erase Flash Card except that ce.rtain No Connectsare now used. A22 through A24, RST (Reset),
and RDY1BSY# (Ready/Busy) have pin assignments as set by the PCMCIA standard.

Two signals, CD1 # and C02 #, allow the host to determine proper socket seating. They reside at opposite ends of the connector and are tied to ground
within the memory card.

NOTE: The READY/BUSY signal is abbreviated as
RDY/BSY# by PCMCIA {card levelj and as
RY/BY# by JEDEC {component levelj.

DESIGN CONSIDERATIONS

The outer shell of the SerieS 2 card meets all
PCMCIAlJEIDA Type 1 mechanical specifications.
See Figure 19 for mechanical dimensions.

WRITE PROTECT SWITCH
A mechanical write protect switch provides the
card's memory array with internal write lockout. The
Write-Protect (WP) output pin reflects the status of
this mechanical switch. It outputs a high signal (YOH)
when writes are disabled. This switch does not lock
out writes to the Component Management Registers.

The Series 2 Card consists of two separate memory
planes: the Common Memory Plane (or Main Memoryland the Attribute Memory Plane. The Common
Memory Plane resides in the banks of device pairs
and represents the user-alterable memory space.
The Component Management Registers (CMR) and
the hardwired Card Information Structure (CIS) reside in the Attribute Memory Plane within the Card
Control Logic, as shown in Figure 3. The Card Control'Logic interfaces the PCMCIA connector and the
internal flash memory array and performs address
decoding and data control.

COMPONENT MANAGEMENT REGISTERS
ATrRIBUTE MEMORY PLANE
FUNCTION

CIS ADDRESS

NOT USED
(OOO4200H)

4142H - 41 FEH

RESERVED

4140H

READY-BUSY MODE (INTEL)

4136H - 413EH

RESERVED

4130H - 4134H

READY-BUSY STATUS (INTEL)

COMPONENT MANAGEMENT

4126H - 412EH

RESERVED

REGISTERS

4120H - 4124H

READY-BUSY MASK (INTEL)

(OOO4000H)

411CH - 411EH

RESERVED

NOT USED
(OOOOODBH)
HARDWIRED PCMCIA CIS
(OOOOOOOH)

Attribute Memory Plane
accessible with
REG (pin 61) = VIL

,,
,,
,,
,

,,

,,
,

411BH - 411AH

SLEEP CONTROL (INTEL)

4106H - 4116H

RESERVED

4104H

WRITE PROTECTION (INTEL)

4102H

RESERVED

4100H

CARD STATUS (INTEL)

4004H - 40FEH

RESERVED

4002H

GLOBAL RESET-PWRDWN (PCMCIA)

4000H

SOFT RESET (PCMCIA)

290434-2
INTEL
PCMCIA

=
=

Performance Enhancement Register
Defined InPCMCIA Release 2.0

Figure 3_ Component Management Registers Allow S/W Control of Components within Card
6-43

intel®

SERIES 2 FtASH MEMORY CARDS

ADDRESS DECODE
Address decoding provides the decoding logic for
the 2 to 20 Device Chip Enables and the elements of
the Attribute Memory Plane. REG# selects between
the Common Memory Plane (REG # =. VI H) and the
Attribute Memory Plane (REG# = Vlt>.

NOTE:
The Series 2 Card has active address inputs Ao to ,
A24 implying that reading and writing to addresses
beyond 32 Megabytes causes wraparound. Furthermore, reads to illegal addresses (for example, between 20 and 32 Meg on a 20 Megabyte card) returns OFFFFh data.
The 2SFOOSSA devices, storing data, applications or
firmware, form the Common Memory Plane accessed individually or as device pairs. Memory is linearly mapped in the Common Memory Plane. Three
memory access modes are available when accessing the Common Memory Plane: Byte-Wide, Word
Wide, and Odd-Byte modes.
Additional decoding selects the hardwired PCMCIA
CIS and Component Management Registers
mapped in the Attribute, Memory Plane beginning at
address OOOOOOH.

6-44

The 512 memory7mapped even-byte CMRs arelin~
early mapped beginning at address 4000H in the Attribute Memory Plane.

DATA CONTROL
Data Control Logic selects the path and direction for
accessing the Common or Attribute Memory Plane.
It controls any of the PCMCIA-defined Word-Wide,
Byte-Wide or Odd-Byte modes for either'reads or
writes to these areas. As shown in Table 3, input
pins which determine these selections are REG#,
AO through A24, WE#, OE#, CE1#, and CE2#.
PCMCIA specifications allow only even-byte access
to the Attribute Memory Plane.
In Byte-Wide mode, bytes contiguous in software actuallyalternate between two device blocks of a device pair. Therefore, erasure of one device block
erases every other contiguous· byte. In accordance
with tl')e PCMCIA standard for memory configuration,
the Series 2 Card does. not support confining contiguous bytes within one flash device when in by-S
mode.

intel®

SERIES 2 FLASH MEMORY CARDS
Table 3. Data Access Mode Truth Table

Function Mode

REG# CE#2 CE#1 Ao OE# WE#

VPP2

VPP1

D15-D8

D7-DO
,

COMMON MEMORY PLANE
STANDBy(1)

X

H

H

BYTE READ

H

H

L

L

H

H

L

H

WORD READ

H

L

L

X

ODD-BYTE READ

H

L

H

X

BYTE
, WRITE

H·

H

L

H

H

L

WORD WRITE

H

L

L

X

ODD-BYTE WRITE

H

L

H

X

L

L

X

VPPL(2) VPPL(2)

HIGH-Z

HIGH-Z

L

H

VPPL(2) VPPL(2)

HIGH-Z

EVEN-BYTE

L

H

VPPL(2) VPPL(2)

HIGH-Z

ODD-BYTE

L

H

VPPL(2) VPPL(2)

ODD-BYTE

EVEN-BYTE

L

H

VpPl.(2) Vppd2)

ODD-BYTE

HIGH-Z

L

H

L

VPPH

VPPH

x

EVEN-BYTE

H

H

L

VPPH

VPPH

X

ODD-BYTE

H

L

VPPH

ODD-BYTE

EVEN-BYTE

H

L

ODD-BYTE

X

L

H

X(2)

X(2)

1'-llGH-Z

EVEN-BYTE

X(2)

X

X

VPPH
VPPH VPPL(2)

ATTRIBUTE MEMORY PLANE
BYTE READ

L

H

L

H

L

H

L

H

X(2)

HIGH-Z

INVALID

WORD READ

L

L

L

X

L

H

X(2)

X(2)

INVALID
DATA(3)

EVEN-BYTE

ODD-BYTE READ

L

L

H

X

L

H

X(2)

X(2)

INVALID
DATA(3)

HIGH-Z

BYTEWRITE·

L

H

L

L

H

L

X(2)

X(2)

X

EVEN-BYTE

L

H

t.

H

H

L

X(2)

X(2)

X

INVALID
OPERATION(3)

WORD WRITE

L

L

L

X

H

L

X(2)

X(2)

INVALID
OPERATION(3)

EVEN-BYTE

ODD-BYTE WRITE

L

L

H

X

H

L

X(2)

X(2)

INVALID
OPERATION(3)

X

NOTES:
1. Standby mode is valid in Common Memory or Attribute Memory access.
2. To meet the low power specifications, Vpp = VPPL; however VPPH presents no reliability problems.
3. Odd-Byte data are not valid during access to the Attribute Memory Plane.
4. H = VIH, L = VIL, X -:i Don't Care.

6-45

I

I
.\

PRINCIPLES OF OPERATI9N

HARDWIRED.. CIS

l,nte!'s'Seri~s 2 Fh:1sh Memory Card provides 'electrinon,volatile, random-access storage. ,
,Individual 28F.008SA devices utilize a Command
User Interface' ,(CUI) ,and Write State Machine
(WSM) to simplify bioqk"eras\.lre and data write oper~
ations.

The card's structure description resides in the even~
byte locations starting atOOOOHand going to the
CIS en~ing tuple (FNULL)within the Attribute M~mo­
ry Plane.' Data included in the hardwired CIS consists of tuples. Tuples are a variable-Ie",gthlist of
data blocks describing 'details such as manufacturer's hame, the size of each memory device and the
number of flash devices within the card.

cally~alterable,

,COMMON MEMORY ARRAY
Figure 4 ,shows the Common Memory Plane's organization.The first block pair (64 KWords) of Common Memory, referred to, as the Common Memory ,
Card Information Structure Block, optionally extends
the hardwired CIS in the Attribute Memory Plane for
additional card information. This may be written during initial card formatting fot OEM customization~
Since this CIS Block is part of Common Memory, it!\
data can be altered. Write access to the Common
Memory CIS Block is controlled by the Write Protect
Control Register which may be activated by system
software after power-up. Additionally, \he entire
Common Memory plane (minus the Common Memory CIS Block) may be'software write protected. Note
that the Cominon Memory CIS Block is not part of
the Attribute Memory Plane. Do not bsert REG# to
access the Common Memory CIS Block.

Device Pair 9 '

COMPONENT MANAGEMENT
REGISTERS (CMRs) ,
The CMRs in the Attribute Memory Plane provide
special, software-controlled functionality. Card Con~
trol Logic includes circuitry to access the CMRs.
REG (PCMCIA, pin 61) selects the Attribute Memory
Plane (and therefore 'the CMRs) when eq\.lal to VIL.
CMRs are' classified into two categories: those defined by PCMCIA R2.0 and those included by Intel
(referred to as Performance' Enhancement Registers) to enhance the interface between the host System and the card's .flash memory array. CMRs (See'
,Figure 3) provide seven control functions-ReadyBusy Interrupt Mode, Device Ready-Busy Status,
Device Ready-Busy Mask, Reset-PowerDown Con~
trol, Software-controlled Write' Protection, Card
Status and Soft Reset.

SOFT RESET REGISTER (PCMCIA)
(CONFIGURATION OPTION)
The SOFT RESET REGISTER (Attribute Memory
Plane Address 4000H, Figure 5) is defined in the
PQMCIA Release 2.0 specification as tlie Configuration ,Option Register.,
Bit 1 is the soft reset bit (SRESE'l). Writing a 1 to,
this bit initiates card reset to the power-on default
state (see Side Bar page 11). This bit must be
cleared to use the CMRs or to access the devices.

Figure 4. Common Memory Plane. Use
the Optional Common Memory Plane
CIS for Custom Card Format'lnformation.

SRESET implements in software what the reset pin
il1,'lplements in hardware. On power-up, the card automiltically assumes default conditions. Similar to
the reset pin (pin 58), this bit clears at the end of a
power-on reset cycle or a system reset cycle.
Bits 0 through 6 are not used by this memory card,
but power up as zeroes for PQMCIA compatibility;

(.

SER,ES 2 FLASH MEMORY CARDS

SOFT RESET REGISTER
(CONFIGURATION OPTION REGISTER)
(Read/Write Register)
ADDRESS

BIT 7

4000H

SRESET

1 = RESET, CLEAR TO ACCESS CARD

Figure 5. SOFT RESET REGISTER (PCMCIA). Sets the Memory Card In the Power-On Default State.

Global Power Down Register (PCMCIA)
(Configuration and Status)
The Global Reset-PowerDown Register (Attribute
Memory Plane Address 4002H, Figure 6) is referred
to as the Configuration and Status Register in the
PCMCIA Release 2.0 specification.
Bit 2 (RP) controls global card power-down. Writing
a 1 to this bit places each device within the card into
"Deep-Sleep" mode. Devices in Deep·Sleep are not
accessible. Recovery from power·down requires
500 ns for reads and 1 /Ls for writes,
The RP bit defaults to 0 on card power·up or reset.
Setting or clearing this bit has no affect on the bit
settings of the Sleep Control Register.
The remaining Global Reset·PwrDwn Register bits
are defined for Intel's family of I/O cards and are
driven low for compatibility.

GLOBAL RESET-POWER-DOWN REGISTER
(CONFIGURATION AND STATUS REGISTER)
(Read/Write Register)
1 = POWER OOWN

Figure 6. GLOBAL RESET-PWRDWN REGISTER (PCMCIA). The RP
Bit Enables Reset PowerDown of All Flash Memory Devices.

6-47

SERIES 2 FLASH MEMORY CARDS

CARD STATUS REGISTER
(Read Only Register)
ADDRESS

BIT?

BIT6

BIT5

BIT4

BIT3

BIT2

BIT 1

BIT 0

4100H

ADM

ADS

SRESET

CMWP

RP

CISWP

WP

RDY/BSY#

Figure 7. CARD STATUS REGISTER (Intel) Provides a Quick Review of the Card's Status

CARD STATUS REGISTER (INTEL)
The Read-Only, CARD STATUS REGISTER (Attribute Memory Plane Address 4100H, Figure 7) returns generalized status of the Series 2 Card and its
CMRs.
Bit 0 (RDY/BSY#) reflects the card's RDY/BSY#
(Ready-Busy) output. Software polling of this bit provides data-write or block-erase operation status. A
zero indicates a busy device(s) in the card.
Bit 1 (WP) reports the position of the card's Write
Protection switch with 1 indicating write protected. It
reports the status of the WP pin.
Bit 2 (CISWP) reflects whether the Common Memory CIS is write protected using the WRITE PROTECT
REGISTER, with 1 indicating write protected.
Bit 3 (RP) reports whether the entire flash memory
array is in "Deep-Sleep" (Reset-PwrDwn) mode,
with 1 indicating "Deep-Sleep". This bit reflects the
RP bit of the GLOBAL RESET-POWERDOWN REGISTER: Powering down al/ device pairs individually
(using the Sleep Control Register), also sets this bit.
Bit 4 (CMWP) reports whether the Common Memory
Plane (minus Common Memory CIS) is write protected via the WRITE PROTECT REGISTER with 1 indicating write protected.
Bit 5 (SRESET) reflects the SRESET bit of the SOFT
RESET REGISTER. It reports that the card isin Soft

6-48

Reset with 1 indicating reset. When this bit is zero,
the flash memory array and CMRs may be accessed, otherwise clear it via the SRESET REGISTER.
Bit 6 (ADS, ANY DEVICE SLEEP) is the "ORed"
value of the SLEEP CONTROL REGISTER. Powering down any device pair sets this bit.
Bit 7 (ADM, ANY DEVICE MASKED) is the "ORed"
value of the READY IBUSY MASK REGISTER.
Masking any device sets this bit.

WRITE PROTECTION REGISTER
(INTEL)
The WRITE PROTECTION REGISTER (Attribute
Memory Plane Address 4104H, Figure 8) selects
whether the optional Common Memory CIS and the
remaining Common Memory blocks are write protected (see Figure 4).
Enable Common Memory CIS write protection by
writing a 1 to the CISWP Bit (bit 0).
Enable write protection of the remaining Common
Memory blocks by writing a 1 to the CMWP Bit (bit
1).
In the power-on default state, both bits are 0, and
therefore not write protected.
Reserved bits (2-7) have undefined values and
should be written as zeroes for future compatibility,

SERIES 2 FLASH MEMORY CARDS

I
ie

'j

WRITE PROTECTION REGISTER
(Read/Write Register)

1

~

I

WRITE PROTECT

Figure 8. WRITE PROTECTION REGISTER (Intel) Eliminates Accidental Data Corruption

SLEEP CONTROL REGISTER (INTEL)
Unlike the GLOBAL RESET·POWERDOWN REGIS·
TER, which simultaneously resets and places all
flash memory devices into a Deep·Sleep mode, the
SLEEP CONTROL REGISTER (Attribute Memory
Plane Address 4118H-411AH, Figure 9) allows selective power·down control of individual device pairs.
Writing a 1 to a specific bit of the SLEEP CONTROL
REGISTER places the corresponding device pair
into the "Deep·Sleep" mode. Devices in Deep-Sleep
are not accessible. On cards with fewer than
20 Megabytes (10 device pairs), writing a one to an
absent device pair has no affect and reads back as
zero.
This register contains all zeroes (i.e., not in Deep·
Sleep mode) when the card powers up or after a
hard or soft reset. Furthermore, the Global Reset·
PowerDown Register has no affect on the contents
of this register. Therefore, any bit settings of the

Sleep Control Register will remain unchanged after
returning from a global reset and power down (writ·
ing a zero to the RP bit of the Global Reset·PowerDown Register).

READY-BUSY STATUS
REGISTER (INTEL)
The bits in the Read-only, READY-BUSY Status
Register (Attribute Memory Plane Address 4130H4134H, Figure 10) reflect the status (READY = 1,
BUSY = 0) of each device's RY /BY # output. A busy
condition indicates that a device is currently processing a data-write or block-erase operation.
These bits are logically "AND-ed" to form the
Ready/Busy output (ROY /BSY #, pin 16) of the
PCMCIA interface. On memory cards with fewer
than 20 devices, unused Device RY /BY # Status
Register bits appear as ready.

SLEEP CONTROL REGISTER
(Read/Write Register)

1 = SELECTED DEVICE PAIR IN POWER-DOWN MODE AND RESET

Figure 9. SLEEP CONTROL REGISTER (Intel) Allows Specific
Devices to be Reset and Put into Power-Down Mode

6-49

I
I

'I
!'

SERIES 2 FLASH MEMORY CARDS

READY-SUSYSTATUS REGISTER
(Read/Write. Register)

4132H

4130H

DEVICE

DEVICE

DEVICE

15

14

13

12

11

10

DEVICE

DEVICE

DEVICE

DEVICE

DEVICE

DEVICE

7

6

5

4

3

2

1 = DEVICE READY, 0

=

DEVICE

DEVICE

8
DEVICE

DEVICE

o

DEVICE BUSY

Figure 10. READY-BUSY STATUS REGISTER (Intel) Provides
Operation Status of All Flash Memory Devices

In an unmasked condition (MASK REGISTER bits =
O),any device RY /BY # output going low pulls the
card's ROY /BSY # output to VIL (BUSY). In this
case, all devices must be READY to allow the card's
ROY /BSY # output to be ready (VIH). This is referred
to as the PCMCIA READY-BUSY MODE. An alternate typ~ of READY-BUSY function is described in
thf/ next section, READY-BUSY MODE REGISTER.

READY-BUSY MASK REGISTER
(INTEL)
The bits of the Read/Write READY-BUSY MASK
REGISTER (Attribute Memory Plane Address
4120H-4124H, Figure 11) mask out the corresponding "AND-ed" READY-BUSY STATUS REGISTER
bits from the PCMCIA data bus (ROY /BSY #, pin 16)
and the CARD STATUS REGISTER RDY/BSY# Bit
(bit 0).

READY-BUSY MASK
(Read/Write Register)

19
4122H

4120H

15

14

DEVICE

DEVICE

7

6

11

13

5

4

3

18

17

16

DEVICE

DEVICE

DEVICE

10

9

8

DEVICE

DEVICE

DEVICE

2

1 = MASK ENABLED
Figure 11. READY-BUSY MASK REGISTER (Intel) Essential for Write Optimization

6.-50

0

SERIES 2 FLASH MEMORY CARDS
If the READY-BUSY MASK REGISTER bits are set
to ones (masked condition), the RDY /BSY # output
and the CARD STATUS REGISTER RDY/BSY# bit
will reflect a READY condition regardless of the
state of the corresponding devices. The READYBUSY MASK REGISTER does not affect the
READY-BUSY STATUS REGISTER allowing software polling to determine operation status.
Unmasked is the default condition for the bits in this
register. On memory cards with fewer than 20 devices, unused device mask bits appear as masked.

READY-BUSY MODE REGISTER
(INTEL)
The READY-BUSY MODE REGISTER (Attribute
Memory Plane Address 4140H, Figure 12) provides
the selection of two types of system interfacing for
the busy-to-ready transition of the card's
RDY /BSY # pin:
1. The standard PCMCIA READY-BUSY MODE, in
which the card's RDY /BSY # signal generates a
low-t,o-high transition (from busy to ready) only
after all busy devices (not including masked
devices) have completed their data-write or blockerase operations. This may result in a long interrupt latency.
2. A High-Performance mode that generates a lowto-high (from busy-to-ready) transition after each
device becomes' ready. This provides the host

system with immediate notification that a specific
device's operation has completed and that device may now be used. This is particularly useful
in a file management application where a block
pair, containing only deleted files, is being erased
to free up space so new file data may be written.'
Enabling the HIGH-PERFORMANCE READY-BUSY
MODE requires a three step sequence:
1. Set all bits in the READY/BUSY MASK REGISTER. This prevents ready devices from triggering
an unwanted interrupt when step 3 is performed.
2. Write 01 H to the READY-BUSY MODE REGISTER. This sets the MODE bit.
3. Write 01H to the READY-BUSY MODE REGISTER. This clears the RACK bit.
The MODE and RACK bits must be written in the
prescribed sequence, not simultaneously. The
card's circuitry is designed purposely in this manner
to prevent an initial, unwanted busy-to-ready transition. Note that in Step 2, writing to the RACK bit is
a Don't Care.
When the High-Performance Mode is enabled, specific READY-BUSY MASK bits must be cleared after
an operation is initiated on the respective devices.
After each device becomes ready, the RDY IBSY #
pin makes a low-to-high transition. To catch the next
device's. completion of an operation, the RACK bit
must be cleared.

READY-BUSY MODE REGISTER
(Read/Write Register)

MODE = READY-BUSY MODE
PCMCIA MODE
1 = HIGH PERFORMANCE

o=

RACK = READY ACKNOWLEDGE CLEAR TO
SET UP RDY IBSY'" PIN, THEN CLEAR AFTER
EACH DEVICE BECOMES READY TO ACKNOWLEDGE TRANSITION.

Figure 12. High Performance Ready-Busy Mode REGISTER (Intel)
Used to Trigger a Ready Interrupt for Each Device

6-51

I

SERIES 2 FLASHMEMORV CARDS

PRINCIPLES OF DEVICE OPERATION
Individual 28F008SA devices include a Command
User Interface (CUI) and a Write State Machine
(WSM) to manage write and erase functions in each
device block.
The CUI serves as the device's interface to the Card
Control Logic by directing commands to the appropriate device circuitry (Table 4). It allows for fixed
power supplies during block erasure and data writes.
The CUI handles the WE# interface into .the device
data and address latches, as well as system software requests for status while the WSM is operating.

I

The CUI·· itself does not occupy an addressable
memory locatioh. The CUI provides a latch used to
store the command and address and data information needed to execute the command. Erase Setup
and Erase Confirm commands require bothappropri"
ate command data and an address within the block
to be erased. The Data Write Setup command requires both appropriate command data and the address of the locati.on to be written, while the Data
Write command consists of the data to be written
and the address of the location to be written.

The CUI initiates flash memory writing and erasing
operations only when Vpp is at 12V; Depending .on
the applic?ltion, the system designer may choose to
make the Vpp power supply switchable (available
when writes and erases are required) or hardwired to
VPPH. When Vpp = VPPL, power savings are incurred and memory contents cannot be altered. The
CUI architecture provides protection from unwanted
write and erase operations even when high voltage
is applied to Vpp. Additionally, all functions are disabled whenever Vcc is below the write lockout voltage VLKO, or when the card's Deep-Sleep modes
are enabled. The WSM automates the writing and
erasure of blocks within a device. This on-chip state
machine controls block erase and data-write, freeing
the host processor for other tasks. After receiving
the Erase Setup and Erase Confirm commands from
the CUI, the WSM controls block-erase, Progress is
monitored via the device's status register, the card's
control logic, and the ROY IBSY# pin of the
PCMCIA interface. Data-write is similarly controlled,
after destination address and expected data are
supplied.

Table 4. Device Command Set
First BusCcyle
Second Bus Cycle
Bus
Cycles
Data
Data
Addr(2)
Operation Addr(2)
Req'd Operation
xS Mode x16 Mode
xSMod.e x16Mode

28F008SA Command(1)

Read Array/Reset

1

Write

DA

FFH

FFFFH

Intelligent Identifer

3

Write

DA

90H

9090H

Read

IA

110(3)

IID(3t

Read Device Status Register

2

Write

DA

70H

7070H

Read

DA

SRD(4)

SRD(4)

Clear Device Status Register

1

Write

DA

50H

5050H

Erase Setup/Erase Confirm

2

Write

BA

20H

2020H

Write

BA

DOH

DODOH

Erase Suspend/
Erase Resume

2

Write

DA

BOH

BOBOH

Write

DA

DOH

DODOH

Write Setup/Write

2

Write

WA

40H

4040H

Write

WA

WD(5)

WD(5).

WA

WD(5)

WD(5)

Alternate Write Setup/Write(6)

2.

Write

WA

10H

1010H

Write

NOTES:
1. Commands other than those shown above are reserved by Intel for future device implementations and should not be
used.
2. DA = A device-level (or device pair) address within the card.
BA = Address within the block of a specific device (device pair) being erased.
WA = Address of memory location to be written.
IA = A device-level address; OOH for .manufacturer code, 01 for device code.
3. Following the intelligent identifier command, two read operations access manufacturer (B9H) and device codes (A2H).
4. SRD = Data read from Device Status Register.
.
.,
~
5. WD = Data to be written at location WA. Data is latched on the riSing edge of WE#.
6. Either 40H or 10H are recognized by the WSM as the Write Setup command.
I

6-52

Intale
COMMAND DEFINITIONS
Read Array (FFH) Upon initial card power-up. after exit from the DeepSleep modes, and whenever illegal commands are
given, individual devices default to the Read Array
mode. This mode is also entered by writing FFH into
the CUI. In this mode, microprocessor read cycles
retrieve array data. Devices remain enabled for
reads until the CUI receives an alternate command.
, Once the internal WSM has started a block-erase or
data-write 9peration within a device, that device will
not recognize the Read Array command until the
WSM has completed its operation (or the Erase Suspend command.is issued during erase).

Intelligent Identifier (90H) After executing this command, the intelligent identifier values can be read. Only address Ao of each device is used in this mode, all other address, inputs
lire ignored [(Manufacturer code = 89H for Ao =
0), (Device code = A2H for Ao = 1»). The device
will remain in this mode until the CUI receives anoth- .
er command.
This information is useful by system software in determining what type of flash memory device is contained within the card and allows the correct matching of device to write and erase algorithms. System
software that fully utilizes the PCMCIA specification
will not use the intelligent identifier mode, as this
data is available within the Card Information Structure (refer to section on PCMCIA Card Information
Structure).

Read Status Register (70H)
After writing this command, a device read outputs
the contents of its Status Register, regardless ,of the
address presented to that device. The contents of
this register are latched oil the falling edge of, OE # ,
CEl # (and/or CE2#), whichever occurs last in the
read cycle. This prevents possible bus errors which
might occur if the contents of the Status Register
changed while reading its contents. CEl # (and
CE2 # for odd-byte or word access) or OE # must be
toggled with each subsequent status read, or the
completion of a write or erase operation will not be
evident. This command is executable while the
WSM is operating, however, during a block-erase or
data-write operation, reads from the device will auto-

SERIES 2 FLASH MEMORY CARDS

matically return status register data. Upon completion of that operation, the device remains in the
Status Register read mode until the CUI receives
another command.
The read Status Register command functions when
Vpp = VPPL or VPPH.

Clear Status Register (SOH)
The Erase Status and Write Status bits may be set
to "1"s by the WSM and can only be reset by the
Clear Status Register Command. These bits indicate
various failure conditions. By allowing system' software to control the resetting of these bits, several
operations may be performed (such as cumulatively
writing several bytes or erasing multiple blocks in
sequence). The device's Status Register may then
be polled, to determine if an 'error occurred during
that sequence. This adds flexibility to the way the
device may be used.
Additionally, the Vpp Status bit (SR.3) MUST be reset by system software (Clear Status Register command) before further block-erases are attempted
(after an error).
'
The Clear Status Register command functions when
Vpp = VPPL or VPPH. This command puts the device
, in the Read Array mode.

Write Setup/Write
A two-command sequence executes a data-write
operation. After the system switches Vpp to VPPH,
the write setup command (40H) is written to the CUI
of the appropriate device, followed by a second
write specifying the address and write data (latched
on the rising edge of WE#). The device's WSM controls the data-write and write verify algorithms internally. After receiving the two-command write sequence, the device automatically outputs Status
Register data when read (see Figure 13). The CPU
detects the completion of the write operation by analyzing card-level or device-level indicators. Cardlevel indicators include the ROY /BSY # pin and the
READY-BUSY STATUS REGISTER; while devicelevel indicators include the specific device's Status
Register. Only the Read Status Register command
is valid while the write operation is active. Upon
completion of the data-write sequence (see section
on Status Register) the device's Status Register reflects the result of the write operation. The device
remains in the Read Status Register mode until the
CUI receives an alternate command.

6-53

SERIES
2 F1.ASHMEMORY CARDS
..
'

(

Er.~ Setup/Erase Confirm
Co."ma,nds (20H)' ,
"
Within a device,a two-command sequence initiates
an erase operation on one device block at a time.
After the system SWitches Vpp to VpPH, an Erase
Setup command (20H) prepares the CUI for the
Erase Confirm command (DOH). The device's WSM
controls the erase algorithms internally. After receiving the two-command erase sequence, the device
automatically. outputs Status Register data when
read (see Figure 14). If, the command after erase
setup. is not an Erase Confirm command, the CR
setS the Write Failure !lnd Erase Failure bits of the
StatUs Register, places the device into the Read
Status Register mode, and waits for anbther command. The Erase Confirm command enables the
WSMfor erase (simultaneously closing the address
latches for that device's block (A16-A19)' The CPU
detects the completion of the erase operation by analyzing card-level or device-level" indicators. Cardlevel indicators include the RDY/BSY pin and the
READY-BUSY STATUS RE~ISTER; While devicelevel 'indicators include the sPecific device's Status
Register. Only the Read 'Status Register and Erase
Sus~nd command is valid during an active erase
operation. Upon completion of the erase sequence
(see section on Status Register) the device's Status
, Regi~ter reflects the result of the erase operation.
The',devlce' remains in the Read Status Register
mOde until the CUI receives an alternate command.
The ,two-s~ep block-erase sequence ensures that
memory contents ai'e not aCCidentally erased. Erase
'attempts while VPPL < Vpp< VPPH produce spurious results and are not recommended. Reliable
bloekerasure only occurs when Vpp = VPPH. In the
absence of this Voltage, memory contents are protec:t$d against erasure. If block e,rase is attempted
while Vpp = VPPL, the Vpp Status bit will be set to
u1".'
When erase completes, the Erase Status bit should
be checked. If an erase error is detected, the devic&'s l?tatus Register should be cleared. The CUI
remltlne in Read Status Register mode until repeivIng an alternate command.

6-54,

Erase Suspend (BOH)/Erase, Resume ' .
(DOH)
Erase Suspend allows block', eraSeintel'ruption .to
read data from another block of the device or to
temporarily conserve power for another system op~ .
eration. Once the erase process starts, writing the
Erase Suspend command to the CUI (see Figure 15)
requests the WSM to suspend the erase sequence
,at a predetermined point i~ the erase algorithm. In
the erase suspend state, the device continues to
output Status Register data· when read.
Polling the device's RY IBY # and Erase Suspend
Status bits (Status Register) will determine when the
erase suspend mode is valid. It is important to note
that the card's ROY IBSY #, pin will also transition to
VOH and will generate an interrupt if this pin is connected ,to a system-level interrupt. At this point, a
Eiead Array command can be written to the device's
CUI to read data from blocks other than those
which are suspended. The only other valid commands at this time are Read Status Register (70H)
and Erase Resume (DOH). If Vpp goes low during
Erase Suspend, the Vpp Status bit is' se,t in the
Status Register and the erase operation is aborted.
The Erase Resume command clears the Erase Suspend state and allows the WSM to continue with the
erase op-eration. The device's RY/BY# Status and
Erase Suspend Status bitS and the card's READYBUSY Status Register are automatically lipdated tei
reflect the erase ,resume condition. The card's ROY I
BSY# pin also returns to VOL.

Invalid/Reserved
These are unassigned commands having the same
effect. as the Read Array command. Do not issue
any command other than the valid commands specified above. Intel reserves the right to redefine these
.
codes for future functiOnS.

SERIES 2 FLASH MEMORY CARDS

DEVICE STATUS REGISTER

Bit 5-Erase Status

Each 28F008SA device in the Series 2 Card contains a Status Register which displays the condition
of its Write State Machine. The Status Register is
read at any time by writing the Read Status command to the CUI. After writing this command, all subsequent Read operations output data from the
Status Register, until another command is written to
the CUI.

This bit will be cleared to 0 to indicate a successful
block-erasure. When set to a "1", the WSM has
been unsuccessful at performing an erase verification. The device's CUI only resets this bit to a "0" in
response to a Clear Status Register command.

Bit 7-WSM Status
This bit reflects the Ready/Busy condition of the
WSM. A "1'~ indicates tl1at read, block-erase or
data- write operations are available. A "0" indicates
that write or erase operations are in progress.

Bit 4-WrlteStatus
This bit will be cleared to a 0 to indicate a successful
data-)Nrite operation. When the WSM fails to write
data after receiving a write command, the bit is set
to a "1" and can only be reset by the CUI in response to a Clear Status Register command.

Bit 3-Vpp Status
Bit 6-Erase Suspend Status
If an Erase Suspend command is issued during the
erase operation, the WSM halts execution and sets
the WSM Status bit and the Erase Suspend Status
bit to a "1". This bit remains set until the device
receives an Erase Resume command, at which point
the CUI resets the WSM Status bit and the Erase
Suspend Status bit.

During blOck-erase and data-write operations, the
WSM monitors the output of the device's internal
Vpp detector. In the event of low Vpp, the WSM sets
("1 ") the Vpp Status bit, the status bit for the operation in progress (either write or erase). The CUI resets these bits in response to a Clear Status Register command. Also, the WSM RY /BY bit will be set
to indicate a device ready condition. This bit MUST
be reset by system software (Clear Status Register
command) before further data writes or block erases
are attempted.

'*

DEVICE STATUS REGISTER
b7

b6

b5

b<4

b3

b2

bl

1
1

1

1
1

1

1

1
1
1

1
1

bO

1
reserved

reserved

reserved

I.

1

Vpp Status
1 Write Status
Erase Status

Erase Suspend status

WSW Status

290434-16

6-55

I
il

SERIES 2 FLASH.MEMORY CARDS

Bus
Operation

Command

x8Mode

x18M~

Write

Write Setup

Write

'Data Write

Data'= 40H
, Addre$s = Byte ,
Within Card to be
WrittEin
Data 10 be Written
Address = ByteWithin Card to be
Written

Data =' 4040H·
Address = Word
Within Card 10 ~
Written
Data 10 be Written
Address = Word
Within Card 10 be
Written

Read

Status Register
Status Register
Defaults to
DeviceSta- Data. Toggle'OE", Data. ToggleOE# or
tus Register CE1#or CE2#to
(CE1# andCE2#)
Read Mode
updaie status
to update Status
Register
Registers

.'

Standby

Check SR Bit 7
1 = Ready,
0= Busy

CheckSRBIts
7 and 15
1 = Ready,
0= Busy

x8Mode

x18Mode

Check SR Bit 3

CheckSR Bits
3 and 11
1 = Vpp Detected

290434-17

FULL STATUS CHECK
PROCEDURE
Bus
Cpmmand
Operation

Vpp Range(5)

Error

Standby

t = Vpp Detected
. Low

Low

Data Writ.(6)
Error
Standby

CheckSR BH4
1 = 'Data Wr"e Error

Check SR Bits
4 and 12
1 = Data Write Error

290434-18

Figure 13. Device-Level Automated Wrlt,e Algorithm
NOTES:
1. Repeat fo~ subsequent data writes.
2. In addition, the card's R\=ADY-BUSY STATUS REGISTER or the RDY/BSY# pin may be used.
3. Full device-level status check can be done after each data write or after a sequence of data writes.
4. Writ~ FFH (or FFFFH) after the last data write operation to reset the device(s) to Read Array Mode.
5. If a data write operation fails due to a low Vpp (setting SR Bit 3), the Clear Status Register command
.
before further attempts·are allowed by the Write State Machine..
6. If a data write operation fails during a multiple write sequence, SR Bit 4 (Write Status) will not be
Command User Interface receives the Clear Status Register command.

6.56

MUST be. issued
.
cleared until the
. .

SERIES 2 FLASH MEMORY CARD,S

Bua

Command

xBMocIe

xlBMode

Write

Erase'
Setup

Date = 20H
Address = Block
Within Card to be
Erased

Date = 2020H
AddteS6 = Block Pair
Within Card to be
Erased

Write

Erase

Date = DOH
Address = Block
Within Card to be
Erased

Date = DODOH
Address = Block
Pair Within Card to
be Erased

Operation

Suspend Erese

loop

Read

YES

Delaultsto
Stetus Register
DeviceSia- Date. Toggle OE.oo,
tus Register CEl # OrCE2.oo to
Read Mode
update Stetus
Register.

Stendby

Check SR Bit 7
1 = Ready,
0= Busy

Stetus Register
Date. Toggle OE # or
(CE1.oo andCE2.oo)
\0 update Stetus
Register
Check SR Bits 7 and 15
1 = Ready,
0= Busy

290434-19

FULL STATUS CHECK PROCEDURE
Bus
Command
Operation

xBMode

x16Mode

CheckSRBil3
1 = Vpp Detected
Low

Check SR Bits
3 and 11
Either Bit 1 = Vpp
Detected Low

Vpp Rang.(5)

Error

Standby

Command Sequence

Error

Stendby

Check SR Bits 4 and 5 Check SR Bits 4, 5,
Both 1 = Command
12,13
Sequence Error
Alii = Command
Sequence Error

Block Era ••(6)

Error

Standby

Check SR Bit 5
1 = Block Erase
Error

Check SR Bits
5 and 13
Both 1 = Block
Er~e Failure

290434-20

Figure 14: Device-level Automateci Erase Algorithm
NOTES:
1. Repeat for subsequent data writes.
2. In addition, the card's READY-BUSY STATUS REGISTER .or the RDY/BSY# pin may be used.
3. Full device-level status check can be done after each block erase or after a sequence of block erases.
4.. Write FFH (or FFFFH) after the last block erase operation to reset the device(s) to Ready Array Mode.
5. If a block erase operation fails due to a low Vpp (setting SR Bit 3), the Clear Status Register command MUST be issued
before further attempts are allowed by the Write State Machine.
6. If a block erase operation fails during a multiple block erase sequence, SR Bit 4 (Write Status) will not be cleared until the
Command User Interlace receives the Clear Status Register command.

6-57

' .

,

SERIES 2 FLASH MEMORY CARDS

Sua
, Operallon
Write

COmmand

x8Mode

x18Mode

Suspend
Erase

Data = BOH.
Address = Desired
Block to Erase
Suspend

Data' - BOBOH.
Address ,i., Desired
BlOck P8Ir to Erase
Suspend

Status Register
Data. Toggle OE#.
CE,# orCE2# to
update Status
Register

Status Register
Data. ToggleOE# or
(CE,# andCE2#)
to updata Status
Register

Check SR Bit 7
1 = Ready.
0= Busy

Check SR'Slt7 and 15
1 = Ready.
0= Busy

CheckSR Bit 6
1 = Suspended.
o = In Progress

Check SR Bit 6 and 14
1 = Suspended.
o = In Progress

Read'

Standby
\

Standby

Write

RdArray
Cmd

Data

Erase
Resume

Data = FFFFH

Read Data
until finished

Read Data
until finished

Data = DOH.
Address = Valid
, Block Address.

Data = DOOOH.
Address = Valid
Block Pair Address,

Read

Write

= FFH

Figure 15. Erase Suspend/Resume Algorithm. Allows Reads to Interrupt Erases.

POWER

CONSUMPTIO~

STANDBY MODE
In mostapplications, software will only be acc~ssing
one device pair at a time. The Series 2 Card IS defined to be in ,the standby mode when one device
pair is in the Read Array Mode while the rem8:ining
devices are in the, Deep-Sleep Mode. The Sarles 2
Card's CEI "", and CE2"" input signals must also be
at VIH.ln standby mode, much of the card's circuitry
is shut off,substantially reducing power consumption. Typical power consumption for a 20 Megabyte
Series 2 card in standby mode is 65 MA.

SLEEP MODE
Writing a "1" to the PWRDWN 'bit of the GLOBAL
POWERDOWN REGISTER places all FlashFile
Memory devices into a Deep-Sleep mode. This disables most of the 28F008SA's circl,Jitry and reduces
. current consumption to 0.2 p.A per device. Additionally when the host system pulls ASIC control logic
high and latches all address and data lines (Le., not
toggling), the card's total current draw is reduced to
approximately 5 p.A (CMOS input levels) for a 2?
Megabyte card. On writing a "0" to the PWRDWN bit
(Global PowerDown Register) or any individual device pair (Sleep Control Register). a Deep-Sleep
mode recovery period must be ,allowed for
28F008SA de'vice circuitry to power bliCk on.

SERIES 2 FLASH MEMORY CARDS

I
~

'",.,'.'

SYSTEM DESIGN CONSIDERATIONS
POWER SUPPLY DECOUPLING

Flash memory power-switching characteristics require careful device decoupling. System designers
are interested in three supply current issues-standby, active and transient current peaks, produced by
rising and falling edges of CEl # and CE2#. The
capacitive and inductive loads on the card and internal flash memory device pairs determine the magnitudes of these peaks.
The Flash Memory Card features on-card ceramic
decoupling capacitors connected between Vee and
GND, and between VPP1IVPP2 and GND to help
transient voltage peaks.
On the host side, the card connector should also
have a 4.7 J-LF electrolytic capacitor between Vee
and GND, as well as between VPP1IVPP2 and GND.
The bulk capacitors will overcome voltage slumps
caused by printed-circuit-board trace inductance,
and will supply charge to the smaller capacitors as
needed.

POWER UP/DOWN PROTECTION
Each device in the Flash Memory Card is designed
to offer protection against accidental erasure or writing, caused by spurious system-level signals that
may exist during power transitions. The card will
power-up into the Read Array Mode.
A system designer must guard against active writes
for Vee voltages above VLKO when Vpp is active.
Since both WE# and CEl # (and/or CE2#) must be
low for a command write, driving either to VIH will
inhibit writes. With its Command User Interface, alteration of device contents only occurs after successful completion of the two-step command sequences.

While these precautions are sufficient for most applications, an alternative approach would allow Vee to
reach its steady state value before raising VPP1/
VPP2 above Vee + 2.0V. In addition, upon powering-down, VPP1IVPP2 should be below Vee + 2.0V,
before lowering Vee.

it
"

.,

1·.1."

HOT INSERTION/REMOVAL
The capability to remove or insert PC cards while the
system is powered on (Le., hot insertion/removal)
requires careful design approaches on the system
and card levels. To design for this capability consider card overvoltage stress, system power droop and
control line stability.
A PCMCIAlJEIDA specified socket properly sequences the power supplies to, the flash memory
card via shorter and longer pins. This assures that
hot insertion and removal will not result in card damage or data loss.

PCMCIA CARD INFORMATION
STRUCTURE
The Card Information Structure (CIS) starts at address zero of the card's Attribute Memory Plane. It
contains a variable-length chain of data blocks (tuples) that conform to a basic format as shown in
Table 5. This section describes each tuple contained
within the Series 2 Flash Memory Card.

II
','j

The Device Information Tuple
, This tuple (CISTPLDEV = 01 H) contains information pertaining to the card's speed and size. The Series 2 Card is offered with a 200 nanosecond access
time. Card sizes range between 2 and 20 Megabytes.

Table 5 Tuple Format
Bytes

Data

0

Tuple Code: CISTPLxxx. The tuple code OFFH indicates no more tuples in the list.

1

Tuple Link: TPLLlNK. Link to the next tuple in the list. This can be viewed as the. number of
additional bytes in tuple, excluding this byte. If the link field is zero, the tuple body IS empty. If the
link field contains OFFH, this tuple is the last tuple in the list.

2-n

,~
.•..

!\

II

Bytes specific to this tuple.

~,I

6-59

SERIES 2 FLASH MEMORY CARDS

The Device· Geometry Tuple
This tuple (CISTPLDEVICEGEO =1 EH) is Conceptually similar to a DOS disk geometry tuple
(CISTPLGEOMETRY), except it is not a formatdependent property; this deals with the fixed architecture of the memory device(s).

Level 1 Version/Product
Information Tuple
This tuple (CISTPLVERI = 15H) contains Level-1version COmpliance and card-manufacturer information. Fields are described as follows:
TPLLV1 MAJOR-Major version number = 04H.

Fields are defined as follows:
DGTPLBU8-Value = n, where system bus width
= 2(n -1) bYtes. N = 2 for standard PCMCIA Release 1.0/2.0 'cards.
DGTPL EB8-Value = n, where the memory array's
physical' memory segments have a minimum erase
block size of 2(n-1) address increments of
DGTPLBUS-wide accesses.
DGTPL RB8-Value = n, where the memory array's physical memory segments have a minimum
read block size of 2(n-1) address increments of
DGTPLBUS-wide accesses.
DGTPL WB8-Value = n, where the memory array's physical memory segments have. a minimum
write block size of 2(n-1) address increments of
DGTPLBUS-wide accesses.
DGTPL PART-Value = n, where the memory array's physical memory segments can have partitions
subdividing the arrays .in mini~um granularity of
2(n -1) number of erase blocks.
FL DEVICE INTERLEAVE-Value = n, where card
architectures employ a multiple of 2(n-1) times interleaving of the entire memory arrays with the above
characteristics. Non-interleaved cards have values

n

= 1.

Jedec Programming
Information Tuple
This tuple (CIS!TPLJEDEC
18H) contains the
Intel manufacturing identifier (89H) and the
28F008SA device ID (A2H).

6-60

TPLLV1 MINOR-Minor version number = 01 Htor
release 2.0.
'
TPLLV1 INFOName of manufacturer
Name of product
Card type
Speed
Register Base
Test Codes
Legalities

= intel;
= SERIES2 c"Card size";

= 2;

=
=
=
=

150 ns or 200 ns
REGBASE4000H
DBBDRELP
COPYRIGHT intel
Corporation 1991

The Configurable Card Tuple
This tuple (CISTPLCONF = 1AH) describes the
interface supported by the card and the locations of
the Card Configuration Registers and the Card Configuration Table.
Fields are described as follows:
TPCC SZ-,-Size of fields byte

=

01 H.

TPCC LAST-Index number of the last entry in the
Card Configuration Table = OOH.
TPCC RADR-Configuration Registers Base Address in Reg Space = .4000H.
TPCC RMSK-Configuration
Mask = 03H.

Registers

Present

The End-Of-List Tuple
The end-of-list tuple (CISTPLEND = FFH) marks
the end of a tuple chain. Upon encountering this tuple, continue tuple processing as if a long-link to .address 0 of common memory space were encoun~
teredo

SERIES 2 FLASH MEMORY CARDS

Tupl.e
Address

Value

Description

Tuple
Address

Value

OOH

01H

CISTPLDEV

32H

6CH

I

02H

03H

TPLLlNK

34H

OOH

END TEXT

04H

53H

DEVICE_INFO =
FLASH 150 ns
DEVICE_INFO =
FLASH 200 ns

36H

53H

S

52H

06H

OSH

06H
OEH
26H
4EH
FFH

CARD SIZE
2M
4M
10M
20M
ENDOF
DEVICE

OAH

1EH

OCH

06H

TPLLlNK

OEH

02H

DGTPLBUS

Description

3SH

45H

E

3AH

52H

R

3CH

49H

I

3EH

45H

E

40H

53H

S

42H

32H

2

-

44H

2DH

46H

30H
30H
31H
32H

2M = 0
4M = 0
10M = 1
20M = 2

4SH

32H
34H
30H
30H

2M = 2
4M = 4
10M = 0
20M = 0
SPACE

CISTPL
DEVICEGEO

10H

11H

DGTPLEBS

12H

01H

DGTPLR,BS

14H

01H

DGPLWBS

4AH

20H

16H

03H

DGTPLPART

4CH

OOH

END TEXT

4EH

32H

CARD TYPE 2

50H

·41H
42H
45H
5AH
4SH
49H
4CH
4FH

A = 2M,150 ns
B = 4M;150ns
E = 10M, 150 ns
Z = 20M, 150 ns
H = 2M, 200 ns
1= 4M, 200 ns
L = 10M, 200 ns
o = 20M, 200 ns

1BH

01H

FLDEVICE
INTERLEAVE

1AH

1SH

CISTPLJEDEC

1CH

02H

TPLLlNK

1EH

S9H

INTELJ-ID

20H

A2H

2SFOOSJ-ID

22H

15H

CISTPLVER1

24H

50H

TPLLlNK

26H

04H

TPLLV1
MAJOR

2SH

01H

TPLLV1
MINOR

2AH

69H

TPLLV1 INFO
i

2CH

6EH

n

2EH

74H

t

30H

65H

e

6-61

SERIES 2 FLASH MEMORY CARDS

Tuple
Address

Value

52H
54H
56H

45H

E

9AH

74H

t

58H

47H

G

9CH

65H

e

5AH

42H

B

9EH

6CH

I

5CH

41H

A

AoH

20H

SPACE

5EH

53H

S

60H

45H

E

A2H

43H

CORPORATION
C

62H

20H

SPACE

A4H

4FH

0

A6H

52H

R

,

6-62

Description

Tuple
Address

20H

SPACE

96H

69H

i

52H

REGBASE-R

98H

6EH

n

Value

Description

64H

34H

4000h
4

A8H

50H

P

66H

30H

0

iAAH

4FH

0

68H

30H

0

ACH

52H

R

6AH

30H

0

AEH

41H

A

6CH

68H

h

BOH

54H

T

6EH

20H

SPACE

B2H

49H

I

70H

44H

D

B4H

4FH,

0

72H

42H

B

B6H

4EH

N

74H

. 42H

B

B8H

20H

SPACE

76H

44H

D

BAH

31H

1

78H

52H

R

BCH

39H

9

7AH

45H

E

BEH

39H

9

7CH

4CH

L

COH

31H.

1

7EH

50H

P

C2H

OOH

END TEXT

80H

OOH

END TEXT

C4H

FFH

ENDOF LIST

C6H

1AH

CISTPLCONF

82H

43H

COPYRIGHT
C

C8H

06H

TPLLlNK

84H

4FH

0

86H

50H

P

CAH

01H

TPCC_SZ

CCH

OOH

TPCC_LAST

CEH

OOH

TPCC_RADR

DOH

40H

TPCC_RADR

88H

59H

Y

8AH

52H

R

8CH

49H

I

D2H

03H

TPCC_RMSK

8EH

47H

G

, D4H

FFH

ENDOF LIST

90H

48H

H

D6H

FFH

CISTPLEND

92H

54H

T

D8H

OOH

94H

20H

SPACE

INVALID ECIS
ADDRESS

SERIES 2 FLASH MEMORY CARDS

OPERATING SPECIFICATIONS

NOTICE: This data sheet contains preliminary information on new products in production. The specifications are subject to change without notice. Verify with
your local Intel Sales office that you have the latest
data sheet before finalizing a design.

ABSOLUTE MAXIMUM RATINGS·
Operating Temperature
During Read .........•.....•.. 00Cto +60"C(1)
During Erase/Write •.•.•..•..•..• O"C to + 60"C
Storage Temperature .•••.•.••.•• - 30·C to + 70"C
Voltage on Any Pin with
. Respect to Ground •.•.••.... - 2.0V to + 7.0V(2)
VPP1IVPP2 Supply Voltage with
Respect to Ground
during Erase/Write •....•. - 2.0V to + 14.0V(2, 3)
Vee Supply Voltage with
Respect to Ground •..••.•..•.. - 0.5V to + 6.0V

• WARNING: Stressing the device beyond the "Absolute
Maximum Ratings" may cause permanent damage.
These are stress ratings only. Operation beyond the
"Operating Conditions" is not rscommended and extended 9XfXJSUre beyond the "Operating Conditions"
may affect device reliability.

NOTES:

1. Operating temperature is for commercial product defined by this specification.
2. Minimum DC input voltage is -0.5V. During transitions,inputs may undershoot to -2.0V tor periods less than 20 ns.
Maximum DC voltage on output pins is Vee + 0.5V, which may overshoot to Vee + 2.0V for periods less than 20 ns.
3. Maximum DC input voltage on VPP1IVpP2 may overshoot to + 14.0V tor periods less than 20 ns.
OPERATING CONDITIONS
Symbol

Parameter

Min

I

TA

Operating Temperature

Vee

Vee Supply Voltage (5%)

Max

Unit

0

60

·C

4.75

5.25

V

COMMON DC CHARACTERISTICS, CMOS and TTL
Typ

Max

Unit

1,3

±1

±20

,..,A Vee = Vee Max
VIN = Vee or GND

Output Leakage Current

1

±1

±20

,..,A Vee = Vee Max
VOUT = Vee or GND

VIL

Input Low Voltage

1

VIH

Input High Voltage (TTL) .

Symbol

Parameter

III

Input Leakage Current

ILO

Notes

1

Input High Voltage (CMOS)

Min

-0.5

0.8

2.4

Vee

0.7 Vee

Vee

+ 0.3
+ 0.3

Test Condition

V
V

VOL

Output Low Voltage

1

Vss

0.4

V

Vee = Vee Min
IOL = 3.2mA

VOH

Output High Voltage

.1

4;0

Vee

V

Vee = Vee Min
IOH = 2.0mA

VPPL

Vpp during Read Only Operations

1,2

0.0

6.5

V

VPPH

Vpp during Read/Write Operations

·1

11.4

12.6

V

VLKO

Vee Erase/Write Lock Voltage

1

2.0

V

NOTES:

1. Values are the same for byte and word wide modes and for all card densities.
2. Block Erases/Data Writes are inhibited when Vpp and VPPL and not guaranteed in the range between VPPH and VPPL.
3. Exceptions: With VIN == GND, the leakage on CEl #, CE2#, REG#, OE#, WE#, will be :s; 500 p.A due to internal pullup
resistors and, with VIN = Vee, RST leakage will be :s; 500 p.A due to internal pulldown resistor.

SERIES 2 'FLASK MeMOAY cARDS
DC/CHARACTERISTICS, CMOS
"

.'

Pilrameter . !

symbol

Notes'

Byte Wide Mode
Min

I,CCR

Vee Read Current

WordWl~e

Mode

Unit

Test Condition
'
,

120

mA

Vee = Vee Max;
Contrtjl Signals
=GND'
tcYClE =200 ns,
IOUT,,;,OmA,

Typ

Max

85

65

Typ

Max

1,3

45

Min

"

Iccw

Vee Write Current

1,3

35

80

45

110

mA "Data Write
In Progress

ICcE

Vcc Erase Current

1,2,3

35

80

.45

110

mA

lees

Vee Standby Current

leesl

Vee Sleep Current

2 Meg

61

220

61

220

4 Meg

62

222

62

222

10Meg

63

230

,63

230

20 Meg

65

242

65

242

2 Meg

1

22

1

22

4 Meg

2

25

2

25

3

32

,3

32

1,4,6

1,4,5

10Meg

= VPPH)

IpPE

VppErase
Current (Vpp

= VPPH)

Ippsl..

Vpp Sleep Current

IpPS1

IpPS2

NOTES:

Vpp Standby or
Read current
(Vpp s;: Vce)

Vpp Standby or
Read Current
(Vpp = Vecl

p.A

p.A

5

44

5

44

10

30

20

60

mA

Data Write
in Progress

1,3

10

30

20

60

mA

Block (Pair) Erase
in Progress

2 Meg

0.2

10

0.2

10

4 Meg

,0.4

20

0.4

' 20

10 Meg

1

50

'1

50

20 Meg

2

100

2

100

2 Meg

2.0

20

2.0

20

4 Meg

2.2

30

2.2

30

10 Meg

2.8

60

2.8

60

20 Meg

3.8

110

3.8

110

2 Meg

180

400

180

400

1,5

1,6

180

410

180

410

10 Meg

181

440

181

440 '

20 Meg

182

4110

182

490

4 Meg

Vce = Vce Max,
Control Signals
= VIH

1,3

20 Meg
Vpp,Write
Current (Vpp

Ippw

Black (Pair) Erase
in Progress

1,6

p.A

p.A

"

p.A

'

('Ali 'currents are in RMS un.less otherwise noted. Typical values at Vcc

= 5.0V, Vpp = 12.0V, T = 25°C.
2. The OatS. Sheet specification for ~he ?8FOO8SA in Erase Suspend (lecES) is 5 mA ~pical an,d 10 mA
w.iththe deVice
deselected. If thedevice(s) are read while in Erase Suspend Mode; current draw,is the sum of IcCESand lecR.
'
3. Standby or Sleep currents are not included for non-accessed devices.
4. Address and data inputS to card static. Control line voltages equal to VIH or Vll'
5. All 28F008SA,devices in Deep-Sleep (Reset-PowerDown) mode.
In Byte and Word Mode,all but two devices in peep-Sleep.

a.

6-64

m!lJ(

SERIES 2 FLASH MEMORY CARDS

DC CHARACTERISTICS, TTL
Symbol

Parameter

Notes

Byte Wide Mode

Word Wide Mode

Min

Min

Typ

Max

Typ

Max

Unit

Test Condition

ICCR

Vcc Read Current

1,3

70

135

90

170

mA Vee = Vcc Max,
Control Signals = GND
tCYCLE = 200 ns,
lOUT = OmA

Iccw

Vcc Write Current

1,3

60

130

70

160

mA Data Write
in Progress

ICCE

VCC Erase Current

1,2,3

60

130

70

160

mA Block (Pair) Erase
in Progress

Ices

Vcc Standby Current

2 Meg
I---4 Meg
----"-

1,4,6

10 Meg

20

100

20

100

Vcc = Vcc Max,
Control Signals
mA =VIH

~

20 Meg
ICCSL

2 Meg

Vcc Sleep Current

\

'---

4 Meg

~

1,4,5

20

100

20

100

mA

10Meg

r-----=20 Meg

Ippw

VppWrite
Current (V pp = VPPH)

1,3

10

30

20

60

mA Data Write
in Progress

IpPE

Vpp Erase
Current (V PP = VPPH)

1,3

10

30

20

60

mA Block (Pair) Erase
in Progress

IpPSL

Vpp Sleep Current

0.2

10

0.2

10

0.4

20

0.4

20

1.0

50

1.0

50

20 Meg

2.0

100

2.0

100

2Meg

2.0

20

2.0

20

4Meg
----=.
10 Meg

2.2

30

2.2

30

-20 Meg

2.8

60

2.8

60

3.8

110

3.8

110

2 Meg

180

400

180

400

4 Meg

180

410

180

410

10 Meg

181

440

181

440

20 Meg

182

490

182

490

2 Meg

!-----'4 Meg

r-----=-

1,5

10Meg

---'-

IpPS1

IpPS2

VPP Standby or
Read Current
(Vpp ,;; Vce>

Vpp Standby or
Read Current
(Vpp = Vce>

,----

-

---:;.

-

1,6

,..A

1,6

,..A
I':'

,..A

NOTES:
1. All currents are in RMS unless otherwise noted. Typical values at VCC = 5.0V, VPP = 12.0V, T = 25'C.
2. The Data Sheet specification for the 28F008SA in Erase Suspend (lcCES) is 5 mA typical and 10 mA max with the device
deselected. If the device(s) are read while in Erase Suspend Mode, current draw is the sum of ICCES and ICCR.
3. Standby or Sleep currents are not included for non-accessed devices.
4. Address and data inputs to card static. Control line voltages equal to VIH or VIL.
5. All 28F008SA devices in Deep-Sleep (Reset-PowerDown) mode.
6. In Byte and Word Mode, all but two devices in Deep-Sleep.
7. The current consumption from the 28F008SA is insignificant in relation to the ASIC's.

I
I·'•.'·
,.

6-65

,

intel®

SERIES 2FLASHMEMOAY CARDS

AC CHARACTERISTICS'
AC Timing Diagrams and characteristics are guaranteed to meet or exceed PCMCIA Release 2,0 specifications, PCMCIA allows a 300 ns access time for
Attribute Memory, Note that read and write access

timings to the Series 2 Fla,sh Memory Card's Common and Attribute Memory Planes are identical at
200 ns, Furthermore, there is no delay in switching
between the Common and Attribute Memory Planes:

COMMON. AND ATTRIBUTE MEMORY, AC CHARACTERISTICS: Read-Only Operations
Symbol
JEDEC

PCMCIA

tAvAV

tRC

Parameter
Read Cycle Time

Notes

Min
200

Max
I

Unit
ns

Address Access Time

200

ns

tAVQV

ta (A) .

tELQV

ta (CE)

Card Enable Access Time

200

ns

tGLQV

ta (OE)

Output Enable Access Time

100

ns

tEHQX

tdis (CE)

Output Disable Time from CE #

90

ns

tGHQZ

tdis (CE)

Output Disable Time from OE #

70

ns

tGLQX

ten (CE)

OlJtput Enable Time from CE #

5

ns

tELQX

ten (OE)

Output Enable Time from OE #

5

ns

tAXQX

tv (A)

Data Valid from Add Change
Reset-PwrDwn Recovery to Output Delay

tRHQV
tsu (Vce>

CE Setup Time on Power-Up
First Access after Reset

6-66

0

ns

500

ns

1

ms

500

ns

..

l

'\9l
2PJ
IiiiiI

IF'
=>

~
=>

vee POWER-UP

~

DEVICE AND
ADDRESS SELECTION

STANDBY

-.
@

OUTPUTS ENABLED

DATA VALID

STANDBY

Vee POWER-DOWN

VIH

~

ADDRESSES (A)

·aeJ
~

VIL

:!!

co

VIH

...

C

CD

~

CE# (c)

~

:.0
=e
DI

......
3
......

VIL

VIH

<
CD
0

OE# (G)
, VIL

0

:D

CD

DI

VIH

a.
0

"iii

;&

WE# (w)

-

fn

m

CD

::a

VIL

0"

Cj

t AXQX

:::I

N

1/1

VOH

."
HIGH Z
VALID OUTPUT

DATA (0/0)

I·

t AVQV

~

m

'1
290434-21

NOTE:

~

o::a
<

1. The hatched area may be either high or low.

~
::a

0/>
m

51

'"

-2¥""ilWF3%~~~-=~-'i";;:;r"--;;;;;"-

.

_~,--";",>~:,:;,,,

iwaea__ik

-__ H

~-_~;;~..i~~-;;~.;.;",;>;,o;;£:i~"",

1i1

., .

,

SERIES 2 FLASHMEMORV CARDS

COMMON AND ATTRIBUTE MEMORY, AC CHARACTERISTICS: Write Operatjons(1)
Symbol
JEDEC

Parameter

PCMCIA

Notes

.

Unit

ns

120

ns

Address Setup Time

20

ns

Address Setup Time for WE #

140

ns

100

ns

140

ns

tsu (D-WEH)

Data Setup Time for WE #

60

ns

th (D)

Data Hold Time

30

ns

tree (WE)

Write Recover Time

30

twc

Write Cycle Time

tWLwH

tw (WE)

Write Pulse Width

tAVWL'

tsu (A)

tAVWH

tsu (A-WEH)

tVPWH

tvps

Vpp Setup to WE# Going High

tELWH

tsu (CE~WEH)

Card Enable Setup Time for WE #

tOVWH
tWHOX

...

. tWHQV1

Duration of Data Write Operation

. tWHQV2

Duration of Block Erase Operation

\aWL

Vpp Hold from Operation Complete
th (OE-WE)

tRHWL

ns

120

WE# HightoRDY/BSY#

tWHRL

tWHGL

Max

200

tAVAV

tWHAX

Min

Write Recovery before Read
Reset-PwrDwn Recovery to WE # Going Low

ns

6

,""S

0.3

sec

2

ns

10

ns

1

,""S

NOTES:

1. Read timing characteristics during erase and data write operations are the same as during read-only operations. Refer to
AC Characteristics for Read-Only operations.
2. Refer to text on Data-Write and Block-Erase Operations.
BLOCK ERASE AND DATA WRITE PERFORMANCE
Parameter

Notes Min Typ(3) Max Unit

Block Pair Erase Time(1)

2

1.6

10

sec

Block Pair Write Time

2

0.6

2.1

sec

NOTES:

1. Individual blocks can be erased 100,000 times.
2. Excludes System-Level Overhead.
3. 2S'C, 12.0 Vpp.

~:-.

:::s

WRITE VALID

~
~

Vee POWER-UP WRITE DATA WRITE OR
& STANDBY
ERASE SHUP COMMAND

IiiiiJ

F
<=

ADDRESSES (A;"

~

~

A,.

ADDRESS" DATA (DATA WRITE)
OR ERASE CONFIRM COMMAND

)(

'IN

READ STATUS
REGISTER DATA

WRITE READ ARRAY
COMMAND

€:

.

~

~

AUTOMATED DATA WRITE
'OR ERASE DELAY

8

V'H

~

CEo (E)

~

V'l

I-

\wHGl

I-

tWHQV1.2

·1

V,H

f

DE' (G)

V,l

....

:"I

~

WE' (W)

V'l

I

3

V'H
DATA (0/0)

~

I
I
o

.\

V'H

Vil

VOH
ROY /BSY' (R)

~
in

VOL

:II

V,.

f

en

RP'

N

V'l

l----+f

VpPH

V

PP

"TI

~%

tVPWH

(V) VpPl

iii:

V1H

V,l

290424-22

:II

-<

NOTE:

g

By writing the appropriate register, or on power-up, the card control ASIC generates the RP# Signal to the card's devices.

:II

0)

g

en

co

"''''''iPT-'C~

"'
o

i:

..

iiI;g;::-W~

--&~[

-§fiWW--TWT~~~-::;:~;--

;::-",,~,;~~~~~-

.....

--~----

SERIES 2 FLASH MEMORY CARDS

COMMON AND ATTRIBUTE MEMORY, AC CHARACTERISTICS: CE#-Controlled Write Operations(1)
Symbol
JEDEC

Parameter

PCMCIA

Notes

Min

Unit

.Max

tAVAV

twc

Write Cycle Time

1

200

ns

tELEH

tw (WE)

Chip Enable Pulse Width

1

120

ens

tAVEL

tsu (A)

Address Setup Time

1

20

ns

tAVEH

tsu (A-WEH)

Address Setup Time for CE #

1

140

ns

tVPEH

tvps

Vpp Setup to CE# Going High

1

100

tWLEH

tsu (CE-WEH)

Write Enable Setup Time for CE #

1

140

tDVEH

tsu (D-WEH)

Data Setup Time for CE#

1

60

ns

tEHDX

th (D)

Data Hold Time

1

30

ns

tEHAX

trec (WE)

30

tEHRL

Write Recover Time

1

CE # High to RDY IBSY #

1

ns
....

ns

ns

120

ns

tEHOV1

Duration of
Data Write

Duration of Data Write Operation

1

6.

""s

tEHOV2

Duration of
Erase

Duration of Block Erase Operation

1

0.3

sec

Vpp Hold from Operation Complete

1,2

0

ns

1

10

tovvL
tEHGL
tRHEL
NOTES:

th (OE-WE)

Write Recovery before Read
Reset-PwrDwn Recovery to CE # Going Low

1

ns

.

""s

,

1. Read timing characteristics during erase and data write operations are the same as during read-only operations. Refer to
AC Characteristics for Read.Only operations.
2. Refer to text on Data-Write and Block-Erase Operations.

6-70

-

_.

~

Vee POWER-UP WRITE DATA WRITE OR
& STANDBY
ERASE SETUP COMMAND

agJ

IiiiiI

ADORESSES(A;H~

IF'

~

AUTOMATED DATA WRITE
OR ERASE DELAY

READ STATUS
REGISTER DATA

€:

WRITE READ ARRAY
COMMAND

~

\f

@

Vil
leHA'

~

VIH

~
~
~

WRITE VALID
ADDRESS & DATA (DATA WRITE)
OR ERASE CONFIRM COMMAND

WE' (W)

."

VIL

~.

c:

~

....

!II»

leHGl
VIH
OE' (G)
Vil

J>

i

i

I.

leHOVI,2

VIH

CEo (E)
Vil

li

~
0'

3
0'
...
:::e

~

;o

'X

i

0'
~
III

VIH
DATA (0/0)
Vil

VOH
ROY /BSY' (R)
VOL

en
m

VIH

m
en

:D

RP'

Note: As shown, RP# is generated in the cord by the ASIC by writing to the appropriate register.

N

VIC

"11

~
en

t VPEH

VpPH

::J:

i:
m
i:

Vpp (V) VPPL
VIH
Vil

1MMMMI\MMMMI
290434-23

NOTE:
By writing the appropriate register, or on power-up, the card control ASIC generates the RP# signal to the card's devices.

o:D
<
o

~

:D

Cf'
"-J

~

~

~;o~~~r:#Qi'-SiW:~""'f

-__ .:=,i'-:O:

~--,<;:;~~,ila"f~~iI-~-ir~~.~-~

Sj:RIES 2 FLASH MIM()RY CARDS
\

Surfaco A

&.
TP

--L
2x T

-l r--

C MIN

L t 0.008

PMIN&

S MIN

T&

Wt 0.004

X t 0.002

Yt 0.002

0.294
( 10.0)

3.370
(85.60)

0.394
(10.0)

0.118
(3.0)

0.065
( 1.65)

2.126
(54.0)

0.039
(1.00)

0.083
( i.60)

&.
&.
3

PO.LARIZATION KEY LENGTH.
INTERCONNECT AREA TOLERANCE = to.002
SUBSTRATE AREA TOLERANCE = to.OD4
MILLIMETERS AR.E IN PARENTHESIS O.

Figure 19. Series 2 Flash Memory Card Package Dimensions
6-72

290434-24

SERIES 2 FLASH MEMORY CARDS

--I

I--

0.037 (0.94) MIN

PIN INSERTION

290434-25

Figure 20. Card Connector Socket

PIN

.&.
2.

SOCKET CONTACT

PIN/SOCKET CONTACT AREA
MILLIMETERS ARE IN PARENTHESIS

0
290434-26

L1MAX

0.020
(0.5)

L2

L3REF

Pin Type-See Table 1
Detect . 0.059 (1.5) ± 0.039
General 0.084 (2.1) ± 0.064
Power
0.098 (2.5) ± 0.078

0.024
(0.6)

Figure 21. Pin/Socket Contact Length with Wipe

6-73

+i..I·
•". . ;:~e

SERIES 2 FLASH MEMORY CARDS

_

Table 5 Capacitance TA = 25°C, f =1 0 MHz
Symbol

Comme;clal

Characteristics

Min

30

pF

20

pF

·Vcc, Vpp

2

p,F

Output capacitance

20

pF

Addr~ss/Control Capacitanc~

CIN

(Ao;..Ae; CE1 #, CE2#)

Address/Control Capacitance(A9~A24' all others)

CaUT

Unit

"IIax

ORDERING INFORMATION
iMC020FLSA,SBXXXXX
WHERE:
= INTEL
= MEMORY CARD
= DENSITY IN MEGABYTES
(002,004,010,020 AVAILABLE)
FL
= FLAsH TECHNOLOGY
S
= BLOCKED ARCHITECTURE
A
REVISION
SBXXXXX = CUSTOMER IDENTIFIER
i
MC
020

=

ADDITIONAL INFORMATION
28F008SA FlashFile™ Memory Data Sheet
iMCOO1 FLKA 1-Mbyte Flash Memory Card
iMC002FLKA 2-Mbyte Flash Memory Card
iMC004FLKA 4-Mbyte Flash Memory Card
AP-361 "Implementing the Integrated Registers of the Series 2 Flash Memory Card"
AP-364 "28F008SA Automation and Algorithms"
.
.
ER-27 '.'The Intel 28F008SA Flash Memory"
ER-28 "ETOX III Flash Memory Technology"
. AP-359 "28F008SA Hardware Interfacing"
AP-360 "28F008SA Software Drivers"

ORDER NUMBER
290429
290399
290412
290388
292096
292099
294011
294012
292094
292095

REVISION HISTORY
Number
02 .'

03
,

6-74

Description
Added 150 ns TUPLE, 'Deleted 250 ns TUPLE
Corrected Global POWer Register Address to 4002H
Corrected Write Protection Register Address to 41 04H
Corrected Ready-BuSy Mode Register Address to 4140H
Icc Standby Byte Wide Mode MAX/TYP Increased
Added Power-On Timing Spec
\
Added First Access after Reset Spec
Changed Advanced Information to P~eliminary
Added 2 MByte card support
Changed write timing waveforms to match PCMCIA
Changed PowerDown(PWD),to Reset-PowerDown (RP)

intel~

•
•
•
•
•

iMC004.fLKA
4-MBYTE FLASH MEMORY CARD

Inherent Nonvolatlllty (Zero Retention
Power)
- No Batteries Required for Back-up
High-Performance Read
- 200 ns Maximum Access Time
CMOS Low Power Consumption
- 40 mA Typical Active Current (XS)
- SOD I-'-A Typical Standby Current
Flash Electrical Zone-Erase
- 2 Seconds Typical per 256 Kbyte
Zone
- Multiple· Zone-Erase
Random Writes to Erased Zones
-10 I-'-s Typical Byte Write

•
•
•

•
•

Write Protect Switch to Prevent
Accidental Data Loss
Command Register Architecture for
Microprocesssor/Mlcrocontroller
Compatible Write Interface
ETOXTM II Flash Memory Technology
- 5V Read, 12V Erase/Write
- High-Volume Manufacturing
Experience
PCMCIA/JEIDA 6S-Pin Standard
- Byte- or Word-wide Selectable
Independent Software & Hardware
Vendor Support
-Integrated System Solution Using
Flash Filing Systems

Intel's iMC004FLKA Flash Memory Card is the. removable solution for storing and transporting important user
data and application code. The combination of rewritability and nonvolatility make the Intel Flash Memory Card
ideal for data acquisition and updatable firmware applications. Designing with Intel's Flash Memory Card
enables OEM system manufacturers to produce portable and dedicated function systems that are higher
performance, more rugged, and consume less power.
The iMq004FLKA conforms to the PCMCIA 1.0 international standard, providing standardization at the hardware and data interchange level. OEMs may opt to write the Card Information Structure (CIS) at the memory
card's address OOOOOH with a format utility. This information provides data interchange functional capability.
The 200 ns access time allows for "execute-in-place" capability, for popular low-power microprocessors.
Intel's 4-MByte Flash Memory Card operates in a byte-wide and word-wide configuration providing performance/power options for different systems.
Intel's Flash Memory card employs Intel'sETOX " Flash Memories. Filing systems, such as Microsoft's· Flash
File System (FFS), facilitate data file storage and card erasure using a purely nonvolatile medium in the DOS
environment. Flash filing systems, coupled with the Intel Flash Memory Card, effectively create an all-silicon
nonvolatile read/write random access memory system that is more reliable and higher performance than diskbased memory systems.

'Microsoft is a trademark of Microsoft Corp.
October 1993
Order Number: 290388-004

6-75

intel®

iMC004FLKA

I'

I

I'

3.3:1:0.1 mm

r--

~

I

,

'r--r

'I

54.0:1: 0.1 mm

,,-

I

I'

I
I

I
I
I

E
E

..
'"d
,,;
'"

I

I

E

!.

IX>

E

!.

I

.S

.E
::E

I
I

::E

q
0

0

d

I

tl

Jl~

I

I

1

800ooo0000ooo00oooooo000oooo00000@
00000000000000000000000000000000
68 '

..I-

I

FRONT SIDE

34 ,

tJ

"-

U

35

BACK SIDE

'290388-1

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16

GNO

17

Vee

03
04
05
06
07
CE 1 #
A10
CE#
A11
A9
As
A13
A14
WE#
NC

18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34

GNO

A3

35
36
37
38
39
40
41
42
43

A2

44

NC

A1

45·
46
47
48
49
50
51

NC

VPP1
A16
A15
A12
A7

As
A5
A4

Ao
Do
01
02
WP
GNO

VpP2
NC

A17

52
53
54
55
56
57
58
59
60
61
62
63

A1S

64

Os

A19

65
66
67
68

09

C01*
0 11
0 12
0 13
0 14
0 15
CE2*
NC

A20
A21
Vee

NC
NC
NG

"

NC
NC
NC
NC
REG#1
BV02*2
BV01*2

0 10
C02 *
GNO

NOTES:,
1. REG# = register memory select = No Connect (NC). unused. When REG# is brought low. PCMCIA/JEIDA standard card information structure data is expected. This is accomplished by formatting the card with this data.
2. BVD# = battery detect voltage = Pulled high through pull up resistor.

Figure 1_ IMC004FLKA Pin Configurations

6-76

I

iMC004FLKA

Table 1. Pin Description
Symbol

Type

Name and Function

Ao-A21

I

ADDRESS INPUTS for memory locations. Addresses are internally
latched during a write cycle.

Do-D15

I/O

DATA INPUT/OUTPUT: Inputs data during memory write cycles;
outputs data during memory read cycles. The data pins are active high
and float to tri-state OFF when the card is deselected or the outputs
are disabled. Data is internally latched during a write cycle.

CE1#,CE2#

I

CARD ENABLE: Activates the card's high and low byte control logic,
input buffers, zone decoders, and associated memory devices. CE # is
active low; CE # high deselects the memory card and reduces power
consumption to standby levels.

OE#

I

OUTPUT ENABLE: Gates the cards output through the data buffers
during a read cycle. OE# is active low.

WE#

I

WRITE ENABLE controls writes to the control register and the array.
Write enable is active low. Addresses are latched on the falling edge
and data is latched on the rising edge of the WE # pulse.

NO.TE:
With Vpp ,,; 6.5V, memory contents cannot be altered.
VPP1, VPP2

ERASE/WRITE POWER SUPPLY for writing the command register,
erasing the entire array, or writing bytes in the array.

Vee

DEVICE POWER SUPPLY (5V ± 5%).

GND

GROUND

CD1#,CD2#

0

CARD DETECT. The card is detected when CD l # and
CD2 # = ground.

WP.

0

WRITE PROTECT. All write operations are disabled with WP
high.

NC
BVD1#,BVD2#

= active

NO INTERNAL CONNECTION to device. Pin may be driven or left
floating.

0

BATTERY VOLTAGE DETECT. NOT REQUIRED.

;'"1

1.\

:.~I;.

Ii
!j

I

6-77

II

IMC004FLKA

~

!!f..!~
.!!:...-

Da-o,s
I/o tRANSCEIVERS
AND
BUFFERS

DO D-r
WE#
OE#

r---

,cr~,
0-0

.

-= \..

AO
A, -A2 ,

ADDRESS
BUFfERS
AND
DECODERS

CE2 #
Ce:,

WRITE PROTECT
SWITCH
Ao-A17

#

CEHO # - CEH3 #
CELo # -CEL3 #

28F020
~ Ao-A'7
REG#

-Co,-# -

~
--

Do-D-r

r-

CE#

I-

WE#

r-

OE#
VSS Vee Vpp ,

28F020
I-

ZO

~ Ao-A17 Da-o,S

r-

CE#

I-

WE#

r-

OE#
VSS Vee VpP2

I I I

CARD DETECT

~ Ao-A'7

BDV, #

I-

CE#

r-

WE#

I-

OE#

Do-D-r

I

r-

Z2

I

Z1

I I I

Vss Vee Vpp ,

BVD #

r-

I

•
•
•

~ Ao-A,7

D8 -o,s

I-

CE#

r-

WE#

I-

OE#
Vss Vee VpP2

I

r-

Z3

I

I

•
•
•

Vee
BA HERY VOLTAGE
DETECT

OE#

GND

Vp ,
Vpp

290388-2

Figure 2. IMC004FLKA Block Diagram

6-78

I

iMC004FLKA

APPLICATIONS
The iMC004FLKA Flash Memory Card allows for the
storage of data files and application programs on a
purely solid-state removable medium. System resident flash filing systems, such as Microsoft's Flash
File System, allow Intel's ETOX II highly reliable
Flash Memory Card to effectively function as a physical disk drive.
User application software stored on the flash memory card substantially reduces the slow disk-DRAM
download process. Replacing the disk results in a
dramatic enhancement of read performance and
substantial reduction of active power consumption,
size, and weight-considerations particularly important in portable PCs and equipment. The
iMC004FLKA's high performance read access time
and command register microprocessor write interface allows for use of the flash memory system in an
"execute-in-place" architecture. This configuration
eliminates the need for the redundancy associated
with DRAM and Disk memory system architectures.
ROM based operating systems, such as Microsoft's
MS-DOS ROM Version allow for "instant-on" capability. This enables the design of PCs that boot, operate, store data files, and execute application code
from/to purely nonvolatile memory.
The PCMCIAlJEIDA 68-pin interface with flash filing
systems enables the end-user to transport user files
and application code between portable PCs and
desktop PCs with memory card Reader/Writers. Intel Flash Memory cards provide durable nonvolatile
memory storage for Notebook PCs on the road, facilitating simple transfer back into the desktop environment.

l

For systems currently using a static RAM/battery
configuration
for
data
acquisition,
the
iMC004FLKA's inherent nonvolatility eliminates the
need for battery backup. The concern of battery failure no longer exists, an important consideration for
portable computers and medical instruments, both
requiring continuous operation. The iMC004FLKA
consumes no power when the system is off. In addition, the iMC004FLKA offers a considerable cost
and density advantage over memory cards based on
static RAM with battery backup.
The flash memory card's electrical zone-erasure,
byte writability, and complete nonvolatility fit well
with data accumulation and recording needs. Electrical zone-erasure gives the designer the flexibility to
selectively rewrite zones of data while saving other
zones for infrequently updated I!i>ok-up tables.

PRINCIPLES OF OPERATION
Intel's Flash Memory Card combines the functionality of two mainstream memory technologies: the rewritability of RAM and the nonvolatility of EPROM.
The flash memory card consists of an array of individual memory devices, each of which defines a
physical zone. The iMC004FLKA's memory devices
erase as individual blocks, equivalent in size to the
256 Kbyte zone. Multiple zones can be erased
simultaneously provided sufficient current f.or the appropriate number of zones (memory devices). Note,
multiple zone erasure requires higher' current from
both the Vpp and Vee power supplies. Erased zones
can then be written in bit- or byte-at-a-time fashion
and read randomly like RAM. Bit level write capability also supports disk emulation.

6-79

inte!®

iMC004FLKA

In the absence of high voltage on the VPP1;2 pins,
the iMC004FLKA remains in the read-only mode.
Manipulation of the external memory· card-control
pin yields the standard read, standby, and output
disable operations.
The same read, standby, and output disable operations are available when high voltage is applied to
the VPP1;2 pins. In addition, high voltage on VPP1;2
enables erasure and rewriting of the accessed
zone(s). All functions assoCiated with altering zone
contents-erase, erase verify, write, and write verify-are accessed via the command register.
Commands are written to the internal memory reg.ister(s), decoded by zone size, using standard microprocessor write timings. Register contents for a given zone serve as input to that zone's internal statemachine which controls the erase and rewrite circuitry. Write cycles also internally latch addresses and
data needed for write and erase operations. With the
appropriate command written to the register(s), standard microprocessor read timings output zone data,
or output data for erase and write verification.

Note that two zones logically adjacent in x1 p mode
are multiplexed through Do-D7 in x8 mode and are
toggled by the Ao address. Thus,· zone specific
erase operations must be kept discrete in x8 mode
by addressing even bytes only for one-half of the
zone pair, then addressing odd bytes only for the
other half.

Card Detection
The flash memory card features two card detect pins
(CD1;2#) that allow the host system to determine if
the card .is proPElrly loaded. Note that the two pins
are located at opposite ends of the card. Each CD#
output should be read through a port bit. Should only
one of the two bits show the card to be present, then
the system should instruct the user to re-insert the
card squarely into the socket. Card detection can
also tell the system whether or not to redirect drives
in the case of system booting. CD1;2# is active low,
internally tied to ground.

Write Protection
Byte-wide or Word-wide Selection
The flash memory card can be read, erased. and
written in a byte-wide or word-wide mode. In the
word-wide configuration VPPl and/or CEl # control
the LO-Byte while VPP2 and CE2# control the HIByte (Ao = don't care).
Read, Write, and Verify operations are byte- or wordoriented, thus zone independent. Erase Setup and
Begin Erase Commands are zone dependent such
that commands written to any address within a 256
Kbyte zone boundary initiate the erase operation in
that zone (or two 256 Kbyte zones under word-wide
operation).
Conventional x8 operation uses CEl # active-low,
with CE2 # high, to read or write data through the
Do-D7 only. "Even bytes" are accessed when Ao is
low, corresponding to the low byte of the complete
x16 word. When Ao is high, .the "odd byte" is accessed by transposing the high byte of the complete
x16 word onto the Do-D7 outputs. This odd byte
corresponds to data presented on D8-D15 pins in
x16 mode.

6-80

The flash memory card features three types of write
protection. The first type features a mechanical
Write Protect Switch that disables the circuitry that
. control Write Enable to the flash devices. When the
switch is activated, the WE# internal to the card is
forced high, which disables any writes to the Command Register. The second type of write protection
is based on the PCMCIAlJEIDA socket. Unique pin
length assignments provide protective power supply
sequencing during hot inserti.on and removal. The
third type operates via software control through the
Command Register when the card resides in its connector. The Command Register of each zone is only
active when VPP1;2 is at high voltage. Depending
upon the application, the system designer may
choose to make VPP1;2 power supply switchableavailable only when writes are desired. When VPP1;2
= VPPL, the contents of the register default to the
read command, making the iMC004FLKA a readonly memory card. In this mode, the memory contents cannot be altered.
The system designer may choose to leave VPP1;2 =
VPPH, making the high voltage supply constantly
available. In this case, all Command Register functions are inhibited whenever Vee is below the write
lockout voltage, VLKO. (See the section on Power
Up/Down Protection.) The iMC004FLKA is designed
to accommodate either design practice, and to encourage optimization of the processor-memory card
interface.

I

iMC004FLKA

BUS OPERATIONS
Read

reader/writer; Following a write of 90H to a zone's
Command Register, a read from address location
OOOOOH on any zone outputs the manufacturer code
(89H). A read from address 0002H outputs the memory device code (SOH).

The iMCOO4FLKA has two control functions, both of
which must be logically active, to obtain data at the
outputs. Card Enable (CE II) is the power control
and should be used for high and/or low zone(s) selection. Output Enable (OEI1) is the output control
and should be used to gate data from the output
pins, independent of accessed zone selection. In the
byte-wide configuration, only one CE II is required.
The word-wide configuration requires both CElis active low.

Zone erasure and rewriting are accomplished via the
Command Register, when high voltage is applied to
VPP1~. The contents of the register serve as input to
that zone's internal state-machine. The state-machine outputs dictate the function of the targeted
zone.

When VPP1~ is high (VPPH), the read operations can
be used to access zone data and to access data for
write/erase verification. When VPP1~ is low (VpPU,
only read accesses to the zone data are allowed.

The Command Register itself does not occupy an
addressable memory location. The register isa latch
used to store the command, along with address and
data information needed to execute the command.

Output Disable
With Output Enable at a logic-high level (VIH), output
from the card is disabled. Output pins are placed in a
high-impedance state.

Standby
With one Card Enable. at a logic-high level, the
standby operation disables one-half of the x16 output's read/write buffer. Further, only the zone corresponding to the selected address. within the upper or
lower CE1~11 bank is active at a time. (NOTE: Ao
must be low to select the low half of the x16 word
when CE211 = 1 and CE1 II = 0.) All other zones
are deselected, substantially reducing card power
consumption. For deselected banks, the outputs are
placed in a high-impedance state, independent of
the Output Enable signal. If the iMC004FLKA is deselected during erasure, writing, or write/ erase veri,fication, the accessed zone draws active current until the operation is terminated.

Intelligent Identifier Command
The manufacturer- and device-codes can be read
via the Command Register, for instances where the
iMC004FLKA is erased and rewritten in a universal

Write

The Command Register is written by bringing Write
Enable to a logic-low level (VIU, while Card Enable(s) is/are low. Addresses are latched on the fall. ing edge of Write Enable, while data is latched on
the rising edge of the Write Enable pulse. Standard
microprocessor write timings are used.
.
Refer to AC Write Charcteristics and the Erase/
Write Waveforms for specific timing parameterS.

COMMAND DEFINITIONS
When low voltage is applied to the Vpp pin(s), the
contents of' the zone Command Register(s) default
to OOH, enabling read-only operations.
Placing high voltage on the Vpp pines) enable(s)
read/write operations. Zone operations are selected
by writing specifiC data patterns into the Command
Register. Tables 3 and 4 define these iMC004FLKA
register commands for both byte-wide and word.
wide configurations.
All commands written to the Command Register require that the Zone Address be valid or the incorrect
.zone will receive the command. Any Command/
Data Write or Data Read requires the correct Valid
Address.

6-81

IMC004FLKA

Table 2. Bus Operations
PIns

Notes

Operation
Read (xS)

[1,71
. VPP2 VPP1

,

AO

CE#2

CE#1

OU

WE# . Da-D15

Do-D1

S

VPPL

VPPL

VIL

VIH

VII.

VIL

VIH

Tri-state

Data Out-Even

9

VPPL

VpPL

VIH

VIH

VIL

VIL

VIH

Tri~state

Data Out-Odd

10

VPPL

VPPL

X

VIL

VIH

VIL

VIH

, 11

VPPL

VPPL

X

VIL

VIL

VIL

VIH

. Data Out

Data Out

Output Disable

VPPL

VPPL

X

X

X

VIH

VIH

Tri-state

Tri-state

Standby

VPPL

VPPL

X,

VIH

VIH

X

X

Tri-state

Tri-state

~
z Read (xS)

~

Read (xS)
cC Read (x16)

1&1

a::

[1,7]

Data Out' Tri-state

Read (xS)

3,S

Vppx

VPPH

VIL

VIH

VIL

VIL

VIH

Tri-state

Data Out-Even

,Read (xS)

3,9

VpPH

VPPl<

VIH

VIH

VIL

VIL

VIH

Tri-state

Data Out-Odd

Read (xS)

10

VpPH ·VpPX

X

VIL

VIH

VIL

VIH

Data Out Tri-state

"X

VIL

VIL

VIL

VIH

Data Out Data Out

1&1

!::

a::
.....
==

R~ad

(x16)

Write (xS)

3,11

VPPH

5,S

VPPX

VPPH

VIL

Write (xS)

9

VPPH

VpPX

Write (xS)

10

VPPH·

Write (x16)

11

VPPH

Standby

4

Q

~
a::

VPPH

Output Disable

VIH

VIL

VIH

VIL

Tri-state

Data In-Even

VIH

VIH

VIL

VIH

VIL

Tri-state

Dat~

VPPX

X

.. VIL

VIH

VIH

VIL

Data In

Tri-state

VPPH

X

VIL

VIL

VIH

VIL

Data In

Data In

VPPH

VPPH

X

VIH

VIH

X

X

Tri-state

Tri-state

VPPH

VP~H

X

X

X

VIH

VIL

Tri-state

Tri~state

In-Odd,

NOTES:
1. Refer to DC Characteristics. When VPP1,1.! = VPPL memory contents can be read but not written or erased.
2. Manufacturer and device codes' may be aCCl;lssed via·a command register write seqUence. Refer to lable 3. All other
. .
.
addresses low.
3. ,Read operations with VPP1,1.!= VPPH may.access array data or the "ntelligent Identifier cades,
4. WithVpP1,1.!at high voltage, the standby.currentequals Icc +Ipp (standby).
5. Refer to Table 3 for valid Data-In during write operation.
.
6. X can be VIL or VIH.
.
7. Vppx == VPPH or VPPL.
S. This xS operation reads or writes the low byte ofthe·x16 word on 000_7, I.e., Ao low reads "even" byte in xS mode.
9. This xS operation reads or writes the high byte of the x16 word on 000"7 (transposed from 008-15), l.e./Ao high reads
"odd" byte in xS mode..
to. This xS operation reads or writes the high byte of. the x16 on 008-15. An Is "don't care."
11. Ao is "don't care," unused in li16 mode. High and low bytes are presented. simultaneously.

a

·6-S2

I

iMC004FLKA

Table 3. Command Definitions Byte-Wide Mode
Command

Bus
First Bus Cycle
Second Bus Cycle
Notes Cycles
Req'd Operatlon(1) Address(2) Data(3) Operatlon(1) Addres8(2) Data(3)

Read Memory

1

Write

RA

OOH

4

3

5

2

Write

IA

90HT

Write

ZA

20H

5

Write

ZA

20H

2

Write

EA

AOH

Read

EA

Set-up Write/Write

EVO

6

2

Write

WA

40H

Write

WA

WO

Write Verify

6

.2

Write

WA

COH

Read

WA

WVO

Reset

7

2

Write

ZA

FFH

Write

ZA

FFH

Read Intelligent 10 Codes
Set-up Erase/Erase
Erase Verify

Read

Table 4. Command Definitions Word-Wide Mode
Command

Bus
First Bus Cycle
Second Bus Cycle
Notes Cycles
Req'd Operatlon(1) Address(2) Data(3) Operation(1) Address(2) Data(3)

Read Memory

1

Write

RA

OOOOH

Read Intelligent 10 Codes

4

3

Write

IA

9090H

Read

Set-up Erase/Erase

5

2

Write

ZA

2020H

Write

ZA

2020H

Erase Verify

5

2

Write

EA

AOAOH

Read

EA

EVO

Set-up Write/Write

6

2

Write

WA

4040H

Write

WA

WO

Write Verify

6

2

Write

WA

COCOH

Read

WA

WVO

Reset

7

2

Write

ZA

FFFFH

Write

ZA

FFFFH

NOTES:
1. Bus operations are defined in Table 2.
2. IA = Identifier address: OOH for manufacturer code, 01 H for device code.
EA = Address of memory location to be read during enise verify.
RA = Read Address
WA = Address of memory location to be written.
ZA = Address of 256 Kbyte zones involved in erase operation.
Addresses are latched on the falling edge of the Write Enable pulse.
3. 10
= Data read from location IA during device identification. (Mfr = 89H, Device = BDH).
EVD = Data read from location EA during erase verify.
WD = Data to be written at location WA. Data is latched on the rising edge of Write Enable.
WVD = Data read from location WA during write verify. WA is latched on the Write command.
4. Following the Read inteligent 10 command, two read operations access manufacturer and device codes.
5. Figure 5 illustrates the Erase Algorithm.
6. Figure 6 illustrates the Write Algorithm.
7. The second bus cycle must be followed by the desired command register write.
8. The Reset command operation on a zone basic, To reset entire Card, requires reset write cycles to each zone.

I

6-83

iMC004FLKA

R~ad

Command

While VPP1;2 is high,for erasure and writing, zone
memory contents can be accessed via the read
command. The read operation is initiated by writing
OOH (OOOOH for the word-wide configuration) into the
zone Command Register(s) .. Microprocessor read
cycles retrieve zone data. The' accessed zone remains enabled for reads until the Command Registeres) contents are altered.
The default contents of each zone;s register(s) upon
VPP1;2 power-up is OOH (OOOOOH for word-wide).
This default value ensures that no spurious alteration of memory card contents occurs during the
VPP1;2 power transition. Where the VPP1;2 supply is
left at VPPH, the memory card powers-up and remains enabled for reads until the command Register
contents of targeted zones are changed. Refer to
the AC Read Characteristics and Waveforms for
specific timing parameters.

Intelligent Identifier Command
Each zone of the iMC004FLKA contains an Intelligent Identifier
,identity memory card device characteristics. The operation is initiated by writing90H
(9090H for word-wide) into the Command Registeres) with Zone Address. Following the command
write, a· read cycle from address OOOOOH retrieves
the manufacturer code 89H (8989H for word-wide).
A read cycle frOm address 0002H returns the device
code BOH (BOBOH forword-wide). To terminate the
operation, it is necessary to write another valid command into the register(s).

to

Set-up Erase/Erase Commands
Set-up Erase stages the targeted zone(s) for electrical erasure of all bytes in the zone. The set-up erase
operation is performed by writing 20H to the Com,
mand Register (2020H for word-wide) with Zone Address.
.
To commence zone-erasure, the erase command
(20H or 2020H) must again be written to the registeres). The erase operation begins with the rising
edge of the Write-Enable. pulse and terminates with
the rising edge of the next Write-Enable pulse (Le.,
Erase-Verity Command with zone address).

tents are protected against erasure. Refer to AC.
Erase Characterstics and Waveforms for specific
timing parameters.

Erase-Verify Command
The erase command erases all of the bytes of the
zone in parallel. .After each erase operation, all bytes
in .the zone must be individually verified ..In bytemode operations, zones are segregated by Ao in
odd and even banks; erase and erase verify operations must be done in complete passes of evenbytes-only then odd-bytes-only. See the Erase Algorithm for byte-wide mode. The erase verity operation
is initiated by writing AOH (AOAOH for word-wide) into
the Command Register(s). The address for the
byte(s) to be verified must be supplied as it is
latched on the falling edge of the Write Enable
pulse. The Jegister write terminates the erase operation with the rising edge. of its Write Enable pulse.
The enabled zone applies an internally-generated
margin voltage to the addressed byte. Reading FFH
from the addressed byte indicates that all bits in the
byte are erased. Similarly, reading FFFFHfrom the
addressed word indicates that all bits in the word are
erased.
The erase-verity command must be written to the
Command Register prior to each byte (word) verification to latch its' address. The process continues
for each byte (word) in thezone(s) until a byte (word)
does not return FFH (FFFFH) data, or the last address is accessed.
In the case where the data read is not FFH(FFFFH),
another erase operation is performed. (Refer to Setup Erase/Erase.) Verification then reSUmes frOm the
address of the last-verified byte. (word). Once all
bytes (words) in the zone(s) have been verified, the
erase step is complete. ,The accessed zone can now
be written. At this point, the verity operation is termi"
natedby writing a valid command (e.g., Write Setup) to the Command Register. The Erase algorithms
for byte-wide and word-wide configurations illustrate
how commands and bus operations are combined to
perform electrical erasure of the iMC001 FLKA. Refer to AC Erase Characteristics and Waveforms for
specific timing parameters.

Set-up Write/Write Commands
This two-step sequence of set-up followed by execution ensures that zone memory contents are not acCidentally erased. Also, zone-erasure can only occur
when high voltage is applied to the VPP1;2 pins. In
the absence of this high voltage, zone memory con-

6-84

Set-up write is a command-only operation that
stages the targeted zone for byte writing. Writing
40H (4040H) into the Command Register(s) performs the set-up operation.

I

iMC004FLKA

Once the write set-up operation is performed, the
next Write Enable pulse causes a transition to an
active write operation. Addresses are internally
latched on the falling edge of the Write Enable
pulse. Data is internally latched on the rising edge of
the Write Enable pulse. The rising edge of Write Enable also begins the write operation. The write operation terminates with the next rising edge of Write
Enable, which is used to write the verify command.
Refer to AC Write Characteristics and Waveforms
for specific timing parameters.

Write Verify Command
The iMC004FLKA is written on a byte-by-byte or
word-by-word basis. Byte or word writing may occur
sequentially or at random. Following each write operation, the byte or word just written must be verified.
The write-verify operation is initiated by writing COH
(COCOH) into the Command .Register(s) with the correct address. The register write(s) terminate(s) the
write operation with the rising edge of its Write Enable pulse. The write-verify operation stages the accessed zone(s) for verification of the byte or word
last written. The zone(s) apply(ies) an internally-gen~
erated margin voltage to the byte or word. A microprocessor read cycle outputs the data. A successful
comparison between the written byte or word and
true. data means that the byte or word is successfully
written. The write operation then proceeds to the
next desired byte or word location. The Write algo e
rithms for byte-wide and word-wide configurations illustrate how commands are combined with bus operations to perform byte and word writes. Refer to
AC Write Characteristics and Waveforms for specific
timing parameters.

Reset Command
A reset command is provided as a means to safely
abort the erase- or write-command sequences. Following either set-up command (erase or write) with

I

two consecutive writes of FFH (FFFFH for wordwide) will safely abort the operation. Zone memory
contents will not be altered. A valid command must
then be written to place the accessed. zone in the
desired state.

EXTENDED ERASE/WRITE CYCLING
Intel has designed extended cycling capability into
its ETOX II flash memory technology enabling a
flash memory card with a MTBF that is many times
more reliable than rotating disk technology. Resulting improvements in cycling reliability come without
increasing memory cell size or complexity. First, an
advanced tunnel oxide increases the charge carrying ability ten-fold. Second, the oxide area per cell
subjected to the tunneling electric field minimizes
the probability of oxide defects in the region. The
lower electric field greatly reduces oxide stress and
the probability of failure.

WRITE ALGORITHMS
The write algorithm(s) use write operations of 10 /-Ls
duration; Each operation is followed by a byte or
word verification to determine when the addressed
pyte or word has been successfully written. The aigorithm(s) allows for up to 25 write operations per
byte or word, although most bytes and words verify
on the first or second operation. The entire sequence of writing and byte/word verification is performed with Vpp at high voltage.

ERASE ALGORITHM
The Erase algorithm(s) yield(s) fast and reliable
electrical erasure of memory contents. The algorithm employs a closed-loop flow, similar to the write
algorithm, to simultaneously remove charge from all
bits in the accessed zone(s).
Erasure begins with a read of memory zone contents. Reading FFH (FFFFH) data from the accessed zone(s) can be immediately followed by writing to the desired zone(s).

6-85

IMCOO4FLKA
Forzo~~$beingerased andrewritten,uniform .and
reliable .erasure is ensured by first writing all bits in
the a.cce$~ed zone to their charged state (data =
OOHbyte~Wide, OOOOOH word-wide). This is accornplishe!1,using the write algorithm, in approximately
four seConds per zone.

Eraseel(ecution then continues with an initial erase
openltion.Erase verification (data = FFH byte-wide,
FFFFH .word-wide) begins at address OOOOOH and
continue$ through the zone to the last address, .or
until data other than FFH (FFFFH) is encountered.

(Note: byte-wide erase operation requires, separate
even- and odd-address passes to handle the individual256 Kbyte zones.) With each erase operation, an
increasing number Of bytes or words verify to the
erased state. Erase efficiency maybe improved by
storing the address of the .last byte or word verified
in a register(s). Following the next erase operation,
verification starts at the stored address location. Follow this procedure until all. bytes in the zone are
eras,ed. Then, re-start the procedure for the next
zone or word-wide zone pair. Erasure typically occurs in two seconds per zone.

INITIALIZE· SIZE
AND NUMBER OF ZONES
ZONE L = 0
ZONE H = 1

290388-3

Figure 3. Fuil Card Erase Flow

6-86

I

IMC004fLKA

(START WRITING
[IJ

Bus
Operation

.L

l

APPLY
VPPH [2J

I

PLSCNT" 0

"

I

"

WRITE

C~D(A/D)

I

I

l

!

,I

...

I

6 ~S

!
READ DATA
DEVICE

FRO~

Write

Set-up
Write

Data = 40H
+ Valid Address

Write

Write

Valid address/data

Write(3)
Verify

Duration of Write
Operation (twHWH1)
Data = COH at Valid
Address; Stops (4)
Write Operation
tWHGL

Write

WRITE
+ ADDRESS

C~D

I TI~E OUT

Wait for Vpp ramp
to VPPH (= 12.0V) (2)

Standby

fTlWE OUT 10 ~S I

j,VERIfY

I

Standby

I

Read

Read byte to verify
Write Operation
at Valid Address

Standby

Compare data output
to data expected

N
VERIFY
DATA

INC
PLSCNT.
= 251

N

Y

II

INCRE~ENT

N

Comments

Initialize pulse-count

WRITE SET-UP
WRITE C~D + ADDRESS

I

Standby

I

~

I

Command

Y

LAST
ADDRESS
?

ADDRESS

Y

I

WRITE
READ C~D

I

vpPL [2J

(

.L
APPLY

+

WRITING
CO~PLETED

Write

I

I I
(

APPLY
VpPL [2J

!

I

Read

Standby

Data = OOH, rese~s the
register for read
operations.
Wait for Vpp ramp
to VPPL(2)

WRITE
ERROR

290388-4

NOTES:

1. CAUTION: The algorithm MUST BE FOLLOWED to
ensure proper and reliable operation of the device.
2. See DC Characteristics for the value of VPPH and
VPPL'

3. Write Verify is only performed after a by1e write oper·
ation. A final read/compare may be performed (option.
al) after the register is written with the Read command.
4. Refer to principles of operation.

I
I"

i~

I~

Figure 4. Write Algorithm for Byte-Wide Mode

C

I

I
i'

l

6·87

"r;

iMC004FLKA

Bus
Operation

Command

Standby

Comm.nt.

WaitforVpp ramp to VPPH (= 12.0V)(2)

Use with Write Operation Algorithm
Initialize even/odd Addresses, Erase Pulse
Width, and Pulse Count

Write

Set-up
Erase

Data = 20H

+ Address

Wr~e

Erase

Data = 20H

+ Address

Standby

Wr~e

Duration of Erase operation (tWHWH2)

Erase
Verity(3)

Addr = Byte to verify;
Data = AOH; Stops

Standby

Erase Operation(4) tWHGL

Read

Read byte to verify erasure at address

Standby

Compares output to FFH Increment pulse
count

Write

Standby

Reed

Data = OOH, resets the register for read
operations.
Wait for Vpp ramp to VPPL(2)

NOTES:

1. CAUTION: The algorithm MUST BE FOLLOWED to
ensure proper and reliable operation of the device.
2. See DC Characteristics for the value of VpPH and
VPPL·

3. Erase Verify is only performed after a chip erasure. A
final read/ compare may be performed (optional) after
the register is written with the Read command.
4. Refer to principles of operation.

Figure 5. Erase Algorithm for Byte-Wide Mode

I

iMC004FLKA

Comments

Wait for Vpp ramp to VPPH

ADAS

~

address to write

W_DAT = data word to write

Initialize Data Word Variables:
V-DAT ~ valid data
W_COM = Write Command
V_COM ~ Write Verify Command
PLSCNT_HI ~ HI Byte Pulse Counter
PLSCNT_LO ~ LO Byte Pulse Counter
FLAG ~ Write Error Flag
Write Set-up Command
Address needs to be Valid

PLSCNLHI = 0
PLSCNLLO= 0
FLAG 0

=

W~ite

High/Low Byte
Compare Be Mask
Subroutine

See Write Verify and Mask Subroutine
Write Verify Command

F_OAT

~

flash memory data

Compare flash memory data to valid data
(word compare). If not equal, check for
Write Error flag. If Flag not set, compare
High and Low Bytes in the Subroutine.

Check buffer of 1/0 port for more data to write

Write READ_COM

Reset device for read operation

Apply VpPL

Turn offVpp

290388-6

Figure 6. Write Algorithm for Word-Wide Mode

I

6-89

intel~

IMC004FLKA

Comments
To look at the LO Byte,
Mask' the HI Byte with
00
W_COIoi = (W _COIoi OR OOFFH)
LOAT (LOAT OR OOFFH)
v_COIoi = (LCOIoi OR OOFFH)

=

If the LO Byte verifies,
mask the LO Byte
commands with the reset
command (FFH)
If the LO Byte-does not
verify, then increment its
pulse counter and check
for max count
FLAG = 1 denotes a LO
Byte error
Repeat the sequence for
the HI Byte'

W_COIoi = (w _COIoi OR FFOOH)
LOAT=(LOAT OR FFOOH}
V_COIoi (v _COIoi OR FFOOH)

=

FLAG = 2 denotes a HI
Byte error
FLAG = 3 denotes both
a HI and LO Byte errors.
Flag = 0 denotes no
max count errors;
continue with algorithm .

..

';'

290388-7

-Masking can easily and efficiently be done in assembly languages. Simply load word registers with the incoming data
(F_OAT), the program commands and the verify commands. Then manipulate the HI or LO register contents.

Figure 7. Write Verify and Mask Subroutine for Word.Wlde Mode

6-90

I

intel~

IMC004FLKA

Comments
Wait for Vpp to stabilize.
Use Write operation algorithm in xB or x16
configuration

FLAG

Initialize Variables:
PLSCNT_HI = HI Byte Pulse Counter
PLSCNT_LO = LO Byte Pulse Counter
FLAG = Erasure error flag
ADRS = Address
E_COM = Erase Command
V_COM = Verify Command
Erase Set-up Command

=0
=2020H
=AOAOH

E_COM
V_COM

Start Erasing
Duration of Erase Operation

Erase Verify Command stops erasure
See Block Erase Verify & Mask Subroutine

When both devices at ADRS are erased,
F_DATA = FFFFH. If not equal, Increment
the pulse counter and check for last pulse
Reset commands default to
(E-COM = 2020H) (V_COM
before verifying next ADRS

= AOAOH)

Reset device for read operation
Turn offVpp

290388-8

NOTE:
X16 Addressing uses A1-A21 only.

Ao

= 0 throughout word-wide operation.

Figure 8. Erase Algorithm for'Word·Wlde Mode

1

6-91

iMC004FLKA

Comment.
This subroutine reads ~he data
word (F_DATA). It then masks
the HI or LO Byte of the Erase
and Verify Commands from
executing during the next
operation.
If both HI and LO Bytes verify.
thenretum.
Mask· the til Byte with OOH.

cco'" = (CCO'"
V_COM

or OOFFH)

=(V_COM or OOFFH)

If the LO Byte verifies erasure.
then mask· the next erase and
verify commands with FFH
(RESEn.
If the LO Byte does not verify.
then increment its pulse counter
and check for max count. FLAG
= 1 denotes a LO Byte error.

Repeat the sequence for the HI
Byte.
CCOM
V_COM

=(CCOM or FFOOH)
=(LCOM or FFOOH)
Flag = 2 denotes a HI Byte error.
Flag = 3 denotes both a HI and
LO Byte errors. FLAG = 0
denotes no max count errors; .
continue with algorithm.

290388-9

·Masking can easily and efficiently be done in assembly languages, Simply load word registers with the incoming.data
(F_DAn. the program commands and the verify commands. Then manipulate the HI or LO register contents.

Figure 9. Erase Verify and.Mask Subroutine for Word·Wlde Mode

6·92·

I

IMC004FLKA

SYSTEM DESIGN CONSIDEj:lATIONS
Three-Line Control
Three-line control provides for:
, a. the lowest possible power dissipation and.
b, complete assurance that output bus contention
will not occur.
'
To efficiently use these three control inputs, an address-decoder output should drive CE1 2#, while
the system's Read signal controls the card OE # signal, and other parallel zones. This, coupled with the
internal zone decoder, assures that only ,enabled
memory zones have active outputs, while deselected zones maintain the low power standby condition.

Power-Supply Decoupllng
Flash memory, power-switching characteristics require careful device decoupling. System designers
are interested in three supply current issues-standby, active and transient current peaks,' produced by
falling and rising edges of CE1,,2#' The capacitive
and inductive loads on the card and internal flash
memory zones determine the magnitudes of these
'
peaks.
Three-line control and proper decoupling capacitor
selection will suppress transient voltage peaks. The
iMC004FLKA features on-card ceramic decoupling
capacitors connected between Vee and Vss, and
between VPP1IVPP2 and Vss.

1

The card connector, should also have a 4.7 p,F electrolytic capacitor between Vee and Vss, as well as
between VPP1IVPP2 and Vss. The bulk capacitors
will overcome voltage slumps caused by printed-drcuit-board trace inductance, and will supply charge
to the smaller capacitors as needed.

Power Up/Down Protection
The PCMCIAlJEIDAsocket is specified, via unique
Pin lengths, to properly sequence the power supplies to the flash memory card. This assures that hot
insertion and removal will not.result in card damage
or data loss.
Each, zone in the iMC004FLKA is designed to offer
protection against accidental erasure or writing,
caused by spurious system-level signals that may
exist during power transitions. The, card will powerup into the read state.

I

H

I~

I

!

A system designer must guard against active writes
for Vee voltages above VLKO when Vpp is active.
Since both WE# and CE1 2# must be low for a
command write, driving either to VIH will inhibit
writes. With its control-register architecture, alteration of zone contents only occurs after successful
completion of the two-step command sequences.
While these precautions are sufficient for most applications, it is recommended that Vee reach its steady
state value before raising VPP1,,2 above Vee + 2.0V.
In addition,upon powering-down, VPP1,,2 should be
below Vee + 2.0V, before lowering Vee.

6-93

I:

IMCOO4FLKA

Absolute Maximum Ratings·

NOTICE: This is a.production data sheet, The specifications· are subject to change. without notice.

Operating TemperaMe
i During Read :........ , ....•...•. O"C to + 6O"C(1)
.During Erase/Write ...• ~ .'.....•.• O"C to + sa·C

• WARNING: Stressing thB device beyond thB "Absolute

Maximum Ratings" may cause permanent c1Bmsge.
Thf!se are stress ratings only. Operation beyond the
"Operating Conditions" is not recommended and ex~
tef1(led exposurs beyond the "Operating Conditions"
may affect device ralisbilily.

Temperature UnderBtas ......... -1 O"C to + 70·C
Storage Temperature .. -. ......... -30·C to + 70·C
Voltage on Any Pin with
.
.
Respect to Ground .......... - 2.0V to + 7.0V(2)
VPP1IVPP2 Supply Voltage with
Respect to Ground
During.Erase/Write ....... - 2.0V to

+ 14.0V(2, 3)

Vcc Supply Voltage with
..
Respectto Ground ..• , .•...• ...: 2.0V to

+7.0V(2)

NOTES:

1. Operating temperature is for colVmercial product defined by this specification.
2. Minimum DC input voltage is -0.5V. During transitions, inputs may undershoot to -2.0V for periods less
than 20 ns. Maximum DC voltage on output pin~ is Vcc + 0.5V, which may overshoot to Vee + 2.0V for
periods less than 20 ns~.·
.
3. Maximum DC input voltage on VPP1IVPP2 may overshoot to + 14.0V for periods less than 20 ns.

OPERATING CONDITIONS
Symbol.
TA

Umlts

Parameter
. Operating Temperature

Unit

Comments

60

·C

For Read-Only and
Read/Write Operations

Min

Max

0

Vee

Vee Supply Voltage

4.75

5.25

V

VPPH

Active VPP1, VPP2
Supply Voltages

11.40

12.60

V

VPPL

VPP During Read Only
Operations

0.00

6.50

V

DC CHARACTERISTICS-Byte Wide Mode
Symbol

Parameter

Notes

Limits
Min Typical Max

Unit

Test Conditions

1,4

±1.O

±20

p.A

Vec =; Vcc max
VIN. = Vce or Vss

Output Leakage Current

1

±1.0

±20

p.A

Vcc = Vcc max
VOUT = Vee or Vss

Vcc Standby Current

1

0.8

1.6

mA

Vcc = Vcc max, CE = Vee ±0.2V

4

7

rnA

CElli = VIH,VCC = Vee max

70

mA

Vee = VecmaxCElII =VIL
f = 6 MHz, lOUT = 0 mA

III

Input Leakage Current

ILO
Iccs

ICCl

Vcc Active Read Current

1,2

40

lec2

Vee Write Current

1,2

.5.0

15

mA

Writing in Progress

ICC3

Vec Erase Current

1,2

~O

20

mA

Erasure in Progress

ICC4

Vec Write Verify Current

1,2

10

20

mA

Vpp = VpPH
Write Verify in Progress

6~94

I

intel®

iMC004FLKA

DC CHARACTERISTICS-Byte Wide Mode (Continued)
Symbol

Parameter

Notes

Limits
Min

Typical

Max

10

20

Unit

Test Conditions

mA

Vpp = VpPH
Erase Verify in Progress

±80

/A-A

Vpp";: Vee

1.6

mA

Vpp> Vee

30

mA

Vpp = VpPH
Write in Progress

10

30

mA

Vpp = VPPH
Erasure in Progress

1,3

3.0

6.0

mA

Vpp = VpPH
Write Verity in Progress

1,3

3.0

6.0

mA

Vpp = VpPH
Erase Verify in Progress

~0.5

0.8

V

2.4

Vee ± 0.3

V

0.40

V

IOl = 3.2mA
Vee = Vee min

V

IOH = ~2.0 mA
Vee = Vee min
Note: Erase/Write are
Inhibited when Vpp = VPPl

1,2

Ices

Vee Erase Verify Current

Ipps

Vpp Leakage Current

IpP1

Vpp Read Current
or Standby Current

1,3

0.7

IpP2

Vpp Write Current

1,3

8.0

IpP3

Vpp Erase Current

1,3

IpP4

Vpp Write Verify Current

IpP5

Vpp Erase Verify Current

Vil

Input Low Voltage

1

Vpp ~ Vee

±0.08

VIH

InplAt High Voltage

VOL

Output Low Voltage

VOH1

Output High Voltage

VPPl

Vpp During Read-Only
Operations

0.00

6.5

V

VPPH

Vpp During Read/Write
Operations

11.40

12.60

V

VlKO

Vee Erase/Write Lock
Voltage

2.5

3.8

V

NOTES:
1. All currents are in RMS unlessotherwise noted. Typical values at Vee = 5.0V, Vpp "" 12.0V, T = 25"e.
2. 1 chip active and 15 in standby for byte-wide mode.
3. Assumes 1 Vpp is active.
4. Due to 100 kO pull up resistors, OE#, eE1 #, eE2#. and WE# will exhibit <;; 55 /-LA of additional ILl when VIN = Vss.

PC CHARACTERISTICS-Word Wide Mode
Symbol

Parameter

Notes

Limits
Min Typical Max

Test Conditions

Unit

1,4

± 1.0

±20

/A-A

Vee = Vee max
VIN = Vee or Vss

Output Leakage Current

1

±1.0

±20

/A-A

Vee = Vee max
VOUT = Vee or Vss

Vee Standby Current

1

0.8

1.6

mA

4

7

mA

= Vee max, CE# = Vee
CE# = VIH, Vee = Vee max

III

Input Leakage Current

IlO
Ices

Vee

±0.2V

6-95

intet®

IMCQQ4FLKA

DC CHARACTERISTICS-Word Wide Mode (Continued)
Symbol

Parameter

Notes

lee1

Vee Active Read Current

lee2

. Limits

Unit

..

Test Conditions

Typical

Max

1,2

50

100

mA Vee = Vee max CE# = VIL
I =.6 MHz, lOUT = 0 mA

Vee Write Current

1,2

5.0

25

mA Writing in Progress

lee3

Vee Erase Current

1,2

15

30

mA

lee4

Vee Write Verily Current

1,2

15

30

mA Vpp = VpPH
Write Verify in Progress

lees

Vee Erase Verify Current

1,2

15

30

mA Vpp = VPPH
Erase Verily in Progress

Ipps

Vpp Leakage Current

IpP1

Vpp Read Current

IpP2

1

Min

±160

'.

p.A

Erasure in Progress

Vpp

~

Vee

> Vee

1,3

1.5

Vpp Write Current

1,3

17

63

mA

Vpp = VPPH
Write in Progress

IpP3

Vpp Erase Current

1,3

20

60

mA

Vpp = VPPH
Erasure in Progress

IpP4

Vpp Write Verily Current

, 1,3

5.0

12

mA

Vpp = VPPH
Write Verily in Progress

Ipps

Vpp Erase Verily Current

1,3

5.0

12

mA Vpp = VPPH
Erase Verily in Progress

VIL

Input Low Voltage·

-0.5

0.8

V

VIH

Input High Voltage

2.4

Vee ± 0.3

V

VOL

Output Low Voltage

0.40

V

IOL = 3.2 mA
Vee = Vee min

VOH1

Output High Voltage

3.8

V,

IOH = -2.0 mA
Vee = Vee min

VpPL

Vpp During Read-Only
Operations

0.00

6.5

V

Note: Erase/Write are
Inhibited when Vpp = VPPL

VPPH

Vpp During Read/Write
Operations

11.40

12.60

V

VLKO

Vee Era~e/Write Lock
Voltage

2.5

or Stam:lby Current

3.0

mA Vpp

±.16

Vpp

~

Vee

V

NOTES:

1.
2.
3.
4.

All currents are in RMS unless otherwise noted. Typical values at Vee = S.OV, Vpp = 12.0V, T ,; 2S'e.
2 chips active and 14 in standby for word-wide mode.
Assumes 2 VpPS are active.
Due to 100 k!l pull up resistors, OE#, eE1 #, eE2#, and WE# will exhibit os; S5 fJ-A of additionallu when VIN

6-96

=

Vss.

I

IMC004FLKA

CAPACITANCE T
Symbol

,

= 25°C, f = 1.0 MHz

Parameter

Notes

Limits
Min

Max

Unit

I,~'

~~1'

Conditions

CIN1

Address Capacitance

40

pF

VIN =OV

CIN2

Control Capacitance

40

pF

VIN = OV

COUT

Output Capacitance

40

pF

VOUT = OV

CliO

1/0 Capacitance

40

pF

VI/O = OV

•. '.l

AC TEST CONDITIONS
Input Rise and Fall Times (10% to 90%) ...... 10 ns
Input Pulse Levels ..........•...... Val and VOH1
Input Timing Reference Level .......... Vil and VIH
Output Timing Reference Level ........ Vil and VIH

AC CHARACTERISTIC5-Read-Only Operations
Symbol

Characteristic

Notes

Min
200

Max

Unit

tAVAv/tRC

Read Cycle Time

2

tElQV/tCE

Chip Enable Access Time

2

200

ns
ns

tAVQV/tACC

Address Access Time

2

200

ns

tGLQV/tOE

Output Enable Access Time

2

100

ns

tElQX/tlZ

Chip Enable to Output
in LowZ

2

tEHQZ

Chip Disable to Output
in HighZ

2

tGlQX/tOLZ

Output Enable to Output
in Low Z

2

tGHQZ/tOF

Output Disable to Output
in High Z

2

tOH

Output Hold from Address,
CE II! , or OE II! Change

tWHGl

Write Recovery Time
before Read

!

I,

II

<

ns

5
60

ns
ns

5
60

ns

1,2

5

ns.

2

6

,...s

NOTES:
1. Whichever occurs first.
2. Rise/Fall Time,;; 10 ns.

6-97

IMC004FLKA

Vee POWER-UP /
STANDBY,

DEVICE: AND
ADDRESS SELECTION

ADDRESSES

OUTPUTS [NASL[O

STANDBY/
Vee POWER-DOWN

DATA VALID

ADDRESS STABLE

1---~-~------C---tAVAV

(..c) - - - - - - - - - - - - . . j

OEM (Gili')

t WHGl

+-----1

WE'" (Will')

IilGH Z

DATA (00)

5.0V

Vee

ov

VAllO OUTPUT

j.
290388-10

NOTE:
CE# refers to CE1. 2#'

Figure 10. AC Waveforms for Read Operations

6·98

I

intel~

IMC004FLKA

I~

AC CHARACTERISTICS-For Write/Erase Operations
Symbol

CharacterIstIc

Notes

MIn

Max

UnIt

tAVAV/tWC

Write Cycle Time

1,2

200

ns

tAVWL/tAS

Address Set-up Time

1,2

0

ns

tWLAX/tAH

Address Hold Time

1,2

100

ns

tovWH/tOS

Data Set-up Time

1,2

80

ns

tWHOX/tOH

Data Hold Time

1,2

30

ns

tWHGL

Write RecoverY Time before Read

1,2

6

,""s

tGHWL

Read Recovery Time before Write

1,2

0

,...s

twLOZ

Output High-Z from Write Enable

1,2

5

ns

tWHOZ

Output Low-Z from Write Enable

1,2

tELWL/tCS

Chip Enable Set-up Time before Write

1,2

tWHEH/tCH

Chip Enable Hold Time

tWLWH/tWP

Write Pulse Width

tWHWL/twPH

Write Pulse Width High

tWHWH1

60
40

ns

1,2

0

ns

1,2

100

ns

1,2

20

ns

Duration of Write Operation

1,2,3

10

,...s

tWHWH2

Duration of .Erase Operation

1,2,3

9.5

ms

tVPEL

Vpp Set-up Time

1,2

100

ns

1. Read timing parameters during read/write operations are the same as during read-only operations. Refer to AC Characteristics for Read-Only Operations.
2. Rise/Fall time s; 10 ns.
3. The integrated stop Umer terminates the write/ erase operations, thereby eliminating the need for a maximum specification.

ERASE/WRITE PERFORMANCE
Typ

Max

UnIt

1,3,4

2.0

30

sec

1,2,4

4.0

25

sec

5

10(6)

Notes

Zone Erase Time
Zone Write Time
MTBF

II.~
•. '.·

!
,

I";~~

I,

NOTES:

Parameter

'I

ns

to Chip Enable Low

Min

Hrs

NOTES:

1. 25°C. 12.0V Vpp.
2. Minimum byte writing time excluding system overhead is 16,..s (10,..s program + 6,..s write recovery). while maximum is
400 ,..s/byte (16
x 25 loops allowed by algorithm). Max chip write time is specified lower than the worst case allowed by
the write algorithm since most bytes write significantly faster than the worst case byte.
3. Excludes OOH writing Prior to Erasure.
4. One zone equals 256 Kbytes.
5. MTBF - Mean Time between Failure. 50% failure point for disk drives.

,..S

I

I
I

6-99

1

I

iMC004FLKA

Vee POWER-UP .t
STANDBY

SET-UP WRITE
COMMAND

WRITE COMMANO
LATCH ADDRESS It: DATA

WRITE
VERIFY

" WRITING

COMMAND

WRITE
VERIFICATION

STANDBY!

Vee POWER-OQWN

ADDRESSES

CE# (E#)

DATA (00)

5.0V

Vee
OV

290388-11

NOTE:
CE# refers to CE1, 2#'

Figure 11. AC Waveforms for Write Operations

6-100

I

iMC004FLKA

ERASE
Vee POWER-UP !:

SET-UP ERASE

STANoe~

COWNAND

ERASE COWh4ANO

ERASING

....ERIFy

[RASE

CO~MAND

VERIfiCATION

STANDBY I
Vcc POWER-OOWN

ADDRESSES

C[# (EIII)

0[# (G#)

WEfil (WII)

DATA (00)

s.ov
V~C
OV

290388-12

NOTE:
CE# refers

to

CE#1, 2.

Figure 12. AC Waveforms for Erase Operations

I

6-101

IMCOO4FLKA

ALTERNATIVE CE #-CONTROLLED WRITES
Symbol
I

:

Character,lstlc

'

Min

Max

Unit

200

ns

tAVEL

.. 'Address Set-up Time

0

ns

tELAX

Address Hold Time
Data Set-up Time

100
80
30

ns

tOVEH

Write cYcle Time

tAVAV

i

Notes

ns

tEHOX

Data Hold Time

tEHGL

Write Recovery Time before Read

6

. p.s

tQHEL

Read Recovery Time before Write

0

p.s

twLEL

Write Enable Set-Up Time
before Chip-Enable

0

ns

0
100

ns

20

ns

. 100'

ns

. tEHwH

Write Enable Hold Time

tELEH

Write Pulse Width

tEHEL.

Write Pulse Width High

tpEL

Vpp Set-up Time
to Chip Enable Low

NOTES:

1

ns

ns

.

1. Chip Enable Controlled Writes: Write operations are driven by the vaiid combination of Chip Enable and Write. Enable. In
systems where Chip Enable defines the write pulse width (with a longer Write Enable timing wavefbrm) all set-up, hold and
,inactive Write Enable times' should be measured relative to the Chip Enable waveform.

6-102

I

intel®

iMC004FLKA

PROGRAt.I
SET-UP PROGRAht
COMMAND

Vee POWER-UP &:
STANDBY

PROGRAt.I COIilIolAND
LATCH ADDRESS &; DATA

VERIFY
PROGRAMMING

COM hilAND

PRQGRAIit
VERIFICATION

STANDBY I
Vee POWER-OOWN

ADDRESSES

W[# (E#)

"HEH

---+---/--

DATA (DO)

5.0V

Vee
OV

12.0V
Vpp

,

t'vPEl

~
290388-13

NOTE:
CE# refers to CE1, 2#.

Figure 13. Alternate AC Waveforms for Write Operations

I

6-103

intel®

IMC004FLKA

ORDERING INFORMATION

II 1·IMlel~ioi.IFI(lKIAI , islBlxlilxjxi~1
I

~

OiliER IDENTIFIER

REVISI~N

ORO-WIDE
ARCH ITECTURE

FL= FLASH

00.

=. IIIEGABYTE
DENS ITY IN IIIEGABYTES
MC= IIIEIII~RY CARD
I=INTEL
.=pACKAGE

PLACEH~LDER

ADDI'TIONAL IN.FORMATION
ER-20, "ETOX II Flash Memory Technology"
RR-SO, "ETOX II Flash Memory Reliability Data Summary"
AP-343, "Solutions for High Density Applications using. Flash Memory"
RR-70, "Flash Memory Card Reliability Data Summary"

290388-14

·ORDER NUMBER
294005
293002
29~079

293007

REVISION HISTORY
Number

Description

03

Removed PRELIMINARY
Removed ExCA Compliance Section
Clarified need for Valid Address during CommandS"
Corrected Vpp = VPPH in Erase Algorithm
Increased ICC2-ICC5 D.C. Current Specifications for
both Byte-Wide and Word-Wide modes.
Revised and updated Application Section discussion
Changed order number

04

Change signals with "_" to """
Change TIC values

6-104

I

iMC002FLKA
2-MBYTE FLASH MEMORY CARD

•
•
•
•
•

Inherent· Nonvolatillty (Zero Retention
Power)
- No Batteries Required for Back-up
High-Performance Read
- 200 ns Maximum Access Time
CMOS Low Power Consumption
- 25 rnA Typical Active Current (XS)
- 400 /-LA Typical Standby Current
Flash Electrical Zone-Erase
- 2 Seconds Typical per 256 Kbyte
Zone
- Multiple Zone-Erase
Random Writes to Erased Zones
-10 /-Ls Typical Byte Write

•
•
•
•
•

Write Protect Switch to Prevent
Accidental Data Loss
Command Register Architecture for
Microprocesssor/Mlcrocontroller
Compatible Write Interface
ETOXTM " Flash Memory Technology
- 5V Read, 12V Erase/Write
- High-Volume Manufacturing
Experience
PCMCIAlJEIDA 6S-Pln Standard
- Byte- or Word-wide Selectable
Independent Software & Hardware
Vendor Support
-Integrated System Solution Using
Flash Filing Systems

Intel's iMC002FLKA Flash Memory Card is the removable solution for storing and transporting important user
data and application code. The combination of rewritability and nonvolatility make the Intel Flash Memory Card
ideal for data acquisition and updatable firmware applications. Designing with Intel's Flash Memory Card
enables OEM system manufacturers to produce portable and dedicated function systems that are higher
performance, more rugged, and consume less power.
The iMC002FLKA conforms to the PCMCIA 1.0 international standard, providing standardization at the hardware and data interchange level. OEMs may opt to write the Card Information Structure (CIS) at the memory
card's address OOOOOH with a format utility. This information provides data interchange functional capability.
The 200 ns access time allows for "execute-in-place" capability, for popular low-power microprocessors.
Intel's 2-MByte Flash Memory Card operates in a byte-wide and word-wide configuration providing performance/power options for different systems.

[,

Intel's Flash Memory card employs Intel's ETOX II Flash Memories. Filing systems, such as Microsoft's· Flash
File System (FFS), facilitate data file storage and card erasure using a purely nonvolatile medium in the DOS
environment. Flash filing systems, coupled with the Intel Flash Memory Card, effectively create an all-silicon
nonvolatile read/write random access memory system that is more reliable and higher performance than diskbased memory systems.
'-~.

'Microsoft is a trademark of Microsoft Corp.
October 1993
Order Number: 290412-003

6-105

inte!®

IMC002FLKA

54.0*0.1 mm
I

/'I'

rT3.3 * 0.1 mm

'/

,

r--

I

I'

"--

,

'.

i,

I,

I,

I,

I,

I,

\

E
E

'"ci

.

..
'"

vi

I,

E
.!
c

,.

,.

I,

0

ci

tl

I,

E
.!
c

I,

0

~

J1:

i,
I

FRONT SIDE

3.4, •

I,

I

"

.,II

1

tJ·~
68 •

35

BACK SIDE

LJ
290412-1

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17

GNO
03
04
05
Os

D7
CE1#
A10
OE#
. A11
Ag
Aa
A13
A14
WE#
NC
Vee

18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34

VPP1
A1S
A15
A12
A7
As
A5

~
A3
A2
A1
AO

Do
01
02
WP
GNO

35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51

GNO
C01#
011
012
013
014
015
CE2#
NC
NC
NC
A17
Ala
A19
A20
NC
Vee

"

52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68

VPP2
NC
NC
NC
NC
NC
NC
NC
NC
REG#
BV02#2
BV01#2
Oa
09
010
C02#
GNO

NOTES:
1. REG# = register memory select = No Connect (NCl. unused. When REG;!! is brought low. PCMCIAlJEIOA standard card information structure data is expected. This is accomplished by formatting the card with this data.
2. BVO;!! = battery detect voltage = Pulled High through Pull-Up Resistor.

Figure 1.IMC002FLKA Pin Configurations

6-106

I

IMC002FLKA

Table 1. Pin Description
Symbol

Type

Name and Function
ADDRESS INPUTS for memory locations. Addresses are internally latched
during a write cycle.

Ao-A20

I

Do-D15

I/O

DATA INPUT/OUTPUT: Inputs data during memory write cycles; outputs
data during memory read cycles. The data pins are active high and float to tristate OFF when the card is deselected or the outputs are disabled. Data is
internally latched during a write cycle.

CE1#,CE2#

I

CARD ENABLE: Activates the card's high and low byte control logic, input
buffers, zone decoders, and associated memory devices. CE# is active low;
CE #. high deselects the memory card and reduces power consumption to
standby levels.

OE#

I

OUTPUT ENABLE: Gates the cards output through the data buffers during a
read cycle. OE # is active low.

WE#

I

WRITE ENABLE controls writes to the control register and the array. Write
enable is active low. Addresses are latched on the falling edge and data is
latched on the rising edge of the WE # pulse.
NOTE:
With Vpp ::;; 6.5V, memory contents cannot be altered.

VPP1, VPP2

ERASE/WRITE POWER SUPPLY for vvriting the command register, erasing
the entire array, or writing bytes in the array.

Vee

DEVICE POWER SUPPLY (5V ±5%).

GND

GROUND

CD 1#, CD2#

0

CARD DETECT. The card is detected at CD1/2# = ground.

WP

0

WRITE PROTECT. All write operations are disabled with WP = active high.

0

BATTERY VOLTAGE DETECT. NOT REQUIRED.

NO INTERNAL CONNECTION to device. Pin may be driven or left floating.

NC
BVD1#,BVD2#

I

6-107

IMCOO2FLKA

00-015

%-0,5

"""'--"'-

~

~
!i.E..-

DO-Oj

I/O TRANSCEIVERS
AND
BUFFERS

WE#
OE#

r----

'~K
~

\... WRITE PROTECT
SWITCH
An-An

Ao
Al -Azo
CEz #
CE, #

ADDRESS
BUFFERS
AND
DECODERS

CEHo #-CEH3 #
CELo #-CEL3 #

28F020

Do-P7

~ An-An

REG#

-

CE#

-

WE#

28F020
f-<

ZO

q

r-

-- OE#
Vss Vce VpP1

-

I I I

CARD DETECT

Do-Oj

""" An-A,7

-

OE#
Vss Vee Vppz

I I I
""" An-A,7

08-0,5

CE#

WE#

r-

WE#

I- OE#

I-

OE#
vss Vee vppz

-

Z2

vss Vee vpP1

I

BVD2 #

Zl

I-

.... CE#

BDV1 #

CE#

I- WE#

COl #

08 -0,5 I-

""" An-An

r-

I

I

I

••
•

r-

Z3

I

I

•
•
•

Vee
BATTERY VOLTAGE
DETECT
WE#

GND
Vee
VPP

290412:-2

Figure 2.lMC002FLKA Block Diagram

6·108

I

iMC002FLKA

APPLICATIONS
The iMC002FLKA Flash Memory Card allows for the
storage of data and application programs on a purely solid-state removable medium. System resident
flash filing systems, such as Microsoft's Flash File
System, allow Intel's ETOX II highly reliable Flash
Memory Card to effectively function as a physical
disk drive.
User application software stored on the flash memory card substantially reduces the slow disk-DRAM
download process. Replacing the disk results in a
dramatic enhancement of read performance and
substantial re~uction of active power consumption,
size, and weight-considerations particularly important in portables and dedicated systems. The
iMC002FLKA's high performance read access time
and command register microprocessor write interface allows for use of the flash memory system in an
"execute-in-place" architecture. This configuration
eliminates the 'need for the redundancy associated
with DRAM and Disk memory system architectures.
ROM based operating systems, such as Microsoft's
MS-DOS ROM Version allow for "instant-on" capability. This enables the design of systems that boot,
operate, store data files, and execute application
code from/to purely nonvolatile memory.
The PCMCIAlJEIDA 68-pin interface with flash filing
systems enables the end-user to transport data and
application code between portables and host systems. Intel Flash Memory Cards provide durable
nonvolatile memory storage protecting valuable user
code and data. .
For systems currently using a static RAM/battery
configuration for data acquisition, the iMC002FlKA's
inherent nonvolatility eliminates the need for battery
backup. The concern of battery failure no longer exists, an important consideration for portable computers and medical instruments, both requiring continu-

I

ous operation. The iMC002FLKA consumes no power when the system is off. In addition, the iMC002FLKA offers a considerable. cost and density advantage over memory cards based on static RAM with
battery backup.

I

I
'(

The flash memory card's electrical zone-erasure,
byte writability, and complete nonvolatility fit well
with data accumulation and recording needs. Electrical zone-erasure gives the designer the flexibility to
selectively rewrite zones of data while saving other
zones for infrequently updated look-up tables, for
example.

PRINCIPLES OF OPERATION
Intel's Flash Memory Card combines the functionality of two mainstream memory technologies: the rewritability of RAM and the nonvolatility of EPROM.
The flash memory card consists of an array of individual memory devices, each of which defines a
physical zone. The iMC002FLKA's memory devices
erase as individual blocks, equivalent in size to the
256 Kbyte zone. Multiple zones can be erased
simultaneously provided sufficient current for the appropriate number of zones (memory deviCes). Note,
multiple zone erasure requires higher current from
both the Vpp and Vee power supplies. Erased zones
can then be written in bit- or byte-at-a-time fashion
and read randomly like RAM. Bit level write capability also supports disk emulation.
In the absence of high voltage on the VPP1,12 pins,
the iMCO02FLKA remains in the read-only mode.
Manipulation of the external memory card-control
pin yields the standard read, standby, and output
disable operations.
The same read, standby, and output disable operations are available when high voltage is applied to
the VPP1,12 pins. In addition, high voltage on VPP1,12

6-1Q9

",

i~

I:

JMCOO2FLKA.
enables. erasure and tewritingof t~e accessed
zone(s). ~AII functions associated with. altering zone
contents---erase, erase verify,'write, and write veri. fy-are accessed via t!:lecommand register.
Commands are written to the internal memory register(s), decoded .by zO,ne size,. using standard microprocessor write timings.. Register.contents. for' a given zone serve as input to that zQne'sinternal statemachine which controls the erase.and rewrite circuitry. Write cycles also internally latch addresses and
data needed for write and erase operations. With the
appropriate command written to the register(s), standard microprocessor read timings output zone data,
or output data for erase and writ~ verification. .

Card Detection
The flash memory card features two card detect pins
. (CD1,t2#) that allow the host system to determiQ~if
the card is properly lOaded .. Note that the two pins
are located at opposite ends of the card. Each CD#
output should be read through a port bit. 'Should only
.one of the two bits show the card to be present, then
, the system should instruct the user to re-insert the
card squarely into the s.ocket. Card detection can
also tell the system whether or not to redirect drives
in.the case of system booting. CD1,t2# is active low,
internally tied to ground. ' .

Write Protection

Byte-wide or Word-wide Selection
The flash memory card can be read, erased, and
written in a byte-wide or word-wide mode. -In the
word-wide configuration VPP1 and/or CE1 # control
the LO-Byte while VPP2 and CE2# control the. HIByte (Ao =; don't care):
Read, Write, and 'Verify operations are byte- or wordoriented, thus zone independent. Erase Setup and
Begin Erase Commands are zone dependent such
that commands written to any address within a 256
Kbyte zone boundary initiate the erase operation in
that zone (or tWo 256 Kbyte zones under word-wide
operation).
Conventional x8 operation uses CEt # active-low,
with CE2 # high, to read or write data through the
00-07 only. "Even bytes" are accessed when Ao is
low, corresponding to. the low byte of the complete
x16 word; When Ao is high, the "odd byte" is ac-'
cessed by transposing the high byte of the complete
x16 word onto the 00-07 'outputs. This odd byte
corresponds to data presented on 08-015. pins in
x16 mode.
!'iote that two zones logically adjacent in x16 mode
are multiplexed through 00-07 in ic8 mode and are
toggled by the Ao address. Thus, zone specific
erase operations must be kept discrete in x8 mode
by addressing even byte,S only for one-half of the
zone pair, then addressing odd bytes only for the
other half.

6-110

The flash memory card features three types of write
protection. The first type features a mechanical
Write P~otect Switch that disables the circuitry that
control Write Enable to the flash devices. When the
switch is activated, the WE # internal to the cord is
forced high, which disables any writes to t!:le Command Register. The second type of write protection
is based on lhe' PCMCIAI JEIDA socket. Unique pin
length assignments proVide protective power supply
sequencing during hot insertion and removal. The
third type operates via software .control through the
Command Register when the card resides in its connector. The Command ~egister of each zone is only
active when VPP1;2 is at high voltage. Depending
upon the application, the system designer may
choose to. make VPP1j2 power supply switchableavailable only when writes are desired. When VPP1,t2
= VPPL, the contents of the register default to the
read command, making the iMC002FLKA a readonly memory .card. In this mode, the memory contents cannot be altered.
.
The system designer may choose to leave VPP1,t2 =
VPPH, making the high voltage supply com~tantly
available. In this case, all Command Register functions are inhibited whenever Vee is below the write
lockout voltage, VLKO. (See the section on Power
Up/Down Protection.) TheiMC002FLKAis designed
to accommodate either design practice, and to encourage optimization of the processor-memory card
interface.

I

intel~
BUS OPERATIONS
Read
The iMC002FLKA has two control functions, both of
which must be logically active, to obtain data at the
outputs. Card Enable (CE #) is the power control
and should be used for high and/or low zone(s) selection. Output Enable (OE#) is the output control
and should be used to gate data from the output
pins, independent of accessed zone selection. In the
byte-wide configuration, only one CE # is required.
The word-wide configuration requires both CE#s active low.
When VPP1;2 is high (VPPH), the read operations can
be used to access zone data and to access data for
write/erase verification. When VPP1;2 is low (Vppd,
only read accesses to the zone data are allowed.

Output Disable
With Output Enable at a logic-high level (VIH), output
from the card is disabled. Output pins are placed in a
high-impedance state.

Standby
With one Card Enable at a logic-high level, the
standby operation disables one-half of the x16 output's read/write buffer. Further, only the zone corresponding to the selected address within the upper or
lower CE1;2# bank is active at a time. (NOTE: Ao
must be low to select the low half of the x16 word
when CE2# = 1 and CE1 # = 0.) All other zones
are deselected, substantially reducing card power
consumption. For deselected banks, the outputs are
placed in a high-impedance state, independent of
the Output Enable signal. If the iMC002FLKA is deselected during erasure, writing, or write/erase verification, the accessed zone draws active current until the operation is terminated.

IMC002FLKA

Intelligent Identifier Command
The manufacturer- and device-codes can be read
via the Command Register, for instances where the
iMC002FLKA is erased and rewritten in a universal
reader/writer. Following a write of 90H to a zone's
Command Register, a read from address location
OOOOOH on any zone outputs the manufacturer code
(89H). A read from address 0002H outputs the memory device code (SOH).

Write
Zone erasure and rewriting are accomplished via the
Command Register, when high voltage is applied to
VpP1;2. The contents of the register serve as input to
that zone's internal state-machine. The state-machine outputs dictate the function of the targeted
zone.
The Command Register itself does not occupy an
addressable memory location. The register is a latch
used to store the command, along with address and
data information needed to execute the command.
The Command Register is written by bringing Write
Enable to a logic-low level (Vld, while Card Enable(s) is/are low. Addresses are latched on the failing edge of Write Enable, while data is latched on
the rising edge of the Write Enable pulse. Standard
microprocessor write timings are used.
Refer to AC Write Charcteristics and the Erase/
Write Waveforms for specific timing parameters.

COMMAND DEFINITIONS
When low voltage is applied to the Vpp pin(s), the
contents of the zone Command· Register(s) default
to OOH, enabling read-only operations.
!:.\
III

Placing high voltage on the Vpp pin(s) enable(s)
read/write operations. Zone operations are selected
by writing specific data patterns into the Command
Register. Tables 3 and 4 define these iMC002FLKA
register commands for both byte-wide and wordwide configurations.
All commands written to the Command Register require that the zone address be valid or the incorrect
zone will receive the command. Any Command/
Data Write or Data Read requires the correct valid
address.

I

6-111

iMC002FLKA
Table 2~'Bu8 Operations

,e

Vp,p'L VPPL '

\tIL:

'ilH

VIL

VIL

VIH

Trj-statlil

Dat~ Out-Even

React (ic8)'

9

VPPL' VPPL

VIH

VIH

VIL

VIL;

VIH

Tri-state

Data Out-Odd

~

Read(X8)i,

·10

VPPL

VPPL

x'

VIL

VIH

VIL

VIHDataOut Tri-state,

i:i

Read (x16)

11

VPPL

VPPL

X

VIL

VIL

VIL

VIH

Output Disable

VPPL

VPPL

X

X

X

VIH

VIH' Tri-statEiTri-state

Standby

VPPL

VPPL

X

VIH

VIH

X

"8ead (xS) , .'

>~--~~~-+~~~~~+-~~~~~~4-~~+-~~~~+-----~--~----~~

£

Data Out Data Out

~~~~~~-+----~~~+-~~--~--~4-~~+-~~~~+-~--~--~~--~~

X , Tri-state

Tri~state

Read (x8) , "

3, 8

VpPX

VPPH

VIL

VIH

VIL

VIL

VIH

Tri-state

Data Out"Even '

Read (x8)

3, 9

VPPH' VpPX

VIH

VIH

VIL

VIL

VIH

Tri-state

Data Out-Odd

~~R_e_a~d~(x_8~)__-+__1_0-4_V~P~PH~'+-V~PP~X~'~X-+__V~IL~__V~IH~__
V~IL~_V~IH~'~D_a_ta__
O_ut4-T_ri_-s~ta_t_e__~
~ Read (x16)
3, 11 VPPH VpPH X
VIL
"IL
VIL'
VIH Data Out Data Out

~r---~~~~---+-~~~~--+--~-r~~--~~~+--~---+-~----~

~

Write (x8)

5, 8

VpPX

VPPH

VIL

VIH

VIL

VIH

Write (x8)

,9

VPPH

VpPX

VIH

Write (x8)

, 10 '

'liP-PH

VpPX

X

Write (x16)

11

VPPH VPPH

X

Standby

4

VPPH

Vp,PH

X

VPPH

VPPH

X

X

VIL

Tri-state

Data In-Even

VIH

VIL

VIH

VIL

Tri-state

Data In-Odd

VIL

¥IH

VIH

VIL

Data In

Tri-state

VIL

VIL

'IIIH

VII~.

VIH

VII-i

X

X

Tri-state

Tri-state

X

VIH

VIL

Tri-state

Tri-state

~~--~~--~----+-~~~~r-~--~~~=-+-~~~~r------+--------~

Output Disable

Data In ,Data, In

NOTES:
,
1. \:Iefer to DC Character:istics. When VPP1j.! = VPPL ~mory contents can be read but not written or erased.
2. Manufacturer arid device codes may be accessed via a command. register write sequence. Fiefer to Table 3. All other
addresses low.
, 3. Read operations with VPP1,.2 = VPPH may ace,ess array data or the Intelligent Identifier codes.
4. With VPP1,.2 at high, voltage, the standby current equals Icc + Ipp (standby).
'
"
5. Refer to Table ~ for valid Data-In during a write operation.
.
'6. X can be VIL or VIH.
7. VpPX = VPPH or VPPL·
S. This xS operation reads or writes the lOw byte of the xi6 word on 000:"7, i.e.; Ao low reads "even" byte in x8 mode.
9. This xS operation reads or writes the high byte of the x16 word on 000-7 (transposed from DOs..: ts), i.e., Ao high reads
"odd" byte in xS ,mode.
10. This xS operalionreadsorwrites,the hlgh,gyte of the xi6 on oo8-,1S. Ao is'''don't care."
11. Ao is "don't care," unused in x16 mode. Higl:t and low bytes are presented simultaneously.

6-11~

I

intel~

IMC002FLKA

!:,i

Table 3. Command Definitions Byte-Wide Mode
Command

Read Memory
Read Intelligent 10 Codes,

Bus
SeCond Bu. Cycle
First Bus Cycle
Notes Cycles
Req'd Operatlon(1) Address(2) Data(3) Operatlon(1) Address(2) Data(3)

2

1

Write

RA

OOH

Write

IA

Set-up Erase/Erase

5

2

Write

ZA

20H,

Write

ZA

20H

Erase Verify

5

2

Write

EA

AOH

Read

EA

EVO

Set-up Write/Write

6

2

Write

WA

40H

Write

WA

WO

Write Verify.

6

2

Write

WA

COH

Read

WA

WVO

2,7,8

2

Write

ZA

FFH

Write

' "lA

FFH

Read

Table 4. Command Definitions Word-Wide Mode
Bus
SeCond Bus Cycle
First Bus Cycle
Notes Cycles
Req'd Operatlon(1) Address(2) Dalai(3) Operatlon(1) Address(2) Data(3)

RA

OOOOH

Write

IA

9090H

Read

Write

ZA

2020H

Write

Read Memory .

2

1

Write

Read Intelligent 10 Codes

4

3

Set-up Erase/Erase

5

2

ZA

2020H
EVO

Erase Verify

5

2

Write

EA

AOAOH

Read

EA

Set-op Write/Write

6

2

Write

WA

4040H

Write

WA

WO

6

2

' Write

WA.

COCOH

Read

WA

WVO'

2,7,8

2

Write

ZA

FFFFH

Write

ZA

FFFFH

Write Verify
Reset
NOTES:

1. Bus operations are defined in Table 2.
2. IA = Identifier address: OOH for manufacturer code, 01 H for device code.
EA = Address of memory location to be read during erase verify.
RA ;= Read Address
WA = Address of memory location to be written.
ZA = Address of 256 Kbyte zones involved in erase or Reset operations.
Addresses are latched on the falling edge of the Write Enable pulse.
3. 10
= Data read from location.'IA during device identification. (Mfr = 69H, Device = BDH).
EVD = Data read from location EA during erase verify.
WD = Data to be written at location WA. Data is latched on the rising edge of Write Enable.
WVD= Data read from location WA during write. verify. WA is latched on the Write comma!)d.
4. Following the Read IntelligE!nt 10 cOmmand, two read operations access manufacturer and device codes.
5. Figure 5 illustrates the Erase Algorithm.
6. Figure 6 illustrates the Write Algorithm.
7. The second bus cycle must be followed by the desired command register write.
6. The Reset command operates on a zone basis: To reset the entire card requires reset write cycles to each :one:

I

I

Ii

3

Command

I

,'I

4

Reset

90HT

I

6-113

intel®

iMC002F.LKA

Read Command
While VPP1;2 is high, for, erasure and writing, zone
memory contents can be accessed via . the' read
command. The read operation is initi.ated by writing
ooH (OOaOH for the word-wide configuration) into the
zone Command Register(s). Microprocessor read
cycles retrieve zone data. The aocessed zone remains enabled for reads until the Command Register(s) contents are altered.
The default contents of each zone's register(s) upon
VPP1;2 power-up is OOH (OOOOOH for word-wide).
This default value ensures that no' spurious alteration of memory card .contents occurs dl!ring the
VPP1;2 power transition. Where the VPP1;2 supply is
left at VPPH, the memory card powers-up and remains enabled for reads until the command Register
contents of targeted zones are changed. Refer to
the AC Read Characteristics and Waveforms for
specific timing parameters.

Intelligent Identifier Command
E:acti zone of the iMC002FLKA contains an Intelli- '.
gent Identifier to identify memory card device characteristics. The operation is initiated by writing 90H
(9090H for word-wide) into· the Command Registeres) with zone address. Following the command
write, a read cycle from address OOOOOH retrieves
the manufacturer code 89.H (8989H for word-wide).
A read cycle from address 0002H returns the device
code BOH (BOBOH for word-wide). To terminate the
.operation, it is necessary to write another valid command into the register(s).

Set-up Erase/Erase Commands
Set-up Erase stages the targeted zone(s) for electri- ,
cal erasure of all bytes in the 'zone. The set-up erase
operation is performed by writing 20H. to the Command Register (2020H for word-wide) with zone address.
To commence zone-erasure, the erase command
(20Hor 2020H) must again be written to the registeres) with zone address. The erase operation begins
with the rising edge of the Write"Eoablepulse and
terminates with the rising edge ofthe next Write-Enable pulse (Le., Erase-Verify Command with zone
address).
This two-step sequence of set-up followed byexecution ensures that zone memory contents are not accidentally erased. Also, zone-erasure can only occur
when high voltage is applied to the VPP1;2 pins. In
the absence of this high voltage, zone memory con-

6-114

tents are protected against erasure. Refer to AC
Erase Characterstics and Waveforms for specific
timing parameters.

Erase-Verify Command
The erase .command erases all of the bytes of the
zone in paranel. After each erase operation, all bytes
in the zone must be indiVidually verified. In bytemode operations, zones are segregated by' Ao in
odd and even banks; eras~ and erase verifyqperations must be done in complete passes of evenbytes-only then odd-bytes-only. See the Erase Algorithm for byte-wide mode. The erase verify operation
is initiated by writing AOH (AOAOH for word-wide) into
the Command Register(s). The address for the
byte(s) to be verified must be supplied as it is
latched on the falling edge of the Write Enable
pulse. The register write terminates the erase' operation with the rising' edge of its Write Enable pulse.
The enabled zone applies an internally-generated
margirrvoltage to the addressed byte. Reading FFH
from the addressed byte indicates that all bits. in the
byte are erased. Similarly, reading FFFFH from the
addressed word indicates that all bits in the word are
erased.
.
The erase-verify command must· be written to the
Command Register prior to each byte (word) verification to latch its address. The process continues
for each byte (word) in the zone(s) until a byte (word)
does not return FFH (FFFFH) data, or the last address is accessed .
In the case where the data read is not FFH (FFfFH),
another erase operation is performed. (Refer to Setup Erase/Erase.) Verification then resumes from the
address of the last-verified byte (word). Once all
bytes (words) in the zone(s) have been verified, the
erase step is complete. The accessed zone can now
be written. At this. point, the verify operation is terminated.by writing a valid command (e,g., Write Setup) to the Command Register. The Erase algorithms
for byte-wide. and word-Wide configurations illustrate
how commands and bus operations ar'e.combined to
perform electrical erasure of the iMCC002FLKA. Refer to AC Erase Characteristics and. Waveforms for
specific timing parameters.

Set-up Write/Write Commands
Set-up write is a command-only operation that
stages the targeted zone for byte writing. Writing
40H (4040H) into the Command Register(s) performs the set-up operation.

I,

i

intel®
Once the write set-up operation is performed, the
next Write Enable pulse causes a transition to an
active write operation. Addresses are internally
latched on the falling edge of the Write Enable
pulse. Data is internally latched on the rising edge of
the Write Enable pulse. The rising edge of Write Enable also begins the write operation. The write operation terminates with the next rising edge of Write
Enable, which is used to write the verify command.
Refer to AC Write .Characteristics and Waveforms
for specific timing parameters.

Write Verify Command
The iMC002FLKA is written on a byte-by-byte or
word-by-word basis. Byte or word writing may occur
sequentially or at random. Following each write operation, the byte or word just written must be verified.
The write-verify operation is initiated by writing COH
(COCOH) into the Command Register(s) with correct
address. The register write(s) terminate(s) the write
operation with the rising edge of its Write Enable
pulse. The· write-verify operation stages the accessed zone(s) for verification of the byte or word
last written. The zone(s) apply(ies) an internally-generated margin voltage to the byte or word. A microprocessor read cycle outputs the data. A successful
comparison between the written byte or word and
true data means that the byte or word is successfully
written. The write operation then proceeds to the
next desired byte or word location. The Write algorithms for byte-wide and word-wide configurations illustrate how commands are combined with bus operations to perform byte and word writes. Refer to
AC Write Characteristics and Waveforms for specific
timing parameters.

Reset Command
A reset command is provided as a means to safely
abort the erase- or write-command sequences. Following either set-up command (erase or write) with

I

iMC002FLKA

two consecutive writes of FFH (FFFFH for wordwide) will safely abort the operation. Zone memory
contents will not be altered. A valid command must
then be written to place the accessed· zone in the
desired state.

EXTENDED ERASE/WRITE CYCLING
Intel has designed extended cycling capability into
its ETOX II flash memory technol9gy enabling a
flash memory card with a MTBF that is many times
more reliable than rotating disk technology. Resulting improvements in cycling reliability come without
increasing memory cell size or complexity. First, an
advanced tunnel oxide increases the charge carrying ability ten-fold. Second, the oxide area per cell
subjected to the tunneling electric field minimizes
the probability of oxide defects in the region. The
lower electric field greatly reduces oxide stress and
the probability of failure.

WRITE ALGORITHMS
The write algorithm(s) use write operations of 10 ,""S
duration.. Each operation is followed by a byte or
word verification to determine when the addressed
byte or word has been successfully written. The algorithm(s) allows for up to 25 write operations per
byte or word, although most bytes and words verify
on the first or second operation. The entire sequence of writing and byte/word verification is performed with Vpp at high voltage.

ERASE ALGORITHM
The Erase algorithm(s) yield(s) fast and reliable
electrical erasure of memory contents. The algorithm employs a closed-loop flow, similar to the write
algorithm, to simultaneously remove charge from all
bits in the accessed zone(s).
Erasure begins with a read of memory zone contents. Reading FFH (FFFFH) data from the accessed zone(s) can.be immediately followed by writing to the desired zone(s).

6-115

inteli

iMC002FLKA

®

For zones. being erased and rewritte,n, uniform and
reliable erasure is ensured by first writing all bits in
the accessed zone· to their charged state {data =
00H byte-wide,OOOOOH word-wide)~ This is accomplished, using the write algorithm, in approximately
four seconds per zone.
EraseexeCiJtic)O .then continues with an initial erase
operation. Erase verification (data = FFH byte-wide,
FFFFH word"wide) begins at address OOOOOH and
continues through the zone to the last address, or
until data other thanFFH (FFFFH) is encountered.

(Note:. byte-wide erase operation. requires separate
even- and odd-address passes to handle the individual 256 Kbyte zones.) With each erase operation, an
incre.asing number of bytes or words verify to. the
erased state. Erase efficiency may. be .improvedby
storing the address of the last byte or word verified
ina register(s). Following the next erase operation,
verification starts at the. stored address location. Follow this procedure until all. bytes in the· zone.are
erased. Then, re-start. the procedure for· the next
zone or word-wide zone pair.· Erasure typically oc·
curs in two seconds per zone.

INITIALIZE SIZE
AND NUMBER Of ZONES
ZONE L= 0
ZONEH=I

290412-3 .

Figure 3. Full Card Erase Flow

6-116

I

infel~

iMC002FLKA

( START WRITING

Bus

[1]

Operation

J,

I

APPLY
VpPH (2)

I

PLSCNT = 0

I

Command

Standby

Comments

Wait for Vpp ramp
to VPPH (= 12.0V) (2)

i

I

I

Initialize pUlse-count

""

WRITE SET-UP ;
WRITE CWO + ADDRESS

i

I

I

I

WRITE
CMD(A/D)

l

I

t

Data = 40H +
Valid Address

Write

Write

Valid address/data

--l.
l
READ DATA
FROM DEVICE

operation (tWHWH1)

I

Write

Data = COH + Valid Address;
Stops(4) Write Operation

Write(3)
Verify

I

TlIolE O.UT 6 pS

Duration of Write

Standby

10 pSi

WRITE
VERIFY cwo + ADDRESS

I

Set-up
Write

J,

LTIME OUT

I

Write

.1

Standby

tWHGL

Read

Read byte to verify Write
Operation at Valid Address

Standby

Compare data output
to data expected

N
INC
PLSCNT,

N

VERIFY
DATA

= 251
Y

Y

II

INCREIolENT
ADDRESS

N

LAST
ADDRESS

1
Y

l

WRITE
READ.CMO

I

APPLY
vPPL[~)

(

J,

Write

I

I I

l
WRITING
)
COIolPLETED

(

APPLY
VpPL (2)

l

I

Read

t

Standby

Data = OOH, resets the
register for read
.operations.
Wait for VPP ramp
to VPPL(2)

WRITE
ERROR

290412-4

NOTES:
1. CAUTION: The algOrithm MUST BE FoLLOWED to
ensure proper and reliable operation of the device.
2. See DC Characteristics for the value of VPPH and
VPPL·

3. Write Verify is only performed after a byte write operation. A final read/compare may be performed (optional) after the register is written with the Read command.
4. Refer to principles of operation.

Figure 4. Write Algorithm for Byte-Wide Mode

I

6-117

· IMCOO2FLI(A
..

..

Bus
Command
Operation

.Comments
Wait for Vp.~ ramp to VPPH
(= 12.0V)< )

Standby

Use with Write Operation
Algorithm
.
Initialize even/Odd Addresses,
Erase Pulse Width, and Pulse
Count

Write

Set-up
Erase

Data = 20H

+ Address

Write

Erase

Data = 20H

+ Address

Standby
Write

Erase
Verify(3)

Addr=Byte to verify;
lYata = AOH; Stops

Standby

Erase Operation(4) tWHGL

Read

Read byte to verify erasure at
address

Standby

Compares output to FFH
increment pulse cqunt

Write
Standby

290412-5
NOTES:
.
1. CAUTION: The algorithm MUST BE.FOLLOWED to
ensure proper and reliable operation of the device.
2. See DC Characteristics for the value of VPPH and
VpPL·

Duratipn of Erase operation
(tWHWH2)

Read

Data = OOH, resets the register
for read operations.
Wait for Vppramp to VPPL(2)

3. Erase Verify is only performed afler a chip erasure. A
final read/coinpare may be performed (optional) after
the register is written with the Read command.
4. Refer to principles of operation.

Figure 5. Erase Algorithm for Byte-Wide Mode

6-118

I

IMC002FLKA

Comments

Walt for Vpp ramp to VPPH
ADRS = addre•• to write
W_DAT = data word to write
Initialize Data Word Variables:
V_OAT = valid data
W_COM = Write Command
V_COM = Wr~e Verify Command
PLSCNT--HI = HI Byte Pulse Counter
PLSCNT_LO = LO Byte Pulse Counter
FLAG = Write Error Flag
Write Set-up Command
Address nead. to be Valid
Write

PLSCNT _HI = 0
PLSCNLLO=O
FLAG = 0

High/Low Byte
Compare &: Mask
Subroutine

See Write Verify and Ma.k Subroutine
Write Verify Command

F_OAT = flash memory data

Compare flash memory data to valid data
(word compare). If not equal, check for
Write Error flag; If Flag not .et, compare
High and Lo,,! Byte. in the Subroutine.

Check buffer of 110 port for more data to write

Reset device for read operation
Turn offVpp

290412-6

Figure 6. Write Algorithm for Word·Wlde Mode

I

6-119

IMC002FLKA

COlT!ments
To look at the LO Byte,
Mask· the HI Byte with
00
LDAl,;, (LDAl OR OOFFH)
ILcoM = (W_COM OR OOFFH)
V_COM = (V _COM OR OOF'F'H)

Ifthe LO Byte verifies,
mask the LO Byte
commands. with the reset
command (FFH)
If the LO Byte does not
verify, then increment its
puise counter and check
for max count
'
, FLAG = .1 denotes a LO
Byte error
, Repeat the sequence for
the HI Byte

LDAT = ('LOAT OR FFOOH)
W_COM = (W _COM OR FFOOH)
V_COM = (LCOM OR FFOOH)

FLAG = 2 denotes a HI
Byte error
FLAG = 3 denotes both
a HI and LO Byte errors.
Flag = 0 denotes no
max count errors;
continue with algorithm.

290412-7

"Masking can easily and efficiently be done in assembly languages. Simply load word registers with the incoming data
(F_DAn. the program commands and the verify commands. Then manipulate the HI or LOregister contents.

Figure 7. Write Verify and Mask Subroutine fen Word-Wide Mode

I

IMCOO2F.LKA

Commanta

Wait for Vpp to stabilize.

,

;~
',~

Use Write operation algorithm in x8 or x16

oonflguraiton

INITIALIZE:
PLSCNT _HI 0
PLSCNLLO=O
ADRS = 0

=

Inllializa Variables:
PLSCNT-HI = HI Byte Pulse Counter
.PLSCNT-1.0 - LO Byte Pulse Counter
FLAG = Erasure error flag
AORS = Address
LCOM = Erase Commend
V_cOM = Verify Command

fLAG = 0
LCOM=2020H
V ~COM = AOAOH

I

I
I
I

I.. ~

Erase Set-up Command

I!

l~

S1art Erasing

Duration of Erase Operatiorl

Erase Verify Command slops erasure

See Block Eraee Verify & Mask Subroutine

When both devices at ADRS are eraeed. F_
DATA = FFFFH. If not equal. incrementlhe
,pulse counter and check for last pulse
Reset oommands delaun 10
(LCOM = 2020H) (V_COM
bsfore verifying ~xt ADRS

= AOAOH)

Reset device for read operation
I'

I'

I

Tum ofIVpp
'.

290412-8

NOTE:
X16 Addressing uses Al-A20 only.

Ao

= 0 throughout word~wide operation.

Figure 8. Erase Algorithm for Word-Wide Mode

I

6-121

tMC002FLKA,

This s,l,Ibro~tine read,s the data
.word (F_D.a;TA). It then masks
the HI or LO Byte of the Erase
,andVerify commands from
executing during the next
operatiQn.
",
If both HI and'LO Bytes verify,
then return. '
Mask' the HI Byte with OOH.

LeoM" (LCOM or OOffH
V_COM- IV_COM or OOrrH)

If the LO Byte verifies erasure,
then mask" the next erase and
verify commands with ,FFH
(RESET).
If the LO Byte does not verify,
. then increment its pulse counter
and check for max count. FLAG
= 1 denotes a LO Byte error.

Repeat the sequence for the HI
Byte.
LCOM
V_COM

=(LCOM or FFOOH)
=(V _COM or rfOOH)
Flag =2 dEmotes a HI Byte error.
Flag = 3 denotes both a HI and
LO Byte errors. FLAG = 0
denotes no max count errors;
continue with algorithm.

290412-9

'Masking can easily and effiCiently be done in assembly languages. Simply load word registers with the incoming data
(F..,.DAT), the program commands and the verify commands. ·Then manipulate the HI or LO register contents.

Figure 9. Eras.e Verify and Mask Subroutine ·for Word-Wide Mode

I

iMC002FLKA

SYSTEM DESIGN CONSIDERATIONS
Three-Line Control
Three-line control provides for:
a. the lowest possible power dissipation and.
b. complete assurance that output bus contention
will not occur.
To efficiently use these three control inputs, an address-decoder output should drive CE1 2#, while
the system's Read signal controls the card OE # signal, and other parallel zones. This, coupled with the
internal zone decoder, assures that only enabled
memory zones have active outputs, while deselected zones maintain the low power standby condition.

Power-Supply Decoupling
Flash memory power-switching chara~teristics require careful device decoupling. System designers
are interested in three supply current issues-standby, active and transient current peaks, produced by
falling and rising edges of CE 1;2 #. The capacitive
and inductive loads on th!'l card and internal flash
memory zones determine the magnitudes of these
peaks.
Three-line control and proper decoupling capacitor
selection will suppress transient voltage peaks. The
iMC002FLKA features on-card ceramic decoupling
capacitors connected between Vee and Vss, and
between VPP1IVPP2 and Vss.

I

The card connector should also have a 4.7 ,iF electrolytic capacitor between Vee and Vss" as well as
between VPP1IVPP2 and Vss. The bulk capacitors
will overcome voltage slumps caused by printed-circuit-board trace inductance, and will supply charge
to the smaller capacitors as needed.

Power Up/Down Protection
The PCMCIAlJEIDA socket is specified, via unique
Pin lengths, to properly sequence the power supplies to the flash memory card. This assures that hot
insertion and removal will not result in card damage
or data loss.,
Each zone in the iMC002FLKA is designed to offer
protection against accidental erasure or writing,
caused by spurious system-level signals that may
exist during power transitions. The card will powerup into the read, state.
A system designer must guard against active writes
for Vee voltages above VLKO when Vpp is active.
Since both WE# and CE1 2# must be low for a
command write, driving either to VIH will inhibit
writes. With its control register architecture, alteration of zone contents only occurs after successful
completion of the two-step command sequences.
While these precautions are sufficient for most applications, it is recommended that Vee reach its steady
state value before raising VPP1;2 above Vee + 2.0V.
In addition, upon powering-down, VPP1;2 should be
below Vee, + 2.0V, before lowering Vee.

6-123

IMC002FLKA

Absolute Maximum Ratings· .

NOTICE:.This is a production data sheet. The specifications are subject to change without notice.

Operating Temperature
During Read ...... , ............ O"C to + SO·C(l)
During Erase/Write .............. O·C to + SO·C
Temperature Under Bias .. , ...... '- 10·C to

+ 70"C

Storage Temperature ............ -30·C to +70·C
Voltage on Any Pin with
Respect to Ground .......... - 2.0V to + 7.0V(2)
VPP1/VPP2 Supply Voltage with
Respect to Ground
During Erase/Write ....... - 2.0V to

• WARNING; Stressing the device beyond the "Absolute
Maximum Ratings" may cause permanent damage.
These are stress ratings only. Operation beyond the
"Operating Conditions" is riot recommended and extended exposure beyond the "Operating Conditions"
may affect device reliability.

+ 14.0V(2,3)

Vee Supply Voltage with
Respect to Ground .......... - 2.0V to + 7.0V(2)
.
NOTES:
1. Operating temperature is. for commercial product defined by this specification.
2. Minimum DC input voltage is -O.5V. During transitions, inputs may undershoot to - 2.0V for periods less
than 20 ns. Maximum DC voltage on output pins is Vee + 0.5V, which may overshoot to Vee + 2.0V for
periods less than 20 ns.
3. Maximum DC input voltage on VPP1/VPP2 may overshoot to + 14.0V for periods less than 20 ns.
I

OPERATING CONDITIONS
Symbol
TA
Vee

Parameter

Min

Operating Temperature

-

. Vee Supply Voltage

limits .....
Max

0

SO

Unit

Comments

·C

For Read-Only and
Read/Write Operations.

4.75

5.25

V
V
V

VPPH

.Active VPP1, VPP2
Supply Voltages

11.40

12.S0

VPPL

Vpp During Read Only
Operations

0.00

S.50

DC CHARACTERISTICS-Byte Wide Mode
Symbol
III

Parameter
. Input Leakage Current

Notes

limits
Min

Unit

Test Conditions

Typical

Max

1,4

±1.0

±20

jJ.A

Vee = Veernax
VIN = VeeorVss

ILO

Output Leakage Current

1

±1.0

±20

jJ.A

Vee'; Vee max
Your = Vee or Vss

lees

Vee Standby Current

1

0.4

0.8

mA

Vee = Vee max
CE1# = CE2# = Vee±0.2V

4

7

mA

Vee = Vee max
CEl # = CE2# = VIH

leel

Vee Active Read Current

1,2

25

50

mA

Vee = Vee max CE# = VIL
f = SMHz,lour= 0 mA

lee2

Vee Write Current

1,2

.5.0

15.0

mA

Writing in Progress

lee3

Vee Erase Current

1,2

10.0

20.0

mA

Erasure in Progress

lee4

Vee Write Verify Current

1,2

10.0

20.0

mA

Vpp = VpPH
Write Verify in Progress

S-.124

I

iMC002FLKA

DC CHARACTERISTICS-Byte Wide Mode (Continued)
Symbol

Parameter

Limits

Notes
Min

.lee5

Vee Erase Verify Current

1.2

Unit

Test Conditions

Typical

Max

10.0

20.0

rnA

VPP = VpPH
Erase Verify in Progress

±SO

p.A

Vpp

O.S

rnA

Vpp> Vee

Ipps

VPP Leakage Current

1

IpPl

Vpp Read Current
or Standby Current

1.3

IpP2

Vpp Write Current

1.3

S.O

30

rnA

Vpp = VPPH
Write in Progress

IpP3

VPP Erase Current

1.3

10

30

mA

Vpp = VPPH
Erasure in Progress

IpP4

Vpp Write Verify Current

1.3

2.0

5.0

mA

VPP = VPPH
Write Verify in Progress

IpP5

VPP Erase Verify Current

1.3

2.0

5.0

rnA

Vpp = VPPH
Erase Verify in Progress

VIL

Input Low Voltage

-0.5

O.S

V

VIH

Input High Voltage

2.4

Vee ± 0.3

V

VOL

Output Low Voltage

0.40

V

IOL = 3.2mA
Vee = Vee min

VOHl

Output High Voltage

3.S

V

IOH = -2.0 mA
Vee = Vee min

VpPL

Vpp During Read-Only
Operations

0.00

6.5

V

Note: Erase/Write are
Inhibited when VPP = VPPL

VPPH

VPP During Read/Write
Operations

11.40

12.60

V

VLKO

Vee Erase/Write Lock
Voltage

2.5

0.4

±O.OS

Vpp

S;

S;

Vee
Vee

V

NOTES:

1.
2.
3.
4.

AII.currents are in RMS unless otherwise noted. Typical values at Vee = 5.0V. Vpp = 12.0V. T = 25'e.
1 chip active and 7 in standby for byte-wide mode.
Assumes 1 Vpp is active.
Due to 100 k!l pull up resistors OE#. eEl #, eE2# and WEll' will exhibit :S: 55 /LA of additionallu when VIN

=

Vss.

DC CHARACTERISTICS-Word Wide Mode
SYrnbol

Parameter

Notes

Limits

Unit

Test Conditions

Typical

Max

1.4

±1.0

±20

p.A

Vee = Vee max
VIN = Vee or VSS

Min

III

Input Leakage Current

ILO

Output Leakage Current

1

±1.0

±20

p.A

Vee = Vee max
VOUT = Vee or VSS

Vee Standby Current

1

0.4

O.S

mA

Vee = Vee max
CEl # = CE2# = Vee ± 0.2V

4

7

mA

Vee = Vee max
CEl # = CE2# = VIH

.Ices

I

6-125

...+~.
•-n'"
"'Ere

'IMCOO2FLKA

I.

:

DC CHARACTERISTICS-Word Wide Mode (Continued)
Symbol
leel

Parameter

Notes

Vee,Active Reac:iCurrent

Limits

Test Conditions"

Max

1,2'

40

80,

mA Vee = VeemaxCE# = VIL
f = 6 MHz, lOUT =0 mA

:;

l

Unit

Typical

Min

lee2

Vee Write Current

1,2

7.0

25

mA Writing in Progr~

Iccs

Vee Era,e Current

1,2

15

30 '

mA Erasure in Progress

ICC4

Vee Wr;ite Verify Current

1; 2

1~

30

mA Vpp == VPPH
Write Verify in Progress

ICC5

Vee Erase VerifY Current

Ipps

Vpp Leakage Current

IpPl

Vpp Read Current

1,2

10

1

30

mA VPP = VPPH
erase Verify in Progress

±80

p.A VppS: Vee

1.6

mA Vpp> Vee

1,3

0.7

IpP2

Vpp Write Currem
(,,'

1,3

16

60

mA

Vpp = VPPH
Write in Progress

Ipps

Vpp Erase Current

1,3.

20

60

mA

Vpp = VpPH
Er8$ure in Progress

IpP4

Vpp Write Verify Current

1,3

5.0

12

mA VPP = VPPH
Write Verify in Progress

IpP5

VPP Erase Verify Current

1,3

,5.0

12

mA VPP = V;PPH
Er~e Verify in Progress

Vil

Input Low Voltage

-0.5

0.8

V

VIH

Input High Voltage

2.4

Vee.± 0.3.

V

VOL

Output Low Voltage

0.40

V

10l = 3.2mA
Vee = Vee min

VOHl

Output High Voltage

3.8

V

10H = - 2.0 inA
Vee = Vcc min

VPPl

VPP During Read·Only
Operations

0.00

8.5
,

V

NQte: Erase/Write are
Inhibited when VPP = VPPl

VPPH

' VPP During Read/Write
Operations

11.40

12.60

V

VlKO

Vee Erase/Write Lock
,Voltage

2.5

±0.16

or Standby Current

NOTES:.

. '

VPP

s:

Vee

V

.

1. All currents are in RMS unless otherwise noted. Typi~ values at Vcc'= 5.0V. Vpp = 12.0V. T = 25°C.
2. 2 chips active and 6 in standby for word-wide mode.
S. Alisumes2 Vpps are active.
'
4. Due to 100 kG pun up resistors 01;:#. CEl #. CE2# and WE# will exhibit s: 55 ""A of additionallu when VIN = Vss.

6·128

I

intet~

IMC002FLKA

CAPACITANCE T = 2S0C, f = 1.0 MHz
Parameter

Symbol

Notes

Limits
Min

Max

Unit

Conditions

CIN1

Address Capacitance

40

pF

VIN = OV

CIN2

Control Capacitance

40

pF

VIN = OV

COUT

Output Capacitance

40

pF

VOUT = OV

CliO

1/0 Capacitance

40

pF

VIIO = OV

AC TEST CONDITIONS
Input Rise and Fall Times (10% to 90%) ...... 10 ns
Input Pulse Levels ................. VOL and VOH1
Input Timing Reference Level .......... VIL and VIH
Output Timing Reference Level ........ VIL and VIH

AC CHARACTERISTIC8-Read-Only Operations
Symbol

Characteristic

Notes

Min
200

tAVAV/tRC

Read Cycle Time

2

tELQV/tcE

Chip Enable Access Time

2

Max

Unit
ns

200

ns

tAVQV/tACC

Address Access Time

2

200

ns.

tGLQV/toE

Output Enable Access Time

2

100

. ns

tELQX/tLZ

Chip Enable to Output
in LowZ

·2

tEHQZ

Chip Disable to Output
in HighZ

2

tGLQX/toLZ

Output Enable to Output
in LowZ

2

tGHQZ/tDF

Output Disable to Output
in HighZ

2

toH

Output Hold from Address,
CE;II, or OE;II Change

twHGL

Write Recovery Time
before Read

i:

ns

S

60

ns

..,

ns
ns

S
60

1,2

S

ns

2

6

,...s

I:
;

i

NOTES:
1. Whichever occurs first.
2. Rise/Fall Time S; 10 ns.

I,

.

I
!

I

I

6-127

,

,

IMCOO2FLKA

Vee POWER-Upl

DEVICE AND

STANDBY

ADDRESS SELECTION

ADDRESSES

OUTPUTS ENABLED

AD~RESS

,STAND8Y/ '
, Vee POWER-DOWN

DATA VALID

STABLE

!-------------tAVAv (Ioc) --"'-....,..~-------.J
r-------~~

~--~--~

CEO (EO)

OEO (Go)

IwHGL+-----i
WEO (W.)

VALID OUTPUT

OATA (00)

IoiIGH Z

I - - - -..VQV (tACe ) - - - - - I
!5.DV

V~~ J

290412-10

NOTE:
CE#refers

to CE1,

2#.

Figure 10. ~C Waveforms for ~ead Operations

6·128

I

iMC002FLKA

AC CHARACTERISTICS-For Write/Erase Operations
Symbol

Characteristic

Max

Unit

Notes

Min

Write Cycle Time

1,2

200

ns

tAVWL/tAS

Address Set-up Time

1,2

0

ns

tWLAX/tAH

Address Hold Time

1,2

100

ns

tOVWH/tOS

Data Set-up Time

1,2

80

ns

tAVAV/tWC

tWHOX/tOH

Data Hold Time

1,2

30

ns

tWHGL.

Write Recovery Time before Read

1,2

6

J.ts

tGHWL

Read Recovery Time before Write

1,2

0

J.ts

twLOz

Output High-Z from Write Enable

1,2

5

ns

tWHOZ

Output Low-Z from Write Enable

1,2

tELWL/tCS

Chip Enable Set-up Time before Write

1,2

60

ns

40

ns

tWHEH/tCH

Chip Enable Hold Time

1,2

0

ns

tWLWH/tWP

Write Pulse Width

1,2

100

ns

tWHWL/tWPH

Write· Pulse Width High

1,2

20

ns

tWHwH1

Duration of Write Operation

1,2,3

10

J.ts

tWHWH2

Duration of Erase Operation

1,2,3

9.5

tVPEL

Vpp Set-up Time
to Chip Enable Low

1,2

100

,

ms
ns

NOTES:

1. Read timing parameters during read/write operations are the same as during read-only operations. Ref;r to A.C. Characteristics for Read-Only Operations.
2. Rise/Fall time,:; 10 ns.
3. The integrated stop timer terminates the write/erase operations, thereby eliminating the need for a maximum specifiction.

ERASE/WRITE PERFORMANCE
Typ

Max·

Unit

2.0

30

sec

1,2,4

4.0

25

sec

5

106

Parameter

Notes

Zone Erase Time

1,3,4

Zone Write Time
MTBF

Min

Hrs

NOTES:

1. 25'C, 12.0VVpp.
2. Minimum byte writing time excluding system overhead is 16 p.s (10 p's program + 6 !'is write recovery). while maximum is
400 p.s/byte (16 P.s x 25 loops allowed by algorithm). Max chip write time is specified lower than the worst case allowed by
the write algorithm since most bytes write significantly faster than the worst case byte.
3. Excludes OOH writing Prior to Erasure.
4. One zone equals 256 Kbytes.
5. MTBF - Mean Time between Failure, 50% failure point for disk drives.

I

6-129

IMC002FLKA

Vee POWER-UP
STANDBY

a:

SET-UP WRITE

COtolMAND

WRITE COloitAANO
LATCH' ADDRESS a: DATA

WRITE
VERIFY
WRITING

COMMAND

WRITE
VERIFICATION

STANDBY /
Vee' POWER-DOWN

ADDRESSES

CE# ([#)

0[# (G#)

DATA (00)

s.ov
Vee

ov

290412-11

NOTE:
GE# refers to GE1, 2#'

Figure 11. AC Waveforms for Write Operations

6-130

I

IMC002FLKA

Vee POWER-UP &:

SET-UP [RASE

STANDBY

COIoCWAND

ERASE COWWAND

ERASING

ERA.SE
VER1F'Y
COWMAND

[RASE

VERIFICATION

I

STANDBY /
Vee POWER-DOWN

ADDRESSES

I

CE# (E#)

,:j
'[I

:1

14

Il
I~

WE# (W#)

DATA (DO)

s.ov
Vee
OV
t VPEL
12.0V

Vpp
VpPL

290412-12

NOTE:
CE# refers to CE1. 2#.

Figure 12. AC Waveforms for Erase Operations

6-131

ALTERNATIVE CE#-CONTROLLED WRITES
,
, ',,'
Characterls~lc '
Symbol
Notes
'\

..

-"

'tAVAV
\

tAVEL

'

'

,

Write'byCie Time

"','

A~re$~set,u~ Time',

'

" ,\

'

"

tELAX

"

Min

"

Address Hold Time

, tOVEH

Data Set-up Time

tEHOX

Data Hold Time '"

,

"
"

Max

Unit

,~oo

ns

0

ns

too

ns

80_

ns

30

ns

tEHGl.

Write Recovery Time before Read

6, '

'tGHEL

Read Recovery Time before Write,

0

,...S
,...S

tWLEL

Write Enable Set-Up Time
before Chip-Enable

0

ns

0

ns

tEHWH

Write Enable Hold Time

tELEH

Write Pulse Width

"

1

100

ns
ns
ns

tEHEL

Write Pulse Width High

,20.

tpEL

Vpp Set-up Time
to Chip Enable Low

100

NOTES:
, ,
,,'"
"
1. Chip Enable COntrolled Writes: Write operations are driven by the valid combination of Chip Enable and Write Enable. In
systems where Chip Enable defines the write pulse width (with a longer Write Enable timing waveform) all set-I,IP. hold and
inactive Write Enable times should be measured relative to the Chip Enable waveform.

6-132

I

IMC002FLKA

PROGRAt.!

Vee POWER-UP

a:

STANDBY

SET-UP PROGR.N
COWIoiAND

PROGRAM COMMAND
LATCH A.DDRESS II: DATA

VERIFY
PROGRAW",UNG

COMMAND

PROGRAM
VERIFICATION

STANDBY/

Vee POWER-DOWN

ADDRESSES

1=-+--~f ~H'H~H

DATA (DO)

HIGH Z

s.ov
Vee

ov
12.011
Vpp

. .tt

VPEL

~
290412-13

NOTE:
CE# refers to CE1, 2#.

Figure 13. Alternate AC Waveforms for Write Operations

I

6-133

iMC002FLKA

ORDERING INFORMATION
M

0

A

S

XX

I '~:'"'"

IDENTIFIER
A = REVISION
K = WORD..,WIDE
ARCHITECTURE
FL= FLASH
002 = 2 MEGABYTE
DENSITY IN MEGABYTES
MC = MEMORY CARD
i = INTEL
PACKAGE PLACEHOLDER

290412-14

ADDITIONAL INFORMATION

ORDER NUMBER

ER-20, "ETOXTM II Flash Memory Technology"
RR-BO, "ETOXTM II Flash Memory Reliability Data Summary"
AP-343, "Solutions for High Density Applications using Flash Memory"

294005
293002
292079

REVISION HISTORY
Description

Number
-002

-

Removed Preliminary
Removed ExCA Compliance Section
Clarified need for Valid Address during commands
Corrected Vpp = VPPH in Erase Algorithm
Increased ICC2-lcC5 D.C. current specs for both Byte Wide and Word Wide modes
Revised and Updated Application Section discussion
Changed order number

-003

- Change Signal with Bar to #
- Changed TIC Values

I

iMC001FLKA
1·MBYTE FLASH MEMORY CARD
Nonvolatillty (Zero Retention
• Inherent
Power)
- No Batteries Required for Back-Up
Read
• -High-Performance
200 ns Maximum Access Time
Low Power Consumption
• -CMOS
25 mA Typical Active Current (X8)
- 400 p.A Typical Standby Current
Electrical Zone-Erase
• .-Flash1 Second
Typical per

•

128 Kbyte Zone
-Multiple Zone Erase> 128 KB/s
Random Writes to Erased Zones
-.- 10 p.s Typical Byte Write

Protect Switch to Prevent
• Write
Accidental Data Loss
Register Architecture for
• Command
Mlcroprocessor/Mlcrocontroller
Compatible Write Interface
II Flash Memory Technology
• -ETOXTM
5V Read, 12V Erase/Write

•
•

- High-Volume Manufacturing
Experience
PCMCIAlJEIDA 68-Pin Standard
- Byte- or Word-Wide Selectable
Independent Software & Ha.rdware
Vendor Support
-Integrated System Solution Using
Flash Filing Systems ,

Intel's iMC001 FLKA Flash Memory Card is the removable solution for storing and transporting important user
data and application code. The combination of rewritability and nonvolatility make the Intel Flash Memory Card
ideal for. data acquisition and updatable firmware applications. Designing with Intel's Flash Memory Card
enables OEM system manufacturers to produce portable and dedicated function systems that are higher
performance, more rugged, and consume less power.

I'
I
I;

The iMC001 FLKA conforms to the PCMCIA 1.0 international standard, providing compatibility at the hardware
and data interchange level. OEMs may opt to write the Card Information Structure (CIS) at the memory card's
address OOOOOH with a format utility. This information provides data interchange functional compatibility. The
<200 ns access time allows for "execute-in-place" capability, for popular low-power microprocessors. Intel's
1-Mbyte Flash Memory Card operates in a byte-wide and word-wide configuration providing performance/pow'er options for different systems.
Intel's Flash Memory card employs Intel's ETOXTM II Flash Memories. Filing systems, such as Microsoft's·
flash File System (FFS), facilitate data file storage and card erasure using a purely nonvolatile medium in
the DOS environment. Flash filing systems, coupled with the Intel Flash Memory Card,· effectively create an
all-silicon nonvolatile read/write random access memory system that is more reliable and higher performance
than disk-based memory systems.

·Microsoft is a trademark of Microsoft Corp.
October 1983
Order Number: 290398-004

6-135

I

I,

I
I
I

IMC()01 FLKA,

r-t

I.

/':'

3.3* 0;1 inin

I
I
I,
I
I
I

I

I,

I

1

I

I

I
I
I
I,

.~
N

0

...

I

I

1~',

.

:Ii'"

I

I

I

tt

I

\

,

I

I

..

.,,-

I

r

,~

I

,

V

I
34.

,

..

..1-'-'

I

FRONT SIDE

.

1

t:J~.U·
68 •

BACK SIDE

.

.

. 35_

, 290399-1

1
2
3
4
5
6

7
8
9

10
11
12
13
14
15
16
17

GNO
03
04
05
06
07
CE1#
A10
OE#
A11
A9
Ae
A13,
A14
IWE#
NC,
Vee

18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34

' VPP1
A16
At5
A12
A7
~

A5
~

Aa
A2
A1

Ao

Do
01
02

WP

I

GNO

35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51

GNO
C01#
011
012
013
014
015
CE2#
NC
NC
NC
A'7,
A1e
A19
NC
NC
Vee

52
53

VPP2
NC
54 NO
55 NC
66 NC
57 NC
58 NC
59 NC
60 NC
61 REG#1
62 BV02#2
63 BV01#2
64 , De
65 09
66 010
67 . C02*
68 GNO

NOTES:
'
1. REG# = register memory Select :, No Connect (NC), unused. When REG.# is brought low, PCMCIAlJEIOA stim,dard card infOrmation structure 'data is expected. This is accomplished by formatting the card with this data.
2. BVO# =' battery detect voltage = Pulled high through pull-up resistor.

Figure 1. IMC001FLKA Pin Configuration

6'136

I

intel®

iMC001FLKA

Table 1. Pin Description
Symbol

Type

Name and. Function

Ao-A19

I·

00- 0 15

1/0

DATA INPUT/OUTPUT: Inputs data during memory write cycles; outputs
data during memory read cycles. The data pins are active high and float to tristate OFF when the card is deselected or the outputs are disabled. Data is
internally latched during a write cycle.

CE1#,CE2#

I

CARD ENABLE: Activates the card's high and low byte control logic, input
buffers, zone decoders, and associated memory devices. CE # is active low;
CE# high deselects the memory card and reduces power consumption to
standby levels.

OE#

I

OUTPUT ENABLE: Gates the cards output through the data buffers during a
read cycle. OE# is active low.

WE#

I

WRITE ENABLE controls writes to the control register and the array. Write
enable is active low. Addresses are latched on the falling edge and data is
latched on the rising edlle of the WE # pulse.
NOTE: With Vpps 6.SV, memory contents cannot be altered.

ADDRESS INPUTS for memory locations. Addresses are internally latched
during a write cycle.

ERASE/WRITE POWER SUPPLY for writing the command register, erasing
the entire array, or writing bytes in the array.

VPP1, VPP2
Vee

DEVICE POWER SUPPLY (SV ±S%).

GNO

GROUND

= ground.
= active high.

C01#,CO#2

0

CARD DETECT: The card is.detected when C01 # and CO#2

WP

0

WRITE PROTECT: All write operations are disabled with WP

NC
BV01#,BV02#

I,

NO INTERNAL CONNECTION to device. Pin may be driven or left floating.

0

BATTERY VOLTAGE DETECT: Not Required.

6-137

INlCOO1F.LKA

08 -0,5 '

00-0,5
...::.-..:.;:..

I/o TRANS~

Oo-~

CEIVERS
AND
aUFFERS

WE" ,

~

.2¥!-

"

~

"

,

"

OE#

I, '

"
,,'

"
"',

'"",

Vce,

~,
"

An
A, -A,g
CE2 #
CE, #

(

\.. WRITE PROTECT
SWITCH
\
Ao-A,,&
ADDRESS
BUFFERS
AND
DECODERS

'"

CEHo #-CEH3 #
CELo # -eEl3 #
I

-

28F'010
~

REG#

i-

Co, #

:;:q
'-

WE#

,

ZO

~ Ao-A,s

CE#

,,'

-

I

1

CE#'

I- WE#

i-

r

Z2

I

I

Ao-A,s 08 -0,5
~

CE#

-

OE#
Vss Vee VpP2

WE#

OE#
Vss Vee Vpp ,

I

••

•

-

Zl

I' 1 1

Do-~' I-

~ Ao-A,s

WE#

Da-0,5

OE#
Vss Vec , VpP2

Vss Vee Vpp ,

i-

BDV #

r-

I- OE#

CARD DETECT

BDV,#

Do-~

Ao-A,s

I- CE#

28F'010

I

-

Z3

I

I

•
••

Vee
BATTERY VOLTAGE
DETECT

GND
Vee
V

v
290399-2

Figure 2.IMC001FLKA Block Diagram

iMC001FLKA

APPLICATIONS
The iMC001 FLKA Flash Memory Card allows for the
storage of data and application programs on a purely solid-state removable medium. System resident
flash filing systems, such as Microsoft's Flash File
System, allow Intel's ETOX II highly reliable Flash
Memory Card to effectively function as a physical
disk drive.
User application software stored on the flash memory card substantially reduces the slow disk-DRAM
download process. Replacing the disk results in a
dramatic enhancement of read performance and
substantial reduction of active power consumption,
size, and weight-considerations particularly important in portables and dedicated systems. The
iMC001 FLKA's high performance read access time
and command register microprocessor write interface allows for use of the flash memory system in an
"execute-in-place" architecture. This configuration
eliminates the need for the redundancy associated
with DRAM and Disk memory system architectures.
ROM based operating systems, such as Microsoft's
MS-DOl5 ROM Version allow for "instant-on" capability. This enables the deSign of systems that boot,
operate, store· data files, and execute application
code from/to purely nonvoh~tile memory.
The PCMCIAlJEIDA 68-pin interface enables the
end-user to transport data and application code between portables and host systems. Intel Flash Memory Cards provide durable nonvolatile memory storage protecting valuable user code and data.
For systems currently using a static RAM/battery
configuration
for
data
acquisition,
the
iMC001 FLKA's inherent nonvolatility eliminates the
need for battery backup. The concern for battery
failure no longer exists, an important consideration
for portable computers and medical instruments,
both
requiring
continuous
operation.
The

I

iMC001 FLKA consumes no power when the system
is off. In addition, the iMC001 FLKA offers a considerable cost and density advantage over memory
cards based on static RAM with battery backup.
The flash memory card's electrical zone-erasure,
byte writability, and complete nonvolatility fit well
with data accumulation and recording needs. Electrical zone-erasure gives the deSigner the flexibility to
selectively rewrite zones of data while saving other
zones for infrequently updated look-up tables, for
example.

PRINCIPLES OF OPERATION
Intel's Flash Memory Card combines the functionality of two mainstream memory technologies: the rewritability of RAM and the nonvolatility of EPROM.
The flash memory card consists of an array of individual memory devices, each of which defines a
physical zone. The iMC001 FLKA's memory devices
erase as individual blocks, equivalent in size to the
128 Kbyte zone. Multiple zones can be erased
simultaneously provided sufficient current for the appropriate number of zones (memory devices). Note,
multiple zone erasure requires higher current from
both the Vpp and Vee power supplies. Erased zones
can then be written in bit- or byte-at-a-time fashion
and read randomly like RAM. Bit level write capability also supports disk emulation.
In the absence of high voltage on the VPP1/2 pins,
the iMC001 FLKA remains in the read-only mode.
Manipulation of the external memory card-control
pins yields the standard read, standby, and output
disable operations.
The same read, standby, and output disable operations are available when high voltage is applied to
the VpP1/2 pins. In addition; high voltage on VPP1/2

6-139

iMC001FLKA

enables erasure and rewriting of the accessed
zone(s). All functions associated with altering zone
contents-erase, erase verify, write, and write verify-are accessed via the command register.
Commands are written to the internal memory register(s), decoded by zone size, using standard microprocessor write timings. Register contents for a given zone serve as. input to that zone's internal statemachine which -controls the erase and rewrite circuitry. Write cycles also internally latch addresses and
data needed for write and erase operations. With the
appropriate command written to the register(s), standard microprocessor read timings output zone data,
or output data for erase and write verification.

Byte-Wide or Word-Wide Selection
The flash memory card can be read, erased, and
written in a byte-wide or word-wide mode. In the
word-wide configuration VPP1 and/or CE1 # control
the LO-Byte while VPP2 and CE2# control the HIByte (Ao = don't care).
Read, Write, and Verify operations are byte- or wordoriented, thus zone independent. Erase Setup and
Begin Erase Commands are zone dependent such
that commands written to any address within a 128
Kbyte zone boundary initiate the erase operation in
that zone (or two 128 Kbyte zones under word-wide
operation).
Conventional x8 operation uses CE1 # active-low,
with CE2 # high, to read or write data through the
00-07 only. "Even bytes" are accessed when Ao is
low, corresponding to the low byte of the complete
x16 word. When Ao is high, the "odd byte" is accessed by transposing the high byte of the complete
x16 word onto the 00-07 outputs. This odd byte
corresponds to data presented on 08-015 pins in
x16 mode.
Note that two zones logically adjacent in x16 mode
are multiplexed through 00-07 in x8 mode and are
toggled by the Ao address. Thus, zone specific
erase operations must be kept discrete in x8 mode
by addressing even bytes only for one-half of the
zone pair, then addressing odd bytes only for the
other half.

Card Detection

the card is properly loaded. Notethat the two.pios
are located at opposite ends of the card. Each CD #
output should be read through a port bit Should only
one of the two bits show the card to be present, then
the system should instruct the user to re-insert the
card squarely into the socket. Card detection can
also tell the system whether or not to redirect drives
in the case of system booting. CD112 # is active low,
internally tied to ground.

Write Protection
The flash rnemory card features three types of write
protection. The first type features a mechanical
Write Protect Switch that disables the circuitry that
control Write Enable to the flash devices. When the
switch is activated, the WE # internal to the card is
forced high, which disables any writes to the Command Register. The second type of write protection
is based on the PCMCIAI JEIDA socket. Unique pin
length assignments provide protective power supply
sequencing during hot insertion and removal. The
third type operates via software control through the
Command Register when the card resides in its connector. The Command Register of each zone. is only
active when VPP1I2 is at high voltage. Depending
upon the application, the system designer may
choose to make VPP1/2 power supply switchableavailable only when writes are desired. When
VPP1/2 = VPPL, the contents olthe.register default
to the. read command, making the iMC001 FLKA a
read-only memory card. In this mode, the memory
contents cannot be altered.
The system designer may choose to leave VPP1I2 =
VpPH, making the high voltage supply constantly
available. In this case, all Command Register functions are inhibited whenever Vee is below the write
lockout voltage, VLKO. (See the section on Power
Up/Down Protection.) The iMC001 FLKAis designed
to accommodate either design practice, and to encourage optimization of the processor-memory card
interface.

BUS OPERATIONS
Read
The iMC001 FLKA has two control functions, both of
which must be logically active, to obtain data at the

The flash memory card features two card detect pins
(CD1/2#) that allow the host system to determine if

6-140

I

IMC001FLKA
outputs. Card Enable (CE #) is the power control
and should be used for high and/or lowzone(s) selection. Output Enable (OE #) is the output control
and should be used to gate data from the output
pins, independent of accessed zone selection. In the
byte-wide configuration, only one CE# is required.
The word-wide configuration requires both CE # S active low.
When VPP1/2 is high (VPPH), the. read operations
can be used to access zone data and to access data
for write/erase verification. When VPP1/2 is low
(VppLl, only read accesses to the zone data are allowed.

Output Disable
With Output Enable at a logic-high level (VIH), output
, from the card is disabled. Output pins are placed in a
high-impedance state.

Standby
With one Card Enable at a logic-high level, the
standby operation disables one-half of the x16 output's read/write buffer. Further, only the zone corresponding to the selected address within the upper or
lower CE1,2# bank is active at a time. (NOTE: Ao
must be low to select the low half of the x16 word
when CE2# = 1 and CE1 # = 0.) All other zones
are deselected, substantially reducing card power
consumption. For deselected banks, the outputs are
placed in a high-impedance state, independent of
the Output Enable signal. If the iMC001 FLKA is deselected during erasure, writing, or write/ erase verification, the accessed zone draws active current until the operation is terminated.

Intelligent Identifier Command
The manufacturer- and device-codes can be read
via the Command Register, for instances where the
. iMC001 FLKA is erased and rewritten in a universal
reader/writer. Following a write of SOH to a zone's
Command Register, a read from address location
OOOOOH on any zone outputs the manufacturer code
(aSH). A read from address 0002H outputs the memory device code (B4H).

I

Write
Zone erasure and rewriting are accomplished via the
Command Register, when high voltage is applied to
VPP1/2. The contents of the register serve as input
to that zone's internal state-machine. The state-machine outputs dictate the function of the targeted
zone.
The Command Register itself does not. occupy an
addressable memory location. The register is a latch
used to store the command, along with .address and
data information needed to execute the command,
The Command Register is written by bringing Write
Enable to a logic-low level (VILl, while Card Enable(s) is/are low. Addresses are latched on the failing. edge of Write Enable, while data is latched on
the rising edge of the Write Enable pulse. Standard
microprocessor write timings are used.
Refer to AC Write Characteristics and the Erase/
Write Waveforms for specific timing parameters.

COMMAND DEFINITIONS
When low voltage is applied to the Vpp pins(s),. the
contents of the zone Command Register(s) default
to OOH, enabling read-only operations.
Placing high voltage on the Vpp pin(s) enable(s)
read/write operations. Zone operations are selected
by writing specific data patterns into the Command
Register. Tables 3 and 4 define these iMC001 FLKA
register commands for both byte-wide and wordwide configurations.
All commands written to the Command Register require that the zone address be valid or the incorrect
zone will receive the command; Any Command/
Data Write or Data Read requires the correct valid
address.

6-141

IMC001FL~A

Table 2. Bus Operations
Pins
Notes
Operation

t

 Vce

rnA

Vcc

I~

IJ

I'
!I{

6-155

IMC001FLKA

DC CHARACTERISTICS-Word Wide Mode
Symbol

Parameter

Notes

Limits
Min

Unit

Test Conditions

Typ

Max

1,4

±1.0

±20

p,A

Vee = Vee Max
VIN = Vee or VSS

III

Input Leakage Current

ILO

Output Leakage
Current

1

±1.0

±20

p,A

Vee = Vee Max
VOUT = Vee or Vss

lees

Vcc Standby Current

1

0.4

O.S

rnA

Vee = Vee Max,
CE# = Vee ±0.2V

4

7

rnA

Vee

1,2

40

SO

rnA

Vee = Vee, Max CE# == VIL
f = 6 MHz, lOUT = 0 rnA

lee1

- Vee Active Read Current

=

=

Vee Max, CE#

lee2

Vee Write Current

1,2

5.0

25

rnA

Writing in Progress

lee3

Vee Erase Current

1,2

15.0

30

rnA

Erasure in Progress

lee4

Vee Write Verify Current

1,2

15.0

30

rnA

Vpp = VPPH
Write Verify in Progress

lees

Vee Erase Verify Current

1,2

15.0

30

rnA

Vpp = VPPH
Erase Verify in Progress

±SO

p,A

Ipps

Vpp Leakage Current

IpP1

Vpp Read Current
or Standby Current

1,3

1

IpP2

Vpp Write Current

1,3

16

60

rnA

Vpp = VPPH
Write in Progress

IpP3

Vpp Erase Current

,1,3

20

60

rnA

Vpp = VPPH
Erasure in Progress

IpP4

Vpp Write Verify Current

1,3

5.0

12

rnA

Vpp = VPPH
Write Verify in Progress

IpP5

Vpp Erase Verify Current

1,3

5.0

12

rnA

Vpp = VPPH
Erase Verify in Progress

VIL

Input Low Voltage

-0.5

O.S

V

VIH

Input High Voltage

2.4

VOL

Output Low Voltage

VOH1

Output High Voltage

VPPL

Vpp during Read-Only
Operations

0.00

VPPH

Vpp during Read/Write
Operations

11.40

VLKO

Vee Erase/Write Lock
Voltage

2.5

0.7

1.6

rnA

±0.16

-

Vee

+ 0.3

0.40
3.S
6.5

Vpp::;;; Vee
Vpp;:: Vee
Vpp::;;; Vee

V
V

IOL = 3.2 rnA
Vee = Vee Min

V

IOH'7' -2.0rnA
Vee = Vee Min

V

Note: Erase/Write are
Inhibited when Vpp

12.60

VIH

=

VPPL

V
V

NOTES:

1. All currents are in RMS unless otherwise noted. Typical values at Vee = S.OV, Vpp = 12.0V, T = 2S'C.
2. 2 chips active and 6 in standby for word-wide mode.
3. Assumes2vpps are active.
4. Due to 100 kO pull up resistors, OE#, CE1 #, eE2#, and WE# will exhibit s; 55 p.A of additionallu when VIN = Vss.

6-156

I

iMC001FLKA

CAPACITANCE

=

T

Symbol,

25°C, f

=

1.0 MHz

Parameter

Limits

Notes
Min

Unit

Conditions

Max

=
=

CINl

Address Capacitance

40

pF

VIN

CIN2

Control Capacitance

40

pF

VIN

COUT

Output Capacitance

40

pF

VOUT

CI/O

I/O Capacitance

40

pF

VI/O

OV
OV

= OV
= OV

AC TEST CONDITIONS
Input Rise and Fall Times (10% to 90%) ...... 10 ns
Input Pulse Levels ................. VOL and VOHl
Input Timing Reference Level .......... VIL and VIH
Output Timing Reference Level ........ VIL and VIH

AC CHARACTERISTICS-Read-Only Operations
Symbol

Parameter

Notes

Min
200

Max

Unit

tAvAV/tRC

Read Cycle Time

2

tELQV/tCE

Chip Enable Access Time

2

200

ns

ns

tAVQV/tACC

Address Access Time

2

200

ns

tGLQV/tOE

Output Enable Access Time

2

100

ns

tELQX/tLZ .

Chip Enable to Output in Low Z

2

tEHQZ

Chip Disable to Output in High Z

2

tGLQX/tOLZ

Output Enable to Output in Low Z

2

tGHQZitOF

Output Disable to Output in High Z

tOH

Output Hold from Address,
CE # , or OE # Change

tWHGL

Write Recovery Time before Read

5

ns
60

5

2

ns
ns

60

ns

1,2

5

ns

2

5

IJ-s

NOTES:

1. Whichever occurs first.
2. Rise/Fall time';; 10 ns.

I

6-157

!

i

C)
0
0

(11

0)

vee POWER-UPI
STANDBY

ADDRESSES

)(

)(

)(

)(

)(

DEVICE AND
ADDRESS SELECTION

)(

)(

OUTPUTS ENABLED

II

STANDBYI
Vee POWER-DOWN

DATA VALID

ADDRESS STABLE

II
t AVAV ('tic)

j

,.......
'TI

~

~I

\

CE# (E#)

~
C

...

CD
....
p

~I
~

OE# (G#)

~I

I·

...0'3

1/1

...

0

WE# (w#)

:II

/'

CD
III

,.

,

", ,-

~LQV

"

'

c.:l

~---;_r

ujifeSf--"W1'.. ~~~~;f;~-;;---~

-_~~-C¥~S;;~~~W..it-li-~,.:,,-' z,..:~-~

>~"'~

IMC001FLKA

ORDERING INFORMATION

lilMlclololilFILlklAI I IslBlxlxlxlxlxl

c=

OtolER IDENTIFIER

REVISION

K=WORO-WIDE
ARCH ITECTURE

FL= FLASH

001 = I tolEGABYTE
DENS ITY IN tolEGABYTES
tolC= tolEtolORY CARD
I=IN TEL

ADDITIONAL INFORMATION

290399-14

Order Number

ER·20, "ETOX II Flash Memory Technology"
RR·60, "ETOX II Flash Memory Reliability Data Summary"
AP·343, "Solutions for High Density Applications using Flash Memory"
RR70, "Flash Memory Card Reliability Data Summary"

294005
293002
292079
293007

REVISION HISTORY
Number

Description

03

-Removed PRELIMINARY
-'-Removed ExCA Compliance Section
-Clarified need for Valid Address during commands
....:.Corrected Vpp = VpPH in Erase Algorithm
-'-Increased ICC2-lcC5 D.C. current specs for both byte wide and word wide modes
-Revised and updated Application Section discussion
-Changed order number
-Corrected Erase Algorithm Pulse count to 3000

04

....:.Change signals with bars to #
....:.Change TIC values

6-164

I

int'et

AP-343
. APPLICATION
NOTE

Solutions for High Density
ApplicationsU sing .
Intel Flash Memory

MARKUS A. LEVY
DALE ELBERT
APPLICATIONS ENGINEERING
INTEL CORPORATION

October 1993

6-165

I
\~

Solut.ions For High Density Applications
Using Intel Flash Memory
.

CONTENTS

Y

.. '.

PAGE

INTRODUCTION ....................... 6-167
ADVANCED PACKAGING ............. 6-167
Plastic Leaded Chip Carrier (PLCC) ..... 6-167
Thin Small Outline Package (TSOP) .... 6-168
Memory Cards ..•............. ,. ........ 6-170
Solid-&tate Memory Alternatives ........ 6-170
Designing a PCMCIA/JEIDAStaridard .
Memory Card .. '..............•....... 6-171
HARDWARE DESIGN
IMPLEMENTATIONS ................ 6-172
Design Example-A Paged-Mapped
.'
Memory Board ........... " ........... 6-172
The Window Address ................... 6-175
Vpp Generation ........................ 6-176
Page Number Selection and Reading ... 6-178
Optional Board Features ............•.. 6-179
Switchable Data Bus W.idth ............. 6-179
Linear Addressing ...................... 6-179
110 Addressing ......................... 6-181
Capacitive Loading .... ; ................ 6-183

, 6-166

CONTENTS

PAGE

SOFTWARE DESIGN
IMPLEMENTATIONS ... ....... ~ ..... 6-184
Data Recording ........................ 6-184
Interleaving ............................ 6-184
Power Requirements for Interleaving ... 6-.188
Write-Once-Read-Many {WORM)
Drives ............................... 6-188
Disk Emulation .. ;, .•.... ; ............... 6-189
Creating a Bootable Drive .............. 6-192
WHYFLASH?-CHARACTERISTICS
OF INTEL F~SH MEMORY ... ..... 6-192
, Power- consumption Comparison
(Watts) .........................'..... 6-192
Data Access Time ...................... 6-192
Reliability ............................... 6-193
Weight .......•......................... 6-194
SUMMARY ............................ 6-194

I

AP-343

INTRODUCTION
Mass storage encompasses many different technologies.
Though commonalities exist, mass storage strives for
nonvolatility, low cost per bit, and high density. Disk
drives provide the best known example. However,
many environments now require higher performance
and reliability with lower power consumption, even at
the expense of capacity. Flash memory uniquely meets
these demands.
Flash memory can be used as a mass storage medium in
applications including factory automation, notebook
computers, high-end workstations, point of sale terminals, and data acquisition systems. Even desktop computers benefit from solid-state storage. The motivation
to incorporate flash memory in any of these applications becomes obvious to the system designer who understands flash memory's benefits and density projections.
In an effort to understand these benefits, this document
includes both conceptual and application oriented discussions. These discussions will be kept to a minimum
with the real focus being on specific design techniques
and considerations.

ADVANCED PACKAGING
Mass storage is synonymous with high density. Disk
drives have increased the bit density of the rotating media via material improvements and closer tolerances.
For semiconductors, density requires advanced packag-

I

ing as well as higher capacity silicon (improVed photolithography). Intel's Flash Memory devices are based on
the company's EPROM Tunnel Oxide (ETOX) technology that enables the high degree of scaling required
to achieve high density.
Intel offers the high density flash memories in several
package types. The standard packages are the Plastic
Dual In-line Package (PDIP), the Plastic Leaded Chip
Carrier (PLCC), and the Thin Small Outline Package
(TSOP). Advanced modular packaging in the form of
PCMCIA compatible memory cards and flash drives
provide the total solution.
Which package is best for your application?

Plastic Leaded Chip Carrier (PLCC)
The engineer striving to reduce board space is already
using surface-mounted technology, such as PLCC. The
PLCC is seen frequently on PC add-in cards and motherboards. Compared to the DIP, PLCC uses as little as
35% the overall board space. Its small size, compared
to the DIP, is attributed to the terminal center-to-center spacing-50 mils versus 100 mils-as well as its
four-sided pinout. No drilling or lead-cutting is necessary as leads are soldered directly to pads on the circuit
board. The PLCC's 50-mil pad pitch is compatible with
most circuit board manufacturing equipment. Additionally, components can be mounted on both sides of
the board. However,the four-sided PLCC generally requires the use of a multi-layered board to layout conductor traces for maximum compaction.

6-167

AP-343

Thin Small Outline Package (TSOP)
When overall space constraints are critical, the TSOP is
the best choif:e. This is best exemplified by Ie memory
cards. Low height is the key attribute of the TSOP;
measuring 1:2 mm versus 3.5 inm for the PLee. (Mechanical drawingS iii Appendix.) State-of-the-art center-to-centerterminal spacing of 0.5 mm yields a smaller package and narrower conductor traceS than the
PLee or DIP. In comparison, the volume of the TSQP
is 112.8 mm 3 versus 656.3 mm 3 for the PLee and
1812.3 mm 3 for the DIP.

OE#

0

'i,

~
As
A'3
11,4
An

The TSOP is available in standard and reverse pin configurations (Figure. 1). Pins are located on only two
ends of the package. This approach simplifies trace layout while reducing the number of board layers because
traces can be routed out the. non-leaded sides of the
devices. Very dense board layouts are accommodated
because components can literally be. laid out end-to-end
and side-by-side. Figure 2 displays an optimal layout
best utilizing the TSOP's attributes. The close spacing
allows one bypass capacitor to be used for two devices
(provided they are not simultaneously selected). This
optimal component layout can be mirror-imaged
through the board to easily double the memory capacity.

'io

CE#
0.,
5

06

WE#

STANDARD PINOUT

Vee
Vpp

E28F020

'i6
'is
11,2
A7
A6
As
A4

OEiI
11,0

CE#

vss
O2
0,

Vss
O2

0,
DO

Ao
A,
A2
A3

A"

'\l

Ag
As

07
06
05
04
03

Os
04
03

A'3
11,4
A17

REVERSE PINOUT
F28F020

WE#
Vee
Vpp
. A'6
'is
A'2
A7

DO
Ao
A,

As

A2
A3

As
A4

292079-17

Figure 1. 28F020 32-Lead TSOP-Standard and Reverse Pinouts

6-168

I

AP-343

!== I
~ 1-

OZO.:lBZ.:I

~

b,. !==
~

0

0

-

,=-

Ir

0

~

====-

E28F020

OZO.:lBZ3

F28F020

-==

fr
~
-=
-

-

==
==
==
-==
==
===-=== !==
==
==!== =~

-=

OZO.:lBZ.:I

A

0

0
E28F020

OZO.:lBZ3

l

==
==
==
==
-

~
;::::: ====- -==-

0

F28F020

292079-18

Figure 2. TSOP Optimal Layout: Highest Density Configuration (Conceptual)

I

6-169

AP-343

Memory Cards
Many computer manufacturers are pursuing the IC
memory card to incorporate a removable mass storage
medium. This is an ideal application for the Intel Flash
Memory TSOP, due to the package's minimal height.

Solid-State Memory Alternatives
ROM and SRAM are currently the dominant IC card
memory technologies. ROM has the advantage of being
inexpensive, but is not changeable. When newer software revisions (e.g. Lotus' 123, Windows, etc.) are
available, the user must buy a new ROM card for each
upgrade. Intel Flash Memory's reprogrammability
minimizes the user's expense and the OEM's inventory
risk.
SRAM is reprogrammable but requires batteries to
maintain data, risking data loss. Like magnetic disks,
flash memory is truly nonvolatile and thus has virtually

infinite storage time with power off (100 years typical).
Additionally, SRAM is expensive and not a high derlsity solution. Intel Flash Memory provides a denser,
more cost effective and reliable solution.
System level cost is about the same for Intel Flash
Memory and SRAM + batteryFlash memory requires 12V for programming and erasing. If a 12V supply is not available, 5V can easily be
boosted. (See Application Note AP-316.) SRAM +
battery requires battery state detect circuitry.
Card level cost differences are substantial (Figure 3)SRAM must have a battery to retain data. It also requires aVec monitor and Write Lockout circuitry. Intel's Flash Memory only requires Write Lockout circuitry (switching Vpp to OV is an alternative write protect). This leads to increased area for memory components. More importantly, Intel's Flash Memory density
is 4 times that of static RAM, yielding lower cost per
bit.

CARD LEVEL

Battery
Higher Densities

SYSTEM LEVEL
Voltage
Converalon

Battery
State

SV-12V

Detoct
Circuit

FLASH

SRAM

Increased Area
for Memory
Components

Vee Monitor
CIrcuits

Writ.
Lockout
Circuit

Writ.
Lockout

CIrcuit

Lower
Densttl.s

FLASH

SRAM
292079-23

Figure 3. Support Circuitry Cost Comparison

'LOTUS is a registered trademark of LOTUS Development Corporation.
"WINDOWS is a registered trademark of Microsoft.

6-170

I

AP-343

Designing a PCMCIAIJEIDA Standard
Memory Card
Choosing among IC card design options depends on
card architecture (standardization), memory capacity,
data bus width, card intelligence, Vpp generation, and
reliability.
What are the advantages of a standardized memory card
pinout?

From the computer system's viewpoint, a standardized
pinout enables the use of multiple third-party memory
cards. This ensures competitive pricing and wide availability. From the memory card point of view, standardization allows use in a variety of systems.
The Personal Computer Memory Card International
Association/Japan Electronic Industry Development
Association (PCMCIA/JEIDA) 68-pin format has become the dominant IC memory card standard. Several

proprietary formats are also available from their respective manufacturers, but these same manufacturers now
. offer PCMCIA/JEIDA versions. The PCMCIAI
JEIDA standard specifies physical, electrical, information structure, and data format characteristics of the
card. This standard accommodates either 8- or 16-bit
system data bus widths.
The following 2 Mbyte memory card design provides a
byte-addressable interface using 8-28F020s (2 Mbit,
256k x 8 devices) as. shown in Figure 4. The same principles may be applied to higher density cards using
. higher density components. While TTL equivalent interfacing is shown, most cards will use gate arrays to
reduce chip count. Address lines Al8 and A19 are decoded with a 2-to-4 decoder (74HC139) to generate
high and low byte chip select signals for each of the 4
pairs of flash memory devices (one pair = high and
low byte). The PCMCIA/JEIDA format specifies inputs CEI # and CE2# (along with the AO address line)
select the low and high byte, respectively.

AO-17

HIGH

LOW

AO-17

AO-17

28F020

28F020

74HC244

ADDRESSES

(Octal Buffer)

-----:r....,

AO ...

292079-24

Figure 4. Decoding for PCMCIA/JEIDA Standard Bus Interface

I

6-171

intel®
According· to· the .PCMCIA/JEIDA standard, the
memory card is designed with the flexibility.to have
both an 8Cbit or a 16cbitinterface, dependent upon the
machine it is plugged into. When the .memory card is
plugged into an 8-bitsystem, the high byte transceiver
is muitiplelled to the low byte of the system. In Figure
4, the highlighted transceiver (#2), maps the upper
byte to the lower byte of the data bus (i.e., DS-15 to
00-7)' Signals are. decoded according to the truth table
in the Appendix.
One can double the memory capacity lind select from
among 8 pairs of flash memory devices by using a 3 to 8
decoder with inputs AIS~2(); Notice that additional
transceivers are not needed to support the additional
data fanout. (See section on capacitive loading.)

HARDWARE DESIGN
IMPLEMENTATIONS
Paged, linear, and I/O are the three fundamental addressing methods that can be used for accessing an array of memory devices. Linear addressing offers the
fastest and most direct access to a memory array. It

consumes the largest portion of the system's memory
and is only practical in a 386 microprocessor (or other
32-bit processor). family system because of the. targe
memory space available above 1 Mbyte. The I/O
mapped memory array consumes the smallest amount
of the system address space but has the lowest performance. A page-mapped memory array, also called a sliding AT window, is a hybrid of the linear and I/O designs. The memory array is usually very large relative
to· the system interface, consisting of pages typically
ranging in size from 8 Kbytes to 64 Kbytes. (LIM-EMS
use four to twelve 16 Kbyte pages.)

Design Example-A Paged-Mapped
Memory Board
.
A paged desi~ employs addressing techniques similar
to the Lotus-Intel-Microsoft expanded memory specification (LIM-EMS). It allows access to one or more
sections (or pages) ofthe flash memory array at a time.
This minimal interface is particularly useful within .the
DOS 1 Mbyte memory space. The DOS map (Figure 5)
shows 128 Kbytes of memory space available in the
Optional I/O Adapter ROM area.

1OOOOOOH (16 MBytes)
EXTENDED
MEMORY
1OOOOOH (I MByte)
PC/XT/AT PS/2
ROM-BIOS
FOOOOH (960k)
PC/AT PS/2
ROM-BIOS
EOOOOH (896k)
PAGE MEMORY BOARD CAN /
BE INSTALLED
WITHIN THIS
128 Kbyte AREA ...........

OPTIONAL I/O
ADAPTER ROM
COOOOH (768k)
DISPLAY
BUFFERS
AOOOOH (640k)
APPLICATIONS
DEVICE DRIVERS
DOS
OOOOOH

2112079-25

Figure 5. DOS Memory Map

6-172

I

AP-343

Figure 6 shows the block diagram of a page-mapped
flash memory board design. (Except for the addressing
method, all the functional components of a board could
be used on a linear or I/O mapped flash memory array.) This PC-AT'" compatible design example consists of a flash memory array and the corresponding
memory and I/O decoding, Vpp generation, and the

interface to the system bus. A page size of 64 Kbytes is
used. Depending on the system's Coilfiguration, memory contention may require a smaller page size. (Note
that the LIM EMS 4.0 standard uses 4 contiguous
16 Kbyte pages. Multiple pages can exist as space petmits.)

I
I/o

ADDRESS

DECODE

DATA
I/O CONTROL

~

SELECT

Vpp ENABLE

vpp
GENERATOR

SYSTEM
BUS

MEMORY CONTROL

vpp

FLASH
MEMORY
ARRAY

1
CHIP ENABLE' (CE#)

MEMORY
DECODE

RD#, WEL#, WEH#
TRANSCEIVER SELECT

292079-26

Figure 6. Page-Mapped Flash Memory Board

ill:;
I
I.

I.
I

I'

i~

Ii

-"PC-AT is a registered trademark of International Business Machine Corporation.

I

6-173

AP·343
Ina system design usingPCMCIA/JEIDA stand~rd
memory cards thernemory card is treated like a large
memory array. Using a 64 Kbyte page size as an example:

Address lines AO-15 ate supplied directly from the system address bus {after buffering).. Address lines A 16-23.
which select the pages. are sent as data to a latch before
entering the memory card (Figure 7).

PCMCIA STANDARD
MEMORY CARD

74F521
COMPARATOR
Au-15

SWITCH

r---

I,
A16- 23

00- 7

PO-7
AEN

G#
P=O

V)

:::::>
CD

h

-----

74F273
(O-TYPE FLIP-FLOP)

V)

I

-~

BHE#:=J

A16 - 23

00- 7

---- ~

] ) - CE1 #
1_

::IE

>V)

-

Ao

1

Control signals and data lines

I.&J
l-

Au-15

-------I-- -----

00- 7

00-7

SELECTS PAGES

CE2 #

..

11,6-23

10W#

10 PAGE
NUMBER
(from I/O decode circuitry,
not shown)

ClK
ClR

T

RESET
(forces pdgezeroon power up)

292079-29

Figure 7. Memory Card Interfacing

I

AP-343

an address is selected that is within the 64 Kbyte window. This signal (with AEN low) allows board level
memory de.code.

The Window Address
A user-selectable window address can be set up on any
64K boundary below 1 Mbyte. (The memory window
should be placed between COOOOh and EOOOOh to be
DOS compatible.) A DIP switch (connected to a transceiver for reading) and the four address lines A 16-19
are the inputs to the 74F521 comparator (Figure 8).
. There are 16 possible window addresses. The comparator outputs the "Memory Decode Enable" signal when

The location of this 64 Kbyte window can be moved
above 1 Mbyte by adding A20-23 to the comparator's
inputs P4 to P7 of the 74F521. Bits D4-7 of the data
bus can be connected to the comparator's pinsQ4 to Q7
to allow reading of the full base memory address .

READ AS 10 PORT

74rS21
COMPARATOR
SWITCH
A16
A17

----fpo
PI

~8----I

Wo

00 ....~-+-+-.,;:Wli.t
0 1 .........-+-+-W""2"'
02J--~i-.".;W34
03

t-----4........~

USER SELECTS 16 POSSIBLE
BASE ADDRESSES ON
64 KBYTE BOUNDARIES

04
05
06
07
GND (AEN·)
AEN----aG#
(ADDRESS ENABLE)

p=o#

MEMORY DECODE
ENABLE

292079-32

• NOTE:
Ignore the contents within parentheses. This is used for section on master/slave configuration.

Figure 8. User Selects Base Memory Address

I

6-175

AP-343

vPP ,Generation
Vpp can be generated locally to ensure astable,switch"
able 12V (±5%) supply. (Many systems generate their
own 12V"power supply. However, it should not be used
ifits regulation is greater than 5%.) On power-up, sys:.
tern reset, or when Vee is below 4.5V, Vpp is forced
otT. It is. enabled (or disabled) by writing to anI/Oport
address that generates the VPPEN # signal. This

on/off capability is essential for..battery.operatedequipment and eliminates the need for WE# filtering (as
discussed below). (See Intel data.sheet forVpp standby
current.) The VPPEN# sjgnal"ORed" with the system I/O write, lOW # ,functions as the clock signal for'
the, 74HC74 D-flip, flop (Figure 9). The D-input is
latched when lOW # goes high. Writing a,one or azero
turns VPI' on or off, respectively.

Read to determine'i-_ _ _-:::~
Vpp status

Vee

74F125

PR
10 DATA liNE a

(O-TYPE POSITIVE EDGE
TRIGGERED FLIP-FLOP)

10W#

I

270k

Q# 1--'V1IIr-....- -____

ClK

VPPEN#

....---'-41-+- (12V,
Vpp
200 mAl

D 74HC74 Q

RESET#
(Vpp OFF ON POWER-UP)

GND

r-----......------,......,---

RESET#

100 "F

GND

Cl

RESET

(from system bus)

+---.....-OC
292079-34

Figure 9. Vpp and RESET# Generation

6-176

I

AP-343

Linear Technology's LTlOn switching regulator is
used as a SV to 12V boost converter. The FB input'
regulates the voltage output. The 1O.7k and 1.24k resistors establish the correct reference voltage to obtain
12V. The 100 ,...F capacitor at the output is used to
handle up to 200 rnA. (See Linear Technology's
LTlOn data sheet for more information.) Typically
this will be much more than needed and a smaller capacitor can be used. However, this will accommodate
interleaving of 8 components but may not be practical
in a battery-operated system. (See section on Interleaving in the Software Design Implementation chapter.)
Additionally, sufficient time should be allowed when
switching Vpp on. The delay is a factor of the load on
the line and the quality of the passive components chosen. The diode, MURI20, keeps the inductor from absorbing current from the charged output capacitor. The
S.6V zener diode ensures that when Vpp is less than
S.6V, the Vpp output is held at OV. (This is optional if
Vpp :>: SV is tolerable.)

During system power-up, some probability exists that
noise may generate spurious writes which are actually
the sequence of flash memory commands that initiate
erasure or programming. Power-up protection in this
design is provided by disabling Vpp until voltages have
stabilized. The Motorola component, MC34064P, is an
undervoltage sensing circuit that begins functioning
when Vee is above IV. Between IV and 4.6V, the
RESET # output is active. The RESET # output or a
system RESET clears the 74HC74, keeping Vpp off
when Vee is less than 4.6V. Alternatively, this signal,
or a supply's "POWERGOOD" signal, may gate WE#
or CE#, as is common with battery-backed SRAM or
EEPROM designs. As an example, the RESET # output of the MC34064P can be tied to the active-high
enable of the decoder to disable any CEs # until Vee
= 4.6V, as shown in Figure 10.

Vee

J

RESET#
10k

MC34064P

74HC138
3 TO 8 DECODER

UNDERVOLTAGE
SENSING CIRCUIT
A3

A

A4

B

As

C

G1
G2A#

MEMDECODE#

~

G2B#

GND

YO

CEo#

Y1

cE1

Y2

CE2 #

Y3

CE3 #

#

Y4

CE4 #

Y5

CEs#

Y6

cEs#

Y7

CE7 #

292079-35

Chip Enables will not be active until Vee = 4.SV.
At this point, signals are stable and involuntary writes will not occur.

Figure 10. Protecting the Circuit from Involuntary Erasure and Programming.
Use an Undervoltage Sensing Circuit, or a System's "POWERGOOD" Signal, to Control Chip Enables

I

6-177

inte!®

Ap·343
Latching a one into the 74HC74 D-input puts a zero on
the output Q# .This turns off the transistor 2N3904.
When the 2N3904is off, the VC input ()fthe LTlOn is
5V and the VOLTAGE SWITCH (VSW) output generates 12V.

Page Number Selection and Reading
It is standard practice to use an I/O port· to gelllilrate

the page number for 'this type of memory array. The
potential number of pages that can be selected is determined by the size of the data bus as well as the amount
of decoding the system can practically handle. In this
design, this I/O port allows selection of 256 64-Kbyte
pages, for a total of 16 Mbytes of flash memory. The
page number is written to the 74F273, Octal D-Type
Flip-Flop (Figure 11). It is latched by the rising edge

,

10 DATA 0-7 -

clock signal derived by the "ORing" of the correspond~
'ing74F138 decode signal (I/O PAGE NUMBER) and
the system IOW#.
Page zero is automatically selected on power-up because the 74F273 clear input is connected to RESET #
(generated as part of the Vpp circuitry). This feature
ensures that the board will power up in page zero. Given the proper software, this board can be turned into
the system's bootable drive. (See section on Software
Design Implementations.)
The current page number can be obtained by reading
the same I/O port. The I/O decoder output, I/O
PAGE NUMBER, "ORed" .with the system IOR#,
produces the signal enabling the 74F245 bus transceiver
(that is tied to the output of the 74F273).

74F273
(OCTAL O-TYPE FLIP-FLOP)
00

00

01

01

02

02

03

03

04

04

05

05·

06

06

07
10W#
10 PAGE
NUMBER

-=D

RESET#
(FOR STARTUP AT PAGE ZERO)

10R#
10 PAGE
NUMBER

::f'
~

07
CLK
CLR

J

.......

AO

BO

' - - - A1

B1

-r::-

A2

82

A3

B3

A4

84

A5

85

A6

B6

A7

87

r--

G#
D1R

74F245
(OCT ilL BUS TRANSCEIVER)
292079-36

Figure 11. Selecting or Reading Page Number

6-178

I

intel®

AP-343

must include similar provisions as shown earlier. At the
PC-I/O channel interface, (for use in an 8-bit system),
an extra transceiver redirects the upper data bus
(D8-1S) to the lower data bus (Figure 12). The 16BIT#
signal is generated from a ground on the PC AT I/O
channel extension; it will be high (because of the pullup resistor) when a PC XT is used.

Optional Board Features
So far we have described the components required to
design a functional flash memory array. Optional features can be added to make an implementation more
versatile in an application environment:

Switchable Data Bus Width

I

Linear Addressing

This feature allows a board to execute in a PC XT*
(8-bit bus) or a PC AT system (16-bit bus). Memory
card designs for adopting the PCMCIA/JEIDA format

Linear addressing directly maps the flash memory array into the system's memory space. "Instantaneous

An extra transceiver is used to redirect
the upper data bus to the lower data bus

I
DO
01

LOW 8-16-BIT
PO

Dg
0,0
011
0,2
013
014

-

f\.

02
03
04
05
06

P7
G#

0,5

I

HIGH 8-BIT
00
PO

01
PI
O2
P2
°3
P3
°4
P4
05
P5
06
P6

~

07

08
09 ,

01
02
03
04
05
06
07

P7
G#

0,0
011
012,
013

,

°14
015

,..-- OIR
74F245

08

DATA BU 5

DO

OIR

I

10
CHANN EL

I

01

PI
O2
P2
03
P3
04
P4
05
P5
06
P6

~

I

00

74F245

PO

00

PI

01

P2

02

P3

,03

P4

04

P5

05

P6

06

P7

07

G#

00-015

LOW 8-16-BIT

HIGH 8-BIT

r-

o(:J=1

~

,01R
74F245

16-BIT
IOR#
SMEMR#

Ao

'-.'
--...

/'"""'j
'-..

~

U~

EMOECOOE#

II

OECOOE#

!

10k

From

ground

of AT
16BIT# 10
channel

292079-37

Figure 12_1/0 Channel Transceiver Interface for 8- or 16-Blt Data Bus Selection

'PCXT is a registered trademark of International Business Machine Corporation.

I

Ii

6-179

Ap·343

SHE"

WE"

High 'and low byte selection
SWITCH
INPUTS

P=Q

An and A23 are
system address inputs

RO"
74.521

DIRECTION
74,244

ENABLE

GND

A, - 11,8
TO 28,020.
74F245

292079-44

Figure 13. Linear Addressing Hardware Block Diagram

Access" of the entire array is the obvious advantage
over paging. Additionally, the decode circuitry is simplified. Figure 13 shows an example for accessing 16
Intel Flash Memory 28F020s arranged in a 4 Mbyte
linear array.
The number of address lines used, as well as the decoder type (2 to 4, 3 to 8, etc.), is determined by the flash
memory device size. The address lines AI-AI8
are used for byte selection within each device
(256 Kbytes • 8).
The decodes for the individual devices can be designed
in a row-column method similar to that used for the
page memory board. An alternative design uses an individual chip enable for each of the 16 devices.

6-180

The enable for the 74HC138 (3 to 8 decoder) is governed by a 74F52l comparator. System address inputs
to the comparator are chosen to locate this. array on a
4 Mbyte boundary. (The array base address could be
located on a non-4 Mbyte boundary but this would add
to the decoding complexity.) With the inputs chosen in
this example (A22-A23), the array base address will be
between address 0 and 12 Mbytes to confine this memory. array within the PC AT defined address space of
16 Mbytes. A19-A21 are inputs to the decoder which
generates one of the eight chip enables (CE#). (Use a
74F245 transceiver for the data bus of every 8 flash
memory devices. THe address lines also need buffering
when connected to a PC bus.)

I

intel®

Ap;.343

1/0 Addressing
From the standpoint of the system's address space usage, 1/0 addressing provides a conservative solution.
As an example, four gigabytes of a flash memory array
can be addressed through only two 1/0 ports. An 1/0
write sends the flash memory addresses out on the data
bus. This "data" is latched (using '574s) and made
available to the flash memory devices and decoding circuitry (Figure 14). A third 1/0 port, used as an enable
for the flash memory device decoder and transceivers,
helps conserve power when the array is not being accessed.

S
Y
S

T
E
M

Relative to linear addressing, 1/0 addressing generally
has limited access speed capability because of the 1/0
"bottleneck": Read speed can be increased to match
linear addressing by replacing the '574 latches with
'191 counters.

!

In the following circuit example, decoding for 1/0 is
accomplshed with a 74F138, 3 to 8 decoder (Figure 15,
UI). The base address for these 1/0 ports is' on an
8-byte boundary. When any one of the 8110 addresses
is selected, the comparator (U2) generates the enable
signal (if AEN is low) for the decoder.

I~

ADDRESS LINES

"o-A ' 5

FLASH

DATA BUS

MEMORY

00"'°,5
ADDRESS LINES

ARRAY

A,S-A 31

B

AND

U
S

DECODING

292079-45

Figure 14. Data Bus Generates Flash Memory Addresses

IOW#

--------lS;~--+:;:=:[)---~+
>------+

PLON

Pl1 #

Hr,38
3 TO 8 DECODER

'010-------'

"10------'

~~~~~~~j=)-____ transceivers end
To ENABLE th,

device decoder

Ul

>-----+
RESET#

10-------------

CLOCK_PULSE
1,1,'

VppEN#

I·

I'

i
I,

il

'0
Q1
Q2

U2

""
"

DIP SWITCH

TO SET

11
I

I/O BASE
ADDRESS

.~

0'
Q7

lOR_

1

IOW#

II
Ij

74F521
COhlPARATOR

292079-46

1

Figure 15.1/0 Decode and Enable Circuitry

I

6-181

I

AP·343
An 1/0· write to the first and. second ports' generates
parallel load signals, PLo" and PLJ ". These signals
latch the "data" (addresses) into the 4-bit counters
(Figure 16, U3-'UIO). This latched data represents the
address for the flash memory devices.

A read or write from the selected flash IllemQryaddress
is performed when the third. 1/0 port is accessed (Figure 15, UI); this generates all enable for the flash memory device decoder and associated transceivers (Figure
17, To and.TJ).

TRANSCEIVER

BurrERED

00

o/u#

---0;- B•
0,
0,

QA
QB

-'.
-"
-',

e

Qe

a

DO _ A ,

0,
0,
0,
0,

E# ReO#l
Pl"

P'o"

ClOCK~PUL~

Os
O.

0,

~
A

QA

B

QB

C

QC

0

QO

0"
Dg

0,.

0"

~

_A.

D.
0,

-',
_As

-"

o.

0,

QA

B

QB _ A ,

C

QC
DO

-

CP

0"
0"
0,.

0"

•

B

Q'
. QB

C

QC

0

E"

-

Qo

Qo

~

A

QA

B

OS

C

QC

0

QO

U8

0" ~
A QA
Dg

-",
_A"

0,.
0"

B

os

C

QC

0

00

E" ReO"
Pl"
' - - CP
U9

28F'020's

YO I>--,
YlI>--,

-;:-- B
e

22-.

A'6

n

.

Y3 1>--,.

AI8

Y4

A"

r--+
r--+
r--+

Aio

0
f--+

Y5 I>--,

Vee
ENABLE

1:.. G1

r-=

from Ul

Y6 I>--,

'23

Y7 I>--,

G2A#

G'.'
74F'138

GND

292079-48

A21
A22

--

Y2 I>--,

NOTE:
All counters are config-

ured in the
mode.

UP

count

r--+
r--+
f--+ ',.
r--+

11.24
A25

n

A27

"----

r--+ '12
f--+ ",
r--+
".
f--+ A"

-

0"
0"
0,.

0"

~

•

QA

B

QB

C

Qe
QD

0

RCO#

E#

U6

' - - CP

r--+
',.
f--+ A,•
r--+
A"
_A"

Reo#

Pl"

Pl'
CP

0

r--+,
f--+ A"
r--+
r--+

-.

U5

~

QB
Qe

E# RCO#
Pl"

E" RCO#l
Pl"
-

e

~ CP

_A.

A

0

OA

-

E" RCa"]
Pl#

'---~

o/u#

•
B

E" ReO"
Pl"
CP
U7

v-=~
o.

A"
.,:;.A,.

GND

GND

OATA BUS

TO 16-

'74Hci91

Ul0

~

P4 #

292079-41

NOTE:
Ao-A31 are inputs to flash memory devices. Only address lines Ao-A18 are used forthe

28F020s.

Figure 16. Counter Circuitry

6·182

I

Ap·343

$
.0

IOW#

.

8HE#

~--------------------------------------------------------WEL#

.

~------------------------------------------------------- WEH"

o-~
TO TRANSCE IVER
8UFF ERED
DATA BUS

°

FDa
BO
AO

81

AI

82

A2

83

A3
A4
AS

84

TO

B6

A6

r--<:

85
87

FDI
FD2
FD3
FD.
FDs
FD6
F~

A7
Gil

',;

; '~

DIR
74F24S
(TRANSCEIVER)

DATA
ENA8LE

74F12S
IOR#

10-

t'
V
V
V
V
V

Os -015
TO TRANSCE IVER
8UFFERED
DATA 8US

AO

80

AI

81

A2

82

A3

83

A4

84

AS

T1

85

A6

B6

A7

87

FOs
FOg
Fo,o
FOil

Fo,2
FD13

F014
Fo,s

.... G#
DIR

TO DATA BUS OF
FLASH WEWOR y
DEVICES

74F245
(TRANSCEIVER)
292079-49

NOTE:
The 16-2BF020's are arranged as a 16-bit word configuration. WEL # and WEH # are for the low and high bytes, respectively.

Figure 17. Transceiver Enable Circuitry

The fourth 1/0 port activates the circuitry that obtains
very high performance from an 1/0 board. A read from
the fourth 1/0 port address generates the clock signal
for the 74HC191s, CLOC~PULSE. The counter increments on the rising edge of the clock (read signal),
selecting the next flash memory address. This rising
edge occurs at the end of the 1/0 read cycle and the
data has already been read. This method is analogous
to address pipelining. It is perfect for a "string" read
because continuous reads from the fourth 1/0 port automatically increments the address to access the next
word of data stored in the flash memory array.

I

Capacitive Loading
Capacitive loading is an important consideration for a
solid-state mass storage device. If proper buffering
techniques are not followed, performance degradation
will occur.
The specifications for Intel's Flash Memory devices are
based on a test capacitive load of 100 pF. Each data line
contributes 12 pF, therefore 8 devices connected to one
data transceiver will not experience speed derating
(12 pF • 8 = 96 pF). Additional flash memory devices

6-183

intel®
on that transceiver will increase the loading seen by any
one device.
Degradationis calculated as follows (Q = Amount of
Charge, T = Time, C = Capacitance, V = Voltage,
and 1= Current):
COULOMBS LAW STATES:
Q.= ItiT
AND GIVEN THE RELATION:

v=

tiQ/C .... 1= C.tiV/tiT

FROM THIS RELATION, THE CHANGE IN ACCESS TIME CAN BE EXPRESSED IN TERMS OF
CAPACITIVE LOAD:
tiT = C tiV/I
For example, using four SIMMs,. each with 8 components in a 16-bit configuration (4 components on high
byte and 4 components on low byte), each Intel Flash
Memory device sees a load of 15 devices(12 pF • 15 =
180 pF). This loading is 80 pF in excess of the device
specification so therefore:
Time
_ Additional
x (Vee ~ VOL)
Change - Capacitance .
IOL
=80pFX

'(5.0 -

O.4)V
A

5.8 m

= 64n5

(Reflecting worst case conditions.)

SOFTWARE DESIGN
IMPLEMENTATIONS
Each hardware implementation discussed above can be
used in several types of mass storage applications. The
general .categories include: data recoders, Write-OnceRead-Many (WORM) drives for storing application
programs and fixed data, and magnetic disk emulators.

Data Recording .
The applications for data recording represent an endless list. Examples include digital imaging, digital pho·
tography, point-of-sale terminals, patient monitors, and
flight recorders. These systems. will . use Intel Flash
Memory as' a more economical and reliable replacemenlfor SRAM + battery. Alternatively, mechanical

6-184

disks will also be replaced by Intel's Flash Memory
when higher reliability, lower power consumption,
. higher performance, and lighter weight are required.

Interleaving
Although the basic concept of data recording is similar
from system to system, variations. in implementation
exist. For instance, some applications require highspeed data acquisition. Data programming rates are improved considerably by employing interleaving techniques. The majority of time spent programming or
erasing a flash memory device results from the delay
times in the software algorithms, (It is advised to review the standard algorithms first. See any Intel Flash
Memory data sheet for Quick-Pulse Prpgramming algorithm.) Interleaving takes advantage of these delay
times to begin programming consecutive devices.
There are hardware and software mechanisms for interleaving. The flash memory array for hardware interleaving requires special decoding techp.iques (Figure
18). Contrary to linear decoding, the system address
lines Ao-A3 are decoded to provide the chip select signals and individual bytes are selected with the address
lines ~-A20. (For the Intel 28FOlO.) This decoding
technique allows software to automatically access sequential devices by writing or reading sequential memory addresses. (Data accumulated with program interleaving will not be stored consecutively within a single
device.)
The interleaving algorithm to program the 2 Mbyte
flash memory array is shown in Figure 20 and 21. The
basic goal is to utilize the delay times. To simplfy the
algorithm for this discussion, the data will be pro.
grammed on a byte-wide basis. Wprd-wide and double
word-wide techniques, discussed later, will further increase programming speeds.
During mUlti-component programming, the number of
pulses required could vary between different devices.
Code is reduced if the programming loop !loes not have
to selectiyely "decide" if a byte has programmed correctly (verified). However, continual programming of a
programmed byte is not necessary and should be avoided. This is done by masking the command sent to that
particular device. The RAM table in: Figure 19 is used
aSa data and flash memory command buffer.. Aft~r a
programmed byte has verified, its associated, data and
commands in the RAM table are written with the value
OFFH (RESET command for Intel flash memory). The
data is also written as an OFFH sinc~ this is null program data.

I

AP-343

_
_ _ _ _ _ _ _ _ _ _ _ _ _ _ _. ._ _-!AO-16
A[ 4, 20J WORD SELECT
28FO 10

CHIP
SELECTS

Ao
~

A2
A3

A

B

CE2 #

il

System
Address

-+

Device
Pin

A..

Ao

A5

-+
-+

A1

A20

-+

A16

%'

Figure 18. Hardware Interleaving Block Diagram

DATA

2
D

1T

3
AI

4

5

I IN P IU T I

6

7

F ~ 0 I,M

n

I / 10

n+1 n+2
Ip OIR T

40H 40H 40H

40H 40H 40H

VERIFY COMMAND COH COH COH COH COH COH COH

COH COH COH

PROGRAM COMMAND 40H

VERIFY DATA

40H 40H 40H

D AI T AI

10rl~DIFr

o M

A ~ 0 ~ E

)
292079-51

NOTE:
n = 14 for the example shown in text.

Figure 19. RAM Array Used as Data Buffer and Command Mask Storage

I

.~

'j~

292079-50

DEVICE#

,
"

Connection of Pins
at the Device Level

C
D

6-185

COUNTO=SIZLOf_COWPONENT
PTR 1= 1ST ADDRESS Of fLASH WEWORY ARRAY

~~~~.;;;;;;;::~0
LOAD RAW TABLE (AS SHOWN IN fiGURE 30
fLAG=OHffH
STORLPTR= PTR 1
COUNT 1=MALPROGRAW_ TRIES

FLAG used as indicator for successful byte program
verify.
MAX PROGRAM TRIES = 25

COUNT2= # _Of _COMPONENTS
PTR2= 1ST ADDRESS Of RAM TABLE DATA
PTR3= 1ST A.DDESS Of RAM TABLE PROGRAW_COMWAN
PTR4= 1ST ADDRESS Of RAW TABLE VERifY-COMMAND
PTR5=IST ADDRESS Of RAM TABLE VERifY-DATA

CONTENTS . AT PTR I =CONTENTS AT PTR3
CONTENTS AT PTRI=CONTENTS AT PTR2
INCREMENT PTR1, PTR2, and PTR3
COUNT2=COUNT2 - I

16 components for this example.
Initialize pointers.

Program command to flash device.
Program data to flash device.
To get to next byte location.

NO

All components gone through?

PTR I =STORL PTR
COUNT2= # _Of _COMPONENTS

CONTENTS AT PTRI=CONTENTS AT PTR4
INCREWENTPTR I AND PTR4
COUNT2 = COUNT2 - I

Verify command to flash device.

NO

~~----------~D
292079-52

Figure 20. Program Interleaving "Algorithm

6-186

I

AP·343

COUNT2= # OF COWPONENTS
PTR 1=STORE_PTR
PTR2= 1ST ADDRESS OF RAW TABLE DATA
PTR3= 1ST ADDRESS OF RAW TABLE PROGRAW_COWWAND
PTR4= 1ST ADDRESS OF RAW TABLE VERIFLCOWWAND

Does Flash Memory Byte = Verify
Byte?

NO

Shift bits of flag left.
INCREMENT PTR1, PTR2, PTR3, PTR4, AND PTR5
COUNT2=COUNT2-1

NO

YES, GO TO PROGRAWMING ERROR

Exceeded program tries, go to error.
All l's shifted out?

NO

I.:

To access next byte of each device.

NO

'~-----""''lA

292079-53

".

1%

Figure 21. Program Interleaving Algorithm (Continued)

I
I:~

I

6-187

AP-343

Software and hardware interleaving are very similar.
Software interleaving is performed using conventional
decoding and addressing methods. Instead of incrementing flash memory addresses by one to access the
next byte (as with hardware decoding), increment the
address by the size of the component. While allowing
the use of "general-purpose" (non-interleaved) hardware, software interleaving requires reading back the
data in the same, non-sequential fashion as was used for
recording.

ly consumes 9 rnA (1 rnA IcC and 8 rnA Ipp) while
programming or erasing; this translates to about 100
mW. If interleaving with 16 devices, about 144 rnA (16
devices • 9 rnA) or 1.6W, is drawn. Battery powered
systems will have a practical limit on the number of
components in the interleaving loop. Failure to accommodate these current levels, resulting in Vpp voltage
drop, will compromise programming and erase reliability.

Interleaved erase is useful for quickly erasing an array
of flash memory devices. This approach greatly reduces
the total subsystem format time. As specified in the
erase algorithm, each erase pulse requires a 10 ms delay. (See Quick-Erase algorithm in Intel Flash,Memory
data sheet.) Without interleaving, the processor idles
during this delay time. As with program interleaving,
this time is used to begin the erasure of consecutive
devices, thereby reducing the overall erase time.

Wrlte-Once-Read-Many (WORM)
Drives

Further program and erase time can be saved by supplementing the byte-wide algorithm with 16· or 32-bit
interleaving. Extra data and commands are added to
the RAM Mask Table. The major difference in the algorithms involves the verify operation. Depending on
the bus width, 2 or 4 bytes are verified simultaneously
as shown in Figure 22 (for a l6-bit algorithm).

Power Requirements for Interleaving
Current consumption is an important consideration for
interleaving. During programming, each device typical-

~-........

~-........

The optical disk is an example of a typical WORM
drive application. Its strengths are extremely high densities and low cost per bit. However, it is an unacceptable solution for a low powered, lightweight laptop
computer system. It is this environmel).t that solid-state
drives offer the greatest benefit. Solid-state ROMs have
historically been used in. portable systems to store software programs that seldom change. When the software
changes, discard the ROM "application hardfile" and
program a new one.
Unlike the ROM drive, Intel Flash Memories can be
reused and reprogrammed in a true WORM fashion. A
computer user can load favorite software programs on
the flash memory drive. Add revised programs to the
drive by writing to the next free space or by erasing and
reprogramming the entire drive. Software drivers can
be written to implement this functionality in most operating systems.

PROGRAILCOMMAND=~PROGRAM_COMMAND OR OOFFH)

VERIf"CCOMMAND= VERIFY_COMMAND OR OOFFH)
VERIFY_DATA= VERIFY_DATA OR OOFFH)

PROGRAM_COMMAND=~PROGRAM_COMMAND OR FFOOH)

VERIFY_COMMAND= VERIFY_COMMAND OR FFOOH)
VERIFY_DATA= VERIFY_DATA OR FFOOH)

292079-54

NOTES:
1. MASK the HI Byte with OOH.
2. If the LO Byte verifies, then mask the data, program, and verify command with OOFFH (RESEn.
3. Mask the LO Byte with OOH.
.
4. If HI Byte verifies, then mask the data, program, and verify command with OOFFH (RESET).

Figure 22. 16-Blt Masking for Verify Operation
6-188

I

AP"343

Disk Emulation
Microsoft has a flash memory file system. It stores and
retrieves data or application programs in a manner
that, to the end user, appears similar to a disk drive.
New files are written sequentially from beginning of
memory. However, when the disk is full, it reclaims
memory space for storing additional files.
When an application accesses a disk through INT 21H,
the MS-DOS' kernel checks the drive letter (Figure
2~). If th~ d~ve h.as been declared as a flash memory
disk, a bUilt-in redlrector services the call (analogous to
~etworked drive accesses). Otherwise, if the drive letter
IS that of a floppy or hard disk, the call is handled by
the standard DOS file system. The File System provides
the link between DOS and the Flash Memory and
Hardware device driver. It changes DOS file system
commands into a form understood by this unique file
structure.

The Flash File System Driver contains the "intelligence" of this file system. It searches for:
I. A Boot Record that identifies the file system and
version, and locates the start of the data area;
2. The Root DireCtory Entry Record and many Directory and File Entry Records.
The file system driver is independent of the hardware
interface to the flash memory disk. The PCMCIA device drivers, developed by the OEM or BIOS software
vendors, interfaces the flash memory disk to the flash
file system. The actual implementation of the interface
is dependent on the hardware configuration of the disk
(I/O, paged, and linear addressing are examples).
To minimize fragmentation losses and allow arbitrary
extension of files, the flash memory file system uses
variable sized blocks rather than the standard sector/
cluster method of more traditional file systems. The

Ii

INTEL
FLASH MEMORY
SOLID-STATE>
DISK

292079-55

Figure 23. Disk Interface Levels

OMS-DOS and Microsoft are registered trademarks of Microsoft Corporation.

I

6-189

AP-343
fundamental structure employed to offer this flexibility
is based on linked list concepts; files are chained together using address pointers located within directory entries for each file.
Files and directories are written to the flash memory
disk using sequentially free memory locations-a stacklike operation (Figure 24). Furthermore, file sizes can
be variable, abandoning the traditional sector/cluster
apptoach of DOS. Wh~n "the stack" fills up, (containing deleted files), the intelligent software algorithm performs a cleanup operation to reclaim the "dirty" space.
File and subdirectory information is essentiaIly attached to the beginning of each file, unlike the standard
DOS approach of directory and FAT placement. As
directory and file entries are added, they are located by
building a linked-list. Besides containing the customary
fields (e.g., name, 

Navigation menu