StarRC User Guide And Command Reference Star RC
StarRC_User_Guide
User Manual:
Open the PDF directly: View PDF .
Page Count: 934 [warning: Documents this large are best viewed by clicking the View PDF Link!]
- Preface
- Part I: StarRC User Guide
- Introduction to StarRC
- Running StarRC
- Process Characterization Interface
- Process Characterization Basics
- The Interconnect Technology Format File
- Creating the ITF File
- Process Effects That Affect Resistance and Capacitance
- Gate-To-Diffusion Capacitance Extraction Based on Capacitance Tables
- Device-Specific Contact Etch
- Device-Dependent Gate-to-Diffusion Capacitance Table
- Defining Additional Extraction Characteristics
- Handling Special Process Effects
- Conformal Dielectrics
- Conductor Cutting Dielectric
- Covertical Conductors
- Drop Factor
- Modeling a Double-Poly Process Using DROP_FACTOR
- Dielectric Air Gaps
- Layer Etch
- Metal Fill (Emulated)
- When An Antenna Diode is in Your Design Database
- 45-Degree Angles
- Diffusion Resistance Extraction
- Spacing- and Width-Dependent Etch
- CAPACITIVE_ONLY and RESISTIVE_ONLY
- Determining WMIN and SMIN Values
- Retaining Coupling Capacitance Between Top and SKIP_CELL Levels
- Handling Overlapping Wells
- Defining Sheet Zones
- Modeling Thickness Variation With StarRC
- Measuring Bottom Conductor Thickness Variation
- Interconnect Parasitics Extraction Based on CMP Simulators
- Microloading Effect
- Damage Modeling
- Translation of Routing DEF Blockage
- Temperature Derating
- Transparent Half-Node Flow
- Generating TLUPlus Models
- Via Merging
- Writing a Mapping File
- Physical Databases
- Introduction to Physical Databases
- Milkyway Database Extraction Flow
- LEF/DEF Database Extraction Flow
- Calibre Connectivity Interface
- Hercules Database Extraction Flow
- IC Validator Extraction Flow
- Cross-Referencing in Transistor Level Flows
- Cross-Referenced Extraction Options
- Parameterized Cells (PCELL)
- Metal Fill
- Shared Database Command Options
- Incremental Extraction
- Incremental Extraction Flow
- Input to StarRC
- Output from Incremental Extraction Runs
- Fixing a Design Using Engineering Change Orders
- Reasons to Perform ECOs
- Identification and Extraction of Nets Affected by ECOs
- Incremental Extraction Using StarRC
- Input Files for Incremental Extraction
- Output Files From Incremental Extraction
- Unsupported Commands During Incremental Extraction
- Running Incremental Extraction
- Incremental Netlist Examples
- Incremental Extraction Flow
- Parasitic Extraction
- Rapid3D Field Solver
- Timing Analysis
- Noise Analysis
- Graphical User Interface
- Variation-Aware Extraction
- Introduction to Variation-Aware Analysis
- Parasitic Extraction to Static Timing Analysis
- The Concept of Sensitivity
- Running StarRC With Sensitivities
- User-Defined Corner and Sensitivity Calculation
- User Interface Modeling Parameters and Their Variation
- Handling Temperature Variation in StarRC
- Defining a Corner (UDC) File
- Sensitivity Netlist With Geometry Information
- SPICE Syntax for Parasitic Sensitivity
- SPEF Extensions
- Variation-Aware Extraction Limitations
- Parasitic Extraction Integration With the Virtuoso Custom Design Platform
- Introduction to Virtuoso Integration
- Packaging, Installation, and Software Compatibility
- Flow Configuration and Related Files
- View Generation
- Temperature Sensitivity
- StarRC Parasitic Generation Cockpit GUI
- Populating the Cockpit Fields Automatically
- Using the Functions in the StarRC Parasitic View Generation Dialog Box
- Run Cockpit Tab
- Device Extraction Tab
- Extract Parasitics Tab
- Output Parasitics Tab
- Load Sharing Facility Job Submission
- File and Path Browsers
- Using Selected Net Parasitics and Selective Netlisting Modes
- Selecting and Customizing the Analysis Options
- StarRC OA View Creation
- Parasitic Probing in the GUI
- Virtuoso Integration Skill Procedures and Related Variables
- General Notes
- Examples
- Transistor-Level Runset Creation
- Advanced Extraction Features
- Hercules GENDEV Device Extraction and Netlist Generation
- Part II: StarRC Command Reference
- StarRC Commands
- ANALOG_SYMMETRIC_NETS
- AUTO_RUNSET
- BLOCK
- BLOCK_BOUNDARY
- BUS_BIT
- CALIBRE_LVS_DEVICE_TYPE_CAP
- CALIBRE_LVS_DEVICE_TYPE_MOS
- CALIBRE_LVS_DEVICE_TYPE_RES
- CALIBRE_OPTIONAL_DEVICE_PIN_FILE
- CALIBRE_PDBA_FILE
- CALIBRE_QUERY_FILE
- CALIBRE_RUNSET
- CASE_SENSITIVE
- CELL_TYPE
- COMPARE_DIRECTORY
- CONLY_NETS
- CONVERT_DIODE_TO_PARASITIC_CAP
- COUPLE_NONCRITICAL_NETS
- COUPLE_NONCRITICAL_NETS_PREFIX
- COUPLE_NONCRITICAL_NETS_SUBNODE_SUFFIX
- COUPLE_TO_GROUND
- COUPLE_TO_PCELL_PINS
- COUPLING_ABS_THRESHOLD
- COUPLING_AVG_THRESHOLD
- COUPLING_MULTIPLIER
- COUPLING_REL_THRESHOLD
- COUPLING_REPORT_FILE
- COUPLING_REPORT_NUMBER
- COUPLING_THRESHOLD_OPERATION
- DENSITY_BASED_THICKNESS
- DENSITY_OUTSIDE_BLOCK
- DETECT_FUSE
- EVACCESS_DIRECTORY
- EXTRA_GEOMETRY_INFO
- EXTRACTION
- EXTRACT_VIA_CAPS
- EXTRACT_RES_BODY_COUPLING
- FS_DP_STRING
- FS_EXTRACT_NETS
- FSCOMPARE_COUPLING_RATIO
- FSCOMPARE_FILE_PREFIX
- FSCOMPARE_OPTIONS
- FSCOMPARE_THRESHOLD
- GDS_FILE
- GDS_LAYER_MAP_FILE
- HIERARCHICAL_SEPARATOR
- HN_NETLIST_MODEL_NAME
- HN_NETLIST_SPICE_TYPE
- ICV_ANNOTATION_FILE
- ICV_RUNSET_REPORT_FILE
- IGNORE_CAPACITANCE
- IGNORE_FIELDPOLY_DIFFUSION_COUPLING
- INCREMENTAL
- INCREMENTAL_FORCE_DP
- INSTANCE_PORT
- INSTANCE_PORT_OPEN_CONDUCTANCE
- INTRANET_CAPS
- KEEP_VIA_NODES
- LEF_FILE
- LEF_USE_OBS
- LPE_DEVICES
- LPE_PARAM
- MACRO
- MACRO_DEF_FILE
- MAGNIFICATION_FACTOR
- MAGNIFY_DEVICE_PARAMS
- MAPPING_FILE
- MARKER_GENERATION
- MERGE_INSTANCE_PORTS
- MERGE_MULTI_CORNER
- MERGE_VIAS_IN_ARRAY
- METAL_FILL_GDS_FILE
- METAL_FILL_GDS_FILE_NET_NAME
- METAL_FILL_GDS_MAG
- METAL_FILL_GDS_OFFSET
- METAL_FILL_OASIS_FILE
- METAL_FILL_OASIS_FILE_NET_NAME
- METAL_FILL_OASIS_MAG
- METAL_FILL_OASIS_OFFSET
- METAL_FILL_POLYGON_HANDLING
- METAL_SHEET_OVER_AREA
- MILKYWAY_ADDITIONAL_VIEWS
- MILKYWAY_CELL_VIEW
- MILKYWAY_DATABASE
- MILKYWAY_EXPAND_HIERARCHICAL_CELLS
- MILKYWAY_EXTRACT_VIEW
- MILKYWAY_REF_LIB_MODE
- MODE
- MODEL_TYPE
- MOS_GATE_CAPACITANCE
- MOS_GATE_DELTA_RESISTANCE
- NET_SEGMENT_CUT_LENGTH
- NET_TYPE
- NETLIST_CAPACITANCE_UNIT
- NETLIST_COMMENTED_PARAMS
- NETLIST_COMMENTS_FILE
- NETLIST_COMPRESS_COMMAND
- NETLIST_CONNECT_OPENS
- NETLIST_CONNECT_SECTION
- NETLIST_CORNER_FILE
- NETLIST_CORNER_NAMES
- NETLIST_COUPLE_UNSELECTED_NETS
- NETLIST_DELIMITER
- NETLIST_DEVICE_LOCATION_ORIENTATION
- NETLIST_FILE
- NETLIST_FORMAT
- NETLIST_GROUND_NODE_NAME
- NETLIST_HIER_PROBE_NODES
- NETLIST_IDEAL_SPICE_FILE
- NETLIST_IDEAL_SPICE_HIER
- NETLIST_IDEAL_SPICE_TYPE
- NETLIST_INCREMENTAL
- NETLIST_INPUT_DRIVERS
- NETLIST_INSTANCE_SECTION
- NETLIST_LOGICAL_TYPE
- NETLIST_MAX_FILE_SIZE
- NETLIST_MAX_LINE
- NETLIST_MERGE_CORNERS
- NETLIST_MERGE_SHORTED_PORTS
- NETLIST_MINCAP_THRESHOLD
- NETLIST_MINRES_HANDLING
- NETLIST_MINRES_THRESHOLD
- NETLIST_MMC_FORMULA
- NETLIST_MMC_FORMULA_NAMES
- NETLIST_NAME_MAP
- NETLIST_NODE_SECTION
- NETLIST_NODENAME_NETNAME
- NETLIST_PARA_VIEW
- NETLIST_PARASITIC_RESISTOR_MODEL
- NETLIST_PASSIVE_PARAMS
- NETLIST_POSTPROCESS_COMMAND
- NETLIST_POWER_FILE
- NETLIST_PRECISION
- NETLIST_PRINT_CC_TWICE
- NETLIST_REMOVE_DANGLING_BRANCHES
- NETLIST_RENAME_PORTS
- NETLIST_RESISTANCE_UNIT
- NETLIST_SELECT_NETS
- NETLIST_SIM_OPTIONS
- NETLIST_SUBCKT
- NETLIST_TAIL_COMMENTS
- NETLIST_TIME_UNIT
- NETLIST_TOTALCAP_THRESHOLD
- NETLIST_TYPE
- NETLIST_UNSCALED_COORDINATES
- NETLIST_USE_M_FACTOR
- NETS
- NETS_FILE
- NONCRITICAL_COUPLING_REPORT_FILE
- NUM_PARTS
- OA_DEVICE_MAPPING_FILE
- OA_LAYER_MAPPING_FILE
- OA_LIB_DEF
- OA_LIB_NAME
- OA_MARKER_SIZE
- OA_PORT_ANNOTATION_VIEW
- OA_PROPERTY_ANNOTATION_VIEW
- OA_READ_FILL_VIEW
- OA_READ_LIB_NAME
- OA_READ_VIEW_NAME
- OA_SKIPCELL_MAPPING_FILE
- OA_VIEW_NAME
- OASIS_FILE
- OASIS_LAYER_MAP_FILE
- OBSERVATION_POINTS
- OPERATING_TEMPERATURE
- PIN_CUT_THRESHOLD
- PIO_FILE
- PLACEMENT_INFO_FILE
- POWER_EXTRACT
- POWER_NETS
- POWER_PORTS
- POWER_REDUCTION
- PRINT_SILICON_INFO
- PROBE_TEXT_FILE
- PROCESS_CORNER
- REDUCTION
- REDUCTION_MAX_DELAY_ERROR
- REMOVE_DANGLING_NETS
- REMOVE_FLOATING_NETS
- REMOVE_NET_PROPERTY
- RETAIN_CAPACITANCE_CAP_MODELS
- RETAIN_GATE_CONTACT_COUPLING
- RING_AROUND_THE_BLOCK
- RING_AROUND_THE_BLOCK_SMIN_MULTIPLIER
- SENSITIVITY
- SHEET_COUPLE_TO_NET
- SHEET_COUPLE_TO_NET_LEVEL
- SHORT_PINS
- SHORT_PINS_IN_CELLS
- SKIP_CELL_AGF_FILE
- SKIP_CELL_PORT_PROP_FILE
- SKIP_CELLS
- SKIP_CELLS_COUPLE_TO_NET
- SKIP_CELLS_COUPLE_TO_NET_LEVEL
- SKIP_CELLS_FILE
- SKIP_INSTANCES
- SKIP_PCELLS
- SKIP_PCELL_LAYERS_FILE
- SLEEP_TIME_AFTER_FINISH
- SPICE_SUBCKT_FILE
- STAR_DIRECTORY
- SUBSTRATE_EXTRACTION
- SUMMARY_FILE
- SYNOPSYS_LIB_FILE
- TARGET_PWRA
- TCAD_GRD_FILE
- TEMPERATURE_SENSITIVITY
- THICKNESS_VARIATION_FILE
- TOP_DEF_FILE
- TRANSLATE_DEF_BLOCKAGE
- TRANSLATE_FLOATING_AS_FILL
- TRANSLATE_RETAIN_BULK_LAYERS
- TVF_ADJUSTMENT_TABLES
- USER_DEFINED_DIFFUSION_RES
- VIA_COVERAGE
- VIA_COVERAGE_OPTION_FILE
- WIDE_DEVICE_TERM_RESISTANCE
- XREF
- XREF_FEEDTHRU_NETS
- XREF_LAYOUT_INST_PREFIX
- XREF_LAYOUT_NET_PREFIX
- XREF_SWAP_MOS_SD_PROPERTY
- XREF_USE_LAYOUT_DEVICE_NAME
- ZONE_COUPLE_TO_NET
- ZONE_COUPLE_TO_NET_LEVEL
- ITF Statements and Options
- AIR_GAP_VS_SPACING
- AREA
- ASSOCIATED_CONDUCTOR
- BACKGROUND_ER
- BOTTOM_DIELECTRIC_ER
- BOTTOM_DIELECTRIC_THICKNESS
- BOTTOM_THICKNESS_VS_SI_WIDTH
- CAPACITIVE_ONLY_ETCH
- CONDUCTOR
- CRT_VS_AREA
- CRT_VS_SI_WIDTH
- CRT1, CRT2, and T0
- DAMAGE_ER
- DAMAGE_THICKNESS
- DENSITY_BOX_WEIGHTING_FACTOR
- DIELECTRIC
- DROP_FACTOR
- DROP_FACTOR_LATERAL_SPACING
- ER
- ETCH
- ETCH_VS_CONTACT_AND_GATE_SPACINGS
- ETCH_VS_WIDTH_AND_LENGTH
- ETCH_VS_WIDTH_AND_SPACING
- FILL_RATIO
- FILL_SPACING
- FILL_TYPE
- FILL_WIDTH
- FROM
- GATE_TO_CONTACT_SMIN
- GATE_TO_DIFFUSION_CAP
- GLOBAL_TEMPERATURE
- HALF_NODE_SCALE_FACTOR
- ILD_VS_WIDTH_AND_SPACING
- IS_CONFORMAL
- IS_PLANAR
- LAYER_TYPE
- MEASURED_FROM
- POLYNOMIAL_BASED_THICKNESS_VARIATION
- RESISTIVE_ONLY_ETCH
- RHO
- RHO_VS_SI_WIDTH_AND_THICKNESS
- RHO_VS_WIDTH_AND_SPACING
- RPSQ
- RPSQ_VS_SI_WIDTH
- RPSQ_VS_WIDTH_AND_SPACING
- RPV
- RPV_VS_AREA
- SIDE_TANGENT
- SMIN
- SW_T
- TECHNOLOGY
- THICKNESS
- THICKNESS_VS_DENSITY
- THICKNESS_VS_WIDTH_AND_SPACING
- TO
- TSV
- TVF_ADJUSTMENT_TABLES
- TW_T
- USE_SI_DENSITY
- VARIATION_PARAMETERS
- VIA
- WMIN
- The grdgenxo Command
- Mapping File Commands
- ITF Examples
- Command Lists
- StarRC Commands
StarRC™
User Guide and Command Reference
Version F-2011.06, June 2011
StarRC User Guide and Command Reference, version F-2011.06 ii
Copyright Notice and Proprietary Information
Copyright © 2011 Synopsys, Inc. All rights reserved. This software and documentation contain confidential and proprietary
information that is the property of Synopsys, Inc. The software and documentation are furnished under a license agreement and
may be used or copied only in accordance with the terms of the license agreement. No part of the software and documentation may
be reproduced, transmitted, or translated, in any form or by any means, electronic, mechanical, manual, optical, or otherwise, without
prior written permission of Synopsys, Inc., or as expressly provided by the license agreement.
Right to Copy Documentation
The license agreement with Synopsys permits licensee to make copies of the documentation for its internal use only.
Each copy shall include all copyrights, trademarks, service marks, and proprietary rights notices, if any. Licensee must
assign sequential numbers to all copies. These copies shall contain the following legend on the cover page:
“This document is duplicated with the permission of Synopsys, Inc., for the exclusive use of
__________________________________________ and its employees. This is copy number __________.”
Destination Control Statement
All technical data contained in this publication is subject to the export control laws of the United States of America.
Disclosure to nationals of other countries contrary to United States law is prohibited. It is the reader’s responsibility to
determine the applicable regulations and to comply with them.
Disclaimer
SYNOPSYS, INC., AND ITS LICENSORS MAKE NO WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, WITH
REGARD TO THIS MATERIAL, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE.
Registered Trademarks (®)
Synopsys, AEON, AMPS, ARC, Astro, Behavior Extracting Synthesis Technology, Cadabra, CATS, Certify, Chipidea,
CHIPit, CODE V, CoMET, Confirma, CoWare, Design Compiler, DesignSphere, DesignWare, Eclypse, EMBED-IT!,
Formality, Galaxy Custom Designer, Global Synthesis, HAPS, HapsTrak, HDL Analyst, HSIM, HSPICE, Identify, Leda,
LightTools, MAST, MaVeric, METeor, ModelTools, NanoSim, NOVeA, OpenVera, ORA, PathMill, Physical Compiler,
PrimeTime, SCOPE, SiVL, SNUG, SolvNet, Sonic Focus, STAR Memory System, Syndicated, Synplicity, Synplify,
Synplify Pro, Synthesis Constraints Optimization Environment, TetraMAX, the Synplicity logo, UMRBus, VCS, Vera, and
YIELDExplorer are registered trademarks of Synopsys, Inc.
Trademarks (™)
AFGen, Apollo, ASAP, Astro-Rail, Astro-Xtalk, Aurora, AvanWaves, BEST, Columbia, Columbia-CE, Cosmos, CosmosLE,
CosmosScope, CRITIC, CustomExplorer, CustomSim, DC Expert, DC Professional, DC Ultra, Design Analyzer, Design
Vision, DesignerHDL, DesignPower, DFTMAX, Direct Silicon Access, Discovery, Encore, EPIC, Galaxy, HANEX, HDL
Compiler, Hercules, Hierarchical Optimization Technology, High-performance ASIC Prototyping System, HSIMplus,
i-Virtual Stepper, IICE, in-Sync, iN-Tandem, Intelli, Jupiter, Jupiter-DP, JupiterXT, JupiterXT-ASIC, Liberty, Libra-Passport,
Library Compiler, Macro-PLUS, Magellan, Mars, Mars-Rail, Mars-Xtalk, Milkyway, ModelSource, Module Compiler,
MultiPoint, ORAengineering, Physical Analyst, Planet, Planet-PL, Polaris, Power Compiler, Raphael, RippledMixer,
Saturn, Scirocco, Scirocco-i, SiWare, Star-RCXT, Star-SimXT, StarRC, System Compiler, System Designer, Taurus,
TotalRecall, TSUPREM-4, VCSi, VHDL Compiler, VMC, and Worksheet Buffer are trademarks of Synopsys, Inc.
Service Marks (SM)
MAP-in, SVP Café, and TAP-in are service marks of Synopsys, Inc.
SystemC is a trademark of the Open SystemC Initiative and is used under license.
ARM and AMBA are registered trademarks of ARM Limited.
Saber is a registered trademark of SabreMark Limited Partnership and is used under license.
All other product or company names may be trademarks of their respective owners.
iii
Contents
What’s New in This Release . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xxviii
About This User Guide and Command Reference . . . . . . . . . . . . . . . . . . . . . . . . . . xxix
Customer Support. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xxxi
Part I: StarRC User Guide
1. Introduction to StarRC
Extraction in the Basic Design Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-2
Extraction Tool Tasks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-2
Interaction With Other Synopsys Tools . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-2
Interfacing With External CAD Tools. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-3
Supported Formats . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-3
Physical Tool Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-3
Block or Cell Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-3
User Interfaces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-4
Licensing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-4
2. Running StarRC
StarRC Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-2
Batch Mode Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-3
Graphical User Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-4
Contents iv
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
Selective Job Processing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-4
Distributed Processing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-6
Manual Submission of Distributed Processing Jobs . . . . . . . . . . . . . . . . . . . . . 2-7
Automatic Submission of Distributed Processing Jobs . . . . . . . . . . . . . . . . . . . 2-7
Summary File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-9
Performance Optimization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-11
Licensing Requirements for Distributed Processing . . . . . . . . . . . . . . . . . . . . . 2-11
StarRC Licensing Features. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-11
Tiered Licensing Checkout Policy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-11
License Queuing Not Enabled . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-12
License Queuing Enabled. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-12
StarRC Command File Conventions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-14
3. Process Characterization Interface
Process Characterization Basics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-3
The Interconnect Technology Format File. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-4
Creating the ITF File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-4
Process Effects That Affect Resistance and Capacitance . . . . . . . . . . . . . . . . . . . . 3-6
Gate-To-Diffusion Capacitance Extraction Based on Capacitance Tables . . . . . . . . 3-7
Device-Specific Contact Etch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-8
Device-Dependent Gate-to-Diffusion Capacitance Table . . . . . . . . . . . . . . . . . . . . . 3-9
Defining Additional Extraction Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-10
Handling Special Process Effects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-10
Conformal Dielectrics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-11
Conductor Cutting Dielectric . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-12
Covertical Conductors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-13
Drop Factor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-14
Modeling a Double-Poly Process Using DROP_FACTOR . . . . . . . . . . . . . . . . . 3-17
Dielectric Air Gaps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-18
Layer Etch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-19
Metal Fill (Emulated) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-19
When An Antenna Diode is in Your Design Database . . . . . . . . . . . . . . . . . . . . 3-21
45-Degree Angles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-22
Chapter 1: Contents
1-v
Contents v
StarRC User Guide and Command Reference Version F-2011.06
Diffusion Resistance Extraction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-22
Spacing- and Width-Dependent Etch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-23
Running grdgenxo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-23
CAPACITIVE_ONLY and RESISTIVE_ONLY. . . . . . . . . . . . . . . . . . . . . . . . . . . 3-23
Determining WMIN and SMIN Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-23
Retaining Coupling Capacitance Between Top and SKIP_CELL Levels . . . . . . 3-24
Handling Overlapping Wells. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-24
Defining Sheet Zones . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-25
Modeling Thickness Variation With StarRC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-28
Measuring Bottom Conductor Thickness Variation. . . . . . . . . . . . . . . . . . . . . . . . . . 3-35
Interconnect Parasitics Extraction Based on CMP Simulators . . . . . . . . . . . . . . . . . 3-37
Microloading Effect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-41
Damage Modeling. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-42
Translation of Routing DEF Blockage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-44
Temperature Derating . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-44
Transparent Half-Node Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-45
Generating TLUPlus Models. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-48
Via Merging. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-50
Output Netlist . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-50
Mapping File Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-51
Examples of Via Merging. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-51
Writing a Mapping File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-58
4. Physical Databases
Introduction to Physical Databases. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-2
Milkyway Database Extraction Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-2
Place-and-Route Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-3
Setting the Reference Library Control File. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-3
Milkyway Database Command Options. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-3
LEF/DEF Database Extraction Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-4
Timing-Driven Design Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-6
Merging Library GDSII. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-6
Contents vi
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
LEF/DEF Database Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-6
Calibre Connectivity Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-7
Hercules Database Extraction Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-15
GDSII to XTR View Translation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-17
Cross-Referenced Extraction in the Hercules Flow . . . . . . . . . . . . . . . . . . . . . . 4-19
Hercules Database Command Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-20
HSIM Reliability Flow Placement Information . . . . . . . . . . . . . . . . . . . . . . . . . . 4-21
IC Validator Extraction Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-22
Steps in the IC Validator Extraction Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-24
Examples of Script Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-24
Cross-Referenced Extraction in IC Validator . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-25
Cross-Referencing in Transistor Level Flows . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-26
XREF:NO. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-26
XREF:YES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-26
XREF:COMPLETE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-30
Cross-Referenced Extraction Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-32
Parameterized Cells (PCELL). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-33
How LVS Handles Parameterized Cells. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-34
Extracting PCELLS Using StarRC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-38
SKIP_PCELLS Extraction Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-39
SKIP_PCELLS Netlist Behavior. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-40
Metal Fill . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-41
Emulated Metal Fill . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-42
Using Emulated Metal Fill in StarRC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-42
Real Metal Fill . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-43
Handling Coupling Capacitance on Floating Metal Fills . . . . . . . . . . . . . . . 4-44
Guidelines for Using Metal Fill . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-45
How StarRC Handles Metal Fill . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-46
Grounded Metal Fill . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-46
Floating Metal Fill . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-46
Floating and Grounded Metal Fill . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-46
Accuracy Validation With Metal Fill . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-47
Shared Database Command Options. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-47
Chapter 1: Contents
1-vii
Contents vii
StarRC User Guide and Command Reference Version F-2011.06
5. Incremental Extraction
Incremental Extraction Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-2
Input to StarRC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-2
Output from Incremental Extraction Runs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-2
Fixing a Design Using Engineering Change Orders . . . . . . . . . . . . . . . . . . . . . 5-3
Reasons to Perform ECOs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-3
Identification and Extraction of Nets Affected by ECOs. . . . . . . . . . . . . . . . . . . 5-6
Incremental Extraction Using StarRC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-7
Input Files for Incremental Extraction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-9
Output Files From Incremental Extraction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-9
Unsupported Commands During Incremental Extraction . . . . . . . . . . . . . . . . . 5-10
Running Incremental Extraction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-10
Incremental Netlist Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-15
6. Parasitic Extraction
Extraction Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-2
SingleShot Extraction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-2
Extraction Commands. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-5
Processing Commands. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-6
7. Rapid3D Field Solver
Introduction to Rapid3D Extraction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-2
Running Rapid3D . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-2
Distributed Processing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-3
LSF System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-4
Gridware System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-4
General Network With a List of Machines. . . . . . . . . . . . . . . . . . . . . . . . . . 7-4
Notes on Distributed Processing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-5
Licensing Requirement for Distributed Processing . . . . . . . . . . . . . . . . . . 7-5
Input and Output Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-5
Input Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-5
Technology File. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-5
Design File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-6
Net File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-6
Output File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-6
Contents viii
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
Trapezoidal Conductors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-7
Conductor Types. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-7
Nets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-8
Net Groups . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-8
Ground Nets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-8
Fill Nets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-9
Capacitance Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-9
Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-10
Controlling Accuracy and Runtime . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-11
Specifying Convergence Goals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-11
Specifying the Accuracy Goal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-12
Specifying the Consistency of Results. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-13
Specifying the grids_per_meter Parameter . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-13
Specifying Pattern Matching for Symmetric Nets. . . . . . . . . . . . . . . . . . . . . . . . 7-14
8. Timing Analysis
Timing Analysis Overview. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-2
Net-Specific Modes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-4
Simulation Options in the StarRC Netlist . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-6
Netlist Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-7
9. Noise Analysis
Noise Analysis Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-2
Smart Decoupling of Coupling Capacitances . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-3
Noise Analysis Commands. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-4
10. Graphical User Interface
Graphical User Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-2
Files Needed to Run StarRC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-2
Starting StarRC Using the GUI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-3
Starting a Timing or Noise Job . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-3
Starting a SingleShot Job . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-6
Chapter 1: Contents
1-ix
Contents ix
StarRC User Guide and Command Reference Version F-2011.06
Interface Reference . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-8
Control Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-8
File Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-9
Setup Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-10
Noise Menu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-15
Viewer Menu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-16
Entering Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-17
Entry Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-17
Analysis Forms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-19
List of Nets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-20
Creating a Mapping File in the GUI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-20
11. Variation-Aware Extraction
Introduction to Variation-Aware Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-2
Pessimism of Traditional Corner Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-2
Pitfalls of Traditional Corner Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-5
Time-to-Market Challenges With Traditional Corner Analysis . . . . . . . . . . 11-8
Random Versus Systematic Variations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-8
Systematic or Intra-Die Process Modeling . . . . . . . . . . . . . . . . . . . . . . . . . 11-9
Random or Inter-Die Process Modeling . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-10
Comparing Random Versus Systematic Variations . . . . . . . . . . . . . . . . . . . . . . 11-11
Parasitic Extraction to Static Timing Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-12
The Traditional Analysis Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-13
Statistical Extraction and Static Timing Analysis . . . . . . . . . . . . . . . . . . . . . . . . 11-14
The Concept of Sensitivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-17
Calculating Sensitivity Coefficients . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-18
Characterizing the Effect on Capacitance Values. . . . . . . . . . . . . . . . . . . . 11-18
Computing the Thickness Sensitivity of a Dielectric Layer . . . . . . . . . . . . . 11-18
Defining Capacitance Sensitivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-18
Defining Resistance Sensitivity. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-20
Running StarRC With Sensitivities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-20
Example Calculations With Sensitivities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-21
User-Defined Corner and Sensitivity Calculation . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-23
User Interface Modeling Parameters and Their Variation . . . . . . . . . . . . . . . . . . . . . 11-24
Creating a Variation-Aware ITF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-25
Appending Variation Information. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-25
Contents x
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
Single Variation Parameters and Dependent Parameters . . . . . . . . . . . . . 11-25
Specifying Intra-Metal Dielectric Layers . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-26
Variation-Aware ITF Requirements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-26
Example of a Variation-Aware ITF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-28
Handling Temperature Variation in StarRC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-30
Temperature Variation Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-30
Defining a Corner (UDC) File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-31
Sensitivity Command File Options. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-32
Formatting the Corner File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-32
Example of a User-Defined Corner File (UDC) . . . . . . . . . . . . . . . . . . . . . . . . . 11-33
Sensitivity Netlist With Geometry Information. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-33
SPICE Syntax for Parasitic Sensitivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-34
Header Section Variation Block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-34
Header Section Model Card For Temperature Variation . . . . . . . . . . . . . . . . . . 11-37
Parasitic Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-38
SPEF Extensions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-38
Adding Sensitivity to an SPEF Format Netlist . . . . . . . . . . . . . . . . . . . . . . . . . . 11-39
Extension Details . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-40
Parasitic Variation Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-41
Sensitivity Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-43
Header for SPEF Sensitivity Netlist . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-46
Variation-Aware Extraction Limitations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-46
Unsupported ITF Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-47
12. Parasitic Extraction Integration With the Virtuoso Custom Design Platform
Introduction to Virtuoso Integration. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-2
Creating Parasitic Views for Netlisting and Simulation . . . . . . . . . . . . . . . . . . . 12-2
Generating Graphical Data From Extracted Polygons and Subnodes. . . . . . . . 12-2
Probing Parasitics From Parasitic and Schematic Views. . . . . . . . . . . . . . . . . . 12-2
Packaging, Installation, and Software Compatibility . . . . . . . . . . . . . . . . . . . . . . . . . 12-3
Installation Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-3
Installation Steps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-3
Licensing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-4
Software Compatibility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-4
Chapter 1: Contents
1-xi
Contents xi
StarRC User Guide and Command Reference Version F-2011.06
Flow Configuration and Related Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-4
Preparing an Ideal and Parasitic Device DFII Mapping File . . . . . . . . . . . . . . . 12-5
Preparing a Runset-Layer-to-DFII Layer Mapping File . . . . . . . . . . . . . . . . . . . 12-7
Customizing an LVS Device Extraction Job. . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-9
Customizing a StarRC Parasitic Extraction Job. . . . . . . . . . . . . . . . . . . . . . . . . 12-10
View Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-11
Net and Instance Name Conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-11
Port and Terminal Connectivity Characteristics . . . . . . . . . . . . . . . . . . . . . . . . 12-13
Instance Property Annotation from the Schematic View . . . . . . . . . . . . . . . . . . 12-14
The Default Mode of StarRC Instance Property Annotation . . . . . . . . . . . . 12-14
Property Mapping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-16
Instance Name Matching Rule . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-17
Subnode Marker and Parasitic Device Visualization . . . . . . . . . . . . . . . . . . . . . 12-18
User-Defined Callbacks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-20
Pre-Extraction Callback . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-20
View Preprocessing Callback . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-21
View Postprocessing Callback . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-21
Instance Creation Callback. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-22
Callback Flow Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-23
Temperature Sensitivity. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-24
StarRC Parasitic Generation Cockpit GUI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-25
Populating the Cockpit Fields Automatically . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-27
Advanced Save and Load Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-29
Using the Functions in the StarRC Parasitic View Generation Dialog Box . . . . 12-30
Run Cockpit Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-31
Device Extraction Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-32
Extract Parasitics Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-36
Output Parasitics Tab. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-38
Load Sharing Facility Job Submission . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-39
File and Path Browsers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-41
Using Selected Net Parasitics and Selective Netlisting Modes . . . . . . . . . . . . . 12-43
Selecting and Customizing the Analysis Options . . . . . . . . . . . . . . . . . . . . . . . 12-43
StarRC OA View Creation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-45
Open Access . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-45
Environment Setup for Writing Open Access . . . . . . . . . . . . . . . . . . . . . . . 12-46
Linking Open Access Libraries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-46
Contents xii
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
Linking StarRC Open Access Libraries . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-46
Setting the Tcl Path . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-46
StarRC Command File Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-47
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-47
Parasitic Probing in the GUI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-49
StarRC Parasitic Prober. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-49
StarRC Parasitic Browser . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-50
StarRC Parasitic Netlist Browser . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-51
View Selection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-52
Flyline Viewing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-52
Point-to-Point Resistance Probing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-53
Parasitic View Probing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-55
Schematic View Probing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-55
Probed Results Log and Cross-Probing . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-56
Capacitance Probing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-56
Parasitic View Probing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-56
Schematic View Probing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-56
Probe Results Log and Cross-Probing . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-57
Prober File Input and Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-57
Schematic Annotation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-57
View Selection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-59
Dynamic Flylines for Probing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-59
Point-to-Point Resistance Probing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-60
Parasitic View Probing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-60
Schematic View Probing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-61
Probed Results Log and Cross-Probing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-61
Prober File Input and Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-62
Schematic Annotation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-62
Virtuoso Integration Skill Procedures and Related Variables . . . . . . . . . . . . . . . . . . 12-63
GUI Integration with a Custom Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-64
Batch Mode Procedures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-64
RCGenParaViewBatch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-65
RCGenParaViewBatch2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-67
RCCockpitRun . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-67
General Notes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-68
Specifying Relative Paths . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-68
Hierarchy Separator for Calibre Connectivity Interface . . . . . . . . . . . . . . . . . . . 12-68
Chapter 1: Contents
1-xiii
Contents xiii
StarRC User Guide and Command Reference Version F-2011.06
13. Examples
Command File Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-2
Netlist Format Examples. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-2
SPF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-3
STAR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-4
SPEF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-5
CONLY. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-6
NETNAME . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-7
NETLIST_IDEAL_SPICE_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-8
XREF Command SPF Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-10
XREF: NO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-10
XREF: YES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-10
XREF: COMPLETE (SPF) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-11
Fast SPICE Integration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-11
14. Transistor-Level Runset Creation
Preparing Hercules Runsets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-2
Runset Rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-2
Required Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-5
Sample Runset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-7
Marker Generation in Hercules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-11
Example of Text-Based Markers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-11
Example of ID-Layer Markers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-11
Example of Connection-Based Markers . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-12
Preparing IC Validator Runsets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-13
Runset Rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-13
Required Commands. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-16
Hierarchy Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-17
Hierarchy Options Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-18
Sample Runset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-19
Marker Generation in IC Validator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-21
Text-Based Marker Layers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-21
Multifinger Device Support in IC Validator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-23
Preparing Calibre Rule Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-24
Rule File Creation Rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-24
Contents xiv
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
Required Commands. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-26
Support for Calibre Preprocessor Directives and Include Statements. . . . . . . . 14-27
Sample Rule File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-28
Preparing the Mapping File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-31
Mapping Rules. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-31
Sample Mapping File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-33
Running the Calibre Query Server for Output to StarRC . . . . . . . . . . . . . . . . . . . . . 14-33
Optional Calibre Query Server Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-35
Preparing the StarXtract Command File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-37
Options for Transistor Level in Hercules Flow . . . . . . . . . . . . . . . . . . . . . . . . . . 14-37
Options for Transistor Level in Calibre Connectivity Interface Flow . . . . . . . . . . 14-37
Other Important Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-37
Interconnect Technology Format File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-39
Preparing the ITF File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-39
Limitations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-40
Sample ITF File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-40
General Limitations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-41
Limitations in XREF Flows . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-41
15. Advanced Extraction Features
Feedthrough Nets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-2
Feedthrough - First Issue. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-3
Port Renaming . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-4
Feedthrough - Second Issue . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-4
Runset Requirements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-5
Via Coverage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-5
Determining the Coverage and Landing Areas
(VIA_COVERAGE_OPTION_FILE) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-6
Determining the Coverage and Landing Areas (VIA_COVERAGE) . . . . . . . . . 15-8
Positive and Negative Check . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-9
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-10
Via Coverage Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-12
Reading the Output Report . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-13
Long Ports . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-15
Chapter 1: Contents
1-xv
Contents xv
StarRC User Guide and Command Reference Version F-2011.06
User-Defined Diffusion Resistance. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-17
Modifying the ITF File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-17
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Modifying the Mapping File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-17
Modifying the StarRC Command File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-18
Postprocessing the Netlist File to Compute Diffusion Resistance . . . . . . . . . . . 15-20
Example of Tcl Script for Netlist Postprocessing . . . . . . . . . . . . . . . . . . . . 15-21
16. Hercules GENDEV Device Extraction and Netlist Generation
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-2
Device Recognition and Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-2
Scheme Extraction Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-4
Hercules LVS With GENDEV Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-6
Scheme Property Comparison Functions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-7
GENDEV Netlist Generation in StarRC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-9
Part II: StarRC Command Reference
17. StarRC Commands
ANALOG_SYMMETRIC_NETS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-2
AUTO_RUNSET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-3
BLOCK . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-5
BLOCK_BOUNDARY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-7
BUS_BIT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-9
CALIBRE_LVS_DEVICE_TYPE_CAP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-10
CALIBRE_LVS_DEVICE_TYPE_MOS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-11
CALIBRE_LVS_DEVICE_TYPE_RES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-12
CALIBRE_OPTIONAL_DEVICE_PIN_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-13
CALIBRE_PDBA_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-14
CALIBRE_QUERY_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-15
CALIBRE_RUNSET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-17
Contents xvi
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
CASE_SENSITIVE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-18
CELL_TYPE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-19
COMPARE_DIRECTORY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-20
CONLY_NETS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-21
CONVERT_DIODE_TO_PARASITIC_CAP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-23
COUPLE_NONCRITICAL_NETS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-25
COUPLE_NONCRITICAL_NETS_PREFIX . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-27
COUPLE_NONCRITICAL_NETS_SUBNODE_SUFFIX. . . . . . . . . . . . . . . . . . . . . . 17-28
COUPLE_TO_GROUND . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-29
COUPLE_TO_PCELL_PINS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-30
COUPLING_ABS_THRESHOLD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-31
COUPLING_AVG_THRESHOLD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-32
COUPLING_MULTIPLIER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-33
COUPLING_REL_THRESHOLD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-34
COUPLING_REPORT_FILE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-35
COUPLING_REPORT_NUMBER. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-36
COUPLING_THRESHOLD_OPERATION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-37
DENSITY_BASED_THICKNESS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-38
DENSITY_OUTSIDE_BLOCK . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-39
DETECT_FUSE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-40
EVACCESS_DIRECTORY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-42
EXTRA_GEOMETRY_INFO. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-43
EXTRACTION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-45
EXTRACT_VIA_CAPS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-46
EXTRACT_RES_BODY_COUPLING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-48
FS_DP_STRING. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-49
FS_EXTRACT_NETS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-51
FSCOMPARE_COUPLING_RATIO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-53
Chapter 1: Contents
1-xvii
Contents xvii
StarRC User Guide and Command Reference Version F-2011.06
FSCOMPARE_FILE_PREFIX. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-54
FSCOMPARE_OPTIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-55
FSCOMPARE_THRESHOLD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-59
GDS_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-60
GDS_LAYER_MAP_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-61
HIERARCHICAL_SEPARATOR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-65
HN_NETLIST_MODEL_NAME. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-67
HN_NETLIST_SPICE_TYPE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-69
ICV_ANNOTATION_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-71
ICV_RUNSET_REPORT_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-73
IGNORE_CAPACITANCE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-74
IGNORE_FIELDPOLY_DIFFUSION_COUPLING . . . . . . . . . . . . . . . . . . . . . . . . . . 17-77
INCREMENTAL. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-79
INCREMENTAL_FORCE_DP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-81
INSTANCE_PORT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-82
INSTANCE_PORT_OPEN_CONDUCTANCE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-86
INTRANET_CAPS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-87
KEEP_VIA_NODES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-88
LEF_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-89
LEF_USE_OBS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-91
LPE_DEVICES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-92
LPE_PARAM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-93
MACRO. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-95
MACRO_DEF_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-96
MAGNIFICATION_FACTOR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-97
MAGNIFY_DEVICE_PARAMS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-98
MAPPING_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-99
MARKER_GENERATION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-100
Contents xviii
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
MERGE_INSTANCE_PORTS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-101
MERGE_MULTI_CORNER. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-103
MERGE_VIAS_IN_ARRAY. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-106
METAL_FILL_GDS_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-108
METAL_FILL_GDS_FILE_NET_NAME . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-109
METAL_FILL_GDS_MAG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-110
METAL_FILL_GDS_OFFSET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-111
METAL_FILL_OASIS_FILE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-112
METAL_FILL_OASIS_FILE_NET_NAME . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-113
METAL_FILL_OASIS_MAG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-114
METAL_FILL_OASIS_OFFSET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-115
METAL_FILL_POLYGON_HANDLING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-116
METAL_SHEET_OVER_AREA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-118
MILKYWAY_ADDITIONAL_VIEWS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-120
MILKYWAY_CELL_VIEW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-121
MILKYWAY_DATABASE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-122
MILKYWAY_EXPAND_HIERARCHICAL_CELLS. . . . . . . . . . . . . . . . . . . . . . . . . . . 17-123
MILKYWAY_EXTRACT_VIEW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-124
MILKYWAY_REF_LIB_MODE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-125
MODE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-127
MODEL_TYPE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-128
MOS_GATE_CAPACITANCE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-130
MOS_GATE_DELTA_RESISTANCE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-131
NET_SEGMENT_CUT_LENGTH. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-133
NET_TYPE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-135
NETLIST_CAPACITANCE_UNIT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-136
NETLIST_COMMENTED_PARAMS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-137
NETLIST_COMMENTS_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-138
Chapter 1: Contents
1-xix
Contents xix
StarRC User Guide and Command Reference Version F-2011.06
NETLIST_COMPRESS_COMMAND . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-139
NETLIST_CONNECT_OPENS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-141
NETLIST_CONNECT_SECTION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-142
NETLIST_CORNER_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-143
NETLIST_CORNER_NAMES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-145
NETLIST_COUPLE_UNSELECTED_NETS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-146
NETLIST_DELIMITER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-148
NETLIST_DEVICE_LOCATION_ORIENTATION . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-149
NETLIST_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-151
NETLIST_FORMAT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-152
NETLIST_GROUND_NODE_NAME . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-154
NETLIST_HIER_PROBE_NODES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-155
NETLIST_IDEAL_SPICE_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-156
NETLIST_IDEAL_SPICE_HIER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-157
NETLIST_IDEAL_SPICE_TYPE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-158
NETLIST_INCREMENTAL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-159
NETLIST_INPUT_DRIVERS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-161
NETLIST_INSTANCE_SECTION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-162
NETLIST_LOGICAL_TYPE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-164
NETLIST_MAX_FILE_SIZE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-165
NETLIST_MAX_LINE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-167
NETLIST_MERGE_CORNERS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-168
NETLIST_MERGE_SHORTED_PORTS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-169
NETLIST_MINCAP_THRESHOLD. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-170
NETLIST_MINRES_HANDLING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-171
NETLIST_MINRES_THRESHOLD. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-172
NETLIST_MMC_FORMULA. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-173
NETLIST_MMC_FORMULA_NAMES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-174
Contents xx
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
NETLIST_NAME_MAP. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-176
NETLIST_NODE_SECTION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-177
NETLIST_NODENAME_NETNAME. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-178
NETLIST_PARA_VIEW. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-180
NETLIST_PARASITIC_RESISTOR_MODEL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-181
NETLIST_PASSIVE_PARAMS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-184
NETLIST_POSTPROCESS_COMMAND. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-186
NETLIST_POWER_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-187
NETLIST_PRECISION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-188
NETLIST_PRINT_CC_TWICE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-189
NETLIST_REMOVE_DANGLING_BRANCHES . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-191
NETLIST_RENAME_PORTS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-192
NETLIST_RESISTANCE_UNIT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-193
NETLIST_SELECT_NETS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-194
NETLIST_SIM_OPTIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-195
NETLIST_SUBCKT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-197
NETLIST_TAIL_COMMENTS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-198
NETLIST_TIME_UNIT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-200
NETLIST_TOTALCAP_THRESHOLD. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-201
NETLIST_TYPE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-202
NETLIST_UNSCALED_COORDINATES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-204
NETLIST_USE_M_FACTOR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-205
NETS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-206
NETS_FILE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-208
NONCRITICAL_COUPLING_REPORT_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-209
NUM_PARTS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-211
OA_DEVICE_MAPPING_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-212
OA_LAYER_MAPPING_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-213
Chapter 1: Contents
1-xxi
Contents xxi
StarRC User Guide and Command Reference Version F-2011.06
OA_LIB_DEF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-214
OA_LIB_NAME. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-215
OA_MARKER_SIZE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-216
OA_PORT_ANNOTATION_VIEW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-217
OA_PROPERTY_ANNOTATION_VIEW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-218
OA_READ_FILL_VIEW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-219
OA_READ_LIB_NAME. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-220
OA_READ_VIEW_NAME . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-221
OA_SKIPCELL_MAPPING_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-222
OA_VIEW_NAME . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-223
OASIS_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-224
OASIS_LAYER_MAP_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-225
OBSERVATION_POINTS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-228
OPERATING_TEMPERATURE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-230
PIN_CUT_THRESHOLD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-232
PIO_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-234
PLACEMENT_INFO_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-235
POWER_EXTRACT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-237
POWER_NETS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-240
POWER_PORTS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-241
POWER_REDUCTION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-242
PRINT_SILICON_INFO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-243
PROBE_TEXT_FILE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-245
PROCESS_CORNER. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-247
REDUCTION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-248
REDUCTION_MAX_DELAY_ERROR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-250
REMOVE_DANGLING_NETS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-251
REMOVE_FLOATING_NETS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-252
Contents xxii
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
REMOVE_NET_PROPERTY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-253
RETAIN_CAPACITANCE_CAP_MODELS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-254
RETAIN_GATE_CONTACT_COUPLING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-256
RING_AROUND_THE_BLOCK . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-258
RING_AROUND_THE_BLOCK_SMIN_MULTIPLIER . . . . . . . . . . . . . . . . . . . . . . . 17-260
SENSITIVITY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-261
SHEET_COUPLE_TO_NET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-263
SHEET_COUPLE_TO_NET_LEVEL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-264
SHORT_PINS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-265
SHORT_PINS_IN_CELLS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-269
SKIP_CELL_AGF_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-270
SKIP_CELL_PORT_PROP_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-272
SKIP_CELLS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-274
SKIP_CELLS_COUPLE_TO_NET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-276
SKIP_CELLS_COUPLE_TO_NET_LEVEL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-277
SKIP_CELLS_FILE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-278
SKIP_INSTANCES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-279
SKIP_PCELLS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-280
SKIP_PCELL_LAYERS_FILE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-282
SLEEP_TIME_AFTER_FINISH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-284
SPICE_SUBCKT_FILE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-285
STAR_DIRECTORY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-286
SUBSTRATE_EXTRACTION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-287
SUMMARY_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-289
SYNOPSYS_LIB_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-290
TARGET_PWRA. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-291
TCAD_GRD_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-293
TEMPERATURE_SENSITIVITY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-294
Chapter 1: Contents
1-xxiii
Contents xxiii
StarRC User Guide and Command Reference Version F-2011.06
THICKNESS_VARIATION_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-296
TOP_DEF_FILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-297
TRANSLATE_DEF_BLOCKAGE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-298
TRANSLATE_FLOATING_AS_FILL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-299
TRANSLATE_RETAIN_BULK_LAYERS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-300
TVF_ADJUSTMENT_TABLES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-302
USER_DEFINED_DIFFUSION_RES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-303
VIA_COVERAGE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-304
VIA_COVERAGE_OPTION_FILE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-306
WIDE_DEVICE_TERM_RESISTANCE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-310
XREF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-312
XREF_FEEDTHRU_NETS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-314
XREF_LAYOUT_INST_PREFIX . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-315
XREF_LAYOUT_NET_PREFIX . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-316
XREF_SWAP_MOS_SD_PROPERTY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-317
XREF_USE_LAYOUT_DEVICE_NAME . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-318
ZONE_COUPLE_TO_NET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-319
ZONE_COUPLE_TO_NET_LEVEL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-320
18. ITF Statements and Options
AIR_GAP_VS_SPACING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-3
AREA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-5
ASSOCIATED_CONDUCTOR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-6
BACKGROUND_ER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-8
BOTTOM_DIELECTRIC_ER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-9
BOTTOM_DIELECTRIC_THICKNESS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-10
BOTTOM_THICKNESS_VS_SI_WIDTH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-13
CAPACITIVE_ONLY_ETCH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-16
Contents xxiv
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
CONDUCTOR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-17
CRT_VS_AREA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-21
CRT_VS_SI_WIDTH. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-23
CRT1, CRT2, and T0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-25
DAMAGE_ER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-27
DAMAGE_THICKNESS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-28
DENSITY_BOX_WEIGHTING_FACTOR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-29
DIELECTRIC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-30
DROP_FACTOR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-31
DROP_FACTOR_LATERAL_SPACING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-33
ER. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-34
ETCH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-35
ETCH_VS_CONTACT_AND_GATE_SPACINGS . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-36
ETCH_VS_WIDTH_AND_LENGTH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-41
ETCH_VS_WIDTH_AND_SPACING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-44
FILL_RATIO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-48
FILL_SPACING. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-49
FILL_TYPE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-50
FILL_WIDTH. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-51
FROM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-52
GATE_TO_CONTACT_SMIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-53
GATE_TO_DIFFUSION_CAP. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-55
GLOBAL_TEMPERATURE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-58
HALF_NODE_SCALE_FACTOR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-59
ILD_VS_WIDTH_AND_SPACING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-62
IS_CONFORMAL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-65
IS_PLANAR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-67
LAYER_TYPE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-68
Chapter 1: Contents
1-xxv
Contents xxv
StarRC User Guide and Command Reference Version F-2011.06
MEASURED_FROM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-70
POLYNOMIAL_BASED_THICKNESS_VARIATION . . . . . . . . . . . . . . . . . . . . . . . . . 18-72
RESISTIVE_ONLY_ETCH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-75
RHO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-76
RHO_VS_SI_WIDTH_AND_THICKNESS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-77
RHO_VS_WIDTH_AND_SPACING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-80
RPSQ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-81
RPSQ_VS_SI_WIDTH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-82
RPSQ_VS_WIDTH_AND_SPACING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-84
RPV . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-86
RPV_VS_AREA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-87
SIDE_TANGENT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-89
SMIN. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-91
SW_T . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-92
TECHNOLOGY. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-93
THICKNESS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-94
THICKNESS_VS_DENSITY. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-95
THICKNESS_VS_WIDTH_AND_SPACING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-97
TO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-99
TSV. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-100
TVF_ADJUSTMENT_TABLES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-102
TW_T . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-104
USE_SI_DENSITY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-105
VARIATION_PARAMETERS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-106
VIA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-107
WMIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-109
Contents xxvi
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
19. The grdgenxo Command
Command Details . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-2
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-3
Incremental grdgenxo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-6
Reference Indications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-7
Error and Warning Conditions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-8
20. Mapping File Commands
conducting_layers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20-2
via_layers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20-5
marker_layers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20-7
remove_layers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20-8
viewonly_layers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20-9
ignore_cap_layers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20-10
Appendix A. ITF Examples
Fully Planar Process. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-2
Conformal Dielectric Process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-3
Gate Poly Process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-4
Local Interconnect Process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-5
Dielectric Air Gaps Process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-6
Layer Etch Process. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-7
Metal Fill Process (Emulated). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-8
Transistor-Level Process. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-9
Through-Silicon Via Process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-10
Appendix B. Command Lists
Command List With Task Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-2
Command List With Flow and License Information. . . . . . . . . . . . . . . . . . . . . . . . . . B-11
Command List With -clean Option Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-20
Preface
What’s New in This Release xxviii
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
What’s New in This Release
Information about new features, enhancements, and changes, along with known problems
and limitations and resolved Synopsys Technical Action Requests (STARs), is available in
the StarRC Release Notes in SolvNet.
To see the StarRC Release Notes,
1. Go to the Download Center on SolvNet located at the following address:
https://solvnet.synopsys.com/DownloadCenter
If prompted, enter your user name and password. If you do not have a Synopsys user
name and password, follow the instructions to register with SolvNet.
2. Select StarRC, and then select a release in the list that appears.
Chapter 1: Preface
About This User Guide and Command Reference 1-xxix
Preface
About This User Guide and Command Reference xxix
StarRC User Guide and Command Reference Version F-2011.06
About This User Guide and Command Reference
The StarRC tool performs layout parasitic extraction of connected databases. This manual
describes StarRC in two parts:
• User Guide – Describes the use of StarRC for parasitic extraction.
• Command Reference – Contains detailed descriptions of commands and statements that
you can use in your StarRC setup files.
Audience
This manual is intended for circuit and layout design engineers working with circuits at the
deep submicron level.
Related Publications
For additional information about StarRC, see the documentation on SolvNet at the following
address:
https://solvnet.synopsys.com/DocsOnWeb
You might also want to see the documentation for the following related Synopsys products:
• PrimeTime Suite
• IC Compiler
• Custom Designer
•IC Validator
•Hercules
Preface
About This User Guide and Command Reference xxx
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
Conventions
The following conventions are used in Synopsys documentation.
Convention Description
Courier Indicates syntax, such as write_file.
Courier italic Indicates a user-defined value in syntax, such as
write_file design_list.
Courier bold Indicates user input—text you type verbatim—in
examples, such as
prompt> write_file top
[ ] Denotes optional arguments in syntax, such as
write_file [-format fmt]
... Indicates that arguments can be repeated as many
times as needed, such as
pin1 pin2 ... pinN
|Indicates a choice among alternatives, such as
low | medium | high
Control-c Indicates a keyboard combination, such as holding
down the Control key and pressing c.
\Indicates a continuation of a command line.
/Indicates levels of directory structure.
Edit > Copy Indicates a path to a menu command, such as
opening the Edit menu and choosing Copy.
Chapter 1: Preface
Customer Support 1-xxxi
Preface
Customer Support xxxi
StarRC User Guide and Command Reference Version F-2011.06
Customer Support
Customer support is available through SolvNet online customer support and through
contacting the Synopsys Technical Support Center.
Accessing SolvNet
SolvNet includes a knowledge base of technical articles and answers to frequently asked
questions about Synopsys tools. SolvNet also gives you access to a wide range of Synopsys
online services including software downloads, documentation, and technical support.
To access SolvNet, go to the following address:
https://solvnet.synopsys.com
If prompted, enter your user name and password. If you do not have a Synopsys user name
and password, follow the instructions to register with SolvNet.
If you need help using SolvNet, click HELP in the top-right menu bar.
Contacting the Synopsys Technical Support Center
If you have problems, questions, or suggestions, you can contact the Synopsys Technical
Support Center in the following ways:
• Open a support case to your local support center online by signing in to SolvNet at
https://solvnet.synopsys.com, clicking Support, and then clicking “Open A Support Case.”
• Send an e-mail message to your local support center.
• E-mail support_center@synopsys.com from within North America.
• Find other local support center e-mail addresses at
http://www.synopsys.com/Support/GlobalSupportCenters/Pages
• Telephone your local support center.
• Call (800) 245-8005 from within North America.
• Find other local support center telephone numbers at
http://www.synopsys.com/Support/GlobalSupportCenters/Pages
Preface
Customer Support xxxii
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
Part I: StarRC User Guide
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
1-1
1
Introduction to StarRC 1
StarRC is a software tool that extracts parasitics—such as resistors, capacitors, and
inductors—from connected databases that represent integrated circuit layout designs.
You can use StarRC to generate netlists to conduct a timing, clock, noise, or power analysis.
This chapter contains the following sections:
•Extraction in the Basic Design Flow
•Extraction Tool Tasks
•Interaction With Other Synopsys Tools
•Interfacing With External CAD Tools
•Supported Formats
•Physical Tool Requirements
•Block or Cell Analysis
•User Interfaces
•Licensing Requirements
Chapter 1: Introduction to StarRC
Extraction in the Basic Design Flow 1-2
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
Extraction in the Basic Design Flow
StarRC is an accurate parasitic extraction solution that has many applications in the design
cycle. StarRC can extract resistance and capacitance information from a fully routed design
block.
Extraction Tool Tasks
StarRC performs these extraction tasks:
• Produces accurate, full-chip parasitics for noise, signal electromigration, voltage (IR)
drop, and timing verification.
• Performs selective extraction and netlisting for critical path analysis.
• Provides a complete, production-proven solution for different design types, such as ASIC,
full custom, microprocessor, memory, and analog designs.
• Offers consistent interconnect modeling for physical design and optimization. Efficient
post-layout analysis enables fast timing convergence and rapid time-to-market.
• Includes advanced process effects such as copper interconnect, local interconnect,
silicon on insulator (SOI), and in-die process variation.
• Creates process characterization files for StarRC, which can also be obtained from major
foundries.
• Integrates into existing design flows.
• Provides hierarchical extraction and distributed processing that can be performed.
Interaction With Other Synopsys Tools
StarRC is integrated with Synopsys timing verification tools (PrimeTime SI), simulation tools
(HSPICE, NanoSim), and the Galaxy platform. It is also integrated with the
layout-versus-schematic verification tools, IC Validator and Hercules.
Post-layout optimization for timing, power, and noise is achieved by integration with the IC
Compiler, PrimeTime, NanoSim, PrimeRail and Astro-Xtalk tools.
Chapter 1: Introduction to StarRC
Interfacing With External CAD Tools 1-3
Chapter 1: Introduction to StarRC
Interfacing With External CAD Tools 1-3
StarRC User Guide and Command Reference Version F-2011.06
Interfacing With External CAD Tools
StarRC integrates into many design flows through standard design data formats like
Milkyway, Library Exchange Format/Design Exchange Format (LEF/DEF), Standard
Parasitic Exchange Format (SPEF), Standard Parasitic Format (SPF), and Calibre®
Connectivity Interface. In fact, widespread use of StarRC in third-party design flows as well
as Synopsys design flows is occurring today. This includes integration with static timing
analysis tools and third-party place-and-route tools directly through the use of LEF/DEF and
the Calibre Connectivity Interface. You can also use GDSII by using Hercules (Milkyway
XTR view) files.
Supported Formats
StarRC supports these industry-standard formats:
Input formats
•LEF/DEF
•GDSII
•Milkyway
Output Netlist Formats
•SPICE
• Synopsys Binary Parasitic Format (SBPF)
• SPEF
• Detailed Standard Parasitic Format (DSPF)
Physical Tool Requirements
StarRC accepts input from GDSII, LEF/DEF, and IC Compiler formats.
Block or Cell Analysis
StarRC extracts billions of capacitors for a typical design. Then it generates the smallest
possible netlist to achieve accurate results by using a proprietary parasitic reduction
algorithm. For increased throughput, StarRC can run in hierarchical and distributed
Chapter 1: Introduction to StarRC
User Interfaces 1-4
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
processing modes. It supports extraction of advanced process technologies such as copper
interconnect, local interconnect, low-κ dielectric, silicon on insulator (SOI), and in-die
process variations.
To facilitate design convergence, StarRC generates accurate process models through the
characterization interface used by the IC Compiler place-and-route tool . StarRC also runs
directly from Synopsys Milkyway database and provides the IC Compiler place-and-route
user a consistent link between extraction and final verification. This flow includes the
following benefits:
• Full-chip extraction at any time during the design cycle—shorted nets, open nets, and
incomplete blocks are handled properly and reported with warning messages
• Accurate parasitic extraction for layouts with physical routing
• Fast selective extraction of nets modified by engineering change orders (ECOs)
• Accurate post-layout optimization for timing, power, and noise through integration with IC
Compiler, PrimeTime, NanoSim, PrimeRail, and Astro-Xtalk optimization tools
User Interfaces
You can use StarRC
• From the StarRC graphical user interface
• From IC Compiler
• In batch mode on the command line
Licensing Requirements
StarRC operates on a three-tier licensing and packaging scheme. The three packages are
as follows:
• StarRC Custom – Performs high-accuracy extraction at the block or transistor level.
• StarRC – Performs full-chip extraction at the gate and transistor level.
• StarRC Ultra – Performs large design extraction and includes advanced features such as
variation-aware extraction.
For information about the availability of specific features in each package, see “Command
List With Flow and License Information” in Appendix B.
Chapter 2: Running StarRC
StarRC Overview 2-2
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
StarRC Overview
StarRC is a layout parasitic extraction tool that extracts connected databases. StarRC can
be used at any physical design cycle stage to extract accurate parasitics.
• StarRC reads Milkyway place and route, LEF/DEF, Calibre Connectivity Interface, or
Hercules connected databases directly, without external processing.
• Extracted parasitics can be written into the Synopsys centralized Milkyway database for
use by analysis and optimization tools.
Because StarRC gracefully handles designs with layout versus schematic (LVS)
violations, including opens and shorts, timing convergence can be ensured before the
physical verification cycle begins.
• StarRC SingleShot extraction flow produces several netlists for each postextraction
analysis; you only need to rerun netlisting.
Figure 2-1 illustrates the StarRC design flow.
Figure 2-1 StarRC Design Flowchart
Milkyway Milkyway
LEF/DEF
StarRC
SPICE_SUBCKT_FILE
star_cmd
MAPPING_FILE
TCAD_GRD_FILE
TIMING
SPF
SPEF
STAR
Milkyway
NOISE
COUPLING
Connected layout database
XTR
SBPF
AGF
CCI
REPORT
GDS
Chapter 2: Running StarRC
Batch Mode Operation 2-3
Chapter 2: Running StarRC
Batch Mode Operation 2-3
StarRC User Guide and Command Reference Version F-2011.06
Batch Mode Operation
You can run StarRC in batch mode by providing a command file or files on the command
line. The StarXtract command invokes StarRC and uses the following syntax:
StarXtract
[-cleanXREF][-cleanD][-cleanFS][-cleanXFS]
[-cleanTFS][-cleanN]
[-cleanX starrc_command: new_value][-cleanT][-clean]
[-gui]
[-ultra | -custom]
[-cdnlicsvr]
[-tech_out]
[-v]
[-h]
[-iapinetmap]
[-iapixindump]
[-pio]
[-skip]
star_cmd_file [nets_cmd]
Argument Description
-cleanXREF Cleans xref
-cleanD Cleans all processes and minimizes the StarRC run directory size
-cleanFS Cleans field solver process
-cleanXFS Cleans extraction and field solver process
-cleanTFS Cleans translation and field solver process
-cleanN Cleans netlisting process
-cleanX Cleans extraction process
-cleanT Cleans translation process
-clean Cleans all processes
-gui Invokes StarRC GUI
-ultra Uses the STAR-RC2_ULTRA_MANAGER license key only
-custom Uses the STAR-RC2_CUSTOM_MANAGER license key only
Chapter 2: Running StarRC
Graphical User Interface 2-4
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
If you specify duplicate command options, options that can be specified only once are
overwritten (the last takes precedence), whereas options that can be specified more than
once are appended.
Graphical User Interface
StarRC includes an easy-to-use, interactive graphical user interface (GUI) that provides an
intuitive environment for the extraction and analysis of physical designs. Invoke the GUI with
the following command:
% StarXtract -gui
For more information about the StarRC GUI, see Chapter 10, “Graphical User Interface.”
Selective Job Processing
StarRC is designed to run sequentially through a series of independent processing
modules. This means that you can restart a job that was interrupted for some reason,
without revisiting previously completed tasks. It also gives you the ability to selectively
-cdnlicsvr Runs the Virtuoso® Integration License Server
-tech_out Displays a list of StarRC command options and their defaults, if
applicable
-v Displays the version information
-h Displays the command usage report
-iapinetmap Displays the net name/id mapping for IAPI
-iapixindump Displays the ascii xin output for IAPI
-pio Dumps the Star-DC PIO file from Milkyway
-skip Dumps the skip cells from Milkyway models
star_cmd_file Specifies the StarRC command file
nets_cmd Specifies the nets for extraction
Argument Description
Chapter 2: Running StarRC
Selective Job Processing 2-5
Chapter 2: Running StarRC
Selective Job Processing 2-5
StarRC User Guide and Command Reference Version F-2011.06
reconfigure a job without starting from scratch. Guidelines for using selective processing are
detailed in the following section. Selective processing is available both through the GUI and
with batch mode operation.
Note:
You can significantly speed up the runtime by executing StarXtract on a local hard drive.
StarXtract executes tasks in several independent stages and keeps a record after
successful completion of each stage so that results can be reused. With no command-line
options specified, StarXtract attempts to restart the job after the last stage that was
successfully completed (if applicable). If all stages have been previously completed,
StarXtract does not take any action. Command-line options let you control which stages are
executed. Any of the command-line execution options can be specified for a single
StarXtract job.
The valid -clean options for each StarXtract stage are shown in Table 2-1. For a complete list
of specifict commands and their valid -clean options, see Ta bl e B-3 on page B-20.
Table 2-1 Valid -clean Options in the StarXtract Stages
Stage
-clean
-cleanT
-cleanX
-cleanFS
-cleanTFS
-cleanXFS
-cleanN
-cleanXREF
Setup XXXXXXX
HN X
XrefHN X X
Translate X X X
XrefIDX X X
xTract XXX XX
FS X X X X
Netlist XXX(X) (X) (X) X X
(X) means the following: for EXTRACTION:FSCOMPARE
The -cleanFS, -cleanTFS, and -cleanXFS options do not perform netlisting, but
FS_EXTRACT_NETS does the netlisting with these three command-line options.
Chapter 2: Running StarRC
Distributed Processing 2-6
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
You should not use the -cleanXREF option when you are selecting nets by schematic name
for extraction. If the design contains symmetry, the schematic-layout mapping does not
always remain the same from run to run.
Alterations can be made to the command file to reconfigure a partially completed job. This
is especially useful if, for example, you want to extract a new netlist format from a database
that has already been extracted. To accomplish this task, first change the list of nets in the
technology file and then type the following command to produce a netlist containing the new
netlist format (without repeating the previous stages):
StarXtract -cleanN star_cmd
Note:
The rerunning of selective jobs (such as -cleanN) must be done on the same software
version and platform as the original job.
Another use of a -clean option is when you want to change the value of a certain command.
For example, if you change the COUPLE_TO_GROUND: YES command to
COUPLE_TO_GROUND: NO, this affects the extraction in the xTract and netlist stages. In this
case, specify the -cleanX command. StarRC then takes advantage of the results from the
run's previous stages and continues with the -cleanX function in the Xtract stage. The
-cleanX option refreshes the files beginning with the Xtract stage and continues refreshing
the files into the netlist stage.
The -cleanX option uses the following syntax:
StarXtract -cleanX starrc_command: new_value
Distributed Processing
Distributed processing in StarRC partitions the run, based on user input, during translation.
Each partition is spawned off to a separate CPU for extraction. After each CPU has finished
extracting its partition, StarRC integrates the results on a single CPU and generates a
netlist.
To invoke distributed processing, specify the NUM_PARTS command in the star_cmd file:
NUM_PARTS: number_of_partitions
The master CPU executes the StarXtract command, performs the initial translation, and
partitions the run as uniformly as possible among all of the available CPUs. After extraction
is finished on all CPUs, the master CPU compiles the results and generates the final netlist.
The various commands with -clean options can be executed only on the master CPU; they
cannot be issued on a slave CPU. To clean your partition stage (for example, to change the
partitions with the NUM_PARTS command), use the -cleanX command.
Chapter 2: Running StarRC
Distributed Processing 2-7
Chapter 2: Running StarRC
Distributed Processing 2-7
StarRC User Guide and Command Reference Version F-2011.06
If the master CPU fails on a -clean run, the slave CPU that picks up the incomplete job will
not run with -clean.
If one of the processing jobs terminates abnormally or crashes, the incomplete job is placed
in the task queue for completion by an active CPU, even if the failed CPU was the master
CPU. Slave CPUs check the task queue every 90 seconds, so there is a brief delay before
an idle CPU picks up an incomplete job. The extraction results are not merged until all
partitions have been processed.
Manual Submission of Distributed Processing Jobs
Distributed processing for the StarXtract command is similar to distributing processing for
the grdgenxo command; you must begin a job on a single CPU and then use a remote login
to execute jobs on other machines.
LSF System
A Load Sharing Facility (LSF) automatically distributes jobs to multiple CPUs. The
environment setup might differ between LSF clusters, but the usage should be similar to that
of the bsub command to submit jobs.
The following script example distributes a job across three CPUs:
bsub StarXtract star_cmd
bsub StarXtract star_cmd
bsub StarXtract star_cmd
Non-LSF System
If you are not using an LSF system, you must log into multiple remote machines to distribute
the extraction. On each CPU, run StarRC with the following commands:
% xterm
% cd /home/directory
% StarXtract star_cmd
% rlogin remote_machine
% cd /home/directory
% StarXtract star_cmd
You must execute the StarXtract command in the same directory for all machines.
Automatic Submission of Distributed Processing Jobs
StarRC provides automatic distributed processing job submission. You can start a single run
and let StarRC automatically submit multiple jobs according to your specifications.
Chapter 2: Running StarRC
Distributed Processing 2-8
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
To enable automatic job submission, use the following syntax:
STARRC_DP_STRING: job_details
STARRC_DP_STRING can be set as an environment variable or as a command in the
star_cmd file. If it is set in both places, the setting in the star_cmd file takes precedence.
You can use distributed processing in the following computing environments:
•LSF System
•Gridware System
•General Network With a List of Machines
The number of jobs to be submitted is determined by number of partitions specified by the
NUM_PARTS command.
To enable automatic distributed processing job submission, you must run the StarXtract
command with the -clean, -cleanX, -cleanT, -cleanN, -cleanD, or -cleanXREF option.
The license requirement for this feature is the same as that required by manual submission
for the same number of jobs.
When using Gridware system or the list of machines, a _starrcdp.csh shell script is written
to the current working directory and then invoked by a grid command (for a Gridware
system) or the rsh command (for a list of machines).
The executions of the distribution are reported at the beginning of the star_sum file.
LSF System
In an LSF system, you can specify STARRC_DP_STRING as
• An environment variable before launching the tool. Be sure to enclose the LSF command
in single quotes. For example,
% setenv STARRC_DP_STRING 'bsub -a amd64 -R "rusage[mem=5000]"'
• A statement in the star_cmd file. For example,
STARRC_DP_STRING: bsub -a amd64 -R "rusage[mem=5000]"
Gridware System
In a Gridware system, you can specify STARRC_DP_STRING as
• An environment variable before launching the tool. Be sure to enclose the Gridware
command in single quotes. For example,
% setenv STARRC_DP_STRING 'qsub -P bnormal -l "mem_free=1G
Chapter 2: Running StarRC
Distributed Processing 2-9
Chapter 2: Running StarRC
Distributed Processing 2-9
StarRC User Guide and Command Reference Version F-2011.06
mem_avail=1G"'
• A statement in the star_cmd file. For example,
STARRC_DP_STRING: qsub -P bnormal -l "mem_free=1G mem_avail=1G"
General Network With a List of Machines
For a general network with a list of machines, you can specify STARRC_DP_STRING as
• An environment variable before launching the tool. Be sure to enclose the list in single
quotes. For example,
% setenv STARRC_DP_STRING 'list mars jupiter uranus'
• A statement in the star_cmd file. For example,
STARRC_DP_STRING: list mars jupiter uranus
When using a general network with a list of host machines,
• Each machine must be available through a the UNIX rsh command without a password
• The list keyword can only be followed by machine names; it does not support any other
options
• If the specified number of machines does not match NUM_PARTS, the number of jobs to be
dispatched is the minimum of these two numbers
• For multicore machines, you can specify the machine name multiple times
Summary File
In previous releases, distributed processing runs were reported in the star_sum file as a
simple concatenation of summaries from each CPU.
After the distributed processing is complete, StarRC provides a distributed processing report
in the summary file. The report includes the following information:
• Stage summary – Reports run time, memory consumption, CPU or host on which the job
was executed, and job completion timestamp.
• Distributed processing summary for distributed stages – Shows the maximum and
average runtime for each CPU.
• Total runtime – Shows timestamps for the beginning and the end of the run, in addition to
the total runtime.
• Pre-extraction and post extraction times - Reports the runtime information of
pre-extraction and post-extraction stages.
Chapter 2: Running StarRC
Distributed Processing 2-10
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
The following example shows a summary file for a StarXtract -clean run:
Example 2-1 Summary File for a -clean Run
--------------------------------------------------------------------------------------
CPU# | STAGE SUMMARY | END TIME |HOSTNAME
--------------------------------------------------------------------------------------
CPU_01 |*Layers Elp=00:00:01 Cpu=00:00:00 Mem=48.8 | Feb 10 17:45:58 | yak068
CPU_01 |*Models Elp=00:00:00 Cpu=00:00:00 Mem=45.7 | Feb 10 17:46:00 | yak068
CPU_01 |*HN Elp=00:01:05 Cpu=00:00:13 Mem=124.7 | Feb 10 17:47:11 | yak068
CPU_01 |*Cells Elp=00:00:37 Cpu=00:00:02 Mem=121.2 | Feb 10 17:47:50 | yak068
CPU_01 |*Translate Elp=00:01:25 Cpu=00:00:41 Mem=231.2 | Feb 10 17:49:19 | yak068
CPU_01 |*NetlistSetup Elp=00:00:00 Cpu=00:00:00 Mem=45.6 | Feb 10 17:49:23 | yak068
CPU_01 |*Partition Elp=00:00:21 Cpu=00:00:15 Mem=49.1 | Feb 10 17:49:49 | yak068
CPU_01 |*Sort_part1 Elp=00:00:05 Cpu=00:00:04 Mem=104.8 | Feb 10 17:49:59 | yak068
CPU_04 |*Sort_part2 Elp=00:00:04 Cpu=00:00:03 Mem=103.7 | Feb 10 17:50:01 | cow135
CPU_02 |*Sort_part3 Elp=00:00:05 Cpu=00:00:03 Mem=103.3 | Feb 10 17:50:06 | cow118
CPU_03 |*Sort_part4 Elp=00:00:04 Cpu=00:00:03 Mem=106.0 | Feb 10 17:50:08 | cow158
CPU_03 |*xTract_part4 Elp=00:05:21 Cpu=00:05:18 Mem=498.5 | Feb 10 17:55:35 | cow158
CPU_01 |*xTract_part1 Elp=00:05:40 Cpu=00:05:36 Mem=463.6 | Feb 10 17:55:41 | yak068
CPU_04 |*xTract_part2 Elp=00:06:26 Cpu=00:06:22 Mem=486.5 | Feb 10 17:56:27 | cow135
CPU_02 |*xTract_part3 Elp=00:06:19 Cpu=00:06:13 Mem=467.2 | Feb 10 17:56:34 | cow118
CPU_01 |*xTractPP Elp=00:00:29 Cpu=00:00:19 Mem=114.1 | Feb 10 17:57:08 | yak068
CPU_02 |*Netlist_part4 Elp=00:00:16 Cpu=00:00:13 Mem=398.1 | Feb 10 17:57:39 | cow118
CPU_01 |*Netlist_part1 Elp=00:00:43 Cpu=00:00:36 Mem=349.6 | Feb 10 17:57:58 | yak068
CPU_04 |*Netlist_part2 Elp=00:00:45 Cpu=00:00:42 Mem=504.9 | Feb 10 17:58:02 | cow135
CPU_03 |*Netlist_part3 Elp=00:00:42 Cpu=00:00:40 Mem=507.7 | Feb 10 17:58:04 | cow158
CPU_01 |*Netlist_merge Elp=00:00:10 Cpu=00:00:00 Mem=28.3 | Feb 10 17:58:17 | yak068
--------------------------------------------------------------------------------------
Maximum and average run time (Elp) for distributed stages:
Sort max=00:00:05 avg=00:00:04
xTract max=00:06:26 avg=00:05:56
Netlist max=00:00:45 avg=00:00:36
--------------------------------------------------------------------------------------
Start Time: Thu Feb 10 17:45:56 2011
End Time: Thu Feb 10 17:58:28 2011
Total Wall Time: 00:12:32
Time on PreXtraction: 00:04:05
Time on Xtraction: 00:06:35
Time on PostXtraction: 00:01:52
--------------------------------------------------------------------------------------
The following example shows a summary file for a StarXtract –cleanN run:
Example 2-2 Summary File for a -cleanN Run
--------------------------------------------------------------------------------------
CPU# | STAGE SUMMARY | END TIME |HOSTNAME
--------------------------------------------------------------------------------------
CPU_01 |*NetlistSetup Elp=00:00:00 Cpu=00:00:00 Mem=45.6 | Feb 11 14:36:43 | yak048
CPU_01 |*xTractPP Elp=00:00:22 Cpu=00:00:17 Mem=114.1 | Feb 11 14:37:14 | yak048
CPU_04 |*Netlist_part4 Elp=00:00:12 Cpu=00:00:10 Mem=398.1 | Feb 11 14:37:37 | dog124
CPU_01 |*Netlist_part1 Elp=00:00:32 Cpu=00:00:29 Mem=349.6 | Feb 11 14:37:49 | yak048
CPU_03 |*Netlist_part3 Elp=00:00:31 Cpu=00:00:29 Mem=507.7 | Feb 11 14:37:55 | yak726
CPU_02 |*Netlist_part2 Elp=00:00:36 Cpu=00:00:34 Mem=504.9 | Feb 11 14:37:58 | dog131
CPU_01 |*Netlist_merge Elp=00:00:05 Cpu=00:00:00 Mem=28.3 | Feb 11 14:38:06 | yak048
--------------------------------------------------------------------------------------
Maximum and average run time (Elp) for distributed stages:
Netlist max=00:00:36 avg=00:00:27
Chapter 2: Running StarRC
StarRC Licensing Features 2-11
Chapter 2: Running StarRC
StarRC Licensing Features 2-11
StarRC User Guide and Command Reference Version F-2011.06
--------------------------------------------------------------------------------------
Start Time: Fri Feb 11 14:36:40 2011
End Time: Fri Feb 11 14:38:16 2011
Total Wall Time: 00:01:36
Time on PreXtraction: 00:00:08
Time on Xtraction: 00:00:03
Time on PostXtraction: 00:01:25
--------------------------------------------------------------------------------------
Performance Optimization
To optimize performance, ensure that the STAR_DIRECTORY directory is located on the
machine that executes the first StarXtract command. Running the translation across a
network drastically increases the runtime.
You should also keep the number of CPUs equal to the number of partitions, as specified by
theNUM_PARTS command. Failing to do so might result in an inefficient use of computing
resources; if the number of jobs exceeds the number of partitions, the extra jobs would not
run.
Licensing Requirements for Distributed Processing
You must have a StarRC or StarRC Ultra license to run distributed multicore processing; the
StarRC Custom package supports only single-core processing.
StarRC Licensing Features
The StarXtract command has two options related to licensing:
• The -custom option is designed for customers who have only one Custom license in their
license server. This option supports only the StarRC Custom features specified in
Table B-2 on page B-11. With this option, multicore processing and upper-tier commands
are not allowed.
• The -ultra option allows you to check out only an Ultra license key. By specifying this
option, you can indicate a preference to wait until an Ultra license is available, rather than
needing to checking out two StarRC licenses or four Custom licenses.
Tiered Licensing Checkout Policy
When all three types of license keys are available in your license pool, multiple lower-tier
keys can be used to run upper-tier features, as detailed in Figure 2-2. For example, two
StarRC keys or four Custom license keys can run a job with an Ultra feature. Similarly, two
Custom license keys can run one job with a StarRC feature.
Chapter 2: Running StarRC
StarRC Licensing Features 2-12
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
However, if the -custom option is specified, then StarRC runs only with an available Custom
license. Similarly, if the -ultra option is specified, then StarRC runs only with an available
Ultra license.
Figure 2-2 Tiered Licensing Checkout Policy Without License Queuing
License Queuing Not Enabled
License queuing is not enabled when the UNIX environment variable
STARRC_LICENSE_WAIT is not set to YES. As shown in Figure 2-2, StarRC does not run when
the appropriate licenses are not available and license queuing is disabled.
License Queuing Enabled
To queue a StarRC job when the appropriate licenses are not available, you can enable
license queuing by activating the UNIX environment variable STARRC_LICENSE_WAIT as
follows:
$ setenv STARRC_LICENSE_WAIT yes
Chapter 2: Running StarRC
StarRC Licensing Features 2-13
Chapter 2: Running StarRC
StarRC Licensing Features 2-13
StarRC User Guide and Command Reference Version F-2011.06
Figure 2-3 shows the tiered licensing checkout procedure with license queuing. When the
appropriate licenses are not available, then StarRC queues the job according to the
StarXtract command options and the features that are used:
• If the -custom option is specified in the command line, then the job queues for one
Custom license.
• If the -ultra option is specified in the command line, then the job queues for one Ultra
license.
• If neither option is specified, but the job uses an Ultra feature, then the job queues for two
StarRC licenses; if no Ultra feature is used, then the job queues for one StarRC license.
Figure 2-3 Tiered Licensing Checkout Policy With License Queuing
License Queuing Daemon
To use StarRC license queuing, specify the license server as
/full/path/to/license/file or port@host. Download and install the 10.9.2 (or higher)
version of the snpslmd combined vendor daemon.
Chapter 2: Running StarRC
StarRC Command File Conventions 2-14
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
StarRC Command File Conventions
All StarRC command options fall into one of nine specification categories. Each category
has a fixed structure that is interpreted consistently by all StarRC modules. Table 2-2 lists
the command types, including a brief description of the input format. Throughout the
remainder of this manual, all command definitions refer to one of these types.
File and directory paths can be specified as either absolute or relative paths in both the GUI
and the batch command file; however, relative paths are always resolved with respect to the
StarRC working directory (not the location of the command file itself). An exception is that
STAR_DIRECTORY cannot be specified with an absolute path.
Use an asterisk (*) at the beginning of a line to indicate a comment.
StarRC command options are not case-sensitive. In batch mode, command options should
be listed in the command file in the following format: command: value
Table 2-2 Command Types
Type Description Multi Format Example
BOOLEAN Simple Boolean flag. NO command: YES | NO CASE_SENSITIVE: YES
DIRECTORY Valid directory name
with full or relative
path. Symbolic links
are acceptable.
NO command:
directory_name
MILKYWAY_DATABASE: design
FILE Valid file name with full
or relative path,
symbolic links are
acceptable.
NO command: file_name NETLIST_FILE: ../star.spf
FILE LIST List of valid file names
with full or relative
paths delimited by
white spaces.
Symbolic links are
acceptable.
YES command: file_name
... file_name
LEF_FILE: tech.lef ../
macroA.lef
FLOAT Floating-point number
can be expressed
exponentially or with
character suffix to
define unit. Must not
contain white space.
NO command:
float[a|f|p|u|n|m|K|
Meg|Gig]
FSCOMPARE_THRESHOLD: 1e-15
CLOCK_SIMULATION_TIME: 200n
INT Integer. NO command: integer NELIST_MAX_LINE: 80
Chapter 2: Running StarRC
StarRC Command File Conventions 2-15
Chapter 2: Running StarRC
StarRC Command File Conventions 2-15
StarRC User Guide and Command Reference Version F-2011.06
LINE String that can contain
white space. Only one
string per line is
allowed.
YES command: sentence
command: sentence
NET_VOLTAGE: vdd 2.5
NET_VOLTAGE: vss 0.0
LIST White space delimited
list of strings.
YES command: string ...
string
NETS: net1 net2 net3
STRING Single word that must
not contain white
space.
NO command: string BLOCK: top
Table 2-2 Command Types (Continued)
Type Description Multi Format Example
Chapter 2: Running StarRC
StarRC Command File Conventions 2-16
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
3-1
3
Process Characterization Interface 3
This chapter describes how you or your foundry defines the foundry process used to
manufacture your design. It involves writing a description of the technology process cross
section in an Interconnect Technology Format (ITF) file.
This chapter contains the following sections:
•Process Characterization Basics
•The Interconnect Technology Format File
•Creating the ITF File
•Process Effects That Affect Resistance and Capacitance
•Defining Additional Extraction Characteristics
•Defining Sheet Zones
•Modeling Thickness Variation With StarRC
•Interconnect Parasitics Extraction Based on CMP Simulators
•Microloading Effect
•Damage Modeling
•Translation of Routing DEF Blockage
•Temperature Derating
Chapter 3: Process Characterization Interface
Process Characterization Basics 3-3
Chapter 3: Process Characterization Interface
Process Characterization Basics 3-3
StarRC User Guide and Command Reference Version F-2011.06
Process Characterization Basics
Process characterization is composed of those steps you take to define the chip’s physical
layer composition, before you run the grdgenxo command to generate the nxtgrd database.
See Figure 3-1. To begin the process characterization, specify the content of each layer in
an Interconnect Technology Format (ITF) file. Normally, you need to define only one ITF file
for each process technology you plan to extract.
The ITF file consolidates all process information into one source file.
Figure 3-1 ITF File Generation
ITF
FILE
grdgenxo nxtgrd
database
User-created ITF Executable
command output database
Process characterization
The nxtgrd File
The nxtgrd (New Xtraction Generic Regression Database) output file is a database
containing capacitance, resistance, and layer information, which can be encrypted. The ITF
file is also included in the database output file. An internal field solver operating on an
extensive set of primitive structures generates the nxtgrd file. StarRC uses the nxtgrd file to
calculate the parasitics of the actual layout by pattern matching.
You can encrypt the ITF file copy, located at the top of the binary capacitance tables in the
nxtgrd file, by using the -encrypt option of the grdgenxo command:
% grdgenxo -encrypt itf_file
For more information about the grdgenxo command, see Chapter 19, “The grdgenxo
Command.” For details about StarRC compatibility with nxtgrd files generated by earlier
versions of StarRC, see the StarRC Release Notes.
Chapter 3: Process Characterization Interface
The Interconnect Technology Format File 3-4
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
The Interconnect Technology Format File
The ITF file defines a cross section profile of the process. This is an ordered list of conductor
and dielectric layer definition statements. The layers are defined from the topmost dielectric
layer, to the bottommost dielectric layer, excluding substrate. As you read the text of the ITF
file, the layers are defined in the same order in which you would see them in a cross section
view of the process. The ITF cross section layer spatial parameters are specified layer by
layer in a way that is consistent with the physical process.
The lowest layer in the ITF cross section should always be a DIELECTRIC layer. SUBSTRATE
is a reserved keyword and refers to a special conductor whose top plane is at 0 height; it is
assumed to be underneath the lowest defined dielectric. You do not define SUBSTRATE in the
ITF file.
Statements defining via layers follow the process cross section and are defined only relative
to valid conducting layers.
The heights of the conductors and dielectrics are determined exclusively by the order in
which they are specified and by the thicknesses of the lower layers. When you are specifying
a new conductor or dielectric layer, the bottom plane of that layer is exactly the top plane of
the lowest dielectric layer unless a MEASURED_FROM statement is included to explicitly specify
the location of the bottom plane. The lowest dielectric—the lowest physical layer—listed in
the ITF file is automatically measured from the SUBSTRATE layer. A fully planar process, in
which the process cross section does not contain any vertically intersecting conductors at
different heights, is the simplest model. You can find cross section ITF examples in
Appendix A, “ITF Examples.”
Creating the ITF File
To manually create a basic ITF file, follow these steps:
1. Define the TECHNOLOGY statement.
TECHNOLOGY = process_name
The TECHNOLOGY statement is mandatory. You assign process_name as the value
assigned to the TECHNOLOGY statement. The TECHNOLOGY statement should precede all
other statements, but it does not have to be the first line. The output of the grdgenxo
command is stored in the process_name.nxtgrd file.
The TECHNOLOGY statement provides a way of naming a process for tracking and
identification purposes; the statement can be any single word.
Chapter 3: Process Characterization Interface
Creating the ITF File 3-5
Chapter 3: Process Characterization Interface
Creating the ITF File 3-5
StarRC User Guide and Command Reference Version F-2011.06
2. A background dielectric can be specified after the TECHNOLOGY statement, although it is
not required. A background dielectric globally fills the cross section with material of the
given dielectric constant to an infinite height. DIELECTRIC commands specified in the ITF
process cross section locally override the global background dielectric. The default for the
background dielectric is 1.0, or air.
BACKGROUND_ER = float
Note:
This constant background dielectric extends to an infinite height, so it effectively
replaces air as the operating medium for the chip.
3. After defining the TECHNOLOGY statement (or background dielectric definition), define the
following basic layer characteristics and information for all the CONDUCTOR and
DIELECTRIC layers.
ITF layer naming restrictions for CONDUCTOR, DIELECTRIC, and VIA statements are as
follows:
• Layer names must contain only alphanumeric characters and underscores (_).
• Layer names must begin with an alphabetic character.
The following are layer characteristics to be defined:
• Thickness of each CONDUCTOR or DIELECTRIC
• Minimum width and spacing of each CONDUCTOR (design rule spacing)
• Resistivity information of each CONDUCTOR
• Permittivity of each DIELECTRIC
• Resistivity information of each VIA
• Connectivity information of each VIA
4. After you have defined these basic ITF requirements, you can add more definitions to
model other processes.
The following are additional definitions you can use to model other processes:
• Conformal dielectrics
• Vertically overlapping conductors (local interconnect)
• Width-and-spacing-dependent resistance
• Temperature-dependent resistance
• Process-variation-dependent resistance
• Layer-specific or width and spacing etch effects
Chapter 3: Process Characterization Interface
Process Effects That Affect Resistance and Capacitance 3-6
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
• Dielectric air gaps
• Metal fill
• Thickness variation based on local density
5. Add other statements between the TECHNOLOGY statement and the layer definitions.
Other statements might be BACKGROUND_ER or GLOBAL_TEMPERATURE.
The following example shows a basic ITF file:
TECHNOLOGY = SIMPLE
DIELECTRIC TOP { THICKNESS = 3.600 ER = 3.9 }
CONDUCTOR M2 {
THICKNESS = 0.250 WMIN = 0.5
SMIN = 0.5 RPSQ = 0.05 }
DIELECTRIC D3 { THICKNESS = 0.300 ER = 3.9 }
CONDUCTOR M1 {
THICKNESS = 0.212 WMIN = 0.5
SMIN = 0.5 RPSQ = 0.05 }
DIELECTRIC D2 { THICKNESS = 0.200 ER = 4.2 }
CONDUCTOR POLY{
THICKNESS = 0.100 WMIN = 0.3
SMIN = 0.3 RPSQ = 10.0}
DIELECTRIC D1 { THICKNESS = 0.300 ER = 3.9 }
VIA via1 { FROM=M1 TO=M2 RHO=0.263 }
VIA polyCont { FROM=POLY TO=M1 RHO=0.352 }
VIA diffCont { FROM=SUBSTRATE TO=M1 RHO=0.500 }
Process Effects That Affect Resistance and Capacitance
The process effects specified in the ITF that can affect resistance are RPSQ,
RPSQ_VS_SI_WIDTH, and RPSQ_VS_WIDTH_AND_SPACING.
The following options affect resistance or capacitance, depending on whether you specify
the RESISTIVE_ONLY option:
THICHKNESS_VS_DENSITY (affects resistance and capacitance)
THICKNESS_VS_WIDTH_AND_SPACING (affects resistance and capacitance)
ETCH (affects resistance and capacitance)
ETCH_VS_WIDTH_AND_SPACING (affects resistance and capacitance)
The following two options affect both resistance and capacitance:
POLYNOMIAL_BASED_THICKNESS_VARIATION
BOTTOM_THICKNESS_VS_SI_WIDTH
Chapter 3: Process Characterization Interface
Gate-To-Diffusion Capacitance Extraction Based on Capacitance Tables 3-7
Chapter 3: Process Characterization Interface
Gate-To-Diffusion Capacitance Extraction Based on Capacitance Tables 3-7
StarRC User Guide and Command Reference Version F-2011.06
The following two options affect only resistance:
CRT
CRT_VS_SI_WIDTH
Note:
The SIDE_TANGENT option does not change the resistance as the CENTER WIDTH of the
conductor does not change and the resistance depends on that center width.
Gate-To-Diffusion Capacitance Extraction Based on Capacitance
Tables
In UDSM design flows, a seamless interface between parasitic extraction and circuit
simulation tools (for example, StarRC and HSPICE™) is crucial for accurate circuit behavior
predictability in silicon. The pieces from extraction (for example, parasitics) and simulation
(for example, SPICE models) must integrate tightly to avoid double counting or the
elimination of critical layout dependent device parasitics, such as gate-to-contact and
gate-to-diffusion capacitance as shown in Figure 3-2. As process nodes continue to shrink,
it is common practice to remove the constant, spatially independent, device-level parasitics
from SPICE models and expect parasitic tools such as StarRC to extract these critical
components.
Figure 3-2 Layout Dependent Parasitics
M1
DIFF
GATE
This section describes the ability to extract the gate-to-diffusion capacitance component
only when the IGNORE_CAPACITANCE:ALL setting is specified. The gate-to-diffusion intra
device capacitance is of interest for parasitic extraction tools because of the strong layout
dependency of this capacitance component. The gate-to-contact capacitance is extracted
using the EXTRACT_VIA_CAPS:YES command in the StarRC command file.
Chapter 3: Process Characterization Interface
Device-Specific Contact Etch 3-8
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
To retain the gate-to-diffusion (Cf) coupling component when IGNORE_CAPACITANCE: ALL is
specified during a StarRC parasitic extraction, use the following command:
IGNORE_CAPACITANCE:ALL RETAIN_GATE_TO_DIFFUSION_COUPLING
For IGNORE_CAPACITANCE settings other than ALL (DIFF, NONE) in which the
gate-to-diffusion capacitance is retained by default, StarRC extracts this component as
requested.
When you specify this option, StarRC uses the following methods to extract the
gate-to-diffusion component:
• Based on precharacterized models, similar to other capacitances extracted by StarRC
• Based on a 2-D capacitance table look-up dependent on layout parameters
See also GATE_TO_DIFFUSION_CAP and IGNORE_CAPACITANCE.
Device-Specific Contact Etch
StarRC allows you to apply different contact etch values based on the device type. Use the
following syntax to specify multiple contact-bias tables within the VIA statement:
ETCH_VS_CONTACT_AND_GATE_SPACINGS CAPACITIVE_ONLY {
NUMBER_OF_TABLES = num_of_tables
name_of_table1 {
CONTACT_TO_CONTACT_SPACING { c1 c2 c3 ... }
GATE_TO_CONTACT_SPACING { s1 s2 s3 ... }
VALUES { v(c1,s1) v(c2,s1) ...
v(c1,s2) v(c2,s2) ...
...
}
name_of_table2 {
CONTACT_TO_CONTACT_SPACING { c1 c2 c3 ... }
GATE_TO_CONTACT_SPACING { s1 s2 s3 ... }
VALUES { v(c1,s1) v(c2,s1) ...
v(c1,s2) v(c2,s2) ...
...
}
...
}
Note:
You must specify NUMBER_OF_TABLES to define multiple contact etch tables in the ITF.
Specify the values for CONTACT_TO_CONTACT_SPACING, GATE_TO_CONTACT_SPACING,
and VALUES microns.
Example 3-1 shows a VIA statement with multiple contact etch tables.
Chapter 3: Process Characterization Interface
Device-Dependent Gate-to-Diffusion Capacitance Table 3-9
Chapter 3: Process Characterization Interface
Device-Dependent Gate-to-Diffusion Capacitance Table 3-9
StarRC User Guide and Command Reference Version F-2011.06
Example 3-1 VIA Statement With Multiple Contact Etch Tables
VIA diffCont {
FROM=diff TO=metal1
AREA=0.0036 RPV=55
CRT1=9.56e-04 CRT2=-3.68e-07
ETCH_VS_CONTACT_AND_GATE_SPACINGS CAPACITIVE_ONLY {
NUMBER_OF_TABLES=2
NMOS {
CONTACT_TO_CONTACT_SPACINGS {0.08 0.12}
GATE_TO_CONTACT_SPACINGS {0.04 0.08}
VALUES {0.008 0.009
0.003 0.005}
}
PMOS {
CONTACT_TO_CONTACT_SPACINGS {0.08 0.12}
GATE_TO_CONTACT_SPACINGS {0.04}
VALUES {0.004 0.002}
}
}
}
Device-Dependent Gate-to-Diffusion Capacitance Table
You can specify a Cf table based on the device type. The following syntax defines multiple
gate-to-diffusion capacitance tables in the ITF. Note that the number of tables and the table
name must be specified when multiple gate-to-diffusion tables are specified in the ITF.
GATE_TO_DIFFUSION_CAP {
NUMBER_OF_TABLES = num_of_tables
model_name1{
CONTACT_TO_CONTACT_SPACINGS {c1 c2 c3 ...}
GATE_TO_CONTACT_SPACINGS {s1 s2 s3 ...}
CAPS_PER_MICRON { v(c1,s1) v(c2,s1)...
v(c1,s2) v(c2,s2)... }
}
model_name2{
CONTACT_TO_CONTACT_SPACINGS {c1 c2 c3 ...}
GATE_TO_CONTACT_SPACINGS {s1 s2 s3 ...}
CAPS_PER_MICRON { v(c1,s1) v(c2,s1)...
v(c1,s2) v(c2,s2)... }
}
...
}
A contact etch table and a gate-to-diffusion capacitance table for the same type of device
should have the same table names, as shown in Example 3-2.
Chapter 3: Process Characterization Interface
Defining Additional Extraction Characteristics 3-10
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
Example 3-2 Multiple Gate-to-Diffusion Capacitance Tables in a CONDUCTOR Statement
CONDUCTOR gpoly {
THICKNESS= 0.080000 WMIN= 0.040 SMIN= 0.100 RPSQ=12.000
GATE_TO_CONTACT_SMIN=0.040 CRT1=1.924e-03 CRT2=-8.751e-07
GATE_TO_DIFFUSION_CAP {
NUMBER_OF_TABLES=2
NMOS{
CONTACT_TO_CONTACT_SPACINGS {0.08 0.12}
GATE_TO_CONTACT_SPACINGS {0.04 0.08}
CAPS_PER_MICRON {0.062 0.088
0.080 0.096}
}
PMOS{
CONTACT_TO_CONTACT_SPACINGS {0.08 0.12}
GATE_TO_CONTACT_SPACINGS {0.04 0.08}
CAPS_PER_MICRON {0.088 0.120
0.108 0.128}
}
}
}
Defining Additional Extraction Characteristics
To perform more extensive extraction tasks, you can add these characteristics to the ITF:
• Conformal dielectrics
• Vertical overlapping
• Width-dependent conductor resistance
• Layer-specific etch effects
• Dielectric air gaps
• Metal fill
• 45-degree angles
• Diffusion resistance extraction
Handling Special Process Effects
Certain effects require special processing while running StarRC.
•Conformal Dielectrics
•Conductor Cutting Dielectric
Chapter 3: Process Characterization Interface
Defining Additional Extraction Characteristics 3-11
Chapter 3: Process Characterization Interface
Defining Additional Extraction Characteristics 3-11
StarRC User Guide and Command Reference Version F-2011.06
•Covertical Conductors
•Drop Factor
•Dielectric Air Gaps
•Layer Etch
•Metal Fill (Emulated)
•45-Degree Angles
•Diffusion Resistance Extraction
•Spacing- and Width-Dependent Etch
•CAPACITIVE_ONLY and RESISTIVE_ONLY
•Determining WMIN and SMIN Values
•Retaining Coupling Capacitance Between Top and SKIP_CELL Levels
•Handling Overlapping Wells
The ways in which you can handle these are discussed in the following sections.
Conformal Dielectrics
The MEASURED_FROM statement provides the ability to customize the model to account for
such process characteristics as conformal dielectrics, mixed conformal and planar
dielectrics, and covertical conductors. When used with a DIELECTRIC layer definition, the
MEASURED_FROM keyword can refer to a lower dielectric or can have the value TOP_OF_CHIP.
When used with a CONDUCTOR layer definition, the MEASURED_FROM keyword can refer only to
a lower PLANAR dielectric. See specific examples in Appendix A, “ITF Examples.”
The TOP_OF_CHIP keyword value facilitates the creation of conformal dielectrics. It creates
the bottom plane from the layers already present below the new layer and mimics the
topology of the existing base (copies any existing nonplanarities to the new layer, which has
a conformal thickness).
The TOP_OF_CHIP keyword value is required only if you are creating a conformal layer
whose topology is based on the top of the chip. If you want to create a conformal layer that
is on top of an existing conformal dielectric, you can measure either from TOP_OF_CHIP or
the existing conformal layer.
Note:
A MEASURED_FROM statement in CONDUCTOR definitions must always refer to a planar
dielectric.
Chapter 3: Process Characterization Interface
Defining Additional Extraction Characteristics 3-12
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
Note that if you create a layer (defined in a MEASURED_FROM command) referring to a planar
layer, the new layer is also planar, regardless of whether or not you define TW_T and SW_T.
To regain layer planarity after a conformal dielectric has been defined, you need to take the
following steps when defining the new planarized layer:
1. Use the MEASURED_FROM statement to reference a planar dielectric somewhere lower in
the process cross section.
2. Adjust the thickness for the new layer so it is equal to its actual physical thickness plus
the thickness of any layer on top of the MEASURED_FROM layer.
If you place another dielectric layer on top of the conformal layer without using
MEASURED_FROM to regain planarity, use SW_T and TW_T to set the sidewall and top wall
thickness because the new layer is also conformal.
Conductor Cutting Dielectric
If you use the MEASURED_FROM statement with a conductor and that conductor layer is
measured from a dielectric layer that is placed below another dielectric layer, the conductor
might cut through the intermediate dielectric.
Consider the following example:
TECHNOLOGY = SIMPLE
DIELECTRIC TOP { THICKNESS = 3.600 ER = 3.9 }
CONDUCTOR M1 { THICKNESS = 0.600 WMIN = 0.5
SMIN = 0.5 RPSQ = 0.05 }
DIELECTRIC D3 { THICKNESS = 0.300 ER = 3.9 }
CONDUCTOR POLY{ THICKNESS = 0.200 WMIN = 0.3
SMIN = 0.3 RPSQ = 10.0
MEASURED_FROM = D1 }
DIELECTRIC D2 { THICKNESS = 0.100 ER = 4.2 }
DIELECTRIC D1 { THICKNESS = 0.300 ER = 3.9 }
The process cross section is shown in Figure 3-3, where POLY cuts through dielectric D2.
Chapter 3: Process Characterization Interface
Defining Additional Extraction Characteristics 3-13
Chapter 3: Process Characterization Interface
Defining Additional Extraction Characteristics 3-13
StarRC User Guide and Command Reference Version F-2011.06
Figure 3-3 Conductor Cuts an Intermediate Dielectric
POLY
D 1
D 2
D 3 0.2
0.1
0.3
M 1
TOP
3.6
SUBSTRATE
Covertical Conductors
StarRC supports covertical (vertically overlapping) conductors. See example file information
about gate poly and local interconnect processes in Appendix A, “ITF Examples.” The
examples illustrate the ITF handling of covertical conductors that might have unique
thicknesses, as in the case of local poly interconnect.
In this case, the layout database should be modified for the covertical layers, so that those
layers (Gate and Field Poly or Poly and Local Interconnect) do not overlap each other. This
can be done in the Hercules runset by use of BOOLEAN operations:
BOOLEAN POLY NOT LI {} TEMP=POLY
or
BOOLEAN POLY AND LI {} TEMP=LI_OVERLAP
BOOLEAN POLY NOT LI_OVERLAP {} TEMP=POLY
BOOLEAN LI NOT LI_OVERLAP {} TEMP=LI
In the latter case, both LI and LI_OVERLAP are mapped to the Local Interconnect layer in the
nxtgrd file, and the CONNECT sequence in the Hercules runset should be modified
accordingly.
Chapter 3: Process Characterization Interface
Defining Additional Extraction Characteristics 3-14
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
Another potential use for covertical conductors is to handle “metal cheesing” (also known as
wide metal slotting); it creates two metal layers and gives them different sheet resistances,
which can be done in the mapping file without changing anything in the ITF, as follows:
conducting_layers
M5 metal5 RPSQ=10
M5_cheese metal5 RPSQ=100
Note that making separate layers in the ITF for covertical conductors is suitable only for
capacitive modeling; you should not use it for only resistance differences.
Drop Factor
The drop factor feature handles the case in which a conducting layer is at different heights
because of the absence of a lower conducting layer. For example, if Metal2 runs over
Metal1, Metal2 is uniform at a certain height above Metal1. If Metal2 is layered over a
location where there is no Metal1, Metal2 is layered at a lower height. The drop factor feature
considers the differences between the conducting layer heights and calculates the area and
lateral capacitance correctly. An illustration of the process is shown in Figure 3-4.
Figure 3-4 Nonplanar Process Where Conductor Heights Vary As a Function of the Absence of
Lower Conductors
SUBSTRATE
DM1
M1 M1
M2
M2
DM1
M3
M2
DM1+M2 M3
M3
DM2
Nonplanar conductor modeling is typically required for legacy processes at process nodes
above 0.18 micron with smaller numbers of metal conducting layers. The following
observations have been made:
• Such processes typically contain three metals or less.
• Nonplanarities can be introduced by any missing layer in the physical cross section.
Chapter 3: Process Characterization Interface
Defining Additional Extraction Characteristics 3-15
Chapter 3: Process Characterization Interface
Defining Additional Extraction Characteristics 3-15
StarRC User Guide and Command Reference Version F-2011.06
• Both area and lateral capacitance effects are relevant between two metals that are
consecutive in height. Depending on the degree of drop of an upper conductor, the drop
could introduce a covertical overlap between consecutive conductors that would
introduce a potentially significant lateral capacitance effect. See Figure 3-5.
Figure 3-5 Lateral and Area Capacitance Effects Introduced by Large Drop Factor Values
SUBSTRATE
M1
M2
DM1
Clateral Carea
Drop Factor Error Conditions
The following are error conditions that StarRC might find in your design:
• Specifying the DROP_FACTOR ITF statement option should not cause different horizontally
consecutive levels of the same conductor to become noncovertical with each other. In
other words, if a piece of conductor routing undergoes a different cumulative drop factor
as the number of lower conductors vary along the length of the route, the conductor
should never drop such that it can no longer abut with itself. Horizontally adjacent pieces
of a conductor can cause fail to be covertical because of an excessive cumulative drop
factor. See Figure 3-6.
Chapter 3: Process Characterization Interface
Defining Additional Extraction Characteristics 3-16
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
Figure 3-6 Drop Factor Error Condition 1
M1
M2
M2M2 DM1
No covertical area
M1
M2
M2M2 DM1
Covertical area
Horizontally adjacent pieces of a conductor fail to
be coverticle because of excessive cumulative drop
factor.
Satisfactory condition for drop factor, in which
horizontally adjacent pieces of the same conductor
have coverticle overlap over the length of the
conductor.
• No conductor can be modeled at a height below conductors represented at lower levels
in the ITF cross sectional description. If this is the case grdgenxo produces an error. See
Figure 3-7.
Figure 3-7 Drop Factor Error Condition 2
M1
M2
M2
M2
DM1 Error:
M2 falls below M1
Error condition in which an upper conductor (M2)
M1
M2
M2
M2
D
M1
M2 base remains
above M1 base
Satisfactory condition for drop factor in which all
falls below excessive drop factor associated with
the lower conductors.
levels of upper conductors (M2) maintain a base
height above the base height of all levels of lower
conductors.
Chapter 3: Process Characterization Interface
Defining Additional Extraction Characteristics 3-17
Chapter 3: Process Characterization Interface
Defining Additional Extraction Characteristics 3-17
StarRC User Guide and Command Reference Version F-2011.06
• Drop factor is not supported with processes that have these features:
• Covertical layers like gate and field polysilicon or polysilicon and local interconnect
• Metal fill emulation using FILL_SPACING, FILL_WIDTH, and FILL_RATIO
• Conformal dielectrics
• Up to four conductors can have the DROP_FACTOR specified.
Modeling a Double-Poly Process Using DROP_FACTOR
To model a double-polysilicon process in StarRC, you can set the IS_CONFORMAL and
ASSOCIATED_CONDUCTOR options in the DIELECTRIC layers of the ITF. The IS_PLANAR
command is necessary in this case to make the metals above the poly layers planar. For
more information, see the IS_PLANAR ITF option.
See an example of this cross section in Figure 3-8.
Figure 3-8 Conformal Dielectric and Poly Layers
Substrate
BSD
LAT_ACT
ACTIVE
ACTIVE
U_P_D
S
S
POLY_A
C_D_PA_A
POLY_B
S
POLY_A
C_D_PA_A
U_P_D
S
ILD_B
ILD_A
C_D_PB
C_D_PB
POLY_B
C_D_PA_B
TD TD TD TD
Chapter 3: Process Characterization Interface
Defining Additional Extraction Characteristics 3-18
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
Example 3-3 Modeling a Double-Polysilicon Process in the ITF
DIELECTRIC ILD_B { THICKNESS=0.3 MEASURED_FROM=ILD_A ER=4.2 }
DIELECTRIC C_D_PB { IS_CONFORMAL ER=7 SW_T=0.03 TW_T=0.03
ASSOCIATED_CONDUCTOR=POLY_B}
DIELECTRIC S{IS_CONFORMAL ER=6 SW_T=0.055 TW=0.0
ASSOCIATED_CONDUCTOR=POLY_B}
CONDUCTOR POLY_B { THICKNESS=0.15 WMIN=0.08 SMIN=0.07 RPSQ=10.0 }
DIELECTRIC ILD_A { THICKNESS=0.10 MEASURED_FROM=TD ER=4.2 }
DIELECTRIC TD { ER=7 MEASURED_FROM=U_P_D THICKNESS=0.03 }
DIELECTRIC C_D_PA_B { IS_CONFORMAL ER=7 SW_T=0.03 TW_T=0.03
ASSOCIATED_CONDUCTOR=POLY_A }
DIELECTRIC C_D_PA_A { IS_CONFORMAL ER=3.9 SW_T=0.04 TW_T=0.01
ASSOCIATED_CONDUCTOR=POLY_A }
CONDUCTOR POLY_A { THICKNESS=0.12 WMIN=0.05 SMIN=0.05 RQSP=849
DROP_FACTOR=0.13 }
DIELECTRIC U_P_D { THICKNESS=0.05 ER=3.9 }
DIELECTRIC LAT_ACT { THICKNESS=0.19 ER=4 }
CONDUCTOR ACTIVE { THICKNESS=0.19 WMIN=0.1 SMIN=0.14 RPSQ=0.0001 }
DIELECTRIC BSD { THICKNESS=0.19 ER=4 }
Dielectric Air Gaps
Air gaps in the surrounding dielectric are constructed as part of the CONDUCTOR definition
with the AIR_GAP_VS_SPACING command. The dimensions of the air gap are determined by
these parameters given in this command definition within the CONDUCTOR ITF definition as
shown in the following examples.
In Figure 3-9, all the dimensions of the air gap are determined by the spacing between the
metal lines.
Figure 3-9 Process With Dielectric Air Gaps
M1 AIR M1
T
W
B
S
S = neighboring lines
(SPACINGS)
W =
(AIR_GAP_WIDTH)
T =
gap formed
(AIR_GAP_THICKNESS)
B =
of the airgap from the
bottom of metal
(AIR_GAP_BOTTOM_HEIGHT)
L = metal and air gap ((S-W) /2)
L
spacing between the
height of the bottom
thickness of the air
width of the air gap
spacing between
Chapter 3: Process Characterization Interface
Defining Additional Extraction Characteristics 3-19
Chapter 3: Process Characterization Interface
Defining Additional Extraction Characteristics 3-19
StarRC User Guide and Command Reference Version F-2011.06
Layer Etch
You can make an adjustment for layer etch effects that cause the manufactured line width of
a conductor to be different from its drawn width in the ITF process file. The keyword ETCH
can be specified as a part of any CONDUCTOR definition as shown in Figure 3-10.
Both conductor sidewalls retreat or expand by the number specified in the ETCH command,
resulting in a net width difference of twice the ETCH value. A positive ETCH value shrinks the
CONDUCTOR width, and a negative ETCH value increases the CONDUCTOR width.
WMIN and SMIN values are not affected by ETCH, because StarRC does the ETCH adjustments
internally.
Figure 3-10 Process Using Layer Etch Adjustment
CONDUCTOR
ETCH
MW
DW
DW = drawn width
MW = modeled width
MW = DW - 2 *ETCH
ETCH
See Appendix A, “ITF Examples” for an example of a process with layer etch.
Metal Fill (Emulated)
Extracting layout databases containing metal fill objects can make runtime and memory
requirements unacceptable to account for a relatively small effect. You can make an
approximation for the capacitive effects that proximal floating metal objects can have on
routed signals in the design simply and effectively in the ITF. Handling metal fill effects during
grdgenxo is extremely beneficial, because this one-time operation eliminates the need to
extract layout databases containing millions of fill objects.
StarRC ITF metal fill modeling is designed to estimate the capacitive effect of small, floating
fill shapes within the routed core area. This effect is calculated by embedding a fine dust in
the empty areas of the core according to the fill specifications in the ITF. You should not
model ITF metal fill for designs with grounded fill or for regions with large fill plates, which
behave as though they are grounded. Grounded fill is handled by normal extraction.
Chapter 3: Process Characterization Interface
Defining Additional Extraction Characteristics 3-20
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
Capacitances are modeled as a function of the global metal density for each extracted
conducting layer. As an optional argument in the CONDUCTOR definition, metal coverage is
specified in the ITF with the FILL_RATIO keyword. When FILL_RATIO is specified for a layer,
any empty space encountered during the extraction is modeled as though it were filled with
floating metal of the same layer. When used by itself, the FILL_RATIO keyword affects only
the vertical capacitance, as shown in Figure 3-11, because fill objects are placed only in
areas where they do not generate any significant lateral capacitance with their neighboring
objects.
Figure 3-11 FILL_RATIO Command
M2
M3
CROSS SECTION
M1
M2FILL
C
1
C
2
C
1
C
2
C
1
+C
2
+ Cc
Cc
C
(M1,M3)
=
For process technologies that allow lateral crowding of signal nets by fill objects, you can
specify the FILL_WIDTH and FILL_SPACING commands in addition to FILL_RATIO.
FILL_TYPE can be specified for treating lateral capacitance of fill as floating or grounded.
FILL_WIDTH and FILL_SPACING are used to define the dimensions of modeled fill objects
within any empty space in the design big enough to accommodate them. FILL_WIDTH is the
width of the fill object. See Figure 3-12. FILL_SPACING is the distance from a signal net to
a fill object.
Chapter 3: Process Characterization Interface
Defining Additional Extraction Characteristics 3-21
Chapter 3: Process Characterization Interface
Defining Additional Extraction Characteristics 3-21
StarRC User Guide and Command Reference Version F-2011.06
Figure 3-12 FILL_SPACING and FILL_WIDTH Commands
M 2
TOP VIEW
M2FILL
dd = FILL_SPACING
w = FILL_WIDTH
M 2
C
1
C
2
w
When viewed from a distance, metal fill increases the effective dielectric constant. For lateral
objects close to the filled region, the fill-width and fill-spacing numbers are used to create
grounded “phantom” polygons, which represent the metal fill for objects adjoining the fill
region. No polygons are actually added in the design to represent the metal fill. Instead, the
coefficients in the GRD file are modified to model the impact of metal fill.
In cases that have possible conflicts, such as Poly and Local Interconnect, no fill is placed.
Fill is placed only in areas that can accommodate the fill properly.
Usually, all three fill parameters are determined by the design rules for the process
technology. See Appendix A, “ITF Examples” for an example of a process with metal fill.
There is no way to turn off the emulation fill commands—FILL_RATIO, FILL_WIDTH, and
FILL_SPACING—in the star_cmd file. The emulation fill flow accounts for fill effects, you
should not use it for production purposes. You must regenerate a new nxtgrd without the fill
commands.
When An Antenna Diode is in Your Design Database
In the Milkyway database, when an antenna diode cell is inserted by place and route tools,
the diode cell type is automatically set to a standard filler type. However, some antenna
diode cells might be inserted manually by hand or through Hercules, and the cell type could
be set wrong. This causes StarRC to extract and netlist these incorrect diode cell types.
StarRC can detect standard filler cell types automatically and ignores them during netlisting
in the output parasitic file.
Chapter 3: Process Characterization Interface
Defining Additional Extraction Characteristics 3-22
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
You can query the diode cell instances to confirm the CELL FLAG property, set the antenna
cell type to standard filler, and convert the pin type to DIODE-PIN type.
Diode cells should not be netlisted in the output parasitic file as they are not part of the
original Verilog or SPICE netlist. They create parasitic back-annotation errors/warnings in
PrimeTime.
45-Degree Angles
StarRC has the capability to extract resistance and capacitance for 45-degree routed nets.
This capability is in StarRC by default and no additional commands are required.
Diffusion Resistance Extraction
For ITF definition, diffusion is defined as a CONDUCTOR for a standard shallow trench isolation
process. By default, If diffusion is not defined in the ITF, no resistance is extracted.
Diffusion resistance is extracted as mesh by default. The gate and diffusion overlap is
assumed to be equipotential surface (line node).
Figure 3-13 MOS Device Diffusion Extraction
Ri= RPSQ * li/ wi
l1
w1
R1
R2
l2
l3
w2
w3
R3
l9
w9
R9
l10
w10
R10
l4
w4
R4
R5
l5
l6
w5
w6
R6
l7
w7
R7
l8
w8
R8
MOS Device
Mesh Extraction - Line Node
Chapter 3: Process Characterization Interface
Defining Additional Extraction Characteristics 3-23
Chapter 3: Process Characterization Interface
Defining Additional Extraction Characteristics 3-23
StarRC User Guide and Command Reference Version F-2011.06
Spacing- and Width-Dependent Etch
Spacing- and width-dependent etch can be implemented in the nxtgrd file by use of the
ETCH_VS_WIDTH_AND_SPACING option in the CONDUCTOR statement of the ITF.
With this feature, StarRC can consider the actual fabricated patterns in extracting parasitic
components. This is important, because optical proximity correction (OPC) cannot fix all
proximity effects and the actual patterns might be different from the drawn mask patterns.
Running grdgenxo
If you have added ETCH_VS_WIDTH_AND_SPACING in your existing ITF, you have to rerun
grdgenxo after removing the working directory.
ETCH_VS_WIDTH_AND_SPACING can be used with ETCH, EFFECTIVE_RPSQ_TABLE, or
CLAD_RPSQ. If these are used together, ETCH_VS_WIDTH_AND_SPACING is applied first, ETCH
is added, and then EFFECTIVE_RPSQ_TABLE, RPSQ_VS_SI_WIDTH, or CLAD_RPSQ is
calculated.
CAPACITIVE_ONLY and RESISTIVE_ONLY
ETCH_VS_WIDTH_AND_SPACING affects both capacitance and resistance; if this is
undesirable, you can use ETCH_VS_WIDTH_AND_SPACING CAPACITIVE_ONLY or
ETCH_VS_WIDTH_AND_SPACING RESISTIVE_ONLY, which affect only capacitance or
resistance, respectively. These options use the same ITF syntax as
ETCH_VS_WIDTH_AND_SPACING, with their own tables.
These two options can be used together within a given CONDUCTOR statement but cannot be
used in conjunction with normal ETCH_VS_WIDTH_AND_SPACING. RESISTIVE_ONLY and
CAPACITIVE_ONLY can each be defined only once within any given CONDUCTOR statement.
Determining WMIN and SMIN Values
It is important to have a correct set of WMIN and SMIN values for the CONDUCTOR that has the
ETCH_VS_WIDTH_AND_SPACING statement.
Inappropriate WMIN and SMIN values can cause unwanted opens or shorts of the neighboring
layers by applying the etch values provided in the table. In such a case, a message is printed
during the grdgenxo run. For the entries corresponding to the WMIN in the WIDTHS table, if
positive etch values are greater than or equal to half of the WMIN, an “open” warning is
issued. Similarly, for the entries corresponding to the SMIN in the SPACINGS table, if absolute
values of the negative etch are greater than or equal to half of SMIN, a “short” warning is
issued.
Chapter 3: Process Characterization Interface
Defining Additional Extraction Characteristics 3-24
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
The WMIN and the SMIN of the CONDUCTOR that is described by ETCH_VS_
WIDTH_AND_SPACING can be the same as the smallest value (or the first entry) in the WIDTHS
and SPACINGS tables, respectively.
Retaining Coupling Capacitance Between Top and SKIP_CELL
Levels
You can use the COUPLE_NONCRITICAL_NETS feature to retain coupling capacitance
between top-level parent routing and SKIP_CELL child net routing, where the fully routed
child (DEF or .CEL view) routing netnames are used for coupling node names.
This feature exists for the Milkyway flow using the SPEF netlist format.
To specify which noncritical nets are to be retained with an added prefix, use the
COUPLE_NONCRITICAL_NETS and
COUPLE_NONCRITICAL_NETS_PREFIX commands.
Use the COUPLE_NONCRITICAL_NETS_SUBNODE_SUFFIX command to add a subnode suffix
to the noncritical nets. Use the NONCRITICAL_COUPLING_REPORT_FILE command to specify
an output file containing all the capacitances coupled to the noncritical nets.
Handling Overlapping Wells
StarRC has added a method by which you can deterministically set the vertical profile of
SUBSTRATE. You specify MAPPING_FILE syntax that sets the vertical precedence for
layers mapped to SUBSTRATE.
conducting_layers
db_layer1 SUBSTRATE precedence=pos_integer
db_layer2 SUBSTRATE precedence=pos_integer
...
Any layer mapped to SUBSTRATE, and only layers mapped to SUBSTRATE, accepts an
integer-based precedence value that establishes the layer’s position in the vertical
SUBSTRATE profile. Larger numbers denote higher vertical precedence, while smaller
numbers denote lower vertical precedence. The argument of the precedence keyword is a
positive integer denoting the relative precedence of the layer. It is not necessary for values
to be sequential.
If two layers have the same precedence value, and polygons from those two layers overlap
in layout, StarRC randomly determines the topmost layer for purposes of coupling
capacitance attachment and IGNORE_CAP command functionality. SUBSTRATE-mapped
layers for which precedence is not specified have a precedence value of zero, meaning that
they take precedence below all other layers.
Chapter 3: Process Characterization Interface
Defining Sheet Zones 3-25
Chapter 3: Process Characterization Interface
Defining Sheet Zones 3-25
StarRC User Guide and Command Reference Version F-2011.06
The following is an example of a mapping file used to establish vertical precedence for a
buried well profile. The profile of a physical well for a buried well process and a profile for a
discrete well are shown in Figure 3-14.
conducting_layers
SUBS SUBSTRATE precedence=1
DEEP_NW SUBSTRATE precedence=2
NW SUBSTRATE precedence=3
PSUB2 SUBSTRATE precedence=3
PSUB SUBSTRATE precedence=3
Figure 3-14 Physical Well and Discrete Buried Well Profile
VDD VSS2 VDD VSS
NW NW
PSUB2 PSUB
PSUB
DEEP_NW
Physical well profile for buried well process
VDD VSS2 VDD VSS
PSUB2 PSUB
SUBS
Discrete buried well profile for parasitic extraction
NW NW
DEEP_NW
Defining Sheet Zones
A sheet zone is a location in which you model the insertion of a metal sheet over a specific
area as shown in Figure 3-15. This allows you to measure the coupling capacitance of a
given metal sheet, which has a user-defined net name. You can also provide a suffix to the
netname. By using sheet zone modeling, you can either specify one sheet or many thin
strips of metal with this same command interface.
Chapter 3: Process Characterization Interface
Defining Sheet Zones 3-26
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
You must ensure that any added sheet zone resides in an area that does not cause metal
shorts.
Figure 3-15 Sheet Zone Modeling
Block A
net 1
net 2
Block A
net 1
net 2
Zone Sheet
Anticipate worst-case
coupling as sheet
over an area
output netlist
*D_NET net1 1.5e-15
...
*CAP
1 net1 1.5e-15
...
*D_NET net2 2.0e-15
...
*CAP
1net2 2.0e-15
...
output netlist
*D_NET net1 1.5e-15
...
*CAP
1 net1 1.5e-15
2 net1 zone_sheet 1e-15
*D_NET net2 2.0e-15
...
*CAP
1net2 2.0e-15
...
Specify the METAL_SHEET_OVER_AREA command in your command file followed by the metal
layer name and four coordinates. These coordinates pinpoint the X and Y locations of a
single sheet as shown in Figure 3-16. Then specify the SHEET_COUPLE_TO_NET to designate
a unique net name or name prefix. Optionally, you can specify the SHEET_COUPLE_TO_LEVEL
command. This command enables a layer-level number to be output as the net name suffix
in the output netlist.
Chapter 3: Process Characterization Interface
Defining Sheet Zones 3-27
Chapter 3: Process Characterization Interface
Defining Sheet Zones 3-27
StarRC User Guide and Command Reference Version F-2011.06
Figure 3-16 Specifying a Sheet Zone or Sheet Strips
Block A
net 1
net 2
Zone Sheet Zone Strips
net 2
net 1
Block A
X1
Y1 Y2
X2
X1 X2
Y1 Y2
The following example shows the order in which you specify these commands for a single
zone sheet.
METAL_SHEET_OVER_AREA METAL2 0 0 100 100
METAL_SHEET_OVER_AREA METAL2 200 200 400 400
METAL_SHEET_OVER_AREA METAL4 0 0 100 100
SHEET_COUPLE_TO_NET: zone_sheet
SHEET_COUPLE_TO_NET_LEVEL:YES
The following example shows the order in which you specify these commands for several
sheet strips.
METAL_SHEET_OVER_AREA METAL2 0 5 10 10
METAL_SHEET_OVER_AREA METAL2 8 13 10 10
METAL_SHEET_OVER_AREA METAL2 16 21 10 10
METAL_SHEET_OVER_AREA METAL2 23 28 10 10
METAL_SHEET_OVER_AREA METAL2 31 36 10 10
METAL_SHEET_OVER_AREA METAL2 38 43 10 10
SHEET_COUPLE_TO_NET:zone_strips
SHEET_COUPLE_TO_NET:YES
Limitations
The following limitations accompany the metal sheet capability:
• You must verify that the metal sheet zones you specify do not cause a short.
• The prefix or root net name specified with the SHEET_COUPLE_TO_NET command must be
unique.
Chapter 3: Process Characterization Interface
Modeling Thickness Variation With StarRC 3-28
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
Modeling Thickness Variation With StarRC
The contemporary copper process is affected by a dishing effect on copper lines. This effect
causes a change in cross section that affects the resistance and capacitance of the copper
interconnect. The amount of dishing on a given copper line segment is dependent on its
environment. The environment is specified by the density of a layer, the spacing to its
neighbor, and its own width. Because of these effects, you need an extraction tool to
calculate the variation of thickness and to compute the correct resistance and capacitance.
This enables good correlation with silicon.
StarRC computes the density surrounding a given segment, calculates the thickness
variation, specifies multiple density boxes of varying sizes, and applies weighting factors to
each box to calculate the effective density. You can specify in the StarRC process file at least
one of the following:
• The variation of thickness with density
• The weighting factors for different density boxes
• The variation of thickness with width and spacing of conductors
• The orders of density and width for modeling thickness variation using a polynomial
equation and the coefficients of the polynomial equation
Single-Box Method (Linear Table)
This is a special case of a multiple-box method, where only one box is used for density
calculation. In this method, you choose a single box size and specify the variation of
thickness of the conductor in a table. The box is assumed to be always a square. The
maximum size of the box is 500 microns. This method is simple and does not require an
exhaustive characterization like the multiple box method. If specified alone for a conductor
in the process file, then it does not model local density thickness variation. To do linear table
modeling, you need to specify a multipoint thickness variation versus density table in the
process file.
The THICKNESS_VS_DENSITY statement uses the following syntax:
THICKNESS_VS_DENSITY [ RESISTIVE_ONLY | CAPACITIVE_ONLY ]
{(D1 R1) (D2 R2) (D3 R3) (D4 R4) ... }
D1, D2, D3, D4 represent the various density values; R1, R2, R3, R4 represent the
relative change in thickness; (dT/Tnominal), negative R(dT/T) indicates decrease in
thickness and vice versa; even though R(dT/T) can be any number between -1 and 1, a
number close to 1 or -1 is undesirable. R(dT/T) cannot be –1.
The THICKNESS_VS_DENSITY variation affects both resistance and capacitance. However, if
the coefficients for resistance and capacitance are different, then use the RESISTIVE_ONLY
and CAPACITIVE_ONLY options.
Chapter 3: Process Characterization Interface
Modeling Thickness Variation With StarRC 3-29
Chapter 3: Process Characterization Interface
Modeling Thickness Variation With StarRC 3-29
StarRC User Guide and Command Reference Version F-2011.06
If no DENSITY_BOX_WEIGHING_FACTOR is specified, the default density box size of 50
microns is used with a weighting factor of unity.
Example
CONDUCTOR metal3 {
THICKNESS_VS_DENSITY {
(0.1, -0.1) (0.2 0.1) (0.3 0.2) (0.4 0.3)} THICKNESS=0.5
SMIN=0.2 WMIN=0.22 RPSQ=0.06
}
Multiple-Box Method
In this method you specify multiple-box size and its weighting factor for effective density
calculation. This method requires that you characterize the wafer in greater detail than the
previous method. This method is preferred when the single-box method does not reflect the
process behavior. The density box is assumed to be a square. The maximum size of the
density box is 50 microns and the maximum number of boxes is 5. To use this method you
need to specify the following for a conductor in the process file.
THICKNESS_VS_DENSITY { ( D1 R1) (D2 R2) (D3 R3) (D4 R4) }
DENSITY_BOX_WEIGHTING_FACTOR { (S1 W1) (S2 W2) (S3 W3) (S4
W4) (S5 W5)}
The following explains the previous example:
• S is the size of the density box in microns
• W is the weighting factor
• S1, S2, S3, S4, S5 are integers in microns and are within (0 < S < 500)
S1 < S2 < S3 < S4 < S5; W is a floating-point number and is within this range
(-10 < W < 10)
• If W is set to 0, then the pair (S W) is ignored
R1, R2, R3, R4 are relative to the change in thickness
(dT/Tnominal) negative R(dT/T) indicates decrease in thickness and vice versa.
Calculation of Effective Density
All density calculation is based on drawn width and spacing. When multiple density boxes
are specified, the effective density is calculated as shown in Figure 3-17.
Chapter 3: Process Characterization Interface
Modeling Thickness Variation With StarRC 3-30
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
Figure 3-17 Effective Density Calculation
Deff = Σ D(Xi)*W(Xi)
i=0 to i=5
D(Xi) - Density of box Xi of size Si
W(Xi) - Weighting factor of Xi of Size
Si
X2
X1
X0
Multiple boxes
In Figure 3-17 there are three boxes - X0, X1, and X2. StarRC calculates the density for
each box for a given segment. The effective density is computed as shown in the equation
in Figure 3-17. After computing the effective density, the variation in thickness is computed
based on the THICKNESS_VS_DENSITY table. Both resistance and capacitance for a given
segment are calculated after thickness modification is taken into account.
Make sure to choose a weighting factor in such a way that calculated effective density is less
than unity.
If the computed density exceeds the limit of the density table in the ITF, the closest density
value is picked to calculate the thickness variation.
The following is an ITF example:
CONDUCTOR METAL3 {
THICKNESS = 0.35
WMIN = 0.2
SMIN = 0.21
DENSITY_BOX_WEIGHTING_FACTOR {
(10, 1) (30, 0.23) (20, 0.29)
(40, 0.18) (50, -0.12 ) }
THICKNESS_VS_DENSITY { (0.1, -0.1) (0.2 0.1)}
}
Chapter 3: Process Characterization Interface
Modeling Thickness Variation With StarRC 3-31
Chapter 3: Process Characterization Interface
Modeling Thickness Variation With StarRC 3-31
StarRC User Guide and Command Reference Version F-2011.06
Width and Spacing-Dependent Thickness Variation
In this method, the variation of thickness as a function of the width of a conductor and the
relative spacing to its neighbor is modeled. This thickness variation can be either negative
or positive. As can be noted, this is a very local phenomenon and is independent of the
density box. If specified with either single or multiple boxes, this thickness variation is
computed independently of the density box.
The effective thickness is calculated with the following equation:
T Tnom 1 RTf Deff()RTf W S,()RTf SiW()++ +()×=
where
• Tnom is the nominal thickness specified in the ITF.
• RTf(Deff) is the relative change in thickness based on density.
• RTf(W,S) is the relative change in thickness based on width and spacing.
• RTf(SiW) is the relative change in thickness based on silicon width.
The resistance and capacitance is computed after effective thickness is computed. You can
model this variation in a process file with the THICKNESS_VS_WIDTH_AND_SPACING
statement for a conductor, as shown in the following syntax:
THICKNESS_VS_WIDTH_AND_SPACING [RESISTIVE_ONLY | CAPACITIVE_ONLY] {
SPACINGS { S1 S2 }
WIDTH { W1 W2 }
VALUES {v(S1 W1) v(S2 W1) v(S1 W2) v(S2 W2) }
}
Argument Description
S1, S2 Spacings in microns
W1, W2 Widths of a conductor in microns
V(Sx Wy) Relative change in thickness for a conductor
Note:
V(Sx Wy) can take any value between –1 and +1, but a value close to –1 or +1 might be
unrealistic.
The THICKNESS_VS_WIDTH_AND_SPACING variation affects both resistance and capacitance.
However, if the coefficients for resistance and capacitance are different, use the
RESISTIVE_ONLY and CAPACITIVE_ONLY options.
Chapter 3: Process Characterization Interface
Modeling Thickness Variation With StarRC 3-32
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
Unsupported Flows
The following flows are not supported by the THICKNESS_VS_DENSITY capability:
•THICKNESS_VS_DENSITY table cannot be combined with THICKNESS_VS_DENSITY
[RESISTIVE_ONLY].
•THICKNESS_VS_DENSITY table cannot be combined with THICKNESS_VS_DENSITY
[CAPACITIVE_ONLY].
•DENSITY_BOX_WEIGHING_FACTOR cannot be used without THICKNESS_VS_DENSITY
table.
Error and Warning Messages
The following is a list of error and warning messages associated with the noted commands.
THICKNESS_VS_DENSITY
If an older process file is used, StarRC issues an error message. This is applicable if and
only if THICKNESS_VS_DENSITY is specified.
ERROR: (841) ITF**
ERROR: THICKNESS_VS_DENSITY format changed;
ERROR: THICKNESS_VS_DENSITY { (D1, T1) (D2, T2) ... (Dn, Tn) }
If the DENSITY_BOX_WEIGHTING_FACTOR is not specified for a conductor that has
THICKNESS_VS_DENSITY, the following warning is issued:
WARNING: (924) ITF**
WARNING: DENSITY_BOX_WEIGHTING_FACTOR table is not provided
for
WARNING: DENSITY_BOX_WEIGHTING_FACTOR table is not provided
for
WARNING: layer <layer_name>; Default density box of 50m x
50m with
WARNING: weighting factor of 1 will be used
THICKNESS_VS_DENSITY table should have at least two points.
ERROR: (827) ITF**
ERROR: At least two points must be entered in
THICKNESS_VS_DENSITY
ERROR: table
A warning is issued if relative thickness variation is 1 or –1.
WARNING: (831) ITF**
WARNING: Suspicious value(s) for relative thickness
change(s) in
WARNING: THICKNESS_VS_DENSITY for layer <layer_name>
Chapter 3: Process Characterization Interface
Modeling Thickness Variation With StarRC 3-33
Chapter 3: Process Characterization Interface
Modeling Thickness Variation With StarRC 3-33
StarRC User Guide and Command Reference Version F-2011.06
Density values are reordered when the table is not in ascending order.
WARNING: (832) ITF**
WARNING: Density values in THICKNESS_VS_DENSITY table are
not in
WARNING: ascending order; Reordering the values...
If density values are repeated, the following error message is issued:
ERROR: (850) ITF**
ERROR: THICKNESS_VS_DENSITY table contains repeated
densities
ERROR: <density_value>
If the width values in the THICKNESS_VS_DENSITY table are not sorted, grdgenxo sorts
them.
ERROR: (876) ITF**
ERROR: the width values in the thickness table must be sorted
in
ERROR: ascending order in layer <layer_name>
DENSITY_BOX_WEIGHTING_FACTOR
A maximum of only 5 density boxes is allowed.
ERROR: (842) ITF**
ERROR: Too many entries; Up to 5 density weighting factors
can be
ERROR: defined in DENSITY_BOX_WEIGHTING_FACTOR
DENSITY_BOX_WEIGHTING_FACTOR with no entry leads to the following error:
ERROR: (843) ITF**
ERROR: At least one density box weighting factor must be
defined
ERROR: in DENSITY_BOX_WEIGHTING_FACTOR
A density box with 0 weighting factor is ignored.
WARNING: (796) ITF**
WARNING: Ignoring 0 weighting factor in
DENSITY_BOX_WEIGHTING_FACTOR
If DENSITY_BOX_WEIGHING_FACTOR is specified without THICKNESS_VS_DENSITY for a
conductor, the following error message is issued:
ERROR: (923) ITF**
ERROR: DENSITY_BOX_WEIGHTING_FACTOR table cannot be used
without
ERROR: THICKNESS_VS_DENSITY table for layer
Chapter 3: Process Characterization Interface
Modeling Thickness Variation With StarRC 3-34
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
DENSITY_BOX_WEIGHTING_FACTOR should have at least one point.
ERROR: (827) ITF**
ERROR: At least one point must be entered in
ERROR: DENSITY_BOX_WEIGHTING_FACTOR table
The size of the density box should be positive.
ERROR: (844) ITF**
ERROR: In layer <layer_name>, width of a density box entry
should
ERROR: be a positive value
The maximum size of the density box is 50 microns.
ERROR: (845) ITF**
ERROR: In layer <layer_name>, width of a density box entry
cannot
ERROR: exceed 50.0
If weighting factor is larger than 10 or smaller than –10, a warning is issued.
WARNING: (846) ITF**
WARNING: Suspicious value(s) of weighting factor(s) in
WARNING: DENSITY_BOX_WEIGHTING_FACTOR for layer
<layer_name>
If the width of the DENSITY_BOX_WEIGHTING_FACTOR table is not in ascending order, it is
reordered.
WARNING: (847) ITF**
WARNING: Widths of the density boxes in
DENSITY_BOX_WEIGHTING_FACTOR
WARNING: are not in ascending order; Reordering them...
If the width of the DENSITY_BOX_WEIGHTING_FACTOR is repeated, the following warning is
given:
ERROR: (851) ITF**
ERROR: DENSITY_BOX_WEIGHTING_FACTOR contains repeated width
of
ERROR: <width_value> for layer <layer_name>
THICKNESS_VS_WIDTH_AND_SPACING
Relative thickness change cannot be smaller than –1.
ERROR: (881) ITF**
ERROR: Relative thickness change(s) in
THICKNESS_VS_WIDTH_AND_SPACING
ERROR: for layer <layer_name> cannot be smaller than -1
Chapter 3: Process Characterization Interface
Measuring Bottom Conductor Thickness Variation 3-35
Chapter 3: Process Characterization Interface
Measuring Bottom Conductor Thickness Variation 3-35
StarRC User Guide and Command Reference Version F-2011.06
Relative thickness change cannot be greater than 1.
ERROR: (882) ITF**
ERROR: Suspicious value(s) of relative thickness change(s) in
ERROR: THICKNESS_VS_WIDTH_AND_SPACING for layer
<layer_name>
If THICKNESS_VS_WIDTH_AND_SPACING table is empty, the following message appears:
ERROR: (878) ITF**
ERROR: empty THICKNESS_VS_WIDTH_AND_SPACING table is given
for layer <layer_name>
If the THICKNESS_VS_WIDTH_AND_SPACING table has incorrect values in the value section,
the following message appears:
ERROR: (879) ITF**
ERROR: wrong number of values in
THICKNESS_VS_WIDTH_AND_SPACING table in layer <layer_name>
The spacing values in THICKNESS_VS_WIDTH_AND_SPACING table should be in ascending
order:
ERROR: (877) ITF**
ERROR: the spacing values in the thickness table must be
sorted in
ERROR: ascending order in layer <layer_name>
Measuring Bottom Conductor Thickness Variation
For 45-nm nodes and below, the need to model new process parameters arises for tight
silicon correlation and predictability. Process deficiencies such as conductor trench
thickness become more profound and require parasitic extraction tools to accurately account
for these effects on resistance and capacitance of lines at 45-nm.
The bottom conductor thickness variation, or microloading effect, is caused by the
inaccuracy in the trench depth etching process for thin lines. Microloading effects increase
as wires become thinner and closely spaced. Trench depth variation affects the thickness of
the interconnect and the separation between metal layers, so it affects both resistance and
capacitance.
Modeling of the microloading effect can vary depending on the silicon data available to
foundries.
Chapter 3: Process Characterization Interface
Measuring Bottom Conductor Thickness Variation 3-36
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
Modeling Bottom Conductor Thickness Variation
In StarRC, the BOTTOM_THICKNESS_VS_SI_WIDTH ITF command can be used to model
microloading as a function of silicon width (postetch). The ILD_VS_WIDTH_AND_SPACING
option is different from BOTTOM_THICKNESS_VS_SI_WIDTH in that it enables you to model
intralayer dielectric (ILD) variation (because of microloading) as a function of conductor
width and spacing (drawn). Figure 3-18 shows the ILD4 thickness varying as a result of
microloading on the metal3 conductor:
Figure 3-18 Model Microloading in the Form of ILD
M3
W
S
M3
W
S
M3
W
ILD5
ILD4
ILD3
ILD2
M3
W
S
M3
W
S
M3
W
ILD5
ILD4
ILD3
ILD2
Without ILD Variation of
Microloading Effect
With ILD Variation of
Microloading Effect
Note:
When using BOTTOM_THICKNESS_VS_SI_WIDTH, the thickness of the conductor can
change (increase or decrease). However, for the ILD variation, the conductor thickness
remains constant, but the absolute z-coordinate, or cross section, of the conductor moves
up or down, depending on the direction of ILD variation. This difference might have a
significant impact especially for measuring resistance and coupling capacitance to
neighboring conductors.
For flows where thickness variation information is input through a
THICKNESS_VARIATION_FILE, the ILD variation is automatically turned off, along with all top
thickness variation commands such as PBTV, TVD, and TVWS. It is assumed that the CMP
simulator accounts for the microloading effect while computing the thickness variation.
However, note that the BOTTOM_THICKNESS_VS_SI_WIDTH command is retained in CMP
flows.
Chapter 3: Process Characterization Interface
Interconnect Parasitics Extraction Based on CMP Simulators 3-37
Chapter 3: Process Characterization Interface
Interconnect Parasitics Extraction Based on CMP Simulators 3-37
StarRC User Guide and Command Reference Version F-2011.06
ILD Restrictions
The following restrictions apply to the ILD variation function. Errors are reported to standard
output on the terminal screen if the following occurs:
• The ILD variation is specified for a dielectric layer that does not exist directly below a
conductor, an error is printed.
• The ILD variation specified is greater than 0.2 or less than -0.2, an error is printed.
• The ILD variation table is specified within the same CONDUCTOR statement with a
BOTTOM_THICKNESS_VS_SI_WIDTH table.
Interconnect Parasitics Extraction Based on CMP Simulators
At 90 nm and below, thickness variation of interconnect can change circuit behavior
dramatically. Accurate computation of this variation and its impact on interconnect parasitics
is a must. The extent of the variation depends primarily on the technology node, foundry, and
process control employed for manufacturing.
StarRC produces parasitic netlists with thickness variation effect. It consists of two steps:
• Computes thickness variation for a given interconnect
The computation of thickness variation in StarRC is based on foundry-provided table or
function of how thickness varies as a function of density, width and spacing to next
neighbor. An alternative to this approach is proposed by certain foundries that requires a
CMP simulator to compute thickness variation for interconnects in the design. It’s found
to be more difficult to define rules for thickness variation based on density, width and
spacing.
• Computes resistance and capacitance based on the thickness variation
StarRC computes resistance and capacitance based on changed thickness due to CMP.
This step is independent of whether thickness variation is computed by StarRC or by a
separate CMP simulator.
Single-Layer and MultiLayer Mode
Thickness variation of a given layer can be computed independent of the layers below.
Figure 3-19 describes the single-layer mode for two layers and for two adjacent tiles. The
bottom of the conductor is considered fixed and the top of the conductor can change.
Chapter 3: Process Characterization Interface
Interconnect Parasitics Extraction Based on CMP Simulators 3-38
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
Figure 3-19 Conductor Cross Section for a Single-Layer Mode
This model can be further enhanced to comprehend impact of thickness variation for layers
below on a given layer. This is the multilayer mode. In this mode, bottom of the conductor
can move up or down as shown in Figure 3-20. A CMP simulator computes this by modeling
the dielectric thickness variation and then computing the impact at the bottom of the
conductor.
Figure 3-20 Conductor Movement
Using a Thickness Variation Map File
CMP simulators provide a thickness variation map for each layer in the design. StarRC
reads that thickness variation map, calculates the thickness variation for each interconnect
polygon, and writes the value to the internal database.
Chapter 3: Process Characterization Interface
Interconnect Parasitics Extraction Based on CMP Simulators 3-39
Chapter 3: Process Characterization Interface
Interconnect Parasitics Extraction Based on CMP Simulators 3-39
StarRC User Guide and Command Reference Version F-2011.06
To specify a thickness variation map file in the command file, use the
THICKNESS_VARIATION_MAP command.
The thickness variation map file uses the following syntax:
BLOCK block_name
TILE_SIZE tile_size_in_nm
BEGIN ITF_layer_name
x<numx> y<numy> rel_deltaT_top [rel_deltaT_bot]
x<numx> y<numy> rel_deltaT_top [rel_deltaT_bot]
x<numx> y<numy> rel_deltaT_top [rel_deltaT_bot]
END ITF_layer_name
BEGIN ITF_layer_name
x<numx> y<numy> rel_deltaT_top [rel_deltaT_bot]
x<numx> y<numy> rel_deltaT_top [rel_deltaT_bot]
x<numx> y<numy> rel_deltaT_top [rel_deltaT_bot]
END ITF_layer_name
BEGIN ITF_layer_name
x<numx> y<numy> rel_deltaT_top [rel_deltaT_bot]
x<numx> y<numy> rel_deltaT_top [rel_deltaT_bot]
x<numx> y<numy> rel_deltaT_top [rel_deltaT_bot]
END ITF_layer_name
Table 3-1 Syntax Details
Argument Description
block_name Name of the block
tile_size_in_nm Size of the tile in nanometers
ITF_layer_name ITF layer name
x<numx> X-coordinate location in the chip design
y<numy> Y-coordinate location in the chip design
rel_deltaT_top Relative thickness change for the top of the metal
rel_deltaT_bot Relative thickness change for the bottom of the metal (optional)
• Sort TILES with xy coordinates and relative thickness change.
You sort the tiles by x-coordinates first and then by y-coordinates in ascending order;
x0<x1<<x8 and y0<y1<<y8 as shown in Figure 3-21 on page 3-40 and the example.
• The coordinates you specify are absolute coordinates of the lower-left corner of the TILE.
(x8-x7) or (y8-y7), the last column and rows of tiles, might be smaller than the TILE size.
Chapter 3: Process Characterization Interface
Interconnect Parasitics Extraction Based on CMP Simulators 3-40
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
• The xy coordinate of the TILES should match across all layers.
• The TILE description can cover an area larger than the block extent. However, the extent
of the tile description should not be smaller than the extent of the block.
• A relative thickness change is the change from the nominal thickness of the given layer
and is constant for a given tile. If there is no thickness change for a TILE for the layer, it
should be set to 0. The relative thickness change must be in the range from -0.5 to 0.5.
• The file must contain a specified relative thickness change at the top of the conductor
(rel_deltaT_top). If a relative thickness change is provided for the bottom of the conductor
(rel_deltaT_bot), then multilayer mode is invoked automatically.
• Positive relative thickness change implies that the top of the conductor has moved up
(rel_deltaT_top) or the bottom of the conductor has moved down (rel_deltaT_bot). The
sum of the bottom and top thickness change provides the total thickness change and can
be used to calculate the new thickness of the conductor.
• When MAGNIFICATION_FACTOR is used along with THICKNESS_VARIATION_FILE in
StarRC, the coordinates in the TVF file are assumed to be already scaled, or shrunk as
the CMP simulation applies this scaling during simulation.
Figure 3-21 Example of Thickness Variation File Coverage
TILE
x0 x1 x2 x3 x4 x5 x6 x7 x8
x0
x1
x2
x3
x4
x5
x6
x7
x8
Chapter 3: Process Characterization Interface
Microloading Effect 3-41
Chapter 3: Process Characterization Interface
Microloading Effect 3-41
StarRC User Guide and Command Reference Version F-2011.06
Error and Warning Messages
The following error or warning messages might be encountered in certain circumstances:
• If the <block_name> indicated in the thickness variation map file is different from the
block name in the command file, StarRC produces an inconsistent block name error
message and exits.
ERROR: StarXtract
ERROR: Inconsistent block name in the thickness variation
file
ERROR: Block name in command file is xyz
ERROR: Block name in thickness variation file is abc
• If the thickness variation value is out of range [-0.5, 0.5], StarRC gives the error message
that relative thickness change must be within [-0.5, 0.5] and exits.
ERROR: StarXtract
ERROR: Relative thickness change in thickness variation
file is outside [-0.5, 0.5] range
ERROR: 0 0 -0.601909
• If the x- and y-coordinates for tiles do not match across the layers, StarRC issues an error
and exits.
ERROR: StarXtract
ERROR: Tile coordinates in thickness variation file for
layer met2 is different from layer met1
ERROR: Layer met1: 10 70 -0.156
ERROR: Layer met2: 12 75 0.324
• If the TILES do not cover the whole size of the block under analysis, StarRC issues an
error and exits.
ERROR: StarXtract
ERROR: Tile coordinates in thickness variation file
doesn't cover the extent of the design
ERROR: Design extent (in nm): (0,0) to (4799950,
7456200)
ERROR: Thickness variation file extent (in nm): (175,175)
to (4800175, 7460175)
For more information see the THICKNESS_VARIATION_FILE command.
Microloading Effect
Various foundries note that in a low-k dielectric damascene process, one challenge is to etch
accurate depth for metal trenches. Analysis of data indicates that etching accurate depth in
a low-k dielectric process is not only dependent on the materials used, but it is also
dependent on the interconnect dimension and the proximity to the neighboring interconnect.
Chapter 3: Process Characterization Interface
Damage Modeling 3-42
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
The variation in the etch depth for the metal deposition not only affects the thickness of the
interconnect but also affects the vertical distance between metal interconnects. Because of
this, it affects both parasitic resistance and capacitance.
You can model bottom thickness variation with the following command:
BOTTOM_THICKNESS_VS_SI_WIDTH {
(SiW1, DBT1)
(SiW2, DBT2)
...
(SiWN, DBTN)
}
BOTTOM_THICKNESS_VS_SI_WIDTH performs linear interpolation of thickness variation for
wires whose widths fall between entries in the table. StarRC does not extrapolate beyond
the table.
Note:
This feature is available only for conducting layers, because no variations exist for vias or
contacts in standard foundry processes.
Damage Modeling
Changes to process technology continue in an effort to reduce the RC timing delay of
circuits. One of the common process features at this process node is to introduce porosity
into the dielectric film with relative permitivities of 2.5 or less, as a result creating a low-k
dielectric layer. Given the fact that the modulus and hardness of such low-k material is
significantly lower, the porous materials are susceptible to chemical process steps such as
etch and resist strip. The etch and strip processes currently employed cause modifications,
or damage, to the dielectric that nullify the benefit of introducing porosity to features with
dielectric spacers of 70nm or less. The damage on the surface of the metal-low-k dielectric
causes the parasitic capacitance to become significantly larger. At the 45nm process node,
sidewall and bottom wall damage as a consequence of process steps to low-k damage
material can no longer be neglected to accurately predict circuit behavior.
The DAMAGE_THICKNESS ITF option defines the thickness of the damage on the surface of
the conductor and DAMAGE_ER ITF option defines the equivalent permittivity of the damage
layer.
Figure 3-22 shows the various damage modeling cross sections that can be modeled using
the DAMAGE_ER and DAMAGE_THICKNESS options.
Chapter 3: Process Characterization Interface
Damage Modeling 3-43
Chapter 3: Process Characterization Interface
Damage Modeling 3-43
StarRC User Guide and Command Reference Version F-2011.06
Figure 3-22 Damage Modeling Cross Sections
Figure 3-23 Low-K Damage
0.01
0.02
In Figure 3-23, the damage defined for IMD1 models the side wall damage because IMD1 is
the intrametal dielectric for metal1, whereas IMD0 models the bottom wall damage because
metal1 is on top of the dielectric layer IMD0.
Example
The following is a syntax example for Figure 3-23.
DIELECTRIC IMD3 { THICKNESS=0.09 ER=2.9 }
DIELECTRIC IMD2 { THICKNESS=0.07 ER=4.5 }
DIELECTRIC IMD1 { THICKNESS=0.13 ER=2.9
DAMAGE_THICKNESS=0.01 DAMAGE_ER=5.1 }
CONDUCTOR MET1 { THICKNESS 0.20 SMIN=0.1 WMIN=0.1 }
DIELECTRIC IMD0 { THICKNESS=0.10 ER=2.9
DAMAGE_THICKNESS=0.02 DAMAGE_ER=5.1 }
Chapter 3: Process Characterization Interface
Translation of Routing DEF Blockage 3-44
StarRC User Guide and Command Reference F-2011.06
StarRC User Guide and Command Reference Version F-2011.06
Translation of Routing DEF Blockage
In a design flow, you can divide the chip into platforms or blocks and perform the routing of
the blocks separately. These blocks are then integrated at the top level. While carrying
out the routing at the block level, you can define routing blocka