W2214BP ADS Core Layout Adv Circuit Sim Momentum G2 Bundled Data Sheet Brochure

W2388EP-LTE-3GPP-Verification--Bench-Element-Data-Sheet-Brochure W2388EP-LTE-3GPP-Verification--Bench-Element-Data-Sheet-Brochure

W2213BP-ADS-Core-TransConv-Channel-Layout-Momentum-G2-Harmonic-Balance-Bundled-Data-Sheet-Brochure W2213BP-ADS-Core-TransConv-Channel-Layout-Momentum-G2-Harmonic-Balance-Bundled-Data-Sheet-Brochure

W2206BP-ADS-Core-Layout-Adv-Layout-Harmonic-Balance-Momentum-G2-FEM-Bundled-Data-Sheet-Brochure W2206BP-ADS-Core-Layout-Adv-Layout-Harmonic-Balance-Momentum-G2-FEM-Bundled-Data-Sheet-Brochure

W2381EP-VTB-Engine-Element-Data-Sheet-Brochure W2381EP-VTB-Engine-Element-Data-Sheet-Brochure

W2218BP-GoldenGate-Solo-ADS-Core-Circuit-Sim-Bundled-Data-Sheet-Brochure W2218BP-GoldenGate-Solo-ADS-Core-Circuit-Sim-Bundled-Data-Sheet-Brochure

User Manual: W2214BP-ADS-Core-Layout-Adv-Layout-Circuit-Sim-Momentum-G2-Bundled-Data-Sheet-Brochure

Open the PDF directly: View PDF PDF.
Page Count: 18

DownloadW2214BP-ADS-Core-Layout-Adv-Layout-Circuit-Sim-Momentum-G2-Bundled-Data-Sheet-Brochure Brochure
Open PDF In BrowserView PDF
Keysight Technologies
Keysight EEsof EDA
Advanced Design System

The Industry’s Leading RF,
Microwave, Signal Integrity and
Power Integrity Design Platform

02 | Keysight | EEsof EDA Advanced Design System - Brochure

Table of Contents
Advanced Design System����������������������������������������������������������������������������������������������������������������������������03
More than 30 Years of Enabling Innovation������������������������������������������������������������������������������������������������04
Design with Confidence�������������������������������������������������������������������������������������������������������������������������������05
Complete Design Flow���������������������������������������������������������������������������������������������������������������������������������07
Create Custom 3D Components for Simulation with ADS Layout Designs����������������������������������������������� 10
Most Complete Solution������������������������������������������������������������������������������������������������������������������������������ 11
ADS Provides a Cohesive Workflow for Signal Integrity and Power Integrity Analyses��������������������������� 12
Integrated Solutions������������������������������������������������������������������������������������������������������������������������������������ 13
Seamless Integration with Keysight’s EDA Tools and Measurement Instrumentation������������������������������ 14
Making Your Job Easier with Worldwide Technical Keysight EDA Experts������������������������������������������������ 15
ADS Product Structure and Options Summary������������������������������������������������������������������������������������������ 16
Evolving Since 1939������������������������������������������������������������������������������������������������������������������������������������� 18

03 | Keysight | EEsof EDA Advanced Design System - Brochure

Advanced Design System
Powerful. Easy. Complete.
The Keysight Technologies, Inc. Advanced
Design System (ADS) is the world’s
leading electronic design automation
(EDA) software for RF, microwave, and
high speed digital applications. In a
powerful and easy-to-use interface,
ADS pioneers the most innovative and
commercially successful technologies,
such as X-parameters* and 3D EM
simulators, used by leading companies
in the wireless communication and
networking and aerospace and defense
industries.

For LTE-A, 802.11ac, PCI Express ®, USB3,
radar, and satellite applications, ADS
provides full, standards-based design and
verification with Wireless Libraries and
circuit-system-EM co-simulation in an
integrated platform.

04 | Keysight | EEsof EDA Advanced Design System - Brochure

The Industry’s leading technology, and much more
Only ADS offers a solution that combines
schematic, layout, circuit, electro-thermal
co-simulation and three full-wave 3D EM
technologies for IC, package, laminate/
PCB and 3D EM component co-design
in a single-vendor, integrated platform
solution that can dramatically improve
productivity and significantly reduce
costs.

To shorten your design cycles, ADS
provides a huge amount of applicationspecific data. Over 300 examples cover
everything from specific application
circuits to tutorials on how to get the
most out of ADS. DesignGuides integrate
the experience and best practices of
leading designers to provide you with
wizards, pre-configured set-ups and
displays, and step-by-step instructions
for design applications, and give you easy
access to the power of ADS from day one.

More than 30 Years of Enabling Innovation

05 | Keysight | EEsof EDA Advanced Design System - Brochure

Design with Confidence
Anticipate success with fast, accurate, and easy first-pass simulations
ADS key highlights
–– Complete set of fast, accurate and
easy-to-use simulators enable
first-pass design success
–– Easy-to-use, application-specific
DesignGuides encapsulate years of
expertise
–– Exclusively endorsed by leading
industry and foundry partners
–– Complete schematic capture and
layout environment
–– Innovative and industry-leading
circuit and system simulators
–– Direct, native access to 3D planar
and full 3D EM field solvers

–– Broadest RF and MW process
design kit (PDK) coverage, endorsed
by leading foundry and industry
partners
–– EDA and design flow integration with
companies such as Cadence, Mentor
and Zuken
–– Optimization Cockpit for real-time
feedback and control when using any
of 12 powerful optimizers
–– X-parameter model generation from
circuit schematic and Keysight’s
Nonlinear Vector Network Analyzer
(NVNA) for nonlinear high-frequency
design
–– Up-to-date Wireless Libraries
for design and verification of the
emerging wireless standards

06 | Keysight | EEsof EDA Advanced Design System - Brochure

Design with Confidence (Continued)
Accelerate your design process with Keysight EDA foundry partners
RFIC and MIMIC foundry partners
Keysight EEsof EDA works closely with
foundries to offer you high-frequency
PDKs in Si, SiGe, GaAs, InP and GaN
processes.

Complete front-to-back ADS PDKs,
including DRC rules and Momentum
stack-up files, for all GaAs, InP and GaN
processes, as well as a broad range
of SiGe and RF-CMOS processes are
available.

07 | Keysight | EEsof EDA Advanced Design System - Brochure

Complete Design Flow
Create robust designs with first
pass success and high yield
Innovative and industry-leading
simulation technologies
Figure 1

–– S-parameter linear frequency-domain
simulator
–– Harmonic balance nonlinear
frequency- domain simulator
–– Circuit envelope hybrid time-/
frequency- domain nonlinear
simulator
–– Transient/convolution time-domain
simulator
–– Momentum 3D planar EM simulator
–– Finite Element full 3D EM simulator
–– X-parameter generator simulator
–– Signal Integrity Channel simulator
–– Keysight Ptolemy system simulator

Figure 1.

Post processing with data display
Figure 2

A powerful Data Display capability
allows you to learn about your design’s
performance by post-processing and
analyzing the data without re-running
simulation. Countless built-in functions
simplify the process. For added flexibility,
you can even write your own functions
(e.g., for the creation of load-pull contours,
gain circles or eye diagrams).

Optimizing your design

Figure 4.

Figure 2.

Figure 3

Once your initial design is done, ADS
optimizers can further improve its nominal
performance. The ADS optimization
cockpit provides an interactive
environment with multiple optimization
variables, interactive tuning and progress
controls. Using it, you can achieve optimal
performance while gaining design insight
into the optimized variables versus the
goals.

Making your designs more
robust
Figure 4

ADS features unique and easy-to-use
statistical tools to pinpoint problems
during design. Yield sensitivity histograms
help identify the most sensitive design
components and how best to set their
specifications to improve manufacturing
yield.

Figure 3.

08 | Keysight | EEsof EDA Advanced Design System - Brochure

Eliminate costly layout errors using
ADS’s advanced LVS and DRC tools
Easy layout in your foundry’s specific
process
Figure 5

ADS offers a full-featured tool for generating
production ready RF layouts. With the largest
number of fully endorsed foundry design kits, ADS
helps you layout your design in your foundry’s
specific process. The MMIC Toolbar and layout
command line editor, available in all enhanced
foundry PDKs, ensures layout editing commands
are easily accessible and provide a full suite of
layout verification tools.

Figure 5.

Catch errors early with ADS desktop
DRC and LVS
Figure 6

ADS Desktop design rule check (DRC) enables you
to determine whether your physical layout satisfies
foundry design rules. Use ADS Desktop layout
vs. schematic (LVS) to verify no discrepancies
exist between the layout and schematic, to
identify missing components and easily find and
correct connections in your schematic or layout.
Component based LVS on module designs with
nested technology finds module-level wiring and
pin swap errors. ADS also supports DRC/LVS with
Calibre and Assura directly from the ADS cockpit.

Figure 6.

Integrated electro-thermal solver
Figure 7

ADS provides a full 3-D thermal solver that is tightly
integrated with the ADS layout environment and
circuit simulators. Simply add the Electro-Thermal
controller to the ADS schematic, start a circuit
simulation and the integrated thermal solver will
run in the background. No more manual export of
IC layouts to stand-alone thermal solvers; no more
manual import of temperature data into the circuit
simulators.

Innovative multi-technology capability

Figure 7.

Figure 8

ADS capabilities enable tradeoffs to be made
interactively on the IC, laminate, packaging,
and printed circuit boards being designed or
co-designed together. Circuits designed in multiple
technologies can be combined and simulated at
both the circuit and full 3D EM level.

Figure 8.

09 | Keysight | EEsof EDA Advanced Design System - Brochure

Keysight offer the broadset
selection of EM simulation
technologies
Keysight offers multiple EM simulation
technologies that are directly integrated
into the ADS design flow. This allows
seamless co-simulation between
schematic, layout and 3D components
without leaving the circuit design flow.
It is especially convenient for RF module
designs where 3D interconnects and
packaging must be simulated along with
the circuit.

Finite element method (FEM)
simulator

Finite difference time domain
(FDTD) simulator

The Keysight FEM Simulator is based
on the industry-proven Finite Element
Method. Unlike 3D planar simulators, this
technology can handle arbitrarily shaped
3D structures.

The Keysight FDTD Simulator is based
on Finite Difference Time Domain
technology. Like FEM, FDTD can handle
arbitrarily shaped 3D structures. Whereas
FEM produces a large matrix during the
solution process, FDTD uses an iterative
process to update field values at each
time step.

Keysight FEM is integrated with ADS
so layout designs do not need to be
exported to third-party EM simulators.
Keysight FEM is also available in EMPro
for simulating 3D models imported from
other CAD tools or created natively.

The Keysight FDTD simulator is available
in EMPro for simulating 3D models
imported from other CAD tools or created
natively.

Momentum simulator

SIPro: A fraction of full wave
3DEM simulation time

PIPro: Accurate, efficient netdriven PI analysis

The Keysight Momentum Simulator is
the leading 3D planar electromagnetic
(EM) simulator used for passive
circuit modeling and analysis. It uses
frequency-domain Method of Moments
(MoM) technology to accurately simulate
coupling and parasitic effects of complex
multi-layer designs.

SIPro’s composite EM-technology delivers
high-frequency accuracy, together with
the speed and capacity required for
densely-routed cutting-edge PCB design.
With Automatic Schematic Generation,
extracted EM models flow directly into
an ADS transient simulation and ADS
channel simulation for complete channel
analysis.

PIPro provides power integrity (PI)
analysis of your power distribution
network (PDN), including DC IR drop
analysis, AC impedance analysis and
power plane resonance analysis.
PIPro utilizes a common setup and
analysis environment within ADS. The
EM technologies in PIPro are tuned
specifically for PI applications; they are
much faster and more efficient than
general purpose EM tools.

Momentum is integrated with ADS,
Genesys, and GoldenGate, along with
third-party tools from Cadence, Mentor
and Zuken.

10 | Keysight | EEsof EDA Advanced Design System - Brochure

Create Custom 3D Components for Simulation with ADS Layout Designs
Advanced model composer

Full 3D drawing environment

Momentum and FEM are paired with
Keysight’s parameterized passive model
generation capability, Advanced Model
Composer (AMC). AMC enables you to
create EM-based custom libraries of
3D models not available in standard
simulation libraries. AMC libraries
retain the accuracy of EM simulation,
but simulate and optimize at the speed
of circuit simulation through smart
interpolation across the parameterized
EM database.

EMPro provides the flexibility of
drawing arbitrary 3D structures and the
convenience of importing existing CAD
files. You can create 3D shapes, add
material properties, set up simulations,
and view results—all within the EMPro
environment.

Common database integration
with ADS
3D objects in EMPro can now be saved
as ADS libraries, containing cells for use
directly in ADS. For example, an SMA
connector cell created in EMPro will have
an emModel view that can be placed
directly in an ADS schematic and used for
circuit/EM co-sim, and a layout view that
can be placed on an ADS layout design
(e.g., PCB layout) for full 3D simulations
in ADS.

High capacity time and
frequency-domain simulation
technology
3D structures can be analyzed in EMPro
using the same FEM simulator available in
ADS. For electrically large problems, such
as antennas and some signal integrity
analyses, the Finite Difference Time
Domain (FDTD) simulator can be used.

11 | Keysight | EEsof EDA Advanced Design System - Brochure

Most Complete Solution
Industry-leading tools for your high-frequency and high-speed applications
RF and microwave design flows

RF module design

RF board design

Keysight’s RF and microwave design
and simulation tools provide the most
complete solution for creating robust
designs with first-pass success and high
yields. ADS provides accurate models
and powerful simulation technologies for
circuit- to system-level designs.
Seamlessly integrated circuit and EM
simulation tools flow from schematic
entry to production and verification.
A complete set of the most accurate
wireless verification tools in ADS provides
true circuit verification to all wireless
standards.

Figure 14

ADS provides proven board design
solutions with very accurate models and
simulation technologies covering circuit,
EM and system level designs. It offers the
widest variety of synthesis capabilities to
explore alternatives quickly and balance
RF performance, parts count and board
area, within seconds, while also helping
designers assess the cost-effectiveness
of making versus buying a commercial
component.

As the market and technology leader
in RF module design solutions, Keysight
EEsof EDA offers the best co-design
platform and methodologies for designing
RF modules with high confidence. ADS
offers designers the best way to reduce
risks of design failure due to unexpected
parasitics.

Accurate model libraries in ADS support
different simulation domains for
various applications. Behavioral models
(important for initial system-level designs)
can be extracted from data sheets,
measurement or simulation. ADS’s robust
layout artwork translators ensure a
smooth hand-off to PCB manufacturing
for RF board designers.

RFIC/MMIC design
Figure 13
ADS provides the most complete set of
advanced simulation tools, seamlessly
integrated into a single environment, that
flows from schematic entry to tape-out
and packaging. These tools enable you to
verify, prior to fabrication, that your RFIC/
MMIC meets all specifications in its final
package.
All major MMIC foundries offer complete
front-to-back ADS PDKs, and also a
broad range of SiGe/BiCMOS and CMOSSOI PDKs are available.

Figure 13. ADS offers a complete set of advanced simulation tools, seamlessly integrated into one
single environment, which flows from schematic entry to production and packaging of the MMIC.

Figure 14. ADS offers designers the best
solutions and methodologies for confidently
designing RF modules.

12 | Keysight | EEsof EDA Advanced Design System - Brochure

ADS Provides a Cohesive Workflow for Signal Integrity and Power Integrity Analyses
Facing today’s high-speed PCB
design challenges
When digital signals reach multigigabit
speeds, the unpredictable becomes the
norm. Keysight’s signal integrity (SI) and
power integrity (PI) solutions include EDA
design and simulation tools that will help you
cut through the challenges of multigigabit
digital PCB designs. ADS delivers industry
leading time and frequency domain simulation
technology, within a cohesive workflow, to
help you overcome SI and PI issues and ensure
compliant designs.

Figure 7. SIPro utilizes composite EM technology to quickly simulate large, complex PCBs and
extract accurate models for high data-rate links, all within ADS.

Fast and accurate post-layout EM analysis

Figure 6. ADS includes industry-leading
channel simulation technology.

State-of-the-art channel
analysis

ADS includes innovative EM technologies that combine accuracy, speed and capacity
required to simulate large, complex PCB designs with multigigabit links. With the SIPro
and PIPro EM simulators in ADS you can:
–– Import post-layout artwork from enterprise PCB tools from Cadence, Mentor, Zuken,
etc. for EM analysis of power integrity and signal integrity issues
–– Quickly set up SI and PI analyses in the same environment, using a focused,
net-driven use-model
–– Extract accurate S-parameter models of high speed links including the effects of
signal coupling, non-ideal ground and power planes, and via coupling
–– Perform PI analyses to characterize DC IR drop, AC impedance and power plane
resonance
–– Automatically generate schematic test benches in ADS based on the results of
EM-based SI and PI analyses

With ADS and other tools from Keysight you
can:

–– Analyze complete chip-to-chip links
by modeling at the channel-, circuitand physical-level of abstraction.
–– Import frequency-domain
s-parameter models accurately into
time-domain circuit and channel
simulations, using patented causality
and passivity algorithms
–– Determine ultra-low BER contours in
seconds not days using the statistical
and bit-by-bit modes of Channel
Simulator
–– Import transceiver models in IBIS
format (both traditional and AMI) and
in netlist format (both unencrypted
and encrypted with the Keysight key)
–– Generate IBIS AMI models in days not
months

Figure 8. PIPro in ADS provides power integrity analysis of your power distribution network,
including DC IR drop analysis, AC impedance analysis and power plane resonance analysis.

13 | Keysight | EEsof EDA Advanced Design System - Brochure

Integrated Solutions
Achieve enterprise-wide
integration with your design
process

ADS board link—Next
generation PCB integration
solution

Design flow integration—
partners for complete success

ADS Board Link (ABL) provides a
bi-directional interface for layouts,
schematics and libraries between ADS
and enterprise PCB tools. It supports
import and export of libraries and
technology information (e.g., units,
resolution, layers, purposes, and
substrates), as well as design data. ABL
design transfer between tools is highfidelity and preserves all data and original
objects.

Keysight EEsof EDA aligns with key EDA
vendors and frameworks to provide
enhanced solutions that complement
your investment. The open and flexible
ADS environment ensures that a wide
range of design flows are supported.
ADS supports design flows based on
a Cadence, Mentor or Zuken flow, for
example, using industry-standard
formats. Our expanding list of partners
goes well beyond standard relationships
and is part of an ongoing effort to provide
best-in-class tools and technology that
work in an integrated environment.

Silicon RFIC schematic
interoperability with virtuoso
Figure 16
–– Bi-directional schematic interoperability with Virtuoso
–– Bring Virtuoso schematics in ADS and
simulate RF performance
–– Front-end design in ADS and layout
implementation in Virtuoso

Figure 16. ADS enables users to edit and simulate designs created in Cadence Design System's Virtuoso software.

14 | Keysight | EEsof EDA Advanced Design System - Brochure

Seamless Integration with Keysight’s EDA Tools and Measurement Instrumentation
X-parameters Enable Rapid
Design Optimization

Wireless Verification Test
Benches (VTB)

In high-frequency and high-speed
designs, X-parameters overcome the
system-level inaccuracies that often
occur when using overly simplified
behavioral models to simulate
complex, nonlinear circuits. The use
of X-parameters produces highly
accurate nonlinear models—from either
measurements or simulations—that can
be passed through the design chain
for quick and accurate simulation and
optimization of system-level performance.

Wireless VTBs provide circuit design
verification solutions for the newest and
most challenging multi-band, widebandwidth standards (LTE, LTE-A and
802.11ac) with a dramatically simplified
user interface. VTBs and the underlying
simulation technology are based on
the SystemVue dataflow simulation
technology and also allow system
architects to develop custom VTBs for
use by circuit designers in ADS.

Easy Links to Instrumentation
The integration of ADS with Keysight test
instrumentation enables the seamless
sharing of signals, measurements,
algorithms, and data between the virtual
software and physical hardware domains.
Designers use this linkage to simulate and
evaluate design trade-offs and what-ifs,
and then turn the simulated signal into an
RF test signal on the bench for hardware
test.

15 | Keysight | EEsof EDA Advanced Design System - Brochure

Making Your Job Easier with Worldwide Technical Keysight EDA Experts
Keysight is committed to customer
satisfaction. We provide the right
software, support, and consulting
solutions to increase your engineering
productivity and advance your long-term
success. Whether you are a novice or
an experienced user, Keysight EDA’s
customer support offerings are designed
to help you every step of the way.

Significant software updates
Keysight EDA regularly enhances and
upgrades its design software. You
can expect significant updates that
include new features, user interface
enhancements, defect fixes, Service
Packs, and up-to-date application
examples for today’s design needs. By
using the latest software technology as
soon as it becomes available, you can
keep your productivity at a maximum.

Worldwide phone support
Keysight EDA regional technical support
teams are experienced with all products
and supported platforms. Teams are
staffed with highly trained engineers;
most have extensive design experience
and hold advanced engineering degrees.
Whether it’s a hardware installation
question or a complex circuit or system
problem, your call is routed to a specialist
whose goal is to get you back to work as
quickly as possible.

Web-based support
The Keysight EDA support web site, featuring the Knowledge Center, is an around-theclock resource for designers. The Knowledge Center contains thousands of support
documents and hundreds of downloadable examples created by our support and
application engineers to supplement the examples and documentation supplied with the
software. Software updates to previously released software versions are available for
download within the Knowledge Center. The My Knowledge Center feature enables you
to submit and manage on-line support cases and any related defect and enhancement
requests. The Knowledge Center also includes free recorded Technical Info Sessions and
introductory e-Learning Short Courses. You will need a valid support contract to access
the Knowledge Center.

Customer education
Increase designer efficiency in setting-up Keysight EDA tools and get a full
understanding of how EDA tools can be applied to your own designs. Keysight offers
customer education that includes learning weeks, open-enrolment classes, and tailored
courses in-house or on-site.

Premium services
Premium services are typically delivered on-site to help your team use Keysight EDA
tools in your own IT and design environment, as well as on your application. The Keysight
consultant can help designers to configure, use, and customize Keysight EDA tools for
a given design purpose. The goal of Premium Services is to contribute to increasing
designer productivity and improve time to market by implementing appropriate design
flows.

Consulting projects
Get access to external manpower experts to build a turn-key solution fulfilling your
requirements and needs to improve your time-to-market. After an in-depth qualification
of your needs, Keysight generates a statement of work which includes deliverable
content, delivery timelines, and terms and conditions to build a customized solution
according to your requirements.
www.keysight.com/find/eesof-support

16 | Keysight | EEsof EDA Advanced Design System - Brochure

ADS Product Structure and Options Summary
Purchase what you need today; add greater functionality as your needs evolve
ADS can be ordered in value-priced
bundles for simplicity and convenience, or
in Environment + Element building blocks
for greater flexibility.

Mature
Wireless
Libraries

Silicon RFIC
Interoperability
Circuit
Envelope

EMPro
Core

Ptolemy

Circuit
Envelope

Transient
Convolution

FEM
Simulator

FEM
Simulator

Circuit
Envelope

Transient
Convolution

Advanced
Layout

Advanced
Layout

Advanced
Layout

Advanced
Layout

Transient
Convolution

Harmonic
Balance

Harmonic
Balance

Harmonic
Balance

Harmonic
Balance

Harmonic
Balance

Harmonic
Balance

Harmonic
Balance

Momentum

Harmonic
Balance

Momentum

Momentum

Momentum

Momentum

Momentum

Momentum

Momentum

ADS Core+
Layout

ADS Core+
Layout

ADS Core+
Layout

ADS Core+
Layout

ADS Core+
Layout

ADS Core+
Layout

ADS Core+
Layout

ADS Core+
Layout

ADS Core+
Layout

Bundle
W2203

Bundle
W2202

Bundle
W2205

Bundle
W2213

Bundle
W2216

Bundle
W2214

Bundle
W2206

Bundle
W2215

Bundle
W2208

TimeDomian

GaAs/GaN
MMIC

Silicon
RFIC

3DEM/Multi-Technology

Entry Level Bundles

Circuit
Verification

Advanced Layout

ADS environment + elements
Configure a flexible ADS package that’s
right for you. Choose an ADS Environment
plus any combination of the following
individual Element building blocks.

ADS core environment
–– W2200 ADS Core
–– W2201 ADS Core + Layout

EM simulation elements
–– W2341 Momentum G2
–– W2343 Momentum Turbo
–– W2342 FEM Simulator

Circuit and system simulation
elements
–– W2300 Harmonic Balance
–– W2301 Circuit Envelope
–– W2306 Linear Simulator Element
–– W2349 Electro-Thermal Simulator
–– W2361 Keysight Ptolemy
–– W2362 RF Architect & Synthesis

Model and library elements
–– W2304 Verilog-AMS Compiler
–– W2305 X-Parameter Generator
–– W2363 Mature Wireless Library
–– W2364 2G/3G Wireless Library
–– W2365 Wireless Networking Library
–– W2366 Integrated Wireless Library
–– W2367 WiMedia Wireless Library
–– W2368 3GPP-LTE Wireless Library
–– W2369 WVAN Wireless Library
–– W2371 Asia DTV Wireless Library

17 | Keysight | EEsof EDA Advanced Design System - Brochure

ADS Product Structure and Options Summary (Continued)
Purchase what you need today; add greater functionality as your needs evolve

PIPro
EM

SIPro
EM

SIPro
EM

PIPro
EM

PIPro
EM

Harmonic
balance

Momentum

Transient +
Channel Sim

Transient only

Transient +
Channel Sim

Transient +
Channel Sim

CILD

CILD

CILD

CILD

ADS Core

ADS Core +
Layout

ADS Core +
Layout

ADS Core +
Layout

Bundle
W2220BP

Bundle
W2222BP

Bundle
W2223BP

Bundle
W2224BP

Pre-layout
design

Pre-layout
design

High-speed digital design
–– W2302 Transient + Channel
Simulation
–– W2307 Controlled Impedance Line
Designer
–– W2312 Transient Distributed
Computing 8-pack
–– W2309 DDR BUS Simulator

Additional recommended
products
–– W2401 EMPro Core Environment
–– W2402 EMPro Core + FEM
–– W2404 EMPro Core + FEM + FD-TD

Signal and Power
Integrity EM

Compliance test benches
–– W2350 DDR3 Compliance Test
Bench
–– W2351 DDR4 Compliance Test
Bench
–– W2352 PCI Express Compliance Test
Bench
–– W2353 USB Compliance Test Bench
–– W2354 100G Compliance Test Bench

18 | Keysight | EEsof EDA Advanced Design System - Brochure

Download your next insight
Keysight software is downloadable
expertise. From first simulation through
first customer shipment, we deliver the
tools your team needs to accelerate from
data to information to actionable insight.
–– Electronic design automation (EDA)
software
–– Application software
–– Programming environments
–– Productivity software

Learn more at
www.keysight.com/find/software

Start with a 30-day free trial.
www.keysight.com/find/free_trials

Evolving Since 1939
Our unique combination of hardware, software, services, and people can help you
reach your next breakthrough. We are unlocking the future of technology.
From Hewlett-Packard to Agilent to Keysight.

myKeysight
www.keysight.com/find/mykeysight
A personalized view into the information most relevant to you.
X-parameters is a trademark and registered trademark of Keysight
Technologies in the US, EU, JP, and elsewhere. The X-parameters format and
underlying equations are open and documented. For more information, visit
http://www.Keysight.com/find/eesof-x-parameters-info.

For more information on Keysight
Technologies’ products, applications or
services, please contact your local Keysight
office. The complete list is available at:
www.keysight.com/find/contactus
Americas
Canada
Brazil
Mexico
United States

(877) 894 4414
55 11 3351 7010
001 800 254 2440
(800) 829 4444

Asia Pacific
Australia
China
Hong Kong
India
Japan
Korea
Malaysia
Singapore
Taiwan
Other AP Countries

1 800 629 485
800 810 0189
800 938 693
1 800 11 2626
0120 (421) 345
080 769 0800
1 800 888 848
1 800 375 8100
0800 047 866
(65) 6375 8100

Europe & Middle East
Austria
Belgium
Finland
France
Germany
Ireland
Israel
Italy
Luxembourg
Netherlands
Russia
Spain
Sweden
Switzerland

United Kingdom

0800 001122
0800 58580
0800 523252
0805 980333
0800 6270999
1800 832700
1 809 343051
800 599100
+32 800 58580
0800 0233200
8800 5009286
800 000154
0200 882255
0800 805353
Opt. 1 (DE)
Opt. 2 (FR)
Opt. 3 (IT)
0800 0260637

For other unlisted countries:
www.keysight.com/find/contactus
(BP-6-20-17)

PCI-SIG®, PCIe® and the PCI Express® are US registered trademarks and/or
service marks of PCI-SIG.
www.keysight.com/find/eesof-online-communities
www.keysight.com/find/ads
This information is subject to change without notice.
© Keysight Technologies, 2013 - 2017
Published in USA, July 28, 2017
5988-3326EN
www.keysight.com



Source Exif Data:
File Type                       : PDF
File Type Extension             : pdf
MIME Type                       : application/pdf
PDF Version                     : 1.4
Linearized                      : Yes
Tagged PDF                      : Yes
XMP Toolkit                     : Adobe XMP Core 5.2-c001 63.139439, 2010/09/27-13:37:26
Create Date                     : 2017:07:28 05:21:43+08:00
Metadata Date                   : 2017:07:28 05:22:34+08:00
Modify Date                     : 2017:07:28 05:22:34+08:00
Creator Tool                    : Adobe InDesign CS6 (Windows)
Instance ID                     : uuid:c22dab23-da06-405a-8894-6d92829ff486
Original Document ID            : xmp.did:5259B79ADEA3E311A5169BB3BD84A224
Document ID                     : xmp.id:863E7C8A1173E71197E48000C0A6EE76
Rendition Class                 : proof:pdf
Derived From Instance ID        : xmp.iid:853E7C8A1173E71197E48000C0A6EE76
Derived From Document ID        : xmp.did:C82A49803D71E5119562AF4743DEFB71
Derived From Original Document ID: xmp.did:5259B79ADEA3E311A5169BB3BD84A224
Derived From Rendition Class    : default
History Action                  : converted
History Parameters              : from application/x-indesign to application/pdf
History Software Agent          : Adobe InDesign CS6 (Windows)
History Changed                 : /
History When                    : 2017:07:28 05:21:43+08:00
Format                          : application/pdf
Producer                        : Adobe PDF Library 10.0.1
Trapped                         : False
Page Count                      : 18
Creator                         : Adobe InDesign CS6 (Windows)
EXIF Metadata provided by EXIF.tools

Navigation menu