Hp Sim Ee Users Manual Start Here For

Sim EE to the manual 5b444373-9850-4046-a37e-d87cd8fdaf4c

2015-02-09

: Hp Hp--Sim-Ee-Users-Manual-549235 hp--sim-ee-users-manual-549235 hp pdf

Open the PDF directly: View PDF PDF.
Page Count: 37

DownloadHp Hp--Sim-Ee-Users-Manual- Start Here For Sim EE  Hp--sim-ee-users-manual
Open PDF In BrowserView PDF
Start Here
for
ModelSim EE

EE Installation - 6
Installation - UNIX platforms - 7
Installation - Windows platforms - 10
Locating Documentation - 21
Tech Support, Updates & Licensing - 25
Online References - 32

ModelSim /VHDL, ModelSim /VLOG, ModelSim /LNL, and ModelSim /PLUS are
produced by Model Technology Incorporated. Unauthorized copying, duplication, or
other reproduction is prohibited without the written consent of Model Technology.
The information in this manual is subject to change without notice and does not
represent a commitment on the part of Model Technology. The program described in
this manual is furnished under a license agreement and may not be used or copied
except in accordance with the terms of the agreement. The online documentation
provided with this product may be printed by the end-user. The number or copies that
may be printed is limited to the number of licenses purchased.
ModelSim is a trademark of Model Technology Incorporated. PostScript is a registered
trademark of Adobe Systems Incorporated. UNIX is a registered trademark of AT&T in
the USA and other countries. FLEXlm is a trademark of Globetrotter Software, Inc. IBM,
AT, and PC are registered trademarks, AIX and RISC System/6000 are trademarks of
International Business Machines Corporation. Windows, Microsoft, and MS-DOS are
registered trademarks of Microsoft Corporation. OSF/Motif is a trademark of the Open
Software Foundation, Inc. in the USA and other countries. SPARC is a registered
trademark and SPARCstation is a trademark of SPARC International, Inc. Sun
Microsystems is a registered trademark, and Sun, SunOS and OpenWindows are
trademarks of Sun Microsystems, Inc. All other trademarks and registered trademarks
are the properties of their respective holders.
Copyright (c) 1990-1998, Model Technology Incorporated.
All rights reserved. Confidential. Online documentation may be printed by licensed
customers of Model Technology Incorporated for internal business purposes only.
Published: November 1998
EE Start Here - Part # M16500

US$50

Thank you
Thank you for purchasing from Model Technology’s family of simulation
products! If we can help you with any additional product information,
please email our sales department at sales@model.com, or visit our home
page at www.model.com.
ModelSim Elite Edition Simulators for UNIX and Windows
• EE/VHDL - for VHDL simulation only
• EE/VLOG - for Verilog simulation only
• EE/LNL - for either VHDL, or Verilog simulation
(Language-Neutral Licensing)
• EE/PLUS - for single-language, or mixed VHDL/Verilog simulation
Questions?
If you have questions about the product you have purchased here are a few
sources of information:
• "Locating Documentation" (p21)
• "Tech Support, Updates & Licensing" (p25)
• "Online References" (p32)
This document
In this document you will find information on ModelSim installation and
documentation for our Elite Edition (EE) simulators. You will also find
sections on tech support, licensing, and EDA industry references. If you are
using this document online (the best way to use it), links for
cross-references, email and the web are available - just
click on
the blue text.
Help preserve the Silicon Forest
Oregon is famous for its forests as well as its
rain and slug chowder. Help us keep our forests
alive and green by using our online documentation.

3

Table of Contents
EE Installation - 6
System requirements for ModelSim EE - 6
Installation - UNIX platforms - 7
Installation - Windows platforms - 10
Installing the FLEXid security key - 10
Installation and setup for a PC server - 10
Troubleshooting PC server setup - 12
Setup for a UNIX server - 12
Windows platform installation details - 12
Licensing - 12
How to find the security key ID - 13
Regenerating your design libraries - 13
Installed directories and files - 13
Platform-specific directories - 19

Locating Documentation - 21
Online documentation - 21
Getting the most current PDF manuals - 22
Getting paper manuals - 22
EE documentation - 22
Using ModelSim’s PDF documentation - 23
Getting the Acrobat Reader - 23
Searching PDF files - 23
Requirements for Acrobat Search - 24
Doc files for ModelSim EE - 24
Copy and Paste from PDF files - 24

Tech Support, Updates & Licensing - 25
ModelSim contact matrix - 25
Technical support - by telephone - 25
Mentor Graphics customers In North America - 25
Mentor Graphics customers outside North America - 26
Model Technology customers worldwide - 26
Technical support - electronic support services - 26
Mentor Graphics customers - 26
Model Technology customers - 27
Technical support - other channels - 28
Updates - 28
Mentor customers: getting the latest version via FTP - 28
Model Technology customers: getting the latest version via FTP - 29

4

Licenses - ModelSim EE - 29
Where to obtain your license - 29
If you have trouble with licensing - 29
All customers: ModelSim EE licensing - 29
A license.dat file example - 29
All customers: maintenance renewals and EE licenses - 32
All customers: license transfers and server changes - 32

Online References - 32
Books and publications - 32
Partners - 32
Training partners - 32
Resources - 32

Model Technology
License Agreement - 33
Software License Agreement - 33
Model Technology Software License - 33
Important Notice - 34
Limited Warranty - 34

Table of Contents

5

EE Installation
System requirements for ModelSim EE
Platform

Operating system &
interface

Memory

Storage

SPARCstation

SunOS 4.1 &
OpenWindows

32 Mb
minimum
(memory
need
scales with
size of
circuit med to
large gate
design
may need
256 Mb)

hard disk
with at
least 35
Mb spare
capacity

Solaris 2.4, 2.5, 2.6 & OSF/
Motif , OpenWindows, or
CDE
IBM RISC/
6000

AIX 4.1, 4.2 & OSF/Motif

HP 700

HP-UX 9x through 11.0 &
HP VUE , OSF/Motif, or
CDE

X86

network-ready (see note)
Windows NT 4.0 or 95/98

Platform-specific instructions
Select your platform for installation instructions.
• Installation - UNIX platforms (p7)
• Installation - Windows platforms (p10)
Note: Any PC client or server must be connected to a network with
TCP/IP or IPX/SPX. If you are installing on an NT machine, make sure
you are logged in as "administrator" when running the installation
program.

6

Start Here for ModelSim EE

Installation - UNIX platforms
Before you begin a new ModelSim EE installation on a UNIX machine,
make sure you have a Globetrotter license data file (license.dat file); you’ll
need the file to run ModelSim. If you don’t have a license file see
"Licenses - ModelSim EE" (p29).
Your workstation’s identification is required for a license; here are the
commands that return the ID:
Syntax

Platform

hostid

SPARC

/etc/lanscan

HP 700

uname -m

RISC/6000

The commands used to install ModelSim are case-sensitive, so they must
be entered exactly as shown in the following steps. (Note that neither the
prompt nor the  at the end of a line is shown in the examples.)
1

ModelSim can be installed in any directory. These instructions assume that
you are installing it in the /usr directory. You can change to the desired
installation directory by entering one of the following commands:

cd /usr

or
cd 

2

From a mounted ModelSim CD, extract the file for your platform with the
following syntax (this creates the top-level directory modeltech):

tar xf //WORKSTATION/install/

where the  is:
Platform



All platforms

base.tar (extract for all platforms)

Sun OS-4/Solaris 1.x

sun4.tar

Sun OS-5/Solaris 2.x

sunos5.tar
EE Installation

7

Platform



HP

hp700.tar

IBM

rs6000.tar

All platforms

docs.tar ( ModelSim manuals in pdf format)

In addition to the platform-specific file you need to extract base.tar
(common ModelSim files) and docs.tar (documentation).
3

Add the pathname of the ModelSim executable directory to your search
path (use one of the following):

/usr/modeltech/sun4
/usr/modeltech/sunos5
/usr/modeltech/hp700
/usr/modeltech/rs6000

If are using shell scripts that require platform independence, you can use
the vco command within the path of your shell script to return the platform
directory to your search path. Vco returns a path appropriate for the
hardware from which you are invoking the simulator. The shell you are
running determines how you add vco to your path:
• for csh:
set path = ( $path /usr/modeltech/‘/usr/modeltech/vco‘ )

• for sh (Bourne or Korn)
PATH=$PATH:/usr/modeltech/‘/usr/modeltech/vco‘
export PATH

4

If you are using SunOS 4, and are planning to load shared libraries, you’ll
need this path included in the LD_LIBRARY_PATH environment
variable:

/usr/openwin/lib

5

Enter or edit the license.dat file in the modeltech directory. Typically the
file looks like this (also see "Licenses - ModelSim EE" (p29)):

SERVER hostname nnnnnnnn 1650
DAEMON modeltech ./modeltech ./options
FEATURE vsim modeltech 1997.090 dd-mmm-yyyy 2 \
6C92577EC335F4C9568D ck=61
FEATURE vcom modeltech 1997.090 dd-mmm-yyyy 2 \
6C92577EC335F4C9568D ck=61

8

Start Here for ModelSim EE

Note: To enable starting the license daemon from any directory,
change the DAEMON line to use the full path. For example:
DAEMON modeltech /usr/modeltech/hp700/modeltech \
/usr/modeltech/hp700/options

6

For HP installation using HP-UX version 9.x only: either the device /dev/
lan0 must be writable by the userID that starts the server, or the lmgrd
daemon and the lmhostid utility must be made “setuid root”. The
commands to do this are:

chmod a+w /dev/lan0

or
chown root lmgrd lmhostid
chmod u+s lmgrd lmhostid

7

Start the license manager daemon by entering the following commands:

cd /usr/modeltech/
START_SERVER

where  can be sun4, sunos5, hp700, rs6000.
If your system runs other applications that use Globetrotter Software’s
FLEXlm, a complete user’s manual for FLEXlm is available at
Globetrotter Software’s home page:
http://www.globetrotter.com/manual.htm
8

You may delete the executables you don’t want. For example, if you don’t
need ModelSim for HP machines:

cd /usr/modeltech/
rm -rf hp700

EE Installation

9

Installation - Windows platforms
Note: Any PC client or server must be connected to a network with TCP/
IP or IPX/SPX. If you are installing on an NT machine, make sure you are
logged in as "administrator" when running the installation program.
ModelSim EE requires a server for proper installation. Your server can be
one of the following:
• A stand-alone PC with a FLEXlm security key attached. (The PC acts
as both server and client.)
• A Windows server (95/98/NT) with a security key attached. (The client
has no security key.)
• A UNIX server. (The client nor server have a security key; the server’s
hostID provides the same locking function as the security key.)
Installing the FLEXid security key
Attach the FLEXid security key (FLEXid is printed on the key) to the
parallel port of the PC server or stand-alone PC (key drivers are loaded
during installation). If a printer or other device is using the parallel port,
install the security key on the port first, then attach that device to the key.
The security key will not interfere with normal device operations.
Please remember to protect your security key; Model Technology will
replace a defective key, but not one that is lost or stolen.
Installation and setup for a PC server
Follow this process to set up a floating license server on a PC. If you are
installing ModelSim on a stand-alone PC, the license is still a considered
floating license. If you are using a UNIX server see: "Setup for a UNIX
server" (p12).
1

Run the ModelSim installation.
• Install from the CD - install will auto-run when you insert the CD, or
you can click on the CD icon.
• Install from an FTP file - run the installation executable as noted
below.
The password-protected ModelSim installation executable (mti52ee.exe)
and documentation file (ee_docs.zip) are available within the versionnumbered directories at:
ftp.model.com/pub/EE/
Place the unzipped documentation files in the modelsim\docs directory
after ModelSim is installed.
10

Start Here for ModelSim EE

2

During installation you will be asked to choose a Sentinel driver for your
specific FLEXid security key. The number on your FLEXid security key
(something like 7-xxxxxxxx, or 8-xxxxxxxx) relates to the driver you will
select.

3

Copy license.dat (the license file you received by email when you
purchased ModelSim) to C:\modelsim\win32\license.dat, or to your
specific ModelSim pathname if different. Pasting the text from the email
into the license.dat file is the safest way to create a valid license file.
See "A license.dat file example" (p29) for details on the structure of a
license file.

4

Verify your hostname (the server’s computer name) via the Windows
Control Panel with either FLEXlm > Advanced > Diagnostics, or with
Network > Identification.

5

Edit the license.dat file and make the following changes:
• Replace "hostname" with the server computer name.
• Change the "1650" port value only if this port is already used. If
uncertain, do not change.
• Change the DAEMON path to correspond with the full pathname of
the modeltech daemon. For example,
DAEMON modeltech C:\modelsim\win32\modeltech

6

Set the environment variable for LM_LICENSE_FILE.
• For Windows NT go to Control Panel > System > Environment and
add LM_LICENSE_FILE with an initial value of 1650@MYPC,
where MYPC is the hostname.
• For Windows 95/98 edit the autoexec.bat and add the following line:
set LM_LICENSE_FILE=1650@MYPC

where MYPC is your hostname.
Note that the changes made to your license.dat file are reflected in the port#
(1650) and the hostname (MYPC) that you set for LM_LICENSE_FILE.
Note: If the LM_LICENSE_FILE variable is already defined, you can add
a second value by separating the two values with a semi-colon ( ; ). For
example: LM_LICENSE_FILE=1650@MYPC;c:\renoir\license.dat
7

For Windows 95/98, reboot your machine; no reboot is needed for NT.

EE Installation

11

8

Go to the FLEXlm License Manager located in your Control Panel. Choose
the Setup tab and add the pathnames for the lmgrd.exe, license.dat, and
debug.log. Make sure the pathnames and extensions are correct, then save
the setup. Typical entries might look like this:
lmgrd.exe
C:\modelsim\win32\lmgrd.exe
License file
C:\modelsim\win32\license.dat
Debug log file C:\modelsim\win32\debug.log

9

Next choose the FLEXlm Control tab. Press the start button. Wait a
moment, then press the status button. The server should be up. If the server
does not start, try the following troubleshooting procedures.

Troubleshooting PC server setup
1 Open a DOS window and go to the appropriate modelsim\win32 directory.
For example, C:\modelsim\win32. Enter the command:
lmutil lmdiag

This allows you to verify each feature independently.
2

From a DOS window, and the same win32 directory, enter the following:
lmutil lmstat -a

This shows you all licenses that are available.
3

Go to the debug.log inside the win32 directory. This may provide other
information about the source of the license server problems.

Setup for a UNIX server
Check with your system administrator for the server’s hostname and port#,
then follow the installation instructions above (steps 5 through 7) to set the
LM_LICENSE_FILE variable for your platform.

Windows platform installation details
Licensing
ModelSim licensing is handled by the FLEXlm license manager from
Globetrotter Software. In a Windows-only environment, a FLEXid security
key is installed that identifies the machine as the license server (a standalone PC acts as server and client). A UNIX machine may also be used as
the license server, and requires no security key because FLEXlm uses the
UNIX server’s hostID as the identifier.
The license file (license.dat) is based on the server identifier (the FLEXid,
or host ID), and is obtained from either Model Technology or Mentor
Graphics. (See "Licenses - ModelSim EE" (p29) if you need a license file.)
12

Start Here for ModelSim EE

If your license server is a UNIX workstation, make sure you are running
lmgrd version 5.12b. If you have ModelSim 5.2 installed on the UNIX
server, you have the current version - earlier lmgrd versions will not work
for Windows machines accessing the server. If you need a new version of
lmgrd, please download it from: http://www.globetrotter.com/lmgrd.htm.
If you are a Mentor customer using an MGC license, consult MGC
documentation for setting up your server.
How to find the security key ID
The key ID is on a sticker attached to the key. If you can’t easily access the
key, you can run a utility from the DOS prompt that reads the key ID. Type
lmutil lmhostid -flexid at the DOS prompt on the Windows server. You’ll
find lmutil.exe in the \modelsim\win32 directory after
ModelSim installation.

Regenerating your design libraries
Depending on your current ModelSim version, you may need to regenerate
your design libraries before running a simulation. Check the installation
readme file to see if your libraries require an update. You can easily
regenerate your design libraries with -refresh. By default, the work library
is updated; use -work  to update a different library. For example,
if you have a library named mylib that contains both VHDL and Verilog
design units you would use both of these commands:
vcom -work mylib -refresh
vlog -work mylib -refresh

Note: As in the example above, you will need to use vcom to refresh
VHDL, and vlog to refresh Verilog design units. Also, you don't need to
regenerate the std, ieee, vital22b, and verilog libraries.
Important: The library mapping for the provided ModelSim libraries
varies between ModelSim PE and ModelSim EE. For example, the std
library in the PE modelsim.ini says $MODEL_TECH/std, where EE says
$MODEL_TECH/../std. To prepare a new modelsim.ini for ModelSim EE
it is best to change the name of your PE modelsim.ini to another name, and
then use vmap to copy a new modelsim.ini file.

Installed directories and files
A typical installation for any EE platform would include the base file
structure illustrated below. For platform-specific (hp700, rs6000, sun4,
sunos5, and Win32) directories see "Platform-specific directories" (p19).
EE Installation

13

Installed files - ModelSim EE
Directory

Files & subdirectories

Description


/

convert.tcl, modelsim.ini,
rebuild_libs.csh,
rebuild_libs.sh,
release_notes,
release_notes.html,
vsim.ps

translates 4.6 DO
files into 5.1 DO
files, modelsim
initialization file,
recreates all libraries
from the source files
(for csh and sh
shells), modelsim
readme files in text
and HTML formats,
Postscript header
file

./arithmetic

std_logic_arith

Mentor Graphics
synthesis-specific
arithmetic packages

./bin

various

UNIX platformindependent
executables and
QuickHDL
mappings to
ModelSim
executables

* NOTE: the default
ModelSim directory
is /modeltech for
UNIX, and
/modelsim for
Windows

14

Start Here for ModelSim EE

Installed files - ModelSim EE
Directory

Files & subdirectories

Description

./docs

technotes, HTML,
winhelp,
ee_start_here.pdf,
ee_tutorial_.pdf,
ee_manual_.pdf,
ee_doc_index.pdf
sdk_um.pdf,

general ModelSim
technotes, HTML
versions of the Tcl/
Tk man pages,
WinHelp version of
the Tcl man pages,
Start Here document
in PDF format,
ModelSim Tutorial
in PDF format, EE/
PLUS Reference
Manual in PDF
format, ModelSim
documentation
index, MGC
standard developer’s
kit manual in PDF
format

./examples

various

example simulation
models, testbenches,
macros, and utilities

./examples/foreign

various

example file
directory for foreign
interface

./examples/
mixedhdl

various

example file
directory for mixed
VHDL/Verilog
design

./examples/
tcl_getstart

various

example files for
Tcl/Tk tutorial

EE Installation

15

Installed files - ModelSim EE

16

Directory

Files & subdirectories

Description

./ieee

various

library for
accelerated IEEE
and Synopsys
arithmetic packages

./ieeepure

various

standard VHDL
IEEE library

./include

various

include files for use
with Verilog PLI,
VHDL foreign
interface, and TCL

./mgc_portable

various

Mentor Graphics
QuickSim
compatible logic set

./std

various

VHDL STD library
and package
TEXTIO

./std_developerskit

various

libraries for MGC
standard developer’s
kit

./synopsys

various

accelerated
arithmetic packages
(also in ieee)

./tcl

bitmaps, tcl8.0, tix4.1,
tk8.0, vsim

libraries for Tcl, Tix,
Tk, and, vsim

./verilog

vl_types

VHDL package for
mapping to Verilog
states

./vhdl_src/
arithmetic

std_arit.vhd
(see note below)

source for Mentor
Graphics synthesis
arithmetic libraries

Start Here for ModelSim EE

Installed files - ModelSim EE
Directory

Files & subdirectories

Description

./vhdl_src/ieee

mti_numeric_bit.vhd,
mti_numeric_std.vhd,
stdlogic.vhd

sources for
rebuilding basic
IEEE
std_logic_1164
library and
accelerated IEEE
arithmetic packages

./vhdl_src/mentor

numeric_extra.vhd,
numeric_signed.vhd,
numeric_unsigned.vhd,
qsim_logic.vhd,
qsim_relations.vhd,
qsim_tc.vhd,
std_logic_1164_ext.vhd

source for Mentor
Graphics
QuickHDL add-ons

./vhdl_src/std

standard.vhd, textio.vhd

sources for VHDL
STD library and
package TEXTIO

./vhdl_src/
std_developerskit

examples, iopakb.vhd,
iopakp.vhd,
mempakb.vhd,
mempakp.vhd,
regpakb.vhd,
regpakp.vhd,
simflagb.vhd,
simflagp.vhd,
synthreg.vhd,
timingb.vhd, timingp.vhd

sources and
examples for MGC
standard developer’s
kit

EE Installation

17

Installed files - ModelSim EE
Directory

Files & subdirectories

Description

./vhdl_src/synopsys

mti_std_logic_arith.vhd,
mti_std_logic_misc.vhd,
mti_std_logic_signed.vhd
mti_std_logic_unsigned.v
hd, std_logic_textio.vhd,
syn_ari.vhd,
syn_attributes.vhd,
syn_type.vhd

sources for
rebuilding
accelerated
arithmetic packages

./vhdl_src/verilog

vltypes.vhd

source for rebuilding
Verilog library

./vhdl_src/vital2.2b

prmtvs_b.vhd,
prmtvs_p.vhd,
timing_b.vhd,
timing_p.vhd

sources for
rebuilding VITAL
version 2.2b library

./vhdl_src/vital95

prmtvs_b.vhd,
prmtvs_p.vhd,
timing_b.vhd,
timing_p.vhd

sources for
rebuilding VITAL
version 95 library

Note: The version of std_logic_arith contained in file std_arit.vhd is
NOT the version that is compiled into the ModelSim ieee library.
This version is compiled into library arithmetic and is available for
customers who may have previously used Mentor QuickVhdl, and used
the Mentor arithmetic package that contained std_logic_arith modified
for use with the Mentor synthesis tools.
The source for the ieee-precompiled version is in:
vhdl_src/synopsys/mti_std_logic_arith.vhd

18

Start Here for ModelSim EE

Platform-specific directories
Platform-specific directories within the  directory (hp700,
rs6000, sun4, sunos5, and win32) contain files as noted below.

Platform-specific directories - UNIX platforms
Files in UNIX platform directory

Description

DUMPLOG64

dumps readable content for vsim
.wav file

START_SERVER

license manager script

libhm.sl, libsm.sl, libswiftpli.sl

SmartModel and hardware
modeler support libraries

lmborrow, lmcksum, lmdiag,
lmdown, lmgrd, lmhostid,
lmremove, lmreread, lmstat,
lmswitchr, lmutil, lmver,
modeltech

Globetrotter license manager
executables

options

license manager user-specified
options

sm_entity, hm_entity

ModelSim tools for use with
LMG Smartmodels and hardware
modeler

tssi2mti

ModelSim tool for use with SEF
files

vcom

ModelSim VHDL compiler

vdel, vdir, vlib, vmake, vmap

ModelSim library management
tools

vlog

ModelSim Verilog compiler

vish

Tcl/TK user interface

vlm

simulation license manager
EE Installation

19

Platform-specific directories - UNIX platforms
Files in UNIX platform directory

Description

vgencomp

ModelSim tool for use with
Verilog modules in VHDL

vsim

ModelSim simulator

wav2log

translates ModelSim log file to
QuickSim II log file

./rs6000/mti_exports

list of foreign interface routines
exported from mti

./sun4/vsim.swift

ModelSim simulator for use with
LMG models; only required for
Sun4

Platform-specific directories - Windows platforms

20

Files in the ../win32 directory

Description

dumplog64.exe

dumps readable content for vsim
.wav file

libsm.dll, libsm.exp, libsm.lib,
libswiftpli.dll

SmartModel support libraries

flexlm.cpl, lmgr325c.dll,
lmgrd.exe, lmutil.exe,
modeltech.exe

Globetrotter license manager
executables and libraries

license.dat

license manager data file

modelsim.exe

invokes ModelSim environment

modelsim.ini

ModelSim project file

sm_entity.exe

ModelSim tool for use with LMG
models

Start Here for ModelSim EE

Platform-specific directories - Windows platforms
Files in the ../win32 directory

Description

tcl80.dll, tclpip80.dll,
tix4180.dll, tk80.dll

Tcl, Tix and Tk dynamic libraries

tssi2mti.exe

ModelSim tool for use with SEF
files

vcom.exe

ModelSim VHDL compiler

vdel.exe, vdir.exe, vlib.exe,
vmake.exe, vmap.exe

ModelSim library management
tools

vlog.exe

ModelSim Verilog compiler

vish.exe

Tcl/TK user interface

vlm.exe

simulation license manager

vgencomp.exe

ModelSim tool for use with
Verilog modules in VHDL

vsim.exe

ModelSim simulator

wav2log.exe

translates ModelSim log file to
QuickSim II log file

Locating Documentation
Online documentation
Model Technology documentation, including this booklet, is available in
Adobe Acrobat (PDF) format for online viewing.
PDF documentation is more than electronic images of paper manuals.
You’ll find it easier to follow cross references (click on blue links), and
navigate the document with the outline/bookmark feature of the Acrobat
Reader. Find and Search capabilities allow you to locate any text string, and
since the table of contents and index entries are also links into the
document, the Acrobat Reader "turns the pages" for you.
Locating Documentation

21

Our documentation requires Acrobat Reader version 3.01 for viewing (free
from adobe.com, and on the ModelSim CD). We suggest you use the
Reader with Search for viewing the files because it facilitates indexed
searching, which is much faster than simple text-string searches. See
"Using ModelSim’s PDF documentation" (p25) for more information
about using Search.

Getting the most current PDF manuals
Download the current PDF reference manuals from the Model Technology
FTP site: ftp://ftp.model.com/pub. Choose either the EE or PE tree; you’ll
find the documentation files within the version-numbered directories. The
Reference Manual files are password-protected for registered users. The
ModelSim EE Start Here and EE Tutorial are available from
www.model.com; no password is required. For the latest version of
ModelSim software see "Updates" (p30), www.model.com , or the ftp site
above.

Getting paper manuals
If you need a printed copy of the ModelSim Reference Manual or the
ModelSim Tutorial, use one of the request cards attached to this booklet.
Registered users may request up to two copies of each book for each license
purchased. You might save the second form to order new books when
versions change. (Additional copies are available at US$50 per book, you
might also consider printing only the sections you need from the PDF file.)

EE documentation
ModelSim EE documentation is included on the ModelSim CD in the
electronic formats shown below.

ModelSim EE/PLUS Reference Manual
• PDF format (in print for US$50)
• command and simulation reference for all EE products
• default path: /docs/ee_manual_.pdf

ModelSim EE Tutorial
• PDF format (in print for US$50)
• beginning tutorial for all EE products
• default path: /docs/ee_tutorial_.pdf

Std_DevelopersKit User’s Manual
• PDF format
22 Start Here for ModelSim EE

• Mentor Graphics VHDL design development kit
• default path: //docs/sdk_um.pdf

Start Here for ModelSim (this guide)
• PDF format
• installation and support references
• default path: //docs/ee_start_here.pdf

Technotes
• text format
• various
• default path: //docs/technotes/

Tcl man pages
• HTML format, and WinHelp format
• ModelSim menu pick: Help > Tcl Man Pages
Available from our web site:

ModelSim Quick Guide
• PDF format
• quick reference for commands, variables, tools
• from web site: www.model.com/pdf/quickguide.pdf

Using ModelSim’s PDF documentation
Getting the Acrobat Reader
ModelSim PDF documentation requires Adobe Acrobat Reader version
3.01 (Reader with Search is recommended). Installation files for the Reader
with Search are in the Acrobat directory on the ModelSim CD, or available
directly from Adobe at: http://www.adobe.com/

Searching PDF files
The Acrobat Reader with Search provides two methods for finding text
within PDF documents: Search (fast, indexed search), and Find (slower text
search). Look for these icons on the Acrobat Reader toolbar:

Search icons help you quickly search through an
indexed PDF document. The small binocular icon (the Find icon is larger)
returns a search criteria dialog box. To use Search you must be viewing an
Locating Documentation

23

indexed document. See "Requirements for Acrobat Search" (p24) below.
The Find icon initiates a simple text search of the PDF file.
Depending on the speed of your machine and the size of the file, Find can
be slow (but effective).

Requirements for Acrobat Search
To use Acrobat Search with ModelSim PDF docs you need the following
file/directory structure. If you installed ModelSim from the CD-ROM or
downloaded the documentation set from our web site
(http://www.model.com) you will likely have this structure. Search is
intended for use with the complete Manual/Tutorial documentation set.
Search will not work correctly with individual files that are not part of the
directory structure below.
Doc files for ModelSim EE
These ModelSim documentation files must be in the directory shown for
Acrobat Search to work.
This directory:
//docs/

must include these files:
ee_manual_.pdf (reference manual)
ee_tutorial_.pdf (Model Sim tutorial)
ee_start_here.pdf (this document)
ee_doc_index.pdf (links to documentation)
sdk_um.pdf (Mentor Std_developersKit)
ee_index.pdx (PDF index file)
/ee_index (directory and subdirectories for PDF index)

Copy and Paste from PDF files
You can copy text from PDF files and paste it into ModelSim’s Main
transcript.

Select the abc button on the Acrobat Reader toolbar. You can then
select and copy text from the PDF file into ModelSim. This is especially
helpful if you want to copy and paste examples from the tutorials to the
command line.

24

Start Here for ModelSim EE

Tech Support, Updates & Licensing
ModelSim contact matrix
If you are viewing this document online, select a link for ModelSim sales
and support from the table below, or see the sections that follow for
additional detail.
Model Technology (www.model.com)
Americas

Europe

Asia

Mentor Graphics (www.mentorg.com)
North America

Outside North America

1-800-547-4303

supportnetweb.mentorg.com

Annapolis Microsystems

www.annapmicro.com

Exemplar Logic

www.exemplar.com

Hewlett Packard EEsof

www.hp.com/go/hpeesof

Synplicity

www.synplicity.com

Technical support - by telephone
Mentor Graphics customers In North America
For customers who purchased products from Mentor Graphics in North
America, and are under a current support contract, technical telephone
support is available from the central SupportCenter by calling toll-free
1-800-547-4303. The coverage window is from 6:00am to 5:30pm Pacific
Time, Monday through Friday, excluding Mentor Graphics holidays.

Tech Support, Updates & Licensing

25

The more preliminary information customers can supply about a problem
or issue at the beginning of the reporting process, the sooner the Software
Support Engineer (SSE) can supply a solution or workaround. Information
of most help to the SSE includes accurate operating system and software
version numbers, the steps leading to the problem or crash, the first few
lines of a traceback, and problem sections of the Procedural Interface code.

Mentor Graphics customers outside North America
For customers who purchased products from Mentor Graphics outside of
North America, should contact their local support organization. A list of
local Mentor Graphics SupportCenters outside North America can be found
at supportnetweb.mentorg.com under "Connections", then "International
Directory".

Model Technology customers worldwide
For customers who purchased from Model Technology, please contact
Model Technology via the support line at 1-503-641-1340 from 8:00 AM
to 5:00 PM Pacific Time. Be sure to have your server hostID or hardware
security key authorization number handy.

Technical support - electronic support services
Mentor Graphics customers
Mentor Graphics Customer Support offers a SupportNet-Email server for
North American and European companies that lets customers find product
information or submit service requests (call logs) to the SupportCenter 24
hours a day, 365 days a year. The server will return a call log number within
minutes. SSEs follow up on the call logs submitted through SupportNetEmail using the same process as if a customer had phoned the
SupportCenter. For more information about using the SupportNet-Email
server, send a blank e-mail message to the following address:
support_net@mentorg.com.
Additionally, customers can open call logs or search the Mentor TechNote
database of solutions to try to find the answers to their questions by logging
onto Mentor Graphics’ Customer Support web home page at
http://supportnetweb.mentorg.com.
26

Start Here for ModelSim EE

To establish a SupportNet account for your site (both a site-based
SupportNet-Web account and a user-based SupportNet Email account),
please submit the following information: name, phone number, fax
number, email address, company name, site ID. Within one business day,
you will be provided with the account information for new registrations.
While all customers worldwide are invited to obtain a SupportNet-Web site
login, the SupportNet-Email services are currently limited to customers
who receive support from Mentor support offices in North America or
Europe. If you receive support from Mentor offices outside of North
America or Europe, please contact your local field office to obtain
assistance for a technical-support issue.

Model Technology customers
Support questions may be submitted through the Model Technology web
site at: www.model.com. Model Technology customers may also email test
cases to support@model.com; please provide the following information, in
this format, in the body of your email message:
• Your name:
Company:
Email address (if different from message address):
Telephone:
FAX (optional):
• ModelSim product (EE or PE, and VHDL, VLOG, or PLUS):
• ModelSim Version:
(Use the Help About dialog box with Windows; type vcom for UNIX
workstations.)
• Host operating system version:
• PC hardware security key authorization number:
• Host ID of license server for workstations:
• Description of the problem (please include the exact wording of any
error messages):

Tech Support, Updates & Licensing

27

Technical support - other channels
For customers who purchased ModelSim as part of a bundled product from
an OEM, or VAR, support is available at the following:
• Annapolis Microsystems
email: wftech@annapmicro.com
telephone: 1-410-841-2514
web site: http://www.annapmicro.com
• Exemplar Logic
email: support@exemplar.com
telephone: 1-510-789-3333
web site: http://www.exemplar.com
• Hewlett Packard EEsof
email: hpeesof_support@hp.com
telephone: 1-800-HPEESOF (1-800-473-3763)
web site: http://www.hp.com/go/hpeesof
• Synplicity
email: support@synplicity.com
telephone: 1-408-617-6000
web site: http://www.synplicity.com

Updates
Mentor customers: getting the latest version via FTP
You can ftp the latest EE or PE version of the software from the SupportNet
site at ftp://supportnet.mentorg.com/pub/mentortech/modeltech/.
Instructions are there as well. A valid license file from Mentor Graphics is
needed to uncompress the ModelSim EE files. A password from Model
Technology is required to uncompress the ModelSim PE files. Contact
license@model.com if you are a current PE customer and need a password.

28

Start Here for ModelSim EE

Model Technology customers: getting the latest version
via FTP
You can ftp the latest version of the software from the web site at
ftp://ftp.model.com. Instructions are there as well. A valid license file from
Model Technology is needed to uncompress the ModelSim EE files. A
password from Model Technology is needed to uncompress the ModelSim
PE files. Contact license@model.com if you are a current PE customer and
need a password.

Licenses - ModelSim EE
Where to obtain your license
Mentor Graphics customers must contact their Mentor Graphics
salesperson for ModelSim EE licensing. All other customers may obtain
ModelSim EE licenses from Model Technology. Please contact Model
Technology at license@model.com.

If you have trouble with licensing
Contact your normal technical support channel:
• Technical support - by telephone (p25)
• Technical support - electronic support services (p26)
• Technical support - other channels (p28)

All customers: ModelSim EE licensing
ModelSim EE uses Globetrotter’s FLEXlm license manager and files.
Globetrotter FLEXlm license files contain lines that can be referred to by
the word that appears first on the line. Each kind of line has a specific
purpose and there are many more kinds of lines that MTI does not use.
A license.dat file example
SERVER hostname 11111111 1650
DAEMON modeltech ./modeltech ./options
FEATURE vcom modeltech 1998.080 31-aug-98 1 \
0C944D8F0C79B02EF5CF ck=117

Tech Support, Updates & Licensing

29

FEATURE vsim modeltech 1998.080 31-aug-98 1 \
FCB4FD0F2A635C20E5CF ck=128
FEATURE vlog modeltech 1998.080 31-aug-98 1 \
0C944D9F176CA773E889 ck=10
FEATURE vsim-vlog modeltech 1998.080 31-aug-98 1 \
FCB41D9FC43C87567DBC ck=116
FEATURE hdlcom modeltech 1998.080 31-aug-98 1 \
4C94EDFF6A00858BC8F2 ck=93
FEATURE hdlsim modeltech 1998.080 31-aug-98 1 \
4CF48DDF6A6EA59BCEF2 ck=89
# NOTE: You can edit the hostname on the SERVER line (1st arg),
#
the port address on the SERVER line (3rd arg), the paths
#
to the daemon and options files on the DAEMON line
#
(2nd and 3rd args), or any right-half of a string (b)
#
of the form a=b where (a) is all lowercase. (For example,
#
xxx in vendor_info="xxx" can be changed).
#
Any other changes will invalidate this license.

A Globetrotter FLEXlm license file contains information about the license
server, the daemon required to authorize the feature, and a line for each
product feature you are authorized to execute.
The first line is a SERVER line; it spells out which computer on the
network is the license server. The license server is a network resource that
will manage the features for all users of ModelSim products. The SERVER
line includes the server's hostname (the server’s network identification - for
Windows, check the Network properties Identification tab), hostID
(a unique serial number), and a socket number. The hostname and socket
number may be changed in a license file, but any change to the hostID will
invalidate the license. If the host is a Windows machine, the hostID is the
FLEXid security key number, and will take the alpha-numeric form:
7-xxxxxxxx, or 8-xxxxxxxx.
If you need to find the unique server ID, use one of these UNIX commands:
for Sun, hostid; for HP, /etc/lanscan; for IBM RISC/6000: uname -m. To
determine the security key ID on a Windows server, from the DOS prompt,
invoke: lmutil lmhostid -flexid (located in the modelsim\win32 directory).
A DAEMON line specifies the name of the license daemon and the
locations of the daemon and options files it will use. This is the full path to
the modeltech daemon. In the example file, the UNIX "./" means "look in
the current directory". This is the directory in which the server was started.
If the server is to be started from another directory, the full path to the
modeltech and options files would need to be added to this line.
30

Start Here for ModelSim EE

For example,
DAEMON modeltech /usr/mti5.2/sunos5/modeltech \
/usr/mti5.2/sunos5/options

A FEATURE line describes how many licenses ("tokens") are available; it
contains the feature name, daemon required, most current build date
authorized to run, token expiration date, number of tokens for the feature,
license code, and a checksum. The features are:
vcom is a VHDL compilation feature
vsim is a VHDL simulation feature
vlog is a Verilog compilation feature
vsim-vlog is a Verilog simulation feature
When a VHDL design is compiled, a vcom token is used. When a Verilog
design is compiled, a vlog token is used. When a VHDL design is
simulated, a vsim token is used. When a Verilog design is simulated,
vsim-vlog is used. This is why two people running different singlelanguage designs can work at the same time with one ModelSim PLUS
product. When a design with both languages is run, one of each token is
checked out.
With ModelSim EE/LNL, hdl FEATURE lines are added. With LNL
(language-neutral licensing), either VHDL or Verilog - but not both - can
be used. The hdl FEATURE lines are shown in the example:
hdlcom is a compilation feature used with either VHDL or Verilog
hdlsim is a simulation feature used with either language
Notice the FEATURE lines. If a line is too long for the email program, a
backslash ("\") appears at the end of the line. A UNIX system reads that as
"whatever you read on the next line belongs on this line". So never edit out
the "\" when you are transcribing a license file. Never put another character
after it either.
All the important lines end in checksums. FLEXlm will let you know if you
mistyped something when transcribing the license files because the
checksum will not match the line's contents. (GLOBEtrotter has a utility
that will report any checksum errors in a file. Use this command: lmchksum
) Lines that start with "#" are comments.
If you want to learn more about the tools that license ModelSim, read the
license manager appendix in the ModelSim reference manual, and visit
GLOBEtrotter at http://www.globetrotter.com/home.htm.

Tech Support, Updates & Licensing

31

All customers: maintenance renewals and EE licenses
When maintenance is renewed, a new license file that incorporates the new
maintenance expiration date will be automatically sent to you. If
maintenance is not renewed, the current license file will still permit the use
of any version of the software built before the maintenance expired until the
stop date is reached.

All customers: license transfers and server changes
Model Technology and Mentor Graphics both charge a fee for server
changes or license transfers. Contact sales@model.com for more
information from Model Technology, or contact your local Mentor
Graphics sales office for Mentor Graphics purchases.

Online References
The Model Technology web site includes links to many EDA information
sources. Check the links below for the most current information.

Books and publications
model.com/support/tnbooksvhd.html

Partners
model.com/partners/index.html

Training partners
model.com/support/training.html

Resources
Useful quick guides and other references.
model.com/resources/index.html

32

Start Here for ModelSim EE

Model Technology
License Agreement
Software License Agreement
This is a legal agreement between you, the end user, and Model Technology Incorporated
(MTI). By opening the sealed package, or by signing this form, you are agreeing to be bound
by the terms of this agreement. If you do not agree to the terms of this agreement, promptly
return the unopened package and all accompanying items to the place you obtained them for
a full refund.
Model Technology Software License
1. LICENSE. MTI grants to you the nontransferable, nonexclusive right to use one copy of
the enclosed software program (the "SOFTWARE") for each license you have purchased.
The SOFTWARE must be used on the computer hardware server equipment that you
identified in writing by make, model, and workstation or host identification number and the
equipment served, in machine-readable form only, as allowed by the authorization code
provided to you by MTI or its agents. All authorized systems must be used within the country
for which the systems were sold. ModelSim EE licenses must be located at a single site, i.e.
within a one-kilometer radius identified in writing to MTI. This restriction does not apply to
single ModelSim PE licenses locked by a hardware security key, and such ModelSim PE
products may be relocated within the country for which sold.
2. COPYRIGHT. The SOFTWARE is owned by MTI (or its licensors) and is protected by
United States copyright laws and international treaty provisions. Therefore you must treat the
SOFTWARE like any other copyrighted material, except that you may either (a) make one
copy of the SOFTWARE solely for backup or archival purposes, or (b) transfer the
SOFTWARE to a single hard disk provided you keep the original solely for backup or archival
purposes. You may not copy the written materials accompanying the SOFTWARE.
3. USE OF SOFTWARE. The SOFTWARE is licensed to you for internal use only. You shall
not conduct benchmarks or other evaluations of the SOFTWARE without the advance written
consent of an authorized representative of MTI. You shall not sub-license, assign or
otherwise transfer the license granted or the rights under it without the prior written consent
of MTI or its applicable licensor. You shall keep the SOFTWARE in a restricted and secured
area and shall grant access only to authorized persons. You shall not make software
available in any form to any person other than your employees whose job performance
requires access and who are specified in writing to MTI. MTI may enter your business
premises during normal business hours to inspect the SOFTWARE, subject to your normal
security.
4. PERMISSION TO COPY LICENSED SOFTWARE. You may copy the SOFTWARE only
as reasonably necessary to support an authorized use. Except as permitted by Section 2, you
may not make copies, in whole or in part, of the SOFTWARE or other material provided by
MTI without the prior written consent of MTI. For such permitted copies, you will include all
notices and legends embedded in the SOFTWARE and affixed to its medium and container

Model Technology License Agreement

33

as received from MTI. All copies of the SOFTWARE, whether provided by MTI or made by
you, shall remain the property of MTI or its licensors.
You will maintain a record of the number and location of all copies of the SOFTWARE made,
including copes that have been merged with other software, and will make those records
available to MTI or its applicable licensor upon request.
5. TRADE SECRET. The source code of the SOFTWARE is trade secret or confidential
information of MTI or its licensors. You shall take appropriate action to protect the
confidentiality of the SOFTWARE and to ensure that any user permitted access to the
SOFTWARE does not provide it to others. You shall take appropriate action to protect the
confidentiality of the source code of the SOFTWARE. You shall not reverse-assemble,
reverse-compile or otherwise reverse-engineer the SOFTWARE in whole or in part. The
provisions of this section shall survive the termination of this Agreement.
6. TITLE. Title to the SOFTWARE licensed to you or copies thereof are retained by MTI or
third parties from whom MTI has obtained a licensing right.
7. OTHER RESTRICTIONS. You may not rent or lease the SOFTWARE. You shall not
mortgage, pledge or encumber the SOFTWARE in any way. You shall ensure that all support
service is performed by MTI or its designated agents. You shall notify MTI of any loss of the
SOFTWARE.
8. TERMINATION. MTI may terminate this Agreement, or any license granted under it, in the
event of breach or default by you. In the event of such termination, all applicable SOFTWARE
shall be returned to MTI or destroyed.
9. EXPORT. You agree not to allow the MTI SOFTWARE to be sent or used in any other
country except in compliance with this license and applicable U.S. laws and regulations. If
you need advice on export laws and regulations, you should contact the U.S. Department of
Commerce, Export Division, Washington, DC 20230, USA for clarification.
Important Notice
Any provision of Model Technology Incorporated SOFTWARE to the U.S. Government is with
"Restricted Rights" as follows: Use, duplication, or disclosure by the Government is subject
to restrictions as set forth in subparagraphs (a) through (d) of the Commercial ComputerRestricted Rights clause at FAR 2.227-19 when applicable, or in subparagraph (c)(1)(ii) of
the Rights in Technical Data and Computer Software clauses in the NASA FAR Supplement.
Any provision of Model Technology documentation to the U.S. Government is with Limited
Rights. Contractor/manufacturer is Model Technology Incorporated, 10450 SW Nimbus
Avenue / Building R, Portland, Oregon 97223-4347 USA.
Limited Warranty
LIMITED WARRANTY. MTI warrants that the SOFTWARE will perform substantially in
accordance with the accompanying written materials for a period of 30 days from the date of
receipt. Any implied warranties on the SOFTWARE are limited to 30 days. Some states do
not allow limitations on duration of an implied warranty, so the above limitation may not apply
to you.

34

Start Here for ModelSim EE

CUSTOMER REMEDIES. MTI’s entire liability and your exclusive remedy shall be, at MTI’s
option, either (a) return of the price paid or (b) repair or replacement of the SOFTWARE that
does not meet MTI’s Limited Warranty and which is returned to MTI. This Limited Warranty
is void if failure of the SOFTWARE has resulted from accident, abuse or misapplication. Any
replacement SOFTWARE will be warranted for the remainder of the original warranty period
or 30 days, whichever is longer.
NO OTHER WARRANTIES. MTI disclaims all other warranties, either express or implied,
including but not limited to implied warranties of merchantability and fitness for a particular
purpose, with respect to the SOFTWARE and the accompanying written materials. This
limited warranty gives you specific legal rights. You may have others, which vary from state
to state.
NO LIABILITY FOR CONSEQUENTIAL DAMAGES. In no event shall MTI or its suppliers be
liable for any damages whatsoever (including, without limitation, damages for loss of
business profits, business interruption, loss of business information, or other pecuniary loss)
arising out of the use of or inability to use these MTI products, even if MTI has been advised
of the possibility of such damages. Because some states do not allow the exclusion or
limitation of liability for consequential or incidental damages, the above limitation may not
apply to you.

Model Technology License Agreement

35

Keep these numbers handy for tech support:

UNIX server hostid # :

FLEXid security key # :

Model Technology Incorporated

www.model.com

Thank you for purchasing ModelSim!

Model Technology
A

M E N T O R

G R A P H I C S

C O M P A N Y

www.model.com



Source Exif Data:
File Type                       : PDF
File Type Extension             : pdf
MIME Type                       : application/pdf
PDF Version                     : 1.2
Linearized                      : Yes
Create Date                     : 1998:10:26 08:24:16
Producer                        : Acrobat Distiller 3.02
Title                           : Start Here for ModelSim EE
Creator                         : FrameMaker 5.5P4f
Subject                         : ModelSim EE 5.2
Author                          : Model Technology Incorporated
Modify Date                     : 1998:12:09 12:50:26
Page Count                      : 37
Page Mode                       : UseOutlines
EXIF Metadata provided by EXIF.tools

Navigation menu