Clevo M660SR, M665SR Service Manual. Www.s Manuals.com. Manual

User Manual: Notebook Clevo M665SR - Service manuals and Schematics, Disassembly / Assembly. Free.

Open the PDF directly: View PDF PDF.
Page Count: 93

DownloadClevo M660SR, M665SR - Service Manual. Www.s-manuals.com. Manual
Open PDF In BrowserView PDF
Preface

Notebook Computer
M660SR/M665SR
Service Manual
Preface

I

Preface

Notice
The company reserves the right to revise this publication or to change its contents without notice. Information contained
herein is for reference only and does not constitute a commitment on the part of the manufacturer or any subsequent vendor. They assume no responsibility or liability for any errors or inaccuracies that may appear in this publication nor are
they in anyway responsible for any loss or damage resulting from the use (or misuse) of this publication.
This publication and any accompanying software may not, in whole or in part, be reproduced, translated, transmitted or
reduced to any machine readable form without prior consent from the vendor, manufacturer or creators of this publication, except for copies kept by the user for backup purposes.

Preface

Brand and product names mentioned in this publication may or may not be copyrights and/or registered trademarks of
their respective companies. They are mentioned for identification purposes only and are not intended as an endorsement
of that product or its manufacturer.
Version 1.0
November 2007

Trademarks
Intel, Celeron, and Intel Core are trademarks/registered trademarks of Intel Corporation.
Windows® is a registered trademark of Microsoft Corporation.
Other brand and product names are trademarks and./or registered trademarks of their respective companies.

II

Preface

About this Manual
This manual is intended for service personnel who have completed sufficient training to undertake the maintenance and
inspection of personal computers.
It is organized to allow you to look up basic information for servicing and/or upgrading components of the M660SR/
M665SR series notebook PC.
The following information is included:
Chapter 1, Introduction, provides general information about the location of system elements and their specifications.
Chapter 2, Disassembly, provides step-by-step instructions for disassembling parts and subsystems and how to upgrade
elements of the system.

Preface

Appendix A, Part Lists
Appendix B, Schematic Diagrams

III

Preface

IMPORTANT SAFETY INSTRUCTIONS
Follow basic safety precautions, including those listed below, to reduce the risk of fire, electric shock and injury to persons when using any electrical equipment:

Preface

1. Do not use this product near water, for example near a bath tub, wash bowl, kitchen sink or laundry tub, in a wet
basement or near a swimming pool.
2. Avoid using a telephone (other than a cordless type) during an electrical storm. There may be a remote risk of electrical shock from lightning.
3. Do not use the telephone to report a gas leak in the vicinity of the leak.
4. Use only the power cord and batteries indicated in this manual. Do not dispose of batteries in a fire. They may
explode. Check with local codes for possible special disposal instructions.
5. This product is intended to be supplied by a Listed Power Unit (DC Output 19V, 3.42A or 18.5V, 3.5A AC/DC Adapter).

CAUTION
Always disconnect all telephone lines from the wall outlet before servicing or disassembling this equipment.

TO REDUCE THE RISK OF FIRE, USE ONLY NO. 26 AWG OR LARGER,
TELECOMMUNICATION LINE CORD
This Computer’s Optical Device is a Laser Class 1 Product

IV

Preface

Instructions for Care and Operation
The notebook computer is quite rugged, but it can be damaged. To prevent this, follow these suggestions:
1.

Don’t drop it, or expose it to shock. If the computer falls, the case and the components could be damaged.
Do not expose the computer
to any shock or vibration.

2.

Do not place anything heavy
on the computer.

Keep it dry, and don’t overheat it. Keep the computer and power supply away from any kind of heating element. This
is an electrical appliance. If water or any other liquid gets into it, the computer could be badly damaged.
Do not leave it in a place
where foreign matter or moisture may affect the system.

Don’t use or store the computer in a humid environment.

Do not place the computer on
any surface which will block
the vents.

Preface

Do not expose it to excessive
heat or direct sunlight.

3.

Do not place it on an unstable
surface.

Follow the proper working procedures for the computer. Shut the computer down properly and don’t forget to save
your work. Remember to periodically save your data as data may be lost if the battery is depleted.
Do not turn off the power
until you properly shut down
all programs.

Do not turn off any peripheral
devices when the computer is
on.

Do not disassemble the computer by yourself.

Perform routine maintenance
on your computer.

V

Preface
4.
5.

Avoid interference. Keep the computer away from high capacity transformers, electric motors, and other strong magnetic fields. These can hinder proper performance and damage your data.
Take care when using peripheral devices.
Use only approved brands of
peripherals.

Unplug the power cord before
attaching peripheral devices.

Preface

Power Safety
The computer has specific power requirements:



VI

•
•

Power Safety
Warning

•

Before you undertake
any upgrade procedures, make sure that
you have turned off the
power, and disconnected all peripherals
and cables (including
telephone lines). It is
advisable to also remove your battery in
order to prevent accidentally turning the
machine on.

•
•
•

Only use a power adapter approved for use with this computer.
Your AC adapter may be designed for international travel but it still requires a steady, uninterrupted power supply. If you are
unsure of your local power specifications, consult your service representative or local power company.
The power adapter may have either a 2-prong or a 3-prong grounded plug. The third prong is an important safety feature; do
not defeat its purpose. If you do not have access to a compatible outlet, have a qualified electrician install one.
When you want to unplug the power cord, be sure to disconnect it by the plug head, not by its wire.
Make sure the socket and any extension cord(s) you use can support the total current load of all the connected devices.
Before cleaning the computer, make sure it is disconnected from any external power supplies.
Do not plug in the power
cord if you are wet.

Do not use the power cord if
it is broken.

Do not place heavy objects
on the power cord.

Preface

Battery Precautions
• Only use batteries designed for this computer. The wrong battery type may explode, leak or damage the computer.
• Do not remove any batteries from the computer while it is powered on.
• Do not continue to use a battery that has been dropped, or that appears damaged (e.g. bent or twisted) in any way. Even if the
computer continues to work with a damaged battery in place, it may cause circuit damage, which may possibly result in fire.
• Recharge the batteries using the notebook’s system. Incorrect recharging may make the battery explode.
• Do not try to repair a battery pack. Refer any battery pack repair or replacement to your service representative or qualified service
personnel.
• Keep children away from, and promptly dispose of a damaged battery. Always dispose of batteries carefully. Batteries may explode
or leak if exposed to fire, or improperly handled or discarded.
• Keep the battery away from metal appliances.
• Affix tape to the battery contacts before disposing of the battery.
• Do not touch the battery contacts with your hands or metal objects.

Preface


Battery Disposal
The product that you have purchased contains a rechargeable battery. The battery is recyclable. At the end of
its useful life, under various state and local laws, it may be illegal to dispose of this battery into the municipal
waste stream. Check with your local solid waste officials for details in your area for recycling options or proper
disposal.
Caution
Danger of explosion if battery is incorrectly replaced. Replace only with the same or equivalent type recommended by the manufacturer. Discard used battery according to the manufacturer’s instructions.

VII

Preface

Related Documents
You may also need to consult the following manual for additional information:

Preface

User’s Manual on CD
This describes the notebook PC’s features and the procedures for operating the computer and its ROM-based setup program. It also describes the installation and operation of the utility programs provided with the notebook PC.

VIII

Preface

Contents
Introduction ..............................................1-1
Overview .........................................................................................1-1
System Specifications .....................................................................1-2
External Locator - Top View with LCD Panel Open ......................1-5
External Locator - Front & Rear Views ..........................................1-6
External Locator - Left & Right Side Views ...................................1-7
External Locator - Bottom View .....................................................1-8
Mainboard Overview - Top (Key Parts) .........................................1-9
Mainboard Overview - Bottom (Key Parts) ..................................1-10
Mainboard Overview - Top (Connectors) .....................................1-11
Mainboard Overview - Bottom (Connectors) ...............................1-12
Overview .........................................................................................2-1
Maintenance Tools ..........................................................................2-2
Connections .....................................................................................2-2
Maintenance Precautions .................................................................2-3
Removing the Battery ......................................................................2-5
Removing the Hard Disk Drive .......................................................2-6
Removing the System Memory (RAM) ..........................................2-8
Removing the Processor ................................................................2-10
Removing the Wireless LAN Module ...........................................2-12
Removing the Modem ...................................................................2-13
Removing the Bluetooth Module ..................................................2-14
Removing the Optical (CD/DVD) Device ....................................2-15
Removing the Keyboard ................................................................2-16

Part Lists ..................................................A-1

Part List Illustration Location ........................................................ A-2
Top with Fingerprint (M660SR) .................................................... A-3
Top without Fingerprint (M660SRU) ............................................ A-4

Schematic Diagrams................................. B-1
SYSTEM BLOCK DIAGRAM ......................................................B-2
CLOCK GENERATOR ..................................................................B-3
CPU-1 .............................................................................................B-4
CPU-2 .............................................................................................B-5
VN896-1 .........................................................................................B-6
VN896-2 .........................................................................................B-7
VN896-3 .........................................................................................B-8
VN896-4 .........................................................................................B-9
DDR2-1 .........................................................................................B-10
DDR2-2 .........................................................................................B-11
VGA G72M-1 ...............................................................................B-12
VGA G72M-2 ...............................................................................B-13
VGA G72M-3 ...............................................................................B-14
VGA G72M-4 ...............................................................................B-15
VT8237A-1 ...................................................................................B-16
VT8237A-2 ...................................................................................B-17
VT8237A-3 ...................................................................................B-18
HDD & CDROM ..........................................................................B-19
CARD READER ..........................................................................B-20
NEW CARD SOCKET .................................................................B-21
LAN ..............................................................................................B-22
USB & CCD .................................................................................B-23
KBC-IT8512E ...............................................................................B-24
IX

Preface

Disassembly ...............................................2-1

Top with Fingerprint (M665SRU) ................................................. A-5
Top without Fingerprint (M665SRU) ............................................ A-6
Bottom (M660SRU/M665SRU) .................................................... A-7
LCD (M660SRU/M665SRU) ........................................................ A-8
Combo (M660SRU/M665SRU) .................................................... A-9
DVD-Dual RW (M660SRU/M665SRU) ..................................... A-10

Preface

Preface
CRT & LVDS ............................................................................... B-25
FAN CONTROL, LPC ROM ....................................................... B-26
MINI-PCI & BLUETOOTH ........................................................ B-27
AUDIO VT1708A/ALC883 ........................................................ B-28
LED, VS POWER ........................................................................ B-29
CHARGER, DC IN ...................................................................... B-30
1.5V, 1.05VS ................................................................................ B-31
VCORE ........................................................................................ B-32
1.8V, 0.9VS .................................................................................. B-33
VDD3, VDD5 ............................................................................... B-34
EXT GPU 1.0VS/1.2VS ............................................................... B-35
HOTKEY LT BOARD ................................................................. B-36
PWR HOT BOARD ..................................................................... B-37
AUDIO & MODEM BOARD ...................................................... B-38
CLICK BOARD ........................................................................... B-39
USB BOARD ............................................................................... B-40
FINGERPRINT BOARD ............................................................. B-41

X

Introduction

Chapter 1: Introduction
Overview
This manual covers the information you need to service or upgrade the M660SR/M665SR series notebook computer.
Information about operating the computer (e.g. getting started, and the Setup utility) is in the User’s Manual. Information
about drivers (e.g. VGA & audio) is also found in User’s Manual. That manual is shipped with the computer.
Operating systems (e.g. Windows XP, Windows Vista, etc.) have their own manuals as do application software (e.g. word
processing and database programs). If you have questions about those programs, you should consult those manuals.

1.Introduction

The M660SR/M665SR series notebook is designed to be upgradeable. See “Disassembly” on page 2 - 1 for a detailed
description of the upgrade procedures for each specific component. Please note the warning and safety information indicated by the “” symbol.
The balance of this chapter reviews the computer’s technical specifications and features.

Overview 1 - 1

Introduction

System Specifications

Latest Specification Information

1.Introduction

The specifications listed in this Appendix are correct at the time of going to press. Certain items (particularly processor types/speeds and
CD/DVD device types) may be changed, delayed or updated due to the manufacturer's release schedule. Check with your service center
for details.

Feature
Processor

Specification
Intel® Core™2 Duo Processor
(478-pin) Micro-FC-PGA Package, Socket P
T7300/ T7500/ T7700

65nm (65 Nanometer) Process Technology
4MB On-die L2 Cache & 800MHz FSB
2.0/ 2.2/ 2.4 GHz

Intel® Core™2 Duo Processor
(478-pin) Micro-FC-PGA Package, Socket P
T7100

65nm (65 Nanometer) Process Technology
2MB On-die L2 Cache & 800MHz FSB
1.8 GHz

Intel® Celeron® M Processor
(478-pin) Micro-FCPGA Package
530/ 540/ 550

65nm (65 Nanometer) Process Technology
1MB On-die L2 Cache & 533MHz FSB
1.73/ 1.86/ 2.0 GHz

Core Logic

VIA VN896CE + VT8237A Chipset

Memory

Two 200 Pin SO-DIMM Sockets Supporting DDRII (DDR2) 533/667 MHz
64-bit Wide DDRII (DDR2) Data Per Channel
Memory Expandable up to 2GB (256/ 512/ 1024 MB DDRII Modules)

LCD

1 - 2 System Specifications

15.4" WXGA (1280 * 800) TFT LCD
OR
15.4‘” WXGA+ (1440 * 900) TFT LCD

Introduction
Feature

Specification
VIA VN896CE Integrated Video System
(Internal On Chip)
Chrome 9HC™ 3D/2D Graphics
Integrated 128bit 2D/3D Graphic Engine and Clock up to 250MHz
Supports CRT Resolutions up to 2048 * 1536 at 75Hz
Supports Microsoft DirectX 9.0

Security

Security (Kensington® Type) Lock Slot

BIOS Password

BIOS

One 8Mb SPI Flash ROM

Phoenix™ BIOS

Storage

One Changeable 12.7mm(h) Optical Device (CD/DVD) Type Drive (see “Optional” on page 1 - 4 for drive options)
Easy Changeable 2.5" 9.5 mm (h) SATA (Serial) HDD

Audio

Integrated AZALIA Compliant Interface (HDA)
3D Stereo Enhanced Sound System
Sound-Blaster PRO™ Compatible
2 * Built-In Speakers
Built-In Microphone

Keyboard &
Pointing Device

Winkey Keyboard

Built-In TouchPad with Scrolling Function

Interface

Three USB 2.0 Ports
One RJ-11 Modem Jack
One RJ-45 LAN Jack
One DC-in Jack

One External Monitor Port
One Headphone-Out Jack
One Microphone-In Jack
One Recording Line-In Jack
One S/PDIF Out Jack

Card Reader

Embedded 7-in-1 Card Reader (MS/ MS Pro/ SD/ Mini SD/ MMC/ RS MMC/ MS Duo)
Note: MS Duo/ Mini SD/ RS MMC Cards require a PC adapter

ExpressCard Slot

One ExpressCard/34(54) Slot

Communication

AZALIA 56K Plug & Play Fax/Modem V.90/92 Compliant
10M/100Mb Base-TX Ethernet LAN
802.11 b/g USB Wireless LAN Module (Option)
Bluetooth 2.0 + EDR (Enhanced Data Rate) Module (Factory Option)
1.3M or 2.0M Pixel USB PC Camera Module (Factory Option)

1.Introduction

Video Adapter

System Specifications 1 - 3

Introduction

1.Introduction

Feature

Specification

Power
Management

Supports ACPI 2.0 and PCI Bus Power Management 1.1
Compliant

Power

Full Range AC/DC Adapter AC input 100 - 240V, 50 - 60Hz, DC Output 19V, 3.42A (65 Watts) OR 18.5V, 3.5A (65
Watts)

Battery

6 Cell Smart Lithium-Ion Battery Pack, 4000mAH

Environmental
Spec

Temperature
Operating:
Non-Operating:

Dimensions
& Weight

360mm (w) * 267mm (d) * 25.4-34mm (h)
2.6 kg With 6 Cell Battery

Optional

Optical Drive Module Options:
DVD/CD-RW Combo Drive Module
DVD-Dual Drive Module (Super Multi)

5°C ~ 35°C
-20°C ~ 60°C

Battery Low Suspend
Supports Wake on LAN

Relative Humidity
Operating:
Non-Operating:

20% ~ 80%
10% ~ 90%

802.11 b/g USB Wireless LAN Module
1.3M or 2.0M Pixel USB PC Camera Module (Factory
Option)
Bluetooth 2.0 + EDR (Enhanced Data Rate) Module
(Factory Option)

1 - 4 System Specifications

Introduction

External Locator - Top View with LCD Panel Open

Figure 1
Top View

1

2

9
3

3

4
5

6

5

7
8

9

External Locator - Top View with LCD Panel Open 1 - 5

1.Introduction

1. Optional Built-In
PC Camera
2. LCD
3. Speakers
4. Power Button
5. Hot Key Buttons
6. Keyboard
7. TouchPad and
Buttons
8. Built-In
Microphone
9. LED Indicators

Introduction
Figure 2

External Locator - Front & Rear Views

Front Views
1. LED Indicators
1

1.Introduction

1

Figure 3
Rear Views
1. 1 * USB Port
2. DC-In Jack
3. Battery

1 - 6 External Locator - Front & Rear Views

1
2

3

Introduction

External Locator - Left & Right Side Views

Figure 4
Left Side View

2

1

3

4

5

6

7

Figure 5
Right Side View

1
2

3

3

4

5

1. ExpressCard Slot
2. 7-in-1 Card
Reader
3. 2 * USB 2.0 Ports
4. RJ-45 LAN Jack
5. External Monitor
Port

External Locator - Left & Right Side Views 1 - 7

1.Introduction

1. Security Lock Slot
2. Optical Device
Drive Bay
3. RJ-11 Modem
Jack
4. S/PDIF-Out Jack
5. Microphone-In
Jack
6. Headphone-Out
Jack
7. Line-In Jack

Introduction

External Locator - Bottom View
Figure 6
Bottom View

1.Introduction

1. Vent/Fan Intake
2. Battery
3. Hard Disk Bay
Cover
4. CPU/RAM Bay
Cover

2

4


Overheating
To prevent your computer from overheating
make sure nothing
blocks the vent/fan intakes while the computer is in use.

1 - 8 External Locator - Bottom View

1

3
1

Introduction

Mainboard Overview - Top (Key Parts)

Figure 7
Mainboard Top
Key Parts
1. Audio Codec
VT1613
2. Audio Amp
3. Hitachi H8
4. Card Reader
Control
5. ExpressCard
Assembly

1.Introduction

3
5
4
1

2

Mainboard Overview - Top (Key Parts) 1 - 9

Introduction
Figure 8

Mainboard Overview - Bottom (Key Parts)

1.Introduction

Mainboard Bottom
Key Parts
1. CPU Socket (no
CPU installed)
2. Memory Slots
DDRII So-DIMM
3. NorthbridgeVN896
4. ICS Clock
Generator
5. SouthbridgeVT8237A
2
1

3

4

1 - 10 Mainboard Overview - Bottom (Key Parts)

5

Introduction

Mainboard Overview - Top (Connectors)

Figure 9
Mainboard Top
Connectors

3

1

11
2

9

3

8
5

7

3
6

4

Mainboard Overview - Top (Connectors) 1 - 11

1.Introduction

10

1. External Monitor
Port
2. RJ-45 LAN Jack
3. USB Port
4. HDD Connector
5. Hotkey Cable
Connector
6. Power Hotkey
Cable Connector
7. Touch Pad Cable
Connector
8. Keyboard Cable
Connector
9. Speaker Cable
Connector
10. Inverter Cable
Connector
11. CCD Cable
Connector

Introduction
Figure 10

Mainboard Overview - Bottom (Connectors)

1.Introduction

Mainboard Bottom
Connectors

1

1. DC-In Jack
2. Fan Cable
Connector
3. LCD Cable
Connector
4. Batterry
Connector
5. Optical Device
Drive Connector
6. Bluetooth Cable
Connector
7. Card Reader

4
2

5
3

7

1 - 12 Mainboard Overview - Bottom (Connectors)

6

Disassembly

Chapter 2: Disassembly
Overview
This chapter provides step-by-step instructions for disassembling the M660SR/M665SR series notebook’s parts and subsystems. When it comes to reassembly, reverse the procedures (unless otherwise indicated).
We suggest you completely review any procedure before you take the computer apart.

To make the disassembly process easier each section may have a box in the page margin. Information contained under
the figure # will give a synopsis of the sequence of procedures involved in the disassembly procedure. A box with a 
lists the relevant parts you will have after the disassembly process is complete. Note: The parts listed will be for the disassembly procedure listed ONLY, and not any previous disassembly step(s) required. Refer to the part list for the previous disassembly procedure. The amount of screws you should be left with will be listed here also.


Information

A box with a  will also provide any possible helpful information. A box with a  contains warnings.
An example of these types of boxes are shown in the sidebar.


Warning

Overview 2 - 1

2.Disassembly

Procedures such as upgrading/replacing the RAM, CD device and hard disk are included in the User’s Manual but are
repeated here for your convenience.

Disassembly
NOTE: All disassembly procedures assume that the system is turned OFF, and disconnected from any power supply (the
battery is removed too).

Maintenance Tools
The following tools are recommended when working on the notebook PC:

2.Disassembly

•
•
•
•
•
•

M3 Philips-head screwdriver
M2.5 Philips-head screwdriver (magnetized)
M2 Philips-head screwdriver
Small flat-head screwdriver
Pair of needle-nose pliers
Anti-static wrist-strap

Connections
Connections within the computer are one of four types:

2 - 2 Overview

Locking collar sockets for ribbon connectors

To release these connectors, use a small flat-head screwdriver to
gently pry the locking collar away from its base. When replacing the connection, make sure the connector is oriented in the
same way. The pin1 side is usually not indicated.

Pressure sockets for multi-wire connectors

To release this connector type, grasp it at its head and gently
rock it from side to side as you pull it out. Do not pull on the
wires themselves. When replacing the connection, do not try to
force it. The socket only fits one way.

Pressure sockets for ribbon connectors

To release these connectors, use a small pair of needle-nose pliers to gently lift the connector away from its socket. When replacing the connection, make sure the connector is oriented in
the same way. The pin1 side is usually not indicated.

Board-to-board or multi-pin sockets

To separate the boards, gently rock them from side to side as
you pull them apart. If the connection is very tight, use a small
flat-head screwdriver - use just enough force to start.

Disassembly

Maintenance Precautions
The following precautions are a reminder. To avoid personal injury or damage to the computer while performing a removal and/or replacement job, take the following precautions:

Power Safety
Warning
Before you undertake
any upgrade procedures, make sure that
you have turned off the
power, and disconnected all peripherals
and cables (including
telephone lines). It is
advisable to also remove your battery in
order to prevent accidentally turning the
machine on.

Cleaning
Do not apply cleaner directly to the computer, use a soft clean cloth.
Do not use volatile (petroleum distillates) or abrasive cleaners on any part of the computer.

Overview 2 - 3

2.Disassembly

1. Don't drop it. Perform your repairs and/or upgrades on a stable surface. If the computer falls, the case and other
components could be damaged.
2. Don't overheat it. Note the proximity of any heating elements. Keep the computer out of direct sunlight.
3. Avoid interference. Note the proximity of any high capacity transformers, electric motors, and other strong magnetic fields. These can hinder proper performance and damage components and/or data. You should also monitor
the position of magnetized tools (i.e. screwdrivers).
4. Keep it dry. This is an electrical appliance. If water or any other liquid gets into it, the computer could be badly
damaged.
5. Be careful with power. Avoid accidental shocks, discharges or explosions.
•Before removing or servicing any part from the computer, turn the computer off and detach any power supplies.
•When you want to unplug the power cord or any cable/wire, be sure to disconnect it by the plug head. Do not pull on the wire.
6. Peripherals – Turn off and detach any peripherals.
7. Beware of static discharge. ICs, such as the CPU and main support chips, are vulnerable to static electricity.
Before handling any part in the computer, discharge any static electricity inside the computer. When handling a
printed circuit board, do not use gloves or other materials which allow static electricity buildup. We suggest that
you use an anti-static wrist strap instead.
8. Beware of corrosion. As you perform your job, avoid touching any connector leads. Even the cleanest hands produce oils which can attract corrosive elements.
9. Keep your work environment clean. Tobacco smoke, dust or other air-born particulate matter is often attracted
to charged surfaces, reducing performance.
10. Keep track of the components. When removing or replacing any part, be careful not to leave small parts, such as
screws, loose inside the computer.



Disassembly

Disassembly Steps
The following table lists the disassembly steps, and on which page to find the related information. PLEASE PERFORM
THE DISASSEMBLY STEPS IN THE ORDER INDICATED.

To remove the Battery:
1. Remove the battery

To remove the Bluetooth:
page 2 - 5

1. Remove the battery
2. Remove the bluetooth

page 2 - 5
page 2 - 6

To remove the Optical Device:

page 2 - 5
page 2 - 8

To remove the Keyboard:

To remove the HDD:

2.Disassembly

1. Remove the battery
2. Remove the HDD

1. Remove the battery
2. Remove the Optical device

page 2 - 5
page 2 - 14

page 2 - 5
page 2 - 15

To remove the System Memory:
1. Remove the battery
2. Remove the system memory

To remove the Processor:
1. Remove the battery
2. Remove the processor

page 2 - 5
page 2 - 10

To remove the Wireless LAN Module:
1. Remove the battery
2. Remove the wireless LAN

page 2 - 5
page 2 - 12

To remove the Modem :
1. Remove the battery
2. Remove the modem

2 - 4 Overview

page 2 - 5
page 2 - 13

1. Remove the battery
2. Remove the keyboard

page 2 - 5
page 2 - 16

Disassembly

Removing the Battery
1.
2.
3.
4.

Turn the computer off, and turn it over.
Slide the latches ( 1 & 2 ) the direction of the arrow, and hold latch 2 in place.
Slide the battery in the direction of the arrow 3 .
Lift the battery 64 out.

a.

2

1

Figure 1
Battery Removal
a. Slide the 2 latches and
hold latch 2 in place.
b. Slide the battery in the direction of the arrow.
c. Lift the battery out.

b.
3

2.Disassembly

c.

4


4. Battery

Removing the Battery 2 - 5

Disassembly

Removing the Hard Disk Drive
Figure 2
HDD Assembly
Removal
a. Locate the HDD bay cover and remove the
screws.
b. Remove the bay cover.

The hard disk drive can be taken out to accommodate other 2.5" serial (SATA) hard disk drives with a height of 9.5mm
(h). Follow your operating system’s installation instructions, and install all necessary drivers and utilities (as outlined in
Chapter 4 of the User’s Manual) when setting up a new hard disk.

Hard Disk Upgrade Processl
1. Turn off the computer, and remove the battery (page 2 - 5).
2. Locate the hard disk bay cover and remove the screws ( 1 - 3 ).
3. Remove the bay cover 64 .

2.Disassembly

a.

b.

4
1

2

3




HDD System Warning
New HDD’s are blank. Before you begin make sure:

4. HDD Bay Cover

You have backed up any data you want to keep from your old HDD.

• 3 Screw

You have all the CD-ROMs and FDDs required to install your operating system and programs.
If you have access to the internet, download the latest application and hardware driver updates for the operating system you plan
to install. Copy these to a removable medium.

2 - 6 Removing the Hard Disk Drive

Disassembly
4.
5.
6.
7.

Carefully grip the mylar tab 5 and slide the hard disk in the direction of arrow.
Lift the hard disk up (Figure d) in the direction of arrow.
Remove the screws 6 - 7 and separate the mylar cover 68 from the hard disk 69 .
Reverse the process to install any new hard disk.
c.

d.

Figure 3
HDD Assembly
Removal Sequence
c. Slide the HDD in the direction of the arrow.
d. Lift the HDD out of the
bay.
e. Remove the screws and
separate the mylar cover
from the HDD.

e.
6

5
7

8

2.Disassembly

9


8. Mylar Cover
9. HDD

Removing the Hard Disk Drive 2 - 7

Disassembly

Figure 4
RAM Module
Removal
a. Remove the screws.
b. Remove the cover.

2.Disassembly



Removing the System Memory (RAM)
The computer has two memory sockets for 200 pin Small Outline Dual In-line Memory Modules (SO-DIMM) supporting
DDRII 533/667MHz. The main memory can be expanded up to 2GB. The SO-DIMM modules supported are 256MB,
512MB and 1024MB DDRII Modules. The total memory size is automatically detected by the POST routine once you
turn on your computer.

Memory Upgrade Process
1.
2.
3.
4.

Turn off the computer, remove the battery (page 2 - 5).
Locate the CPU/RAM bay cover, and remove screws 1 - 7 .
Remove the bay cover 8 .
The RAM will be visible at point 9 on the mainboard.

Contact Warning
Be careful not to touch
the metal pins on the
module’s connecting
edge. Even the cleanest hands have oils
which can attract particles, and degrade the
module’s
performance.

a.

b.
3

4
5

6

2
9
1


8. CPU/RAM Bay Cover

• 7 Screws

2 - 8 Removing the System Memory (RAM)

7

8

Disassembly
5. Gently push the two release latches ( 10 & 11 ) on the sides of the memory socket in the direction indicated by the
arrows (Figure c).
6. The RAM module(s) 12 will pop-up (Figure d), and you can then remove it.

c.

c. Push
the
release
latch(es).
d. Remove the module(s).

d.

11

10

11

12

2.Disassembly

10

Figure 5
Memory Removal
Sequence

7. Push the latches to release the second module if necessary.
8. Insert a new module holding it at about a 30° angle and fit the connectors firmly into the memory slot.
9. The module will only fit one way as defined by its pin alignment. Make sure the module is seated as far into the slot
as it will go. DO NOT FORCE IT; it should fit without much pressure.
10. Press the module down towards the mainboard until the slot levers click into place to secure the module.
11. Replace the bay cover and the screws (see page 2 - 8).
12. Restart the computer to allow the BIOS to register the new memory configuration as it starts up.


12 RAM Module(s)

Removing the System Memory (RAM) 2 - 9

Disassembly

Figure 6
Processor Removal

1.
2.
3.
4.

Turn off the computer, and remove the battery (page 2 - 5) and the CPU/RAM bay cover (page 2 - 8).
The CPU heat sink will be visible at point 1 on the mainboard.
Remove screws 2 - 5 from the heat sink in the order indicated.
Carefully lift up the heat sink 6 (Figure c) off the computer.
a.

b.

2.Disassembly

a. Remove the cover and
Iocate the heat sink.
b. Remove the 4 screws in
the order indicated.
c. Remove the heat sink.

Removing the Processor

1

c.


6. Heat Sink

• 4 Screws

2 - 10 Removing the Processor

6

2

5

4

3

Disassembly
5.
6.
7.
8.

Turn the release latch 7 towards the unlock symbol
, to release the CPU (Figure d).
Carefully (it may be hot) lift the CPU 8 up out of the socket (Figure e).
Reverse the process to install a new CPU.
When re-inserting the CPU, pay careful attention to the pin alignment, it will fit only one way (DO NOT FORCE IT!).

Figure 7
Processor Removal
(cont’d)

d.

d. Turn the release latch to
unlock the CPU.
e. Lift the CPU out of the
socket.

7

2.Disassembly

7

Unlock

Lock

e.

8


Caution
The heat sink, and CPU area in
general, contains parts which are
subject to high temperatures. Allow
the area time to cool before removing these parts.


8. CPU

Removing the Processor 2 - 11

Disassembly
Figure 8
Wireless LAN
Module Removal

2.Disassembly

a. Remove the cover and
Iocate the heat sink.
b. Disconnect the cable
and remove the 2
screws.
c. The WLAN module will
pop up.
d. Remove the
WLAN
module.

Removing the Wireless LAN Module
Turn off the computer, remove the battery (page 2 - 5) and the module bay cover (page 2 - 8).
The Wireless LAN module will be visible at point 1 on the mainboard.
Carefully disconnect cable 2 , then remove the screws 3 - 4 from the module socket.
The wireless LAN module 5 will pop-up.
Lift the wireless LAN module (Figure d) up and off the computer.

1.
1.
2.
3.
4.
a.

b.
3

Note: Make sure you
reconnect the antenna
cable to the “Main”
socket (Figure b).

2
1

4

c.
d.


5. WLAN Module

• 2 Screws

2 - 12 Removing the Wireless LAN Module

5

Disassembly

Removing the Modem
1.
2.
3.
4.
5.

Figure 9

Turn off the computer, remove the battery (page 2 - 5), and remove the hard disk bay cover (page 2 - 6).
The modem will be visible at point 1 on the mainboard.
Remove screws 2 - 3 from the modem module.
Lift the modem up off the socket 4 and separate the modem from the connector 5 .
Lift the modem 6 up and off the computer.
a.

d.

Modem Removal
a. Remove the cover and
locate the modem.
b. Remove the screws.
c. Lift the modem up off the
socket and disconnect
the connector.
d. Lift the modem out.

2.Disassembly

1
6

c.

b.

2



5

6. Modem

• 2 Screws
3

4

Removing the Modem 2 - 13

Disassembly
Figure 10
Bluetooth Removal

2.Disassembly

a. Remove the cover and
locate the Bluetooth
module.
b. Separate the the module from connector and
disconnect the cable.
c. Remove Bluetooth module.

Removing the Bluetooth Module
1.
2.
3.
4.

Turn off the computer, remove the battery (page 2 - 5) and remove the hard disk bay cover (page 2 - 6).
The Bluetooth module will be visible at point 1 on the mainboard.
Carefully separate the module from the connector 2 and disconnect the cable 3 .
Lift the Bluetooth module 4 (Figure c) up and off the computer.
c.

a.
1

4

b.

2
3


4. Bluetooth Module

2 - 14 Removing the Bluetooth Module

Disassembly

Removing the Optical (CD/DVD) Device

Figure 11

1.
2.
3.
4.
5.

Turn off the computer, remove the battery (page 2 - 5).
Locate the hard disk bay cover and remove screws ( 1 - 3 ).
Remove the bay cover 64 .
Remove the screw at point 5 , and use a screwdriver to carefully push out the optical device at point 6 .
Insert the new device and carefully slide it into the computer (the device only fits one way. DO NOT FORCE IT; The
screw holes should line up.
6. Restart the computer to allow it to automatically detect the new device.
a.

b.

Optical Device
Removal
a. Remove the screws.
b. Remove the cover.
c. Remove the screw and
push the optical device
out off the computer at
point 6.
d. Remove the optical device.

2.Disassembly

4
1 2

3

d.

c.

5

7


6

4
7

HDD Bay Cover
Optical Device

• 4 Screws

Removing the Optical (CD/DVD) Device 2 - 15

Disassembly
Figure 12
Keyboard Removal
a. Press the three latches
to release the keyboard.
b. Lift the keyboard up and
disconnect the cable
from the locking collar.
c. Remove the keyboard.

Removing the Keyboard
1. Turn off the computer, and remove the battery (page 2 - 5).
2. Press the three keyboard latches at the top of the keyboard to elevate the keyboard from its normal position (you
may need to use a small screwdriver to do this).
3. Carefully lift the keyboard up, being careful not to bend the keyboard ribbon cable (Figure b).
4. Disconnect the keyboard ribbon cable 4 from the locking collar socket 5 .
5. Carefully lift up the keyboard 6 (Figure c) off the computer.
a.

2.Disassembly

1

b.

2

3
4
5


Re-Inserting the Keyboard

c.

When re-inserting the
keyboard firstly align
the five keyboard tabs
at the bottom of the
keyboard with the slots
in the case.



6

6

6. Keyboard

Keyboard Tabs

2 - 16 Removing the Keyboard

Part Lists

Appendix A:Part Lists
This appendix breaks down the M660SR/M665SR series notebook’s construction into a series of illustrations. The component part numbers are indicated in the tables opposite the drawings.
Note: This section indicates the manufacturer’s part numbers. Your organization may use a different system, so be sure
to cross-check any relevant documentation.
Note: Some assemblies may have parts in common (especially screws). However, the part lists DO NOT indicate the
total number of duplicated parts used.

A.Part Lists

Note: Be sure to check any update notices. The parts shown in these illustrations are appropriate for the system at the
time of publication. Over the product life, some parts may be improved or re-configured, resulting in new part numbers.

A - 1

Part Lists

Part List Illustration Location
The following table indicates where to find the appropriate part list illustration.
Table A - 1

A.Part Lists

Part List Illustration
Location

Part
Top with Fingerprint - (M660SR)

page A - 3

Top without Fingerprint - (M660SR)

page A - 4

Top with Fingerprint - (M665SR)

page A - 5

Top without Fingerprint - (M665SR)

page A - 6

Bottom - (M660SR/M665SR)

page A - 7

LCD - (M660SR/M665SR)

page A - 8

Combo - (M660SR/M665SR)

page A - 9

DVD-Dual RW - (M660SR/M665SR)

A - 2 Part List Illustration Location

Pages#

page A - 10

Part Lists

Top with Fingerprint (M660SR)

無鉛

Top
with Fingerprint
(M660SR)

無鉛

無鉛
無鉛
(太乙加邊框)

無鉛

無鉛
改用NATURE 料及PALMREST內部貼鋁箔 M660SU 無鉛

無鉛

無鉛

鴻富

無鉛

無鉛

無鉛

無鉛

無鉛
無鉛
鴻富

無鉛
無鉛
無鉛

增加 2 個轉折)

無鉛

鴻富

Top with Fingerprint (M660SR) A - 3

A.Part Lists

Figure A - 1

Part Lists

Top without Fingerprint (M660SR)

A.Part Lists

Figure A - 2
Top without
Fingerprint
(M660SR)
無鉛
無鉛

無鉛
無鉛
(太乙加邊框)

無鉛

無鉛
料

位置

尺寸改窄

無鉛

無鉛

無鉛

鴻富

無鉛

無鉛

無鉛

無鉛
無鉛
無鉛

鴻富

A - 4 Top without Fingerprint (M660SR)

無鉛

Part Lists

Top with Fingerprint (M665SR)

無鉛

Top
with Fingerprint
(M665SR)

無鉛

無鉛
無鉛
(太乙加邊框)

無鉛

無鉛
料

位置CR尺寸改窄

無鉛

無鉛
(折角96度)(無鉛)

鴻富

無鉛

無鉛

無鉛
無鉛
無鉛
被

無鉛
鴻富

無鉛
無鉛
無鉛

增加 2 個轉折)

無鉛

鴻富

Top with Fingerprint (M665SR) A - 5

A.Part Lists

Figure A - 3

Part Lists

Top without Fingerprint (M665SR)

A.Part Lists

Figure A - 4
Top without
Fingerprint
(M665SR)
無鉛
無鉛

無鉛
無鉛
(太乙加邊框)

無鉛

無鉛
料

位置

尺寸改窄

無鉛

無鉛
(折角96度)(無鉛)

鴻富

無鉛

無鉛

無鉛
無鉛
無鉛
被

無鉛
鴻富

A - 6 Top without Fingerprint (M665SR)

無鉛

Part Lists

Bottom (M660SR/M665SR)

無鉛

無鉛

無鉛

無鉛
華力

無鉛

Figure A - 5
Bottom (M660SR/
M665SR)

無鉛

(中間加肉 0.8MM)(無鉛)
無鉛
無鉛
無鉛
無鉛
(無散熱片)

(先進)無鉛

無鉛

外

無鉛
無鉛

無鉛
無鉛
(螺絲孔位置加厚度補強)

無鉛

(高度8.0MM)無鉛
華力

無鉛

(加背膠) 鴻富

無鉛

前緣氣孔)黑色(無鉛)

藍天2 互億

無鉛
無鉛

無鉛
無鉛
無鉛
黑色(高度8.0MM)無鉛

無鉛

無鉛
無鉛
無鉛

Bottom (M660SR/M665SR) A - 7

A.Part Lists

無鉛
無鉛

Part Lists

LCD (M660SR/M665SR)

A.Part Lists

Figure A - 6
LCD (M660SR/
M665SR)
無鉛
無鉛
無鉛

無鉛
無鉛
無鉛

(剛毅)無鉛
膠帶加長

無鉛

無鉛
無鉛
無鉛

無鉛

華力
鐵氟龍線

無鉛

鐵氟龍線

華力

無鉛
(剛毅)無鉛

華力

端

改為

無鉛
無鉛

無鉛(公模面增加兩個理線BOSS)

無鉛
無鉛

中性

無鉛

無鉛
含背膠)(無鉛)
含背膠)(無鉛)

無鉛
加開避位口

A - 8 LCD (M660SR/M665SR)

無鉛

Part Lists

Combo (M660SR/M665SR)

Figure A - 7

減膠

Combo (M660SR/M665SR) A - 9

A.Part Lists

Combo (M660SR/
M665SR)

Part Lists

DVD-Dual RW (M660SR/M665SR)

A.Part Lists

Figure A - 8
DVD-Dual RW
(M660SR/M665SR)

A - 10 DVD-Dual RW (M660SR/M665SR)

Schematic Diagrams

Appendix B:Schematic Diagrams
This appendix has circuit diagrams of the M660SR/M665SR notebook’s PCB’s. The following table indicates where to
find the appropriate schematic diagram.
Diagram - Page

Diagram - Page

Diagram - Page

Table B - 1
Schematic
Diagrams

VT8237A-1 - Page B - 16

CHARGER, DC IN - Page B - 30

CLOCK GENERATOR - Page B - 3

VT8237A-2 - Page B - 17

1.5V, 1.05VS - Page B - 31

CPU-1 - Page B - 4

VT8237A-3 - Page B - 18

VCORE - Page B - 32

CPU-2 - Page B - 5

HDD & CDROM - Page B - 19

1.8V, 0.9VS - Page B - 33

VN896-1 - Page B - 6

CARD READER - Page B - 20

VDD3, VDD5 - Page B - 34

VN896-2 - Page B - 7

NEW CARD SOCKET - Page B - 21

EXT GPU 1.0VS/1.2VS - Page B - 35

VN896-3 - Page B - 8

LAN - Page B - 22

HOTKEY LT BOARD - Page B - 36

VN896-4 - Page B - 9

USB & CCD - Page B - 23

PWR HOT BOARD - Page B - 37

DDR2-1 - Page B - 10

KBC-IT8512E - Page B - 24

AUDIO & MODEM BOARD - Page B - 38

DDR2-2 - Page B - 11

CRT & LVDS - Page B - 25

CLICK BOARD - Page B - 39

VGA G72M-1 - Page B - 12

FAN CONTROL, LPC ROM - Page B - 26

USB BOARD - Page B - 40

VGA G72M-2 - Page B - 13

MINI-PCI & BLUETOOTH - Page B - 27

FINGERPRINT BOARD - Page B - 41

VGA G72M-3 - Page B - 14

AUDIO VT1708A/ALC883 - Page B - 28

VGA G72M-4 - Page B - 15

LED, VS POWER - Page B - 29

B.Schematic Diagrams

SYSTEM BLOCK DIAGRAM - Page B - 2


Version Note
The schematic diagrams in this chapter
are based upon version 6-7P-M6607-003.
If your mainboard (or
other boards) are a later version, please
check with the Service
Center for updated diagrams (if required).

B - 1

Schematic Diagrams

SYSTEM BLOCK DIAGRAM

M660SRU BLO CK DIAG RAM

PW R_HOT BOARD

HOTKEY LT
BOARD

SANTAROSA
478

IMVP-6 VR

F S B66 7

Sheet 1 of 40
SYSTEM BLOCK
DIAGRAM

AUDIO&MODEM
BOARD

LCD

VGA
NB8M/G72M

CRT

VRA M

VN896CE

CLICK BOARD

C CD C amer a

US B P ort 1

B lue T ooth

US B P ort 2

P OR T P R T

US B P ort 3

DD R2
SO -D IMM
x2

NORTH BRIDGE

X4 DMI
Interface
USB 2.0

ULTRA-DMA33/66/100

VT8237A

SATA

PCI

MASTER

CD -R OM
(IDE)

SA TA
H DD

LAN
VT6103L

LAN

SOUTH BRIDGE

AC97

B.Schematic Diagrams

CLOCK GEN
ICS9530 09AF +ICS9P936 AF

SOCKET P

USB BOARD

LPC

CARD READER
ENE MR510

KBC
IT8512E

Keyboard
FAN X 1
BATT.X1

LPC

FWH

DEBUGB
PORT
Touch PAD

LINE IN
MIC. IN

MDC or
MBC

B - 2 SYSTEM BLOCK DIAGRAM

SPDIF

Audio Codec
VT1708/ALC
883

SPK. OUT

SPEAKER x2

Schematic Diagrams

CLOCK GENERATOR
D2 1
3 . 3V S

*R B 75 1 V

C

1 6 P M _ S TP P C I #

3. 3 V C LK

F B2 2

A

-P C I _S TO P

U3 3
3 .3 V CL K

H C B 20 1 2 K F -12 1 T3 0 _ 08
C 7 00
C7 0 1
1 0 U _ 1 0V _ 0 8

C 7 03

10 U _1 0 V _0 8

C4 3 7

C 47 8

C4 7 2

C 4 31

C 45 8

C4 8 2

C4 1 0

C4 0 1

2 2 U _ 10 V _ 12
. 0 1 U _ 1 6V _ 0 4
. 01 U _1 6 V _0 4
. 0 1U _ 16 V _ 04
. 0 1 U _ 1 6V _ 0 4
1U _ 10 V _ 06
. 0 1U _ 16 V _ 04
1 U _ 1 0 V _0 6
. 01 U _ 1 6 V _0 4
C 4 44

3. 3 V C
3. 3 V C
3. 3 V C
3. 3 V C
3. 3 V C
3. 3 V C
3. 3 V C
3. 3 V C
3. 3 V C

. 01 U _ 1 6 V _0 4
. 0 1 U _ 1 6V _ 0 4

LK
LK
LK
LK
LK
LK
LK
LK
LK

C
C
C
C
C
C
C
C
C

75 3
75 4
75 5
75 6
75 7
75 8
75 9
76 0
76 1

10 K _ 04

_1 6 V _0 4
_1 6 V _0 4
_1 6 V _0 4
_1 6 V _0 4
_1 6 V _0 4
_1 6 V _0 4
_1 6 V _0 4
_1 6 V _0 4
_1 6 V _0 4

3. 3 V C LK

VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD

2
8
15
19
25
30
32
40
52
56

0 _0 4
0 _0 4

Z 02 0 1
Z 02 0 2

C L K _ P W R GD 9
CL K _ RE S E T 2 1

GN
GN
GN
GN
GN
GN
GN
GN
GN
GN

48
31

1 K _ 04

R5 4 0
R5 4 2

2 2_ 0 4
2 2_ 0 4

1 5 CL K _ US B 4 8

R3 1 9
R3 2 1
R2 7 4

2 2_ 0 4
1 0K _0 4
4 75 _ 1 %_ 0 6 Z 0 2 03
Z 0 2 04

C3 9 8

Z 0 2 05

FS0

CPU

PCI

0

0

266

33

0

1

133

33

1

0

200

33

1

1

166

33

2 7 P _N P O_ 5 0V _ 0 6
FS2

R 29 8

* 10 K _ 04

FS3

R 30 1

1 0 K _0 4

FS4

R 57 9

1 0 K _0 4

FS0
FS1
M OD E
S E L 2 4_ 4 8

P CIE X T 2
P C I E XC 2

D
DRE F
DPCI
DPCI
D4 8
D 3 V 66
DP CIE X
DP CIE X
DCP U
D

P CIE X T 3
P C I E XC 3
P C I E XT 4 / P E R E Q 1# *
P C I E X C 4 / P E R E Q 2# *
** F S L 2/ P C I C L K _ F 0
* *F S 3 / P C I C L K 1_ 2 X
* *T B _ E N / P C I C L K 2
P C ICL K 3
P C ICL K 4
P C ICL K 5

C P U C LK T 0 _F
C P U C L K C 0 _F

**F S L0 / R E F 0
F S L 1/ R E F 1
**M o de 0 / 48 M H z
*S e l 24 _ 48 # / 2 4_ 4 8M H Z

55
20

IRE F
P C I C LK 6 / *T u rb o#

6
7

3 V 66 _ 0
F S4
Z 0 20 9

R5 7 7
R5 7 6
R5 7 1

44
43

Z 0 21 0
Z 0 21 1

R N2 4 1
2

4
3

22 _ 4 P 2R _0 4C L K _ P C I E _ N C
CL K _ P CIE _ NC #

42
41

Z 0 21 8
Z 0 21 9

R N2 5 1
2

4
3

22 _ 4 P 2R _0 4N B _ P E X C L K
NB _ P E X CL K #

38
37

Z 0 22 0
Z 0 22 1

R N2 7 1
2

4
3

22 _ 4 P 2R _0 4V GA _ P E X C L K
V GA _ P E X C L K #

36
35
11
12
13
14
17
18

2 2 _0 4
2 2 _0 4
2 2 _0 4

N C _ C L K R E Q # 20
R6 5 3
F S2
R N2 3 4
F S3
3
P C I C LK X 2
R5 4 9
R6 8 1
K B C P C LK
R N2 6 4
P C I C LK X 4
Z 0 21 7 2 2_ 4 P 2 R _ 04 3
R7 0 3

V C L K _ S B 17

Z 0 22 2

GC L K _ N B 7

C3 9 7

D2 0
C

1 6 P M _ S TP C P U #

*R B 75 1 V
A

-C P U _ S T OP

3 .3 V S

C L K _P C I E _ N C 20
C L K _P C I E _ N C # 2 0

R5 6 8

10 K _ 0 4

NB _ P E X CL K 7
NB _ P E X CL K # 7

R5 6 6

10 K _ 0 4

V GA _ P E X C L K 1 1
V GA _ P E X C L K # 11

GC L K _ N B
C6 9 9

3. 3 V S

*2 2 P _ 50 V _ 06

1 0 K _0 4
1 22 _ 4P 2 R _0 4
2
Z0228
2 2 _0 4
2 2 _0 4
1
Z0231
P C L K MP C I
2
2 2 _0 4 P C L K _T P M

Sheet 2 of 40
CLOCK
GENERATOR

SPCL K 1 7
P C L K P C M 19
KBC_ PCL K 2 3

M9 608 06

P C L K MP C I 26

C 76 2 2 2 P _ 50 V _ 04

V t t P W R _ GD / P D #
R e s et #

4
5
23
24

Y 1

P CIE X T 1
P C I E XC 1

29
28
27

X1
X2

CP U CL K T 1
C P U C LK C 1
C P U C L K T 2_ I T P / P C I E X T 0
C P U C LK C 2 _I T P / P C I E XC 0

P C I E X T 5 / C P U _ S T OP # *
P C I E X C 5 / P C I _P C I E X_ S T OP # *

54
53

HC P UCL K +
HC P UCL K -

R N2 1 1
2

4
3

33 _ 4P 2 R _0 4

51
50

H C LK + _
H C LK -_

R N2 2 1
2

4
3

33 _ 4P 2 R _0 4

47
46
34
33

C P U _ C LK + 3
C P U _ C LK - 3
C L K _M C H _B C L K 5
C L K _M C H _B C L K # 5
R 29 4
R 29 2
R 28 7
R 28 0

-C P U _S T O P
-P C I _ S T OP

5 1 . 1 _1 % _0 4
5 1 . 1 _1 % _0 4
5 1 . 1 _1 % _0 4
5 1 . 1 _1 % _0 4

I C S 9 5 30 0 9 A F LF -T

2

FS1

1

S

2N 70 0 2W

3 V 66 _ 0
* *F S 4 / 3 V 66 _ 1
** I TP _E N / 3V 6 6 _ 2F _ 2 X

SDAT A
SCL K

D

R3 1 4

8 GU I C K
1 6 S I O_ OS C

Q1 9
G

3 1 CL K E N#

A
RE F
P CI_ 1
P CI_ 2
48
3V 6 6
P CIE X _ 1
P CIE X _ 2
CP U

1 4 . 31 8 MH Z
Z 0 2 06

C L K _P C I E _N C
C L K _P C I E _N C #

R2 9 9
R3 0 2

51 . 1 _ 1% _ 04
51 . 1 _ 1% _ 04

N B _ P E XC LK
N B _ P E XC LK #

R3 0 4
R3 0 7

51 . 1 _ 1% _ 04
51 . 1 _ 1% _ 04

V G A _ P E XC L K
V G A _ P E XC L K #

R3 1 0
R3 1 5

51 . 1 _ 1% _ 04
51 . 1 _ 1% _ 04

2 7 P _N P O_ 5 0V _ 0 6

R 7 11

0_ 0 4

3 .3 V S

Q1 7
E

3 C P U_ B S E L 1

B S E L1

C

Q4 9
2N 39 0 4
C

E

3 C P U_ B S E L 2

BSEL 2

*2 N 3 9 04
Z 0 20 7

E

3 C P U_ B S E L 0

Q1 8

R 80 4

1 .5 VS

C

1 K _ 06

Z 0 22 9

B

1 K _ 06

B

R 26 8

1 .5 VS

BSEL 0

F S2

R 80 2

1 0 K _0 4

Z 0 23 0

R 80 3

1 K _ 04

F S1

R 28 9

1 0 K _0 4

Z 0 21 2

R 29 0

1 K _ 04

F S0

R 28 3

1 0 K _0 4

Z 0 21 3

R 28 4

1 K _ 04

BSEL 0

R 53 9

0 _ 04

BSEL 1

R 54 1

0 _ 04

BSEL 2

R 80 5

0 _ 04

V CL K _ S B

R 6 57

0_06

Z 0 2 23

C 7 43

*3 3 P _ 50 V _ 06

GC LK _ N B

R 6 58

0_06

Z 0 2 24

C 7 44

*3 3 P _ 50 V _ 06

P CL K M P CI

R 6 61

0_06

Z 0 2 27

C 7 47

*3 3 P _ 50 V _ 06

1 .5 VS

1 K _ 06

Z 0 20 8

B

2N 3 90 4
R 29 1

M OD E

F B1 7

R5 5 6
R5 6 1

3 .3 V S
1 K _0 4
*1 K _ 04

U2 9
1. 8 V B F R

1. 8 V
H C B 2 01 2 K F -1 21 T 30 _ 0 8

C5 9 0

C 59 5

C 60 3

C 58 9

C 59 9

C 6 11

C 6 12

C 1 07

9
22
28

10 U _ 1 0 V _0 8
1 U _ 10 V _ 06
. 0 1U _1 6 V _ 04
. 01 U _ 1 6V _ 0 4
4. 7 U _6 . 3 V _0 6
1 0U _1 0 V _ 08
. 0 1U _ 16 V _ 04
. 0 1 U _ 16 V _ 0 4
F B1 8

Z 02 1 4

1 .8 V
H C B 20 1 2 K F -12 1 T 30 _ 08

C 61 4
4 . 7U _6 . 3 V _ 06

C6 1 7

C 60 5

. 1 U _5 0 V _0 6

. 0 1U _1 6 V _ 04

10
21
27

C6 1 0

1
26
2
25

. 0 1 U _ 1 6V _ 0 4
R 47 5
R 47 3

9, 1 0 , 1 1, 1 6 S MB _ S B D A TA
9, 1 0 , 1 1, 1 6 S MB _ S B C L K

0_04
0_04

Z 02 1 5
Z 02 1 6

16
15
3
4

6 M C L K OT
6 M C L K OC
C1 1 6

C1 1 5

R1 1 8

V D D 2 . 5/ 1. 8 -0
V D D 2 . 5/ 1. 8 -1
V D D 2 . 5/ 1. 8 -2

DD RT 0
D DRC 0
DD RT 1
D DRC 1

G ND
G ND
G ND

DD RT 2
D DRC 2

A V D D 2 . 5 -0
A V D D 2 . 5 -1

DD RT 3
D DRC 3

AG ND
AG ND

DD RT 4
D DRC 4

S D A TA
S C LK

DD RT 5
D DRC 5

B U F _I N T
B U F _I N C

F B _O U T T
F B _ OU T C

1 00 _ 0 4

5
6

DK1 + RN 1 9 2
DK1 1

3 2 2 _4 P 2 R _ 0 4
4

7
8

DK3 + RN 1 8 2
DK3 1

3 2 2 _4 P 2 R _ 0 4
4

13
14

DK0 + RN 1 6 2
DK0 1

3 2 2 _4 P 2 R _ 0 4
4

18
17

DK2 + RN 1 7 3
DK2 4

2 2 2 _4 P 2 R _ 0 4
1

M_ C L K _ D D R 1 1 0
M_ C L K _ D D R # 1 10
M_ C L K _ D D R 3 9
M_ C L K _ D D R # 3 9
M_ C L K _ D D R 0 1 0
M_ C L K _ D D R # 0 10
M_ C L K _ D D R 2 9
M_ C L K _ D D R # 2 9

20
19
24
23
11
12

I C S 9P 9 5 6 F LF -T

*5 P _5 0 V _ 06
* 5P _ 5 0 V _0 6

6 M CL K IT

R 1 13

0_ 0 4

MC LK I T P

CLOCK GENERATOR B - 3

B.Schematic Diagrams

R 5 47

.1 U
.1 U
.1 U
.1 U
.1 U
.1 U
.1 U
.1 U
.1 U

R5 4 6
R5 7 2

9 , 1 0, 1 1 , 1 6 S M B _ S B D A T A
9 , 1 0, 1 1 , 1 6 S M B _ S B C L K
3 .3 V S

C 4 22

1
3
10
16
22
26
39
45
49

Schematic Diagrams

CPU-1
H _ A # [ 31 : 3 ]

J S K T1 A

1 7 H _ I GN N E #

A6
A5
C 4

H_ S T P CL K #
H_ INT R
H_ NM I
H _ S MI #

D 5
C 6
B4
A3

Z 0 30 3
Z 0 30 4
Z 0 30 5
Z 0 30 6
Z 0 30 7
Z 0 30 8
Z 0 30 9
Z 0 31 4
Z 0 31 5
Z 0 31 6

M4
N 5
T2
V3
B2
C 3
D 2
D2 2
D 3
F6

A 20 M #
F ERR #
I GN N E #

P R O C H OT #
T H E R MD A
TH E R M D C
TH E R M TR I P #

H _ R S #[ 2 : 0 ]

H_ CP URS T # 5

H_ RS # [2 :0 ] 5

H_ T RD Y # 5
H_ HIT # 5
H_ HIT M # 5

XD
XD
XD
XD
XD
XD
XD
XD
XD
XD
XD
XD

P _ B P M# 0
P _ B P M# 1
P _ B P M# 2
P _ B P M# 3
P _ P RDY #
P _ P R E Q#
P _ TC K
P _ TD I
P _ TD O
P _ TM S
P _ TR S T#
P _ D B R E S E T#

D2 1
A2 4
B2 5

H_ P RO CHO T #
H _ TH E R M D A
H _ TH E R M D C

C7

P M_ T H R M TR I P #

E2 2
F24
E2 6
G2 2
F23
G2 5
E2 5
E2 3
K2 4
G2 4
J24
J23
H2 2
F26
K2 2
H2 3
J26
H2 6
H2 5

5 H _ D S TB N # 0
5 H _ D S TB P #0
5 H_ D INV # 0

1 . 05 V S

H _D # [ 63 : 0 ]

5 H _ D # [ 63 : 0 ]

H_ D# 1 6
H_ D# 1 7
H_ D# 1 8
H_ D# 1 9
H_ D# 2 0
H_ D# 2 1
H_ D# 2 2
H_ D# 2 3
H_ D# 2 4
H_ D# 2 5
H_ D# 2 6
H_ D# 2 7
H_ D# 2 8
H_ D# 2 9
H_ D# 3 0
H_ D# 3 1

R 46 6 V C OR E
15 0 _ 1% _ 0 4

R 81

R 82

D1 0
A

R B 7 5 1 V -40
C

A L L _ P W R O K 15 , 1 7 , 24
T H E R MT R I P # 3 3

6 80 _ 1 %_ 0 4
68 0 _ 1% _ 0 4
Z 0 3 02
B

Q1 1
2 N 3 90 4

1. 0 5 V S

B

Q 10
2N 3 90 4

C6 2

R 4 84

2 2U _ 6. 3 V _ 0 8

N2 2
K2 5
P2 6
R2 3
L23
M2 4
L22
M2 3
P2 5
P2 3
P2 2
T24
R2 4
L25
T25
N2 5
L26
M2 6
N2 4

HCL K

5 H_ DS T B N# 1
5 H_ DS T B P # 1
5 H _ D I N V #1

1 K _ 1% _ 0 6

B CL K [0 ]
B CL K [1 ]

A2 2
A2 1

R 4 72
R 4 74

CP U _ CL K + 2
CP U _ CL K - 2

C 8 35

[ 01 ]
[ 02 ]
[ 03 ]
[ 04 ]
[ 05 ]
[ 06 ]
[ 07 ]
[ 08 ]
[ 09 ]
[ 10 ]

Layout note:
Zo=55 ohm, 0.5"max for GTLREF

*. 1 u _X 7 R _ 0 4

C P U _ TE S T 1
C P U _ TE S T 2
Z 0 31 7
C P U _ TE S T 4
Z 0 31 8
Z 0 31 9

B2 2
B2 3
C2 1

2 C P U_ B S E L 0
2 C P U_ B S E L 1
2 C P U_ B S E L 2

D[1 6 ]#
D[1 7 ]#
D[1 8 ]#
D[1 9 ]#
D[2 0 ]#
D[2 1 ]#
D[2 2 ]#
D[2 3 ]#
D[2 4 ]#
D[2 5 ]#
D[2 6 ]#
D[2 7 ]#
D[2 8 ]#
D[2 9 ]#
D[3 0 ]#
D[3 1 ]#
DS T B N[1 ]#
DS T B P [1 ]#
D I N V [ 1] #
GT L R E F
TE S T1
TE S T2
TE S T3
TE S T4
TE S T5
TE S T6

D[3 2 ]#
D[3 3 ]#
D[3 4 ]#
D[3 5 ]#
D[3 6 ]#
D[3 7 ]#
D[3 8 ]#
D[3 9 ]#
D[4 0 ]#
D[4 1 ]#
D[4 2 ]#
D[4 3 ]#
D[4 4 ]#
D[4 5 ]#
D[4 6 ]#
D[4 7 ]#
D S T B N[2 ]#
DS T B P [2 ]#
D INV [2 ]#
D[4 8 ]#
D[4 9 ]#
D[5 0 ]#
D[5 1 ]#
D[5 2 ]#
D[5 3 ]#
D[5 4 ]#
D[5 5 ]#
D[5 6 ]#
D[5 7 ]#
D[5 8 ]#
D[5 9 ]#
D[6 0 ]#
D[6 1 ]#
D[6 2 ]#
D[6 3 ]#
D S T B N[3 ]#
DS T B P [3 ]#
D INV [3 ]#
C OM P [ 0 ]
C OM P [ 1 ]
C OM P [ 2 ]
C OM P [ 3 ]

M ISC

D P RS T P #
DPSL P #
DPW R#
P W R GO OD
SL P#
PSI#

B S E L [ 0]
B S E L [ 1]
B S E L [ 2]

Y2 2
AB2 4
V2 4
V2 6
V2 3
T 22
U2 5
U2 3
Y2 5
W22
Y2 3
W24
W25
AA2 3
AA2 4
AB2 5
Y2 6
AA2 6
U2 2

H _ D S TB N # 2 5
H _ D S TB P # 2 5
H_ D INV # 2 5
H _ D # [ 6 3 : 0]

AE2 4
A D2 4
AA2 1
AB2 2
AB2 1
A C2 6
A D2 0
AE2 2
AF2 3
A C2 5
AE2 1
A D2 1
A C2 2
A D2 3
AF2 2
A C2 3
AE2 5
AF2 4
A C2 0

H_ D# 4 8
H_ D# 4 9
H_ D# 5 0
H_ D# 5 1
H_ D# 5 2
H_ D# 5 3
H_ D# 5 4
H_ D# 5 5
H_ D# 5 6
H_ D# 5 7
H_ D# 5 8
H_ D# 5 9
H_ D# 6 0
H_ D# 6 1
H_ D# 6 2
H_ D# 6 3

R2 6
U2 6
AA1
Y1

C OM
C OM
C OM
C OM

E5
B5
D2 4
D6
D7
AE6

C P U _D P R S T P #
H_ DP S L P #
H_ DP W R #
H_ P W RG D
H_ CP U S L P #
P S I#

H _ D S TB N # 3 5
H _ D S TB P # 3 5
H_ D INV # 3 5
P0
P1
P2
P3

R1 3 1
R1 3 0
R1 3 3
R1 3 5

C 8 37

R 4 83

. 1U _1 0 V _ X7 R _0 4

2K _ 1 % _0 6

Layout:Connect test
point TP3F1 with no
atub

C 25 8

R 4 62

+ C5 8 7

2

1 0K _ 0 6

Q1 3
2 N 3 90 4
C

H _F E R R # 1 7

VD D3
1 .0 5 V S

10 U _1 0 V _ 08 1 0 0 K _0 4 * 22 0 U _ 6 . 3V _D

E

R4 6 4

R 46 5

4 . 7K _ 0 4

4 . 7 K _0 4

R 75

10 K _ 0 6 Z 03 1 0

B

2 0 M IL E

1

C P U_ F E RR #

M960808

U2 8
1 0K _ 0 4

1
2
3
Z 0 3 12 4
C 5 8 6 1 0 00 P _ 5 0V _0 6

H _ TH E R M D A 10 MILE
H _ TH E R M D C 10 MILE

2 3 TH E R M _R S T #

C3 6 -> 100 0P

V DD
S C LK
D+
S D A TA
DA LE R T #
TH E R M # G N D

Layout Note:
Route H_THERMDA and
H_THERMDC on same layer.
10 mil trace on 10 mil
spacing.

B - 4 CPU-1

Z 03 1 3 R 4 70

S MC _ T H E R M 2 3
S MD _ T H E R M 2 3
T H E R M_ A L E R T# 2 3

*0 _ 0 4

1 . 0 5V S

0_ 0 4

H _ A 2 0 M#
H _ D P S LP #
H_ IG NNE #
H _ I N I T#
H _ I N TR
H_ S T P CL K #
H _ N MI
H_ S M I#
H_ C P US L P #
P S I#
CP U_ F E RR #
H _ P R OC H OT #
H _ B R E Q# 0
H _ C P U R S T#
X DP _ T DI
X DP _ T DO
X D P _ T MS
C P U _ D P R S TP #

R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R

CP U_ B S E L 2
CP U_ B S E L 1
CP U_ B S E L 0

R 80 1
R 88
R 89

R 86
PM_THRMTRIP# should
connect to ICH7 and GMCH
without T-ing(No STUB)

1 5 0_ 0 6
R4 6 9

1 0 K _0 4

T H M _V D D

92
91
83
84
93
98
95
96
94
80
74
90
12 0
85
14 9
15 4
15 2
11 4

5/14

A D M 1 03 2 A R M

R 4 68

Near to
ADM1032

8
7
6
5

C P U _ D P R S TP #
C

R 4 61

Q 12

B

2 N 3 9 04
E

V D D3

_06
_06
_06
_06

H _ D P S L P # 17
H _ DP W R # 5
H _ P W RG D 1 7
H _ C P U S LP # 5 , 1 7

Z 0 31 1

Place Series
Resistor on
H_PWRGD_XDP Without
stub
1. 05 V S

R7 9
TH M_ V D D

3 .3 V

27 . 4 _ 1%
54 . 9 _ 1%
27 . 4 _ 1%
54 . 9 _ 1%

TP3F1
NO_STUFF

3 .3 V S

0 _ 06

H _ D # [ 6 3: 0 ] 5

Layout note:
Comp0,2 connect with Zo=27.4ohm,make
trace length shorter than 0.5"
Comp1,3 connect with Zo=55ohm,make
trace length shorter than 0.5"

C P U _ G TL R E F

2. 2u _ 6. 3 V _ 0 6

R4 7 1

H _ D # [ 6 3: 0 ] 5

H_ D# 3 2
H_ D# 3 3
H_ D# 3 4
H_ D# 3 5
H_ D# 3 6
H_ D# 3 7
H_ D# 3 8
H_ D# 3 9
H_ D# 4 0
H_ D# 4 1
H_ D# 4 2
H_ D# 4 3
H_ D# 4 4
H_ D# 4 5
H_ D# 4 6
H_ D# 4 7

Me ro m B a l -o ut R e v 1a

10mils
C8 3 6

Me ro m B al l -ou t R ev 1a

*1 K _ 06
*1 K _ 06

A D2 6
C2 3
D2 5
C2 4
AF2 6
AF1
A2 6

H _ D # [ 6 3 : 0]

D[0 ]#
D[1 ]#
D[2 ]#
D[3 ]#
D[4 ]#
D[5 ]#
D[6 ]#
D[7 ]#
D[8 ]#
D[9 ]#
D[1 0 ]#
D[1 1 ]#
D[1 2 ]#
D[1 3 ]#
D[1 4 ]#
D[1 5 ]#
DS T B N[0 ]#
DS T B P [0 ]#
D I N V [ 0] #

DATA GRP 2

H _ L OC K # 5
H_ CP U RS T #
H_ RS # 0
H_ RS # 1
H_ RS # 2
H _ TR D Y #

G6
E4
A D4
A D3
A D1
A C4
A C2
A C1
A C5
AA6
AB3
AB5
AB6
C2 0

H_ D# 0
H_ D# 1
H_ D# 2
H_ D# 3
H_ D# 4
H_ D# 5
H_ D# 6
H_ D# 7
H_ D# 8
H_ D# 9
H_ D# 1 0
H_ D# 1 1
H_ D# 1 2
H_ D# 1 3
H_ D# 1 4
H_ D# 1 5

5 1 . 1 _0 4

H _ I N I T# 1 7

H4
C1
F3
F4
G3
G2

J S K T 1B

H _ D # [ 6 3 : 0]

5 H _ D # [ 6 3 : 0]
1 . 0 5V S

H _ B R E Q# 0 5
R 46 7

THERMAL

S TP C L K #
LI N T0
LI N T1
S MI #
RS V D
RS V D
RS V D
RS V D
RS V D
RS V D
RS V D
RS V D
RS V D
RS V D

P M[ 0 ] #
P M[ 1 ] #
P M[ 2 ] #
P M[ 3 ] #
P RDY #
P RE Q #
T CK
TD I
T DO
T MS
T RS T #
DB R #

H_ IE RR #
H_ INIT #

DATA GRP 3

B
B
B
B

D2 0
B3

C

CONTROL

HIT #
H I TM #

I CH

1 7 H_ S T P CL K #
1 7 H_ INT R
1 7 H_ NM I
1 7 H_ S M I#

H _ A 2 0M #
C P U _F E R R #
H _ I GN N E #

A [ 17 ] #
A [ 18 ] #
A [ 19 ] #
A [ 20 ] #
A [ 21 ] #
A [ 22 ] #
A [ 23 ] #
A [ 24 ] #
A [ 25 ] #
A [ 26 ] #
A [ 27 ] #
A [ 28 ] #
A [ 29 ] #
A [ 30 ] #
A [ 31 ] #
A [ 32 ] #
A [ 33 ] #
A [ 34 ] #
A [ 35 ] #
A DS T B [1 ]#

H _ B R E Q #0

E

5 H _ A D S TB # 1
1 7 H _ A 2 0 M#

0] #
1] #
2] #
3] #
4] #

H_ DE F E R # 5
H_ DR DY # 5
H_ DB S Y # 5

F1

DATA GRP 1

Sheet 3 of 40
CPU-1

R ESET #
RS [0 ]#
RS [1 ]#
RS [2 ]#
T RDY #

ADDR GROUP
1

Y 2
U 5
R 3
W6
U 4
Y 5
U 1
R 4
T5
T3
W2
W5
Y 4
U 2
V4
W3
AA4
AB2
AA3
V1

H _ A # 17
H _ A # 18
H _ A # 19
H _ A # 20
H _ A # 21
H _ A # 22
H _ A # 23
H _ A # 24
H _ A # 25
H _ A # 26
H _ A # 27
H _ A # 28
H _ A # 29
H _ A # 30
H _ A # 31
H _ A # 32
H _ A # 33
H _ A # 34
H _ A # 35

R E Q[
R E Q[
R E Q[
R E Q[
R E Q[

IE RR #
INIT #
L OC K #

H_ A D S # 5
H_ B N R# 5
H_ B P R I# 5

H5
F21
E1

C

K3
H 2
K2
J3
L1

B R0 #

H1
E2
G5

E

#0
#1
#2
#3
#4

DE F E R #
D RDY #
DBSY #

XDP/ITP SIGNALS

H_ RE Q
H_ RE Q
H_ RE Q
H_ RE Q
H_ RE Q
H _ A # [ 31 : 3 ]

5 H _ A # [ 3 1: 3 ]

B.Schematic Diagrams

5 H _A D S T B #0

AD S#
B NR #
B P R I#

A [ 3] #
A [ 4] #
A [ 5] #
A [ 6] #
A [ 7] #
A [ 8] #
A [ 9] #
A [ 10 ] #
A [ 11 ] #
A [ 12 ] #
A [ 13 ] #
A [ 14 ] #
A [ 15 ] #
A [ 16 ] #
A DS T B [0 ]#

DATA GRP 0

H _ R E Q# [ 4: 0]

5 H _ R E Q #[ 4 : 0 ]

J4
L5
L4
K5
M3
N 2
J1
N 3
P5
P2
L2
P4
P1
R 1
M1

ADDR
GROUP 0

H_ A # 3
H_ A # 4
H_ A # 5
H_ A # 6
H_ A # 7
H_ A # 8
H_ A # 9
H _ A # 10
H _ A # 11
H _ A # 12
H _ A # 13
H _ A # 14
H _ A # 15
H _ A # 16

RESERVED

5 H _ A # [ 3 1: 3 ]

R7 3

1 K _ 06

P M_ D P R S L P V R 1 5 , 1 7, 3 1

1 5 0 _1 % _ 04
1 5 0 _1 % _ 04
1 5 0 _1 % _ 04
1 5 0 _1 % _ 04
1 5 0 _1 % _ 04
1 5 0 _1 % _ 04
1 5 0 _1 % _ 04
1 5 0 _1 % _ 04
1 5 0 _1 % _ 04
1 5 0 _1 % _ 04
5 1 . 1 _0 4
7 5 _ 1% _ 0 4
2 2 0 _0 6
5 1 _ 1% _ 0 6
5 4 . 9 _1 % _ 06
7 5 _ 06
5 4 . 9 _1 % _ 06
* 1 50 _ 1% _ 0 4
1 5 0 _1 % _ 04
1 5 0 _1 % _ 04
1 5 0 _1 % _ 04

1. 05 V S

X DP _ P RE
X DP _ B P M
X DP _ B P M
X DP _ B P M
X DP _ B P M
X DP _ P RD

IN-T a rge t Prob e
Q#
#0
#1
#2
#3
Y#

X DP _ T CK
X DP _ T RS T #

R
R
R
R
R
R

15 7
15 0
15 5
15 8
15 3
15 6

5 4 . 9 _1 % _ 06
2 2 0 _0 6
2 2 0 _0 6
2 2 0 _0 6
2 2 0 _0 6
5 4 . 9 _1 % _ 06

R 15 1
R 14 8

5 4 . 9 _1 % _ 06
6 4 9 _1 % _ 06

Schematic Diagrams

CPU-2

VCCP[01]
VCCP[02]
VCCP[03]
VCCP[04]
VCCP[05]
VCCP[06]
VCCP[07]
VCCP[08]
VCCP[09]
VCCP[10]
VCCP[11]
VCCP[12]
VCCP[13]
VCCP[14]
VCCP[15]
VCCP[16]
VCCA[01]
VCCA[02]
VI D[0]
VI D[1]
VI D[2]
VI D[3]
VI D[4]
VI D[5]
VI D[6]

1.05VS

G21
V6
J6
K6
M6
J21
K2
1
M21
N21
N6
R21
R6
T21
T6
V2
1
W21
B2
6
C26
AD6
AF5
AE5
AF4
AE3
AF3
AE2

C608
+
330U_3V_D

1.5VS
L50
Z0401
C592
H_VID0
H_VID1
H_VID2
H_VID3
H_VID4
H_VID5
H_VID6

31
31
31
31
31
31
31

HCB1
608KF-121T25_06
C588

.01U_50V_06
VCORE
10U_10
V_08

S16

VSSSENSE

AF7

2

S1
VCCSENSE

VCCSENSE 31

AE7

M
er om Ball-out Rev 1a

VSSSENSE 3
1

.

Route VCC SENSE and VSSSENSE trace
at 27.4 o hms with 50mil spac ing.
place PU and PD wi nthin 1 in ch of CPU

S1
2

Layout No te:

S17

M 96 07 26
C624
+

*10U_10V_0
8
*10U_10V_
12
*10U_10V_08

C181

C108

C129

C867
+

C594

C110

C86
8
+

2

C180
+

1

1

VCORE
P6
P21
P24
R2
R5
R22
R25
T1
T4
T2
3
T2
6
U3
U6
U21
U24
V2
V5
V22
V25
W1
W4
W23
W26
Y3
Y6
Y21
Y24
AA2
AA5
AA8
AA11
AA14
AA16
AA19
AA22
AA25
AB1
AB4
AB8
AB11
AB13
AB16
AB19
AB23
AB26
AC3
AC6
AC8
AC11
AC14
AC16
AC19
AC21
AC24
AD2
AD5
AD8
AD11
AD13
AD16
AD19
AD22
AD25
AE1
AE4
AE8
AE11
AE14
AE16
AE19
AE23
AE26
A2
AF6
AF8
AF11
AF13
AF16
AF19
AF21
A25
AF25

VSS[082]
VSS[083]
VSS[084]
VSS[085]
VSS[086]
VSS[087]
VSS[088]
VSS[089]
VSS[090]
VSS[091]
VSS[092]
VSS[093]
VSS[094]
VSS[095]
VSS[096]
VSS[097]
VSS[098]
VSS[099]
VSS[100]
VSS[101]
VSS[102]
VSS[103]
VSS[104]
VSS[105]
VSS[106]
VSS[107]
VSS[108]
VSS[109]
VSS[110]
VSS[111]
VSS[112]
VSS[113]
VSS[114]
VSS[115]
VSS[116]
VSS[117]
VSS[118]
VSS[119]
VSS[120]
VSS[121]
VSS[122]
VSS[123]
VSS[124]
VSS[125]
VSS[126]
VSS[127]
VSS[128]
VSS[129]
VSS[130]
VSS[131]
VSS[132]
VSS[133]
VSS[134]
VSS[135]
VSS[136]
VSS[137]
VSS[138]
VSS[139]
VSS[140]
VSS[141]
VSS[142]
VSS[143]
VSS[144]
VSS[145]
VSS[146]
VSS[147]
VSS[148]
VSS[149]
VSS[150]
VSS[151]
VSS[152]
VSS[153]
VSS[154]
VSS[155]
VSS[156]
VSS[157]
VSS[158]
VSS[159]
VSS[160]
VSS[161]
VSS[162]
VSS[163]

C109

330U_2.5V_D

AB20
AB7
AC7
AC9
AC12
AC13
AC15
AC17
AC18
AD7
AD9
AD10
AD12
AD14
AD15
AD17
AD18
AE9
AE10
AE12
AE13
AE15
AE17
AE18
AE20
AF9
AF10
AF12
AF14
AF15
AF17
AF18
AF20

1

VCC[ 0
68]
VCC[ 0
69]
VCC[ 0
70]
VCC[ 0
71]
VCC[ 0
72]
VCC[ 0
73]
VCC[ 0
74]
VCC[ 0
75]
VCC[ 0
76]
VCC[ 0
77]
VCC[ 0
78]
VCC[ 0
79]
VCC[ 0
80]
VCC[ 0
81]
VCC[ 0
82]
VCC[ 0
83]
VCC[ 0
84]
VCC[ 0
85]
VCC[ 0
86]
VCC[ 0
87]
VCC[ 0
88]
VCC[ 0
89]
VCC[ 0
90]
VCC[ 0
91]
VCC[ 0
92]
VCC[ 0
93]
VCC[ 0
94]
VCC[ 0
95]
VCC[ 0
96]
VCC[ 0
97]
VCC[ 0
98]
VCC[ 0
99]
VCC[ 1
00]

1

VCC[ 001
]
VCC[ 002
]
VCC[ 003
]
VCC[ 004
]
VCC[ 005
]
VCC[ 006
]
VCC[ 007
]
VCC[ 008
]
VCC[ 009
]
VCC[ 010
]
VCC[ 011
]
VCC[ 012
]
VCC[ 013
]
VCC[ 014
]
VCC[ 015
]
VCC[ 016
]
VCC[ 017
]
VCC[ 018
]
VCC[ 019
]
VCC[ 020
]
VCC[ 021
]
VCC[ 022
]
VCC[ 023
]
VCC[ 024
]
VCC[ 025
]
VCC[ 026
]
VCC[ 027
]
VCC[ 028
]
VCC[ 029
]
VCC[ 030
]
VCC[ 031
]
VCC[ 032
]
VCC[ 033
]
VCC[ 034
]
VCC[ 035
]
VCC[ 036
]
VCC[ 037
]
VCC[ 038
]
VCC[ 039
]
VCC[ 040
]
VCC[ 041
]
VCC[ 042
]
VCC[ 043
]
VCC[ 044
]
VCC[ 045
]
VCC[ 046
]
VCC[ 047
]
VCC[ 048
]
VCC[ 049
]
VCC[ 050
]
VCC[ 051
]
VCC[ 052
]
VCC[ 053
]
VCC[ 054
]
VCC[ 055
]
VCC[ 056
]
VCC[ 057
]
VCC[ 058
]
VCC[ 059
]
VCC[ 060
]
VCC[ 061
]
VCC[ 062
]
VCC[ 063
]
VCC[ 064
]
VCC[ 065
]
VCC[ 066
]
VCC[ 067
]

VSS[001]
VSS[002]
VSS[003]
VSS[004]
VSS[005]
VSS[006]
VSS[007]
VSS[008]
VSS[009]
VSS[010]
VSS[011]
VSS[012]
VSS[013]
VSS[014]
VSS[015]
VSS[016]
VSS[017]
VSS[018]
VSS[019]
VSS[020]
VSS[021]
VSS[022]
VSS[023]
VSS[024]
VSS[025]
VSS[026]
VSS[027]
VSS[028]
VSS[029]
VSS[030]
VSS[031]
VSS[032]
VSS[033]
VSS[034]
VSS[035]
VSS[036]
VSS[037]
VSS[038]
VSS[039]
VSS[040]
VSS[041]
VSS[042]
VSS[043]
VSS[044]
VSS[045]
VSS[046]
VSS[047]
VSS[048]
VSS[049]
VSS[050]
VSS[051]
VSS[052]
VSS[053]
VSS[054]
VSS[055]
VSS[056]
VSS[057]
VSS[058]
VSS[059]
VSS[060]
VSS[061]
VSS[062]
VSS[063]
VSS[064]
VSS[065]
VSS[066]
VSS[067]
VSS[068]
VSS[069]
VSS[070]
VSS[071]
VSS[072]
VSS[073]
VSS[074]
VSS[075]
VSS[076]
VSS[077]
VSS[078]
VSS[079]
VSS[080]
VSS[081]

C131

C5
85

C584

C58
3

22U_10V_12
2
2U_10V_12
22
U_10V_12
22U_10V_12
22U_10V_12
22U_1
0V_12
22U_10
V_12
22U_10V_12
22U_10V_12

PLEASE NEAR CPU
C620

C619

C6
00

C601

C62
1

C602

C61

C60

C59

C58

C130

22U_10V_12
22U_10V_1
2
22U_10V_12
22U_10V_12
22U_10V_12
2
2U_10V_12
22U_10V_12
22U_10V_12
22U_10V_12
22U_10V_12
22U_10V_12

Sheet 4 of 40
CPU-2

1.05VS

C11
3

C133

C118

C132

C117

C112

.1U_50V_06
.1U_50V_06
.1U_50V_06
.1U_50V_06
.1U_50V_
06
.1U_50V_06

PLEASE NEAR CPU

Merom Ball-out Rev 1a
.

CPU-2 B - 5

B.Schematic Diagrams

A7
A9
A10
A12
A13
A15
A17
A18
A20
B7
B9
B10
B12
B14
B15
B17
B18
B20
C9
C10
C12
C13
C15
C17
C18
D9
D10
D12
D14
D15
D17
D18
E7
E9
E10
E12
E13
E15
E17
E18
E20
F7
F9
F10
F12
F14
F15
F17
F18
F20
AA7
AA9
AA10
AA12
AA13
AA15
AA17
AA18
AA20
AB9
AC10
AB10
AB12
AB14
AB15
AB17
AB18

A4
A8
A11
A14
A16
A19
A23
AF2
B6
B8
B11
B13
B16
B19
B21
B24
C5
C8
C11
C14
C16
C19
C2
C22
C25
D1
D4
D8
D11
D13
D16
D19
D23
D26
E3
E6
E8
E11
E14
E16
E19
E21
E24
F5
F8
F11
F13
F16
F19
F2
F22
F25
G
4
G
1
G
23
G
26
H3
H6
H21
H24
J2
J5
J22
J25
K1
K4
K23
K26
L3
L6
L21
L24
M
2
M
5
M
22
M
25
N1
N4
N23
N26
P3

2

JSKT1D
VCORE
JSKT1C

330U_2.5V_D

VCORE

Schematic Diagrams

VN896-1

Sheet 5 of 40
VN896-1

R3 5
W3 3

3 H_ADSTB#0
3 H_ADSTB#1

L3 5
K3 5
J3 2
M3 4
K3 2
J3 3
K3 3
L3 6
L3 4
J3 4
M3 5

H_ADS#
H_BNR#
H_BPRI #
H_BREQ #0
H_DBSY #
H_DEFER#
H_DRDY#
H_HI T#
H_HI TM#
H_LOCK#
H_TRDY #
H_REQ#0
H_REQ#1
H_REQ#2
H_REQ#3
H_REQ#4
H_RS#0
H_RS#1
H_RS#2

H_REQ#[4 :0]

3 H_REQ#[ 4:0]

H_RS#[2 :0]

3 H_RS#[2 :0]

H_DI NV#[ 3:0]

3 H_DI NV# [3:0 ]

T3 2
T3 1
R3 2
M3 2
M3 1
J3 5
N3 6
J3 6

H_DI NV# 0C3 1
H_DI NV# 1 E3 5
H_DI NV# 2G2 7
H_DI NV# 3D2 2
F2 2

3 H_ CPURST#

AC2 9
AC3 0

2 CLK_MCH_BCLK
2 CLK_MCH_BCLK#

H_D#0
H_D#1
H_D#2
H_D#3
H_D#4
H_D#5
H_D#6
H_D#7
H_D#8
H_D#9
H_D#10
H_D#11
H_D#12
H_D#13
H_D#14
H_D#15
H_D#16
H_D#17
H_D#18
H_D#19
H_D#20
H_D#21
H_D#22
H_D#23
H_D#24
H_D#25
H_D#26
H_D#27
H_D#28
H_D#29
H_D#30
H_D#31
H_D#32
H_D#33
H_D#34
H_D#35
H_D#36
H_D#37
H_D#38
H_D#39
H_D#40
H_D#41
H_D#42
H_D#43
H_D#44
H_D#45
H_D#46
H_D#47
H_D#48
H_D#49
H_D#50
H_D#51
H_D#52
H_D#53
H_D#54
H_D#55
H_D#56
H_D#57
H_D#58
H_D#59
H_D#60
H_D#61
H_D#62
H_D#63

H_DSTBP#[3 :0]

A32
B32

H_DSTBP#0
H_DSTBN# 0

H_DSTBN# [3:0 ]

C35
C34

H_DSTBP#1
H_DSTBN# 1

HDSTB2P#
HDSTB2 N#

G26
E26

H_DSTBP#2
H_DSTBN# 2

HDSTB3P#
HDSTB3 N#

C24
C25

H_DSTBP#3
H_DSTBN# 3

T35

ASTB0

HADSTB0P#
HADSTB1#
ADS#
BNR#
BPRI #
BREQ0 #
DBSY#
DEFER#
DRDY#
HIT#
HITM#
HLOCK#
HTRDY#
HREQ0#
HREQ1#
HREQ2#
HREQ3#
HREQ4#
RS0#
RS1#
RS2#
HDBI0#
HDBI1#
HDBI2#
HDBI3#
CPURST#

HDSTB0P#
HDSTB0 N#

HCLK+
HCLK-

HDSTB1P#
HDSTB1 N#
GTLVREF_ NB

1. 05VS

R1 84
R1 83

U3 0
J2 5

180_ 1%_0 6 GTLCOMPP G2 2
360_ 1%_0 6 GTLCOMPN H2 2

GTLVREF0
GTLVREF1
GTLCO MPP
GTLCO MPN

P4 M890_ Rev1. 9->rem ove h a32,h a33
P4 M900_ Rev0. 2

GTLVREF_NB
C219

CPUSL PI N#
DPWR#

C220

.0 1U_50V_06
. 01U_5 0V_ 06
.01U_ 50V_06
. 01U_50 V_ 06 P4M900

C2 49

C69 7

C28 1

C248

C210

C250

C256

C263

C646

C6 43

C2 73

C40 6

AB32 Z0501 R8 77
L31

0_ 06

C674

C407

100 0P_ 50V_06
1U_10 V_0 6
.1U_5 0V_ 06
. 1U_50 V_0 6
. 1U_50V_06
.1 U_50V_06
10U_1 0V_ 12
10U_10 V_1 2
100 0P_ 50V_06
.1U_5 0V_06
.1U_50 V_0 6
. 1U_50V_06
.1 U_50V_06
.1U _50V_06
10U_1 0V_ 12
10U_10 V_1 2

B - 6 VN896-1

H_D STBP#[3: 0] 3
H_D STBN#[ 3:0] 3

H_ CPUSLP# 3,17
H_DPWR# 3

C67 3

4 9.9_1 %_06

GTLVREF_NB

100_ 1%_0 6

1. 05VS

C6 45

R1 66

R167

GND
GND
GND
GND
GND
GND
GND
G ND
GND
GND
GND
GND
GND
GND
GND
G ND
GND
GND
GND
GND
GN D
GND
GND
GND
GND
GND
GND
GND
GN D
GND
GND
GND
GND
GND
GND
GND
G ND
GND

C229

HADSTB0 N#

1.05 VS

A1
A2
A3
A8
A10
A22
A25
A2 7
A29
A31
A33
A35
B2
B3
B4
B3 6
C2
C3
C4
C5
C26
C27
D3
D4
D5
D6
D8
D1 1
D23
D24
D29
D31
D3 2
D34
E2
E4
E5
E6

C2 47

P4 M900 only -->

H_D #[63: 0] 3

B35
A36
C33
C32
E31
B34
B33
A34
D30
A30
B31
B30
E30
C29
B29
C30
D36
F36
G36
H34
H35
F35
G35
C36
D35
F34
F33
G34
G33
E33
H32
G32
E28
E29
D28
D27
C28
H28
G28
F28
E27
D26
D25
E25
F25
G25
H26
H25
B23
B25
E23
B27
B28
A28
B24
B26
A26
C23
C22
A23
G23
A24
B22
E22

HD00 #
HD01 #
HD02 #
HD03 #
HD04 #
HD05 #
HD06 #
HD07 #
HD08 #
HD09 #
HD10 #
HD11 #
HD12 #
HD13 #
HD14 #
HD15 #
HD16 #
HD17 #
HD18 #
HD19 #
HD20 #
HD21 #
HD22 #
HD23 #
HD24 #
HD25 #
HD26 #
HD27 #
HD28 #
HD29 #
HD30 #
HD31 #
HD32 #
HD33 #
HD34 #
HD35 #
HD36 #
HD37 #
HD38 #
HD39 #
HD40 #
HD41 #
HD42 #
HD43 #
HD44 #
HD45 #
HD46 #
HD47 #
HD48 #
HD49 #
HD50 #
HD51 #
HD52 #
HD53 #
HD54 #
HD55 #
HD56 #
HD57 #
HD58 #
HD59 #
HD60 #
HD61 #
HD62 #
HD63 #

HA03#
HA04#
HA05#
HA06#
HA07#
HA08#
HA09#
HA10#
HA11#
HA12#
HA13#
HA14#
HA15#
HA16#
HA17#
HA18#
HA19#
HA20#
HA21#
HA22#
HA23#
HA24#
HA25#
HA26#
HA27#
HA28#
HA29#
HA30#
HA31#

P4 M890 =>HA3 2,HA3 3

3
3
3
3
3
3
3
3
3
3
3

H_D#[ 63:0 ]

BGA5A

H_A#3
M3 3
H_A#4
N3 4
H_A#5
R3 3
T3 3
H_A#6
H_A#7
R3 4
H_A#8
P3 6
H_A#9
P3 4
H_A#10 N3 5
H_A#11 R3 6
H_A#12 U3 6
H_A#13 U3 4
H_A#14 U3 5
H_A#15
T3 0
H_A#16 U3 2
H_A#17 W3 5
H_A#18
V3 2
H_A#19
V3 6
V3 4
H_A#20
H_A#21 W3 6
H_A#22 W3 4
H_A#23 AA3 6
H_A#24
V3 3
H_A#25 AA3 4
H_A#26 Y3 5
H_A#27 Y3 3
H_A#28 AA3 2
H_A#29 W3 2
H_A#30
V3 1
H_A#31 W3 1

VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT

H_A#[3 1:3]

3 H_ A# [31: 3]

B.Schematic Diagrams

A17
A18
A19
A20
A21
B17
B1 8
B19
B20
B21
C1 7
C18
C19
C 20
C21
D17
D18
D19
D2 0
D21
E17
E18
E19
E20
E21
F17
F18
F1 9
F20
F21
G17
G1 8
G19
G20
G 21

1. 05VS

Schematic Diagrams

VN896-2
1 .8 V

1 . 8V

M _D M[ 0. . 7]

M
M
M
M
M
M
M
M

C 267

C2 6 6

C1 7 4

C 17 5

C 2 82

C 200

C2 3 4

C2 0 5

_ DM
_ DM
_ DM
_ DM
_ DM
_ DM
_ DM
_ DM

0
1
2
3
4
5
6
7

A F35
AG 3 4
AJ 3 6
A K3 5
A F34
AG 3 5
AJ 3 4
A K3 4
AG 3 2
A F32
AH 3 0
AJ 3 1
A F31
AH 3 2
AH 3 1
AJ 3 2
A K3 3
AL 3 6
A P3 5
AL 3 4
AL 3 5
AM 3 5
AT3 6
AM 3 4
A K2 4
AL 2 3
AM 2 4
AJ 2 2
A K2 3
AN 2 4
AM 2 3
AM 2 2
A K2 0
A K1 9
AM 1 9
AR 1 8
AL 2 0
AM 2 0
AL 1 8
AM 1 8
AR 1 7
AT1 6
AN 1 6
AN 1 5
AM 1 7
A P1 7
AM 1 6
AM 1 5
AN 2 2
AN 2 1
A P1 9
AT1 8
AT2 1
AR 2 1
AT1 9
AR 1 9
AR 1 4
AM 1 4
A P1 3
AR 1 1
A P1 4
AN 1 4
AT1 2
A P1 2
AH 3 6
A F30
AN 3 6
AN 2 3
AN 2 0
AT1 5
A P2 1
AT1 3

MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
MD
DQ
DQ
DQ
DQ
DQ
DQ
DQ
DQ

00
01
02
03
04
05
06
07
08
09
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63

V C CM E M
V CC M E M
VC C M EM
V C CM E M
V CC M E M
V C C ME M
V C CM E M
V CC M E M
V C CM E M
V C CM E M
V CC M E M
V C CM E M
V CC M E M
V CC M E M
V C CM E M
V CC M E M
V C C ME M
V C CM E M
V CC M E M
V C CM E M
V C CM E M
V CC M E M
V C CM E M
V CC M E M
V CC M E M
V C CM E M
V CC M E M
V C C ME M
V C CM E M
V CC M E M
V C CM E M
V C CM E M
V CC M E M
V C CM E M
V CC M E M

B GA 5 B
_0
_1
_2
_3
_4
_5
_6
_7
_8
_9
_1 0
_1 1
_1 2
_1 3
_1 4
_1 5
_1 6
_1 7
_1 8
_1 9
_2 0
_2 1
_2 2
_2 3
_2 4
_2 5
_2 6
_2 7
_2 8
_2 9
_3 0
_3 1
_3 2
_3 3
_3 4
_3 5
_3 6
_3 7
_3 8
_3 9
_4 0
_4 1
_4 2
_4 3
_4 4
_4 5
_4 6
_4 7
_4 8
_4 9
_5 0
_5 1
_5 2
_5 3
_5 4
_5 5
_5 6
_5 7
_5 8
_5 9
_6 0
_6 1
_6 2
_6 3

M0 #
M1 #
M2 #
M3 #
M4 #
M5 #
M6 #
M7 #

BA0
BA1
BA2
MA 00
MA 01
MA 02
MA 03
MA 04
MA 05
MA 06
MA 07
MA 08
MA 09
MA 10
MA 11
MA 12
MA 13

S RA S #
S CA S #
SW E#
CS
CS
CS
CS

0#
1#
2#
3#

CK E 0
CK E 1
CK E 2
CK E 3
OD
OD
OD
OD

T0
T1
T2
T3

D QS 0+
D QS 0 D QS 1+
D QS 1 D QS 2+
D QS 2 D QS 3+
D QS 3 D QS 4+
D QS 4 D QS 5+
D QS 5 D QS 6+
D QS 6 D QS 7+
D QS 7 -

M CL K I

MC L K O+
MC LK OM E MV R E F 0
M E MV R E F 1

A R2 8
AP2 9
AP3 3
A T 29
A R3 0
A R2 9
A T 30
A N3 0
AP3 1
A R3 1
A T 32
AP3 2
A N3 2
A N2 9
A R3 2
A T 33
A R2 5

M_ B A 0
M_ B A 1
M_ B A 2
MA A 0
MA A 1
MA A 2
MA A 3
MA A 4
MA A 5
MA A 6
MA A 7
MA A 8
MA A 9
MA A 10
MA A 11
MA A 12
MA A 13

A N2 8
A R2 7
AP2 8

M_ R A S #
M_ C A S #
M_ W E #

A T 27
AP2 7
A T 24
AP2 6

M_ C S 0 #
M_ C S 1 #
M_ C S 2 #
M_ C S 3 #

M _B A 0 9 , 1 0
M _B A 1 9 , 1 0
M _B A 2 9 , 1 0
MA A [ 0 : 13 ]

MEMDET SEL:
0: DDR1
* 1: DDR2

M A A [0 :1 3 ] 9 ,1 0

ME MD E T

MA A
MA A
MA A
MA A
MA A
MA A
MA A
MA A
MA A
MA A
MA A
MA A
MA A
MA A

M _R A S # 9 , 1 0
M _C A S # 9 , 1 0
M _W E # 9 , 1 0
M
M
M
M

A T 34
AP3 4
A R3 4
A N3 4

_C
_C
_C
_C

S0 #
S1 #
S2 #
S3 #

10
10
9 ,1 0
9 ,1 0

M _C K E 0 1 0
M _C K E 1 1 0
M _C K E 2 9 , 1 0
M _C K E 3 9 , 1 0

A T 26
AP2 5
A R2 4
A N2 5

M
M
M
M

A J 35
A H3 5
A H3 3
A H3 4
A R3 6
AP3 6
A R2 2
AP2 3
AP1 8
A N1 8
AP1 5
A R1 5
AP2 0
A R2 0
A R1 2
A R1 3

M_ D
M_ D
M_ D
M_ D
M_ D
M_ D
M_ D
M_ D
M_ D
M_ D
M_ D
M_ D
M_ D
M_ D
M_ D
M_ D

QS + 0
QS -0
QS + 1
QS -1
QS + 2
QS -2
QS + 3
QS -3
QS + 4
QS -4
QS + 5
QS -5
QS + 6
QS -6
QS + 7
QS -7

AB3 4

MC L K I T

AB3 5
AB3 6

M_ C LK O+
M_ C LK O-

A G2 9
A J 18

MV R E F _ N B

_O
_O
_O
_O

D
D
D
D

T0
T1
T2
T3

0
1
2
3
4
5
6
7
8
9
10
11
12
13

C1 4 4
C1 4 5
C1 5 7
C1 5 8
C1 4 6
C1 5 9
C1 4 7
C1 4 8
C1 6 0
C1 4 9
C1 4 3
C1 6 1
C1 6 4
C1 4 2

1 .8 V
R 49 2

4 .7 K _ 0 4

R 49 3

* 4. 7K _0 4

5 P _ 50 V _ 0 6
5 P _ 50 V _ 0 6
5 P _ 50 V _ 0 6
5 P _ 50 V _ 0 6
5 P _ 50 V _ 0 6
5 P _ 50 V _ 0 6
5 P _ 50 V _ 0 6
5 P _ 50 V _ 0 6
5 P _ 50 V _ 0 6
5 P _ 50 V _ 0 6
5 P _ 50 V _ 0 6
5 P _ 50 V _ 0 6
5 P _ 50 V _ 0 6
5 P _ 50 V _ 0 6

C
C
C
C
C
C

162
163
630
151
150
152

5 P_ 5 0 V_ 0 6
5 P_ 5 0 V_ 0 6
5 P_ 5 0 V_ 0 6
5 P_ 5 0 V_ 0 6
5 P_ 5 0 V_ 0 6
5 P_ 5 0 V_ 0 6

M
M
M
M
M
M

Sheet 6 of 40
VN896-2

_ BA0
_ BA1
_ BA2
_ RA S#
_ CA S#
_ W E#

10
10
9 ,1 0
9 ,1 0

M_ D QS + [ 0 . . 7 ]

M_ D QS -[ 0. . 7]

M_ D QS + [ 0 . . 7 ] 9 , 1 0

M_ D QS - [ 0. . 7]

9 , 10

M CL KIT 2
R4 9 0
R4 9 1

MCLKIT = DCLKx + 2 "

2 2 _0 4
2 2 _0 4

MC L K OT 2
MC L K OC 2

MCLKO+/- as short as passable

1 .8 V

R1 7 6
1 . 8V

1 5 0_ 1 % _ 0 6
M V RE F _ NB
M EM DE T

AF3 6

M EM DE T
R1 7 3

D MC O MP

A M3 3

Z 0 60 1 R 4 9 4

3 01 _ 1 % _ 04

1 5 0_ 1 % _ 0 6

C 227

C 2 79

C 28 0

C2 2 1

1 00 0 P _ 5 0 V _ 0 6
1 0 0 0 P _ 5 0V _0 6
10 0 0 P _ 5 0 V _ 06
1 0 0 0 P _ 50 V _ 0 6

C 23 3
. 1 U _ 50 V _ 0 6

C 2 77

C2 8 7

1 U_ 1 0 V _ 0 6
1U _ 1 0V _0 6

P 4 M 9 00
G ND
GN D
G ND
G ND
GN D
G ND
GN D
GN D
G ND
GN D
GN D
G ND
GN D
G N D
G ND
GN D
G ND
G ND
GN D
G ND
GN D
GN D
G ND
GN D
G N D
G ND
GN D
G ND
G ND
GN D
G ND
GN D
GN D
G ND
GN D
G N D
G ND
GN D
G ND

9 , 1 0 M_ D M [ 0 . . 7 ]

D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D

C2 6 2

VN896-2 B - 7

B.Schematic Diagrams

M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M

C 25 5

.1 U_ 5 0 V_ 0 6
. 1 U _ 5 0V _0 6
.1 U_ 5 0 V _ 0 6
. 1 U _5 0 V _ 0 6
. 1U _ 5 0V _0 6
.1 U_ 5 0 V _ 0 6
. 1 U _5 0 V _ 0 6
. 1 U _ 5 0 V _ 06
.1 U_ 5 0 V_ 0 6
. 1 U _ 50 V _ 0 6
. 1 U _ 5 0 V _ 06
. 1 U _5 0 V _ 0 6

AA2 4
A B2 4
AC 2 4
A D1 6
A D1 7
AD 1 8
A D1 9
A D2 0
AD 2 1
A D2 2
AD 2 3
A D 24
A J 26
AJ 2 8
AK2 5
A K2 7
AK2 9
AL 2 6
A L2 8
AL 3 0
AM 2 5
AM 2 7
AM 2 9
A M 31
AN 2 6
A N 27
A N3 1
AN 3 3
AP3 0
A R2 6
AR 3 3
AT2 5
A T 28
AT3 1
AT3 5

MD _[ 63 : 0 ]

C2 9 5

E7
E9
E3 4
E3 6
F 1
F2
F4
F 5
F6
F7
F 10
F14
F23
F24
F26
F27
F29
F30
F 32
G 1
G2
G 4
G 7
G1 1
G 29
H2
H4
H 7
H2 3
H 24
H 27
H3 1
H 33
H 36
J2
J4
J5
J6
J7

9 , 1 0 MD _[ 63 : 0 ]

C2 5 9

Schematic Diagrams

VN896-3
1 .5 VS

1 .5 V S

C 379
+

R2 1 3

3 .3 VS
3. 3 V S

3 3 0 U_ 6 .3 V _ D

1 . 4 K _ 1% _ 0 6

BG A5 C
V LA D [ 0 . . 7 ]

1 7 VL A D [0 ..7 ]

V
V
V
V
V
V
V
V

L VREF_ NB => VT82 37R p lus = 0.625
L VREF_ NB => VT82 37A = 0.45

B.Schematic Diagrams

1 .5 VS

LA
LA
LA
LA
LA
LA
LA
LA

AP 1
AN 3
AT 3
AR 4
AN 2
AN 1
AP 5
AN 5

D0
D1
D2
D3
D4
D5
D6
D7

AN 4

1 7 VB E#
C2 9 0

C2 8 4

. 1 U _5 0 V _ 0 6

. 1 U _5 0 V _ 0 6

C2 7 5
1 7 U PSTB
1 7 U PSTB#

1 0 00 P _5 0 V _ 0 6

1 7 D N S TB
1 7 D N S TB #

Sheet 7 of 40
VN896-3

D ecoup ling capac itors

1 7 U P C MD
1 7 D NC M D

1 .5 VS

C2 7 2

C 2 94

Dec oupli ng ca pacit ors
F B3
H C B 2 0 1 2K F -1 2 1T 3 0 _ 0 8
A V D D1
C 168
2 2 U _1 0 V _ 1 2
1
2
S 3
S1

3 .3 VS

F B2
H C B 2 0 1 2K F -1 2 1T 3 0 _ 0 8
A V D D2
C1 6 7

C 170

1 0 0 0P _5 0 V _ 0 6
GN D A MC K

AR 1
AT 1

UP CM D
DN CM D

AR 5
AP 4
AL 6
AM 5
AL 5
AL 4

Z0 7 0 1 AD 1 3
AD 1 4
L38
AD 1 5
H C B 1 6 0 8 K F -1 2 1 T 25 _ 0 6
M 12
M 13
M 14
M 15
M 16
M 20
M 21
M 22
M 23
M 24
1 .5 V S
N 12
N 25
P1 2
P2 5
R 12
T12
T25
U 12
U 25
V1 2
W12
W25
Y 12
Y 25
A A1 2
A B1 2
A B2 5
AC 1 2
AC 2 5
AD 1 2

3 .3 VS

1 0 0 0P _5 0 V _ 0 6
GN D A H C K

DN S T B
DN S T B #

2 GC L K _ N B

.1 U_ 5 0 V _ 0 6

C1 7 1

AT 2
AR 3

L V RE F _ NB
2 7 0 _ 1 % _0 6 L C O MP P
3 4 0 _ 1 % _0 6 L C O MP N

R 2 29
R 2 25

C 246

. 1 U _5 0 V _ 0 6

UP S T B
UP S T B #

2 2 U _1 0 V _ 1 2
1
2
S 2
S1

. 1 U _5 0 V _ 0 6

AD 3 1
16 P M_ S U S _ S T A T #

Z 0 7 02
0 _0 4
AD 3 5
1 K _ 04 T E S T I N _ N B A E 3 6
AD 3 6
AD 3 4
A V D D1
A V D D2

1 .5 V
3. 3V S

F B1 1
H C B 2 0 1 2K F -1 2 1T 3 0 _ 0 8

C3 9 0

B - 8 VN896-3

F B9
H C B 2 0 1 2 K F -1 2 1 T3 0 _ 0 8
V C C A 3 3 P E X1

V C CA 3 3 P E X 0

1 0 0 0P _5 0 V _ 0 6
GN D A P E X 0

C 393
1 U _ 10 V _ 0 6
1
2
S 10
S1

00
01
02
03
04
05
06
07

VBE#

UP S T B +
UP S T B DN S T B +
DN S T B UP CM D
D N C MD
VL VR EF
V L CO M P P
V L CO M P N
V C LK
VC
VC
VC
VC
VC
VC
VC
VC
VC
VC
VC
VC
VC
VC
VC
VC
VC
VC
VC
VC
VC
VC
VC
VC
VC
VC
VC
VC
VC
VC
VC
VC
VC

C1 5 V L
C1 5 V L
C1 5 V L
C1 5
C1 5
C1 5
C1 5
C1 5
C1 5
C1 5
C1 5
C1 5
C1 5
C1 5
C1 5
C1 5
C1 5
C1 5
C1 5
C1 5
C1 5
C1 5
C1 5
C1 5
C1 5
C1 5
C1 5
C1 5
C1 5
C1 5
C1 5
C1 5
C1 5

1 .5 V

R4 9 5
R4 9 6

1 5 , 20 , 2 3 , 2 6 B U F _ P L T _R S T #
1 6 SB _ P W RO K

3 .3 V S

VD
VD
VD
VD
VD
VD
VD
VD

V C C3 3 P E X
V C C3 3 P E X
V C C 3 3P E X
V C C3 3 P E X
V C C3 3 P E X
V C C 3 3P E X
V C C3 3 P E X
V C C3 3 P E X
V C C 3 3P E X
V C C3 3 P E X
VCC 3 3 PE X
V C C 3 3P E X
V C C3 3 P E X
VCC 3 3 PE X
V C C 3 3P E X
V C C3 3 P E X
VCC 3 3 PE X
V C C 33 P E X
V C C3 3 P E X
VCC 3 3 PE X
V C C 33 P E X
V C C3 3 P E X
VCC 3 3 PE X
V C C 33 P E X
V C C3 3 P E X
VCC 3 3 PE X

. 1 U _ 5 0V _0 6

C 35 2
1 0 0 0 P _ 50 V _ 0 6
G ND AP EX 1

C3 6 6
1U _ 1 0V _0 6
1
2
S8
S1

VC
VC
VC
VC

CA
CA
CA
CA

GN
GN
GN
GN
GN
GN

33 P E
33 P E
33 P E
33 P E

DA
DA
DA
DA
DA
DA

AC 3 1
AD 3 0
X0
G
X1 A E
XC K A H
X2 A H
AF

6
6
5
3
7

HC K AC 3 2
MC K A D 2 9
PEX0
H 6
PEX1 AE 7
P EXCKA H 6
PEX2 AH 4

VSU S1 5
SU SST #
T E S T IN #
RE S E T #
P W R OK
V C CA 3 3 H CK
V C CA 3 3 M CK
V C CA 3 3 P E X 0
V C CA 3 3 P E X 1
V C CA 3 3 P E X C K
V C CA 3 3 P E X 2
VSU S1 5 PEX
GN
GN
GN
GN
GN
GN

D
D
D
D
D
D

AHC K
A MC K
APEX0
APEX1
AP EXCK
APEX2

P E X T X0 0 +
P E XT X 0 0 P E X T X0 1 +
P E XT X 0 1 P E X T X0 2 +
P E XT X 0 2 P E X T X0 3 +
P E XT X 0 3 P E X T X0 4 +
P E XT X 0 4 P E X T X0 5 +
P E XT X 0 5 P E X T X0 6 +
P E XT X 0 6 P E X T X0 7 +
P E XT X 0 7 P E X T X0 8 +
P E XT X 0 8 P E X T X0 9 +
P E XT X 0 9 P E X T X1 0 +
P E XT X 1 0 P E X T X1 1 +
P E XT X 1 1 P E X T X1 2 +
P E XT X 1 2 P E X T X1 3 +
P E XT X 1 3 P E X T X1 4 +
P E XT X 1 4 P E X T X1 5 +
P E XT X 1 5 -

P E X RX 0 0 + /DV P 0 D1 1
P E X R X 0 0 -/ D V P 0 D 1 0
P E X RX 0 1 + /DV P 0 D0 9
P E X R X 0 1 -/ D V P 0 D 0 8
P E X RX 0 2 + /DV P 0 D0 7
P E X R X 0 2 -/ D V P 0 D 0 6
P E XR X 0 3 +/ D V P 0 C L K
P E XR X 0 3 -/ D V P TV C L K R
P E X RX 0 4 + /DV P 0 D0 5
P E X R X 0 4 -/ D V P 0 D 0 4
P E X RX 0 5 + /DV P 0 D0 3
P E X R X 0 5 -/ D V P 0 D 0 2
P E X RX 0 6 + /DV P 0 D0 1
P E X R X 0 6 -/ D V P 0 D 0 0
P E X R X 0 7+ / D V P 0 D E
P E X R X0 7 -/ D V P 0 H S
P E X RX 0 8 + /DV P 1 D1 1
P E X R X 0 8 -/ D V P 1 D 1 0
P E X RX 0 9 + /DV P 1 D0 9
P E X R X 0 9 -/ D V P 1 D 0 8
P E X RX 1 0 + /DV P 1 D0 7
P E X R X 1 0 -/ D V P 1 D 0 6
P E XR X 1 1 +/ D V P 1 C L K
P E X R X 1 1 -/ D V P 1 D 0 5
P E X RX 1 2 + /DV P 1 D0 4
P E X R X 1 2 -/ D V P 1 D 0 3
P E X RX 1 3 + /DV P 1 D0 2
P E X R X 1 3 -/ D V P 1 D 0 1
P E X RX 1 4 + /DV P 1 D0 0
P E X R X1 4 -/ D V P 1 D E
P E X R X 1 5+ / D V P 1 H S
P E XR X 1 5- / D V P 1V S
P E X T X1 6 +
P E XT X 1 6 P E X R X1 6 +
P E X RX 1 6 P E XC L K +
PEXC L KI N TR #
PEXD ET
PEXW A KE#
P E XH P S C I #
PE XP M ES C I#
TC S E N #
P E X C OM P 0
P E X C OM P 1
P E X RE X T 0
P E X RE X T 1
P E X C OM P 2
P E X RE X T 2

GN D
G ND
GN D
G N D
G ND
GN D
G N D
G ND
GN D
G N D
G ND
GN D
G N D
G ND
GN D
G ND
G ND
GN D
G ND
G ND
GN D
G ND
G ND
GN D
G ND
GN D
GN D
G ND
GN D
GN D

C 371

1 K _ 1% _ 0 6

K 2
K4
K5
K7
K3 1
K3 4
K3 6
L2
L5
L7
L32
L3 3
M2
M5
M7
M 36
N2
N 5
N 7
P2
P 5
P6
P7
P 14
P1 5
P1 6
P 17
P1 8
P1 9
P 20

R2 1 4

N 13
N1 4
P1 3
R 13
T13
U 13
V1 3
W13
Y1 3
AA1 3
AB1 3
AC 1 3
AJ 1
AJ 2
AJ 3
AJ 4
A J5
AJ 6
AJ 7
A K1
AK 2
AK3
A K4
AK5
AK6
A K7

L V RE F _ NB

P 4 M9 0 0

B1
C 1
D 1
E1
H 1
J1
K1
L1
L4
M4
M1
N 1
N 4
P4
P1
R 1
T1
U 1
U 4
V4
V1
W 1
W 4
Y 4
Y 1
AA 1
AB 1
AC 1
AC 4
AD 4
AD 1
AE 1

TX 0 0 +
TX 0 0 TX 0 1 +
TX 0 1 TX 0 2 +
TX 0 2 TX 0 3 +
TX 0 3 TX 0 4 +
TX 0 4 TX 0 5 +
TX 0 5 TX 0 6 +
TX 0 6 TX 0 7 +
TX 0 7 TX 0 8 +
TX 0 8 TX 0 9 +
TX 0 9 TX 1 0 +
TX 1 0 TX 1 1 +
TX 1 1 TX 1 2 +
TX 1 2 TX 1 3 +
TX 1 3 TX 1 4 +
TX 1 4 TX 1 5 +
TX 1 5 -

C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C

E3
D 2
F3
G 3
H 3
J3
K3
L3
K6
L6
M3
N 3
M6
N 6
P3
R 3
T3
U 3
T6
U 6
V3
W 3
W 6
V6
Y 3
AA 3
AA 6
AB 6
AB 3
AC 3
AD 3
AE 3

P E0 RX0
P E0 RX0 #
P E0 RX1
P E0 RX1 #
P E0 RX2
P E0 RX2 #
P E0 RX3
P E0 RX3 #
P E0 RX4
P E0 RX4 #
P E0 RX5
P E0 RX5 #
P E0 RX6
P E0 RX6 #
P E0 RX7
P E0 RX7 #
P E0 RX8
P E0 RX8 #
P E0 RX9
P E0 RX9 #
P E0 RX1 0
P E0 RX1 0 #
P E0 RX1 1
P E0 RX1 1 #
P E0 RX1 2
P E0 RX1 2 #
P E0 RX1 3
P E0 RX1 3 #
P E0 RX1 4
P E0 RX1 4 #
P E0 RX1 5
P E0 RX1 5 #

A G 1 Z 0 70 3
A F 1 Z 0 70 4

6 51
6 52
6 77
6 78
6 53
6 54
6 79
6 80
6 55
6 56
6 81
6 82
6 57
6 58
6 83
6 84
6 59
6 60
6 85
6 86
6 61
6 62
6 87
6 88
6 63
6 64
6 89
6 90
6 65
6 66
6 91
6 92

C 6 68
C 6 67

.1 U
.1 U
.1 U
.1 U
.1 U
.1 U
.1 U
.1 U
.1 U
.1 U
.1 U
.1 U
.1 U
.1 U
.1 U
.1 U
.1 U
.1 U
.1 U
.1 U
.1 U
.1 U
.1 U
.1 U
.1 U
.1 U
.1 U
.1 U
.1 U
.1 U
.1 U
.1 U

PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE

0T X 0
0T X 0 #
0T X 1
0T X 1 #
0T X 2
0T X 2 #
0T X 3
0T X 3 #
0T X 4
0T X 4 #
0T X 5
0T X 5 #
0T X 6
0T X 6 #
0T X 7
0T X 7 #
0T X 8
0T X 8 #
0T X 9
0T X 9 #
0T X 1 0
0T X 1 0 #
0T X 1 1
0T X 1 1 #
0T X 1 2
0T X 1 2 #
0T X 1 3
0T X 1 3 #
0T X 1 4
0T X 1 4 #
0T X 1 5
0T X 1 5 #

PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE
PE

0T X 0 1 1
0T X 0 # 1 1
0T X 1 1 1
0T X 1 # 1 1
0T X 2 1 1
0T X 2 # 1 1
0T X 3 1 1
0T X 3 # 1 1
0T X 4 1 1
0T X 4 # 1 1
0T X 5 1 1
0T X 5 # 1 1
0T X 6 1 1
0T X 6 # 1 1
0T X 7 1 1
0T X 7 # 1 1
0T X 8 1 1
0T X 8 # 1 1
0T X 9 1 1
0T X 9 # 1 1
0T X 1 0 1 1
0T X 1 0 # 1 1
0T X 1 1 1 1
0T X 1 1 # 1 1
0T X 1 2 1 1
0T X 1 2 # 1 1
0T X 1 3 1 1
0T X 1 3 # 1 1
0T X 1 4 1 1
0T X 1 4 # 1 1
0T X 1 5 1 1
0T X 1 5 # 1 1

P E 0 RX 0 1 1
P E 0 RX 0 # 1 1
P E 0 RX 1 1 1
P E 0 RX 1 # 1 1
P E 0 RX 2 1 1
P E 0 RX 2 # 1 1
P E 0 RX 3 1 1
P E 0 RX 3 # 1 1
P E 0 RX 4 1 1
P E 0 RX 4 # 1 1
P E 0 RX 5 1 1
P E 0 RX 5 # 1 1
P E 0 RX 6 1 1
P E 0 RX 6 # 1 1
P E 0 RX 7 1 1
P E 0 RX 7 # 1 1
P E 0 RX 8 1 1
P E 0 RX 8 # 1 1
P E 0 RX 9 1 1
P E 0 RX 9 # 1 1
P E 0 RX 1 0 1 1
P E 0 RX 1 0 # 1 1
P E 0 RX 1 1 1 1
P E 0 RX 1 1 # 1 1
P E 0 RX 1 2 1 1
P E 0 RX 1 2 # 1 1
P E 0 RX 1 3 1 1
P E 0 RX 1 3 # 1 1
P E 0 RX 1 4 1 1
P E 0 RX 1 4 # 1 1
P E 0 RX 1 5 1 1
P E 0 RX 1 5 # 1 1
. 0 6 8U _ 1 6 V _ 04
. 0 6 8U _ 1 6 V _ 04

AF 4
AE 4

SCI#
SCI#
#
M P0
M P1
XT 0
XT 1
M P2
XT 2

R2 3 0

1 0 K _ 06

R6 7 1

4 . 7 K _ 06

R6 5 1
R2 4 1
R2 1 5
R2 4 2
R2 2 0
R5 4 3
R5 3 6

4 . 7 K _ 06
2 4 9_ 1 % _ 0 6
2 4 9_ 1 % _ 0 6
1 0 . 7K _1 % _ 0 6
1 0 . 7K _1 % _ 0 6
2 4 9_ 1 % _ 0 6
1 0 . 7K _1 % _ 0 6

4 .7 U_ 1 0 V _ 0 8
4 .7 U_ 1 0 V _ 0 8
4 .7 U_ 1 0 V _ 0 8
4 .7 U_ 1 0 V _ 0 8
4 .7 U_ 1 0 V _ 0 8
4 .7 U_ 1 0 V _ 0 8
4 .7 U_ 1 0 V _ 0 8

C
C
C
C
C
C
C

3 59
3 58
3 56
3 54
3 57
3 55
2 16

4 .7 U_ 1 0 V _ 0 8
4 .7 U_ 1 0 V _ 0 8
4 .7 U_ 1 0 V _ 0 8
4 .7 U_ 1 0 V _ 0 8
4 .7 U_ 1 0 V _ 0 8
4 .7 U_ 1 0 V _ 0 8
4 .7 U_ 1 0 V _ 0 8

C
C
C
C
C
C

31 6
31 8
31 9
32 0
32 1
31 7

2 .2 U_ 6 .3 V _ 0 6
2 .2 U_ 6 .3 V _ 0 6
2 .2 U_ 6 .3 V _ 0 6
2 .2 U_ 6 .3 V _ 0 6
2 .2 U_ 6 .3 V _ 0 6
2 .2 U_ 6 .3 V _ 0 6

C
C
C
C
C
C

3 27
3 22
2 41
2 61
2 25
2 64

2 .2 U_ 6 .3 V _ 0 6
2 .2 U_ 6 .3 V _ 0 6
2 .2 U_ 6 .3 V _ 0 6
2 .2 U_ 6 .3 V _ 0 6
2 .2 U_ 6 .3 V _ 0 6
2 .2 U_ 6 .3 V _ 0 6

under NB( solder side)

+ CA 2

+ C A1

3 3 0U _ 6 . 3 V _ D

* 3 3 0U _ 6 . 3 V _ D

NEAR NB(VN800)
3 .3 V S

3 .3 V S

F B1 6
H C B 2 0 12 K F -1 21 T 3 0 _ 08

F B1 5
H C B 2 0 1 2K F -1 2 1T 3 0 _ 0 8

V CC A 3 3 P E X C K
C4 1 5

P E 0 RX 0
P E 0 RX 0 #
P E 0 RX 1
P E 0 RX 1 #
P E 0 RX 2
P E 0 RX 2 #
P E 0 RX 3
P E 0 RX 5
P E 0 RX 5 #
P E 0 RX 6
P E 0 RX 6 #
P E 0 RX 7
P E 0 RX 7 #
P E 0 RX 8
P E 0 RX 8 #

P C I E _T X P 2 _ N C 2 0
P C I E _T X N 2_ N C 20

M960806

3 .3 V S
P C I _ I N TH # 1 5
W A KE 1 6
P E XM E S C I # 1 6

C
C
C
C
C
C
C
C
C
C
C
C
C
C
C

V C CA 3 3 P E X 2
C 4 09

1 0 00 P _ 5 0 V _ 0 6
GN D A P E X C K

N B _ P E XC L K 2
N B _ P E XC L K # 2
T

37 0
39 6
66 9
41 3
67 6
40 2
67 2

1 .5 V S

P C I E _R X P 2 _ N C 2 0
P C I E _R X N 2 _ N C 2 0

AG 6
AF 6
B6
P EXDE
B5
AC 3 4
AC 3 6 P EXHP
A C 3 3 P E X ME
TC S E N
D 7
H 5
P EXCO
AD 7 P EXCO
P EXRE
G 5
AD 6 P EXRE
AH 1 P EXCO
AH 2 P EXRE

_ 10 V _ 0 4
_ 10 V _ 0 4
_ 10 V _ 0 4
_ 10 V _ 0 4
_ 10 V _ 0 4
_ 10 V _ 0 4
_ 10 V _ 0 4
_ 10 V _ 0 4
_ 10 V _ 0 4
_ 10 V _ 0 4
_ 10 V _ 0 4
_ 10 V _ 0 4
_ 10 V _ 0 4
_ 10 V _ 0 4
_ 10 V _ 0 4
_ 10 V _ 0 4
_ 10 V _ 0 4
_ 10 V _ 0 4
_ 10 V _ 0 4
_ 10 V _ 0 4
_ 10 V _ 0 4
_ 10 V _ 0 4
_ 10 V _ 0 4
_ 10 V _ 0 4
_ 10 V _ 0 4
_ 10 V _ 0 4
_ 10 V _ 0 4
_ 10 V _ 0 4
_ 10 V _ 0 4
_ 10 V _ 0 4
_ 10 V _ 0 4
_ 10 V _ 0 4

1 .5 V S
C
C
C
C
C
C
C

76 3
76 5
76 7
76 9
77 1
77 3
77 5
77 7
77 9
78 1
78 3
76 4
76 6
76 8
77 0

C4 1 4

1 U _1 0 V _ 0 6
1
2
S1 3
S1

*4 7 P _ 5 0V
*4 7 P _ 5 0V
*4 7 P _ 5 0V
*4 7 P _ 5 0V
*4 7 P _ 5 0V
*4 7 P _ 5 0V
*4 7 P _ 5 0V
*4 7 P _ 5 0V
*4 7 P _ 5 0V
*4 7 P _ 5 0V
*4 7 P _ 5 0V
*4 7 P _ 5 0V
*4 7 P _ 5 0V
*4 7 P _ 5 0V
*4 7 P _ 5 0V

_0 4
_0 4
_0 4
_0 4
_0 4
_0 4
_0 4
_0 4
_0 4
_0 4
_0 4
_0 4
_0 4
_0 4
_0 4

C 408

1 0 0 0P _5 0 V _ 0 6
GN D A P E X 2

PE0 R
PE0 R
PE0 R
PE0 R
PE0 R
PE0 R
PE0 R
PE0 R
PE0 R
PE0 R
PE0 R
PE0 R
PE0 R
PE0 R

X9
X9 #
X1 0
X1 0 #
X1 1
X1 1 #
X1 2
X1 2 #
X1 3
X1 3 #
X1 4
X1 4 #
X1 5
X1 5 #

C
C
C
C
C
C
C
C
C
C
C
C
C
C

8 27
8 28
7 72
7 74
8 29
7 76
7 78
7 80
7 82
8 30
8 31
8 32
8 33
8 34

1 U _ 10 V _ 0 6
1
2
S 12
S1

*4 7 P _ 5 0 V _ 04
*4 7 P _ 5 0 V _ 04
*4 7 P _ 5 0 V _ 04
*4 7 P _ 5 0 V _ 04
*4 7 P _ 5 0 V _ 04
*4 7 P _ 5 0 V _ 04
*4 7 P _ 5 0 V _ 04
*4 7 P _ 5 0 V _ 04
*4 7 P _ 5 0 V _ 04
*4 7 P _ 5 0 V _ 04
*4 7 P _ 5 0 V _ 04
*4 7 P _ 5 0 V _ 04
*4 7 P _ 5 0 V _ 04
*4 7 P _ 5 0 V _ 04

Schematic Diagrams

VN896-4
1 . 0 5V S

V
V
V
V
V
V
V
V

D0 8
D0 9
D1 0
D1 1
D1 2
D1 3
D1 4
D1 5

AM 1
AM 3
AT5
AM 6
AL 2
AL 1
AN 6
AT6

VD
VD
VD
VD
VD
VD
VD
VD

A R
A G
A B

AR
AG
AB

H S Y NC E 1 2
V SYN C F1 2

R 20 6
1 5 ,1 9 P C I_ INT A #
R 23 5
R 87 6

24 E N A V E E
2 4 DU A L

A1 3
A1 2

D A CG ND
D A CG ND

B1 3
B1 2

DV P DE T
D VP0 VS

HS Y N C
V S Y NC

D VP2 CL K

V C CA 3 3 D A C1
V C CA 3 3 D A C2

DV P 2 DE

GN D A D A C
GN D A D A C

Z 0 8 0 1C 1 3
8 0 . 6 _ 1% _ 0 6
H 13
0_06
0_04

00
01
02
03
04
05
06
07
08
09
10
11

D VP2 DET

RS E T

A1 6
A1 5
A1 4

V C CA 3 3 P L L 1
V C CA 3 3 P L L 2
V C CA 3 3 P L L 3

B1 6
B1 5
B1 4

D9

P D V P 1 DE

A9

DV P 2 DE T

R 87 4

0_04

R 87 5

0_04

N B _ L V D S D A TA 24
NB _ D DC _ DA T A 2 4

A C3 5

A GP _ B U S Y # 17

H1 2 Z 0 8 0 4
G1 2

D IS P C L K O
DIS P CL K I

R 20 9
R 21 0

A1 1
B1 1

E N B LT
EN VD D

2 2 _ 04 D I S P C L K O
D IS P CL K I
4 .7 K _ 0 4

P2 1
P2 2
P 23
P3 3
P3 5
R 2
R4
R 5
R 6
R7
R 14
R1 5
R1 6
R 17
R1 8
R 19
R 20
R2 1
R 22
R2 3
R3 0
R 31
T2
T 4
T5
T7
T14
T15
T 16
T17
T18
T19
T20
T21
T22
T23
T 34
T36
U2
U 5
U7
U1 4
U 15
U1 6
U 17

AR
AG
AB

L 36
L 35
L 34

H C B 1 6 0 8K F -1 21 T 2 5 _0 6
H C B 1 6 0 8K F -1 21 T 2 5 _0 6
H C B 1 6 0 8K F -1 21 T 2 5 _0 6
C 271

H S Y N CL 39
V S Y N C L 37

FB8
H C B 2 0 1 2 K F -1 2 1T 3 0 _ 08
V C CD A C 1

C 28 9

C 29 1

C2 8 3
1U _ 10 V _ 0 6
1 0 0 0 P _ 50 V _ 0 6
G ND P L L

3 .3 V S

R N7
D V P 2D 0 4
D V P 2D 0 6
D V P 2D 0 0
D V P 2D 0 2

1
2
3
4

8
7
6
5

R N6
Z 0 8 0 5 R1 9 8
Z 0 8 0 6 R1 9 9
Z 0 8 0 7 R2 0 0
Z 0 8 0 8 R2 0 1

0 _ 04
*0 _ 0 4
0 _ 04
0 _ 04

V C CD A C 2

C 28 5
C2 8 6
1U _ 10 V _ 0 6
1 0 0 0 P _ 50 V _ 0 6
S7
GN D P LL
2

C 32 9
C3 3 2
1U _ 1 0V _0 6
S 1 1 0 0 0 P _ 50 V _ 0 6
S9
1
DA CG ND 2

8
7
6
5

V C CP L L 3

S1
1

D V P 2D 0 9
D V P 2D 1 1
D V P 2D 0 8

8
7
6
5

1 0K _8 P 4 R _ 06
G ND

G ND

8
7
6
5

Z0813
Z0814
Z0815
Z0816
Z0817

R1 9 4
R1 9 5
R1 9 6
R1 9 7
R2 2 1

0 _ 04
0 _ 04
0 _ 04
0 _ 04
0 _ 04

G FX pow er up stra ppi ng se tt ing:

VTT
VT T
VTT
VTT
VT T
VTT
V TT
VTT
VTT
VT T
VTT
VTT
VTT
VTT
V TT
VTT
VTT

1. 5V S

V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V

CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC
CC

GN
GN
GN
GN
GN
GN
GN
GN
GN
GN
GN
GN
GN
GN
GN
GN
GN
GN
GN
GN
GN

15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15
15

D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D

AE1 4
AE1 6
AE1 8
AE2 0
AE2 1
AE2 2
A J 10
A J 11
A J 12
A J 13
A J 14
AK9
AK1 0
AK1 1
AK1 2
AK1 3
AK1 5
AL 8
AL 9
A L 10
A L 11
A L 12
A L 14
A M7
A M8
A M9
A M1 0
A M1 1
A M1 2
A M1 3
A N7
A N8
A N9
A N1 0
A N1 1
AP7
AP8
AP9
AP1 0
AP1 1
A R7
A R8
A R9
A R1 0
AT7
AT8
AT9
A T 10

Sheet 8 of 40
VN896-4

A N1 7
A N1 9
A N3 5
AP2
AP3
AP6
AP1 6
AP2 2
AP2 4
A R2
A R6
A R1 6
A R2 3
A R3 5
AT4
A T 11
A T 14
A T 17
A T 20
A T 22
A T 23

P 4 M 90 0

Z 0 8 0 9 R1 9 0
Z 0 8 1 0 R1 9 1
Z 0 8 1 1 R1 9 2
Z 0 8 1 2 R1 9 3

R2 1 8

1 0 K _0 4

SW1_1
F D4 = >Po rt M ux ing
0 : T w o 1 2 -b i t D V I ni t e rf ac e
R 21 7

* 1K _ 0 4

3 .3 V S

i n tF
e rf
a c e= >De dic ate d DVI Port Co nf igu ratio n
SW1_2
D5
0 : T M DS
1: TV

E F
nc oD6
d e r= >De dic ate d DVI Port Sel ect ion
SW1_3

R N5
1
2
3
4

1
2
3
4

ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND
ND

1 : O n e 2 4-b i t P a n e l
D V P 2 D 10
R N2
*1 K _ 8 P 4 R _0 6
1
2
3
4

FB1 0
H C B 2 0 1 2 K F -1 2 1T 3 0 _ 08

D V P 2D 0 7
D V P 2D 0 5
D V P 2D 0 1
D V P 2D 0 3

1 0K _8 P 4 R _ 06

3 .3 V S
FB6
H C B 2 0 1 2 K F -1 2 1T 3 0 _ 08

NB _ H S Y N C 2 4
NB _ V S Y N C 2 4
C3 2 6

22 P _ 5 0 V _ 0 6 2 2 P _ 5 0 V _0 6

R N3
1K _8 P 4 R _0 6

1 0K _8 P 4 R _ 06

3 .3 V S

C 2 93
5
6
7
8

R N 4
1K _8 P 4 R _ 06

C3 2 5
1U _ 1 0V _0 6
1 0 0 0 P _ 50 V _ 0 6
D A C GN D

H C B 1 6 0 8K F -1 21 T 2 5 _0 6
H C B 1 6 0 8K F -1 21 T 2 5 _0 6

3 .3 V S
5
6
7
8

3 .3 VS

4
3
2
1

V C CP L L 2

C2 8 8

2 2P _5 0 V _ 0 6
2 2 P _ 50 V _ 0 6
2 2P _5 0 V _ 0 6

3 .3 V S

FB7
H C B 2 0 1 2 K F -1 2 1T 3 0 _ 08

N B _ R E D 24
NB _ G RE E N 2 4
NB _ B L U E 2 4

C 278

4
3
2
1

3 .3 V S

DIS P CL K O 2 4
DIS P CL K I 2 4

L_ B K LT E N 2 4
NB_ EN AVD D 2 4

G ND
GN D
GN D
G ND
GN D
G ND
G ND
GN D
G ND
GN D
G N D
G ND
GN D
G ND
GN D
GN D
G ND
GN D
G N D
G ND
GN D
G ND
GN D
GN D
G ND
GN D
G ND
G ND
GN D
G ND
GN D
G N D
G ND
GN D
G ND
GN D
GN D
G ND
GN D
G N D
G ND
GN D
G ND
GN D
GN D

P 4 M 9 00

NB_ L VD SCL K 2 4
NB _ D DC _ CL K 2 4

A7
E1 3

BU SY#

GN D A P L L
GN D A P L L
GN D A P L L

V C CP L L 1
C 27 6
C2 6 5
1U _ 10 V _ 0 6
1 0 0 0 P _ 50 V _ 0 6
G ND P L L

P D V P 1 CL K

C8
G1 3

S P D1
S P D2

X IN

3 .3 V S

FB5
H C B 2 0 1 2 K F -1 2 1T 3 0 _ 08

DV P DE T
DV P 0 V S

B9

B1 0 PD VP1 VS

D VP2 VS

GP O0
GP OU T

D 12

G ND P L L
G ND P L L
G ND P L L

C6
A5

P 2 D0 0
P 2 D0 1
P 2 D0 2
P 2 D0 3
P 2 D0 4
P 2 D0 5
P 2 D0 6
P 2 D0 7
P 2 D0 8
P 2 D0 9
P 2 D1 0
P 2 D1 1

I N TA #

S P CL K 1
S P CL K 2

V C C P LL 1
V C C P LL 2
V C C P LL 3

DV
DV
DV
DV
DV
DV
DV
DV
DV
DV
DV
DV

E 1 1 P D V P 1 HS

DV P 2 HS

Z 0 8 0 2 B8
Z 0 8 0 3 A6

2 G UIC K

H1 1
D1 0
C1 1
C1 0
E1 0
G1 0
F11
C9
E8
B7
F9
C7

VPAR

C 14
D 14
E1 4

V C CD A C 1
V C CD A C 2

VP2 D
VP2 D
VP2 D
VP2 D
VP2 D
VP2 D
VP2 D
VP2 D
VP2 D
VP2 D
VP2 D
VP2 D

G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G
G

0 _ 04
0 _ 04
0 _ 04
0 _ 04

0 : D i s ab l e
1 : E na b l e

SW1_4 F D7 = >GFX Clo ck Se lec t( VCK/LCDCK/ECK)
0 : R e f e r I n t e rn a l P L L(D e f a u l t )
1 : F ro m E x t ern a l

SW1_5 F D10 =>CPUCK/M CK Cl ock Se le ct
0 : F ro m N B (D e f a u tl )
1 : F ro m E x t ern a l

FD[ 0:1:2: 3:8:9:1 1] Res erve d

VN896-4 B - 9

B.Schematic Diagrams

AM 4

1 7 VP AR

D
D
D
D
D
D
D
D
D
D
D
D

08
09
10
11
12
13
14
15

Y 5
Y 6
Y 7
Y1 4
Y1 5
Y1 6
Y1 7
Y1 8
Y1 9
Y2 0
Y2 1
Y2 2
Y2 3
Y3 0
Y3 2
Y3 4
Y3 6
AA2
AA4
AA5
AA7
AA1 4
AA1 5
AA1 6
AA1 7
AA1 8
AA1 9
AA2 0
AA2 1
AA2 2
AA2 3
AA3 1
AA3 3
AA3 5
AB2
AB4
AB5
AB7
AB1 4
AB1 5
AB1 6
AB1 7
AB1 8
AB1 9
AB2 0
AB2 1
AB2 2
AB2 3
AC 2
AC 5
AC 6
AC 7
A C1 4
A C1 5
A C1 6
A C1 7
A C1 8
A C1 9
A C2 0
A C2 1
A C2 2
A C2 3
AD 2
AD 5
A D3 2
AE2
AE5
AE3 2
AE3 4
AF2
AF3
AF5
AG 2
AG 3
AG 4
AG 5
A G3 0
A G3 1
A G3 3
A G3 6

AJ 1 9
G N D
AJ 2 0
G ND
A J 23
A J 3 3 GN D
G ND
AK1 7
A K 1 8GN D
A K 2 2 GN D
G ND
AK3 2
A K 36 GN D
G ND
AL 3
A L7 G N D
GN
D
AL 1 5
G ND
AL 1 6
A L1 7 GN D
A L 1 9 GN D
G ND
A L 22
A L 2 4 GN D
G ND
AL 3 3
A M2 G N D
A M 3 6GN D
G ND
A N1 2
A N 1 3GN D
G N D

G ND
GN D
G ND
GN D
G N D
G ND
GN D
G ND
GN D
GN D
G ND
GN D
G N D
G ND
GN D
G ND
GN D
GN D
G ND
GN D
G ND
G ND
GN D
G ND
GN D
G N D
G ND
GN D
G ND
GN D
GN D
G ND
GN D
G N D
G ND
GN D
G ND
GN D
GN D
G ND

V C C 3 3G F X
V C C3 3 G F X
V C C 3 3 GF X

N 15
N 16
N1 7

U1 8
U 19
U 20
U2 1
U 22
U2 3
U3 1
U 33
V2
V 5
V7
V1 4
V1 5
V1 6
V 17
V1 8
V1 9
V 20
V2 1
V2 2
V2 3
V3 0
V 35
W2
W5
W 7
W14
W15
W16
W17
W 18
W19
W20
W 21
W22
W23
Y2
D1 3
F 13
C 12

B GA 5 E

M1 7
M 18
M 19
N 18
N 19
N2 0
N 21
N2 2
N 23
N 24
P2 4
R 24
T24
U2 4
V2 4
W24
Y 24

3. 3V S

Schematic Diagrams

DDR2-1

M A A[0 :1 3 ]

M
M
M
M
M
M
M
M
M
M
M
M
M
M

AA0
AA1
AA2
AA3
AA4
AA5
AA6
AA7
AA8
AA9
AA1 0
AA1 1
AA1 2
AA1 3

1 02
1 01
1 00
99
98
97
94
92
93
91
1 05
90
89
1 16
86
84
85

M
M
M
M

_ BA0
_ BA1
_ CS2 #
_ CS3 #

1 07
1 06
1 10
1 15
30
32
1 64
1 66
79
80
1 13
1 08
1 09
1 98
2 00
1 97
1 95

6, 1 0 M _B A 2

Sheet 9 of 40
DDR2-1

3 . 3V S
R6 5
R7 2

6 ,1 0
6 ,1 0
6 ,1 0
6 ,1 0
2
2
2
2
6 ,1 0
6 ,1 0
6 ,1 0
6 ,1 0
6 ,1 0
10 K _ 0 6
10 K _ 0 6

M_ B A 0
M_ B A 1
M_ C S 2 #
M_ C S 3 #
M_ C LK _D
M_ C LK _D
M_ C LK _D
M_ C LK _D
M_ C K E 2
M_ C K E 3
M_ C A S #
M_ R A S #
M_ W E #

DR
DR
DR
DR

2
#2
3
#3

M _ CKE 2
M _ CKE 3
M _ CAS #
M _ RAS #
M _ W E#
Z0901
Z0902

2, 1 0 , 1 1, 16 S M B _ S B C L K
2 , 1 0 , 1 1, 1 6 S M B _ S B D A T A
6 , 1 0 M_ OD T 2
6 , 1 0 M_ OD T 3
6 , 1 0 M_ D M[ 0 . . 7 ]

6 , 1 0 M_ D Q S + [ 0 . . 7 ]

6, 1 0 M_ D QS -[ 0 . . 7 ]

M _ D M [ 0. . 7]

M_ D QS + [ 0 . . 7 ]

M_ D QS -[ 0 . . 7 ]

M _ OD T 2
M _ OD T 3

1 14
1 19

M
M
M
M
M
M
M
M

_ D M0
_ D M1
_ D M2
_ D M3
_ D M4
_ D M5
_ D M6
_ D M7

10
26
52
67
1 30
1 47
1 70
1 85

M
M
M
M
M
M
M
M

_ D QS
_ D QS
_ D QS
_ D QS
_ D QS
_ D QS
_ D QS
_ D QS

M
M
M
M
M
M
M
M

_ D QS
_ D QS
_ D QS
_ D QS
_ D QS
_ D QS
_ D QS
_ D QS

+0
+1
+2
+3
+4
+5
+6
+7
-0
-1
-2
-3
-4
-5
-6
-7

13
31
51
70
1 31
1 48
1 69
1 88
11
29
49
68
1 29
1 46
1 67
1 86

JD I MM 1 A
A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A 1 0 /A P
A1 1
A1 2
A1 3
A1 4
A1 5
A1 6 _ BA2
BA 0
BA 1
S0 #
S1 #
C K0
C K0 #
C K1
C K1 #
C KE0
C KE1
C AS#
R AS#
W E#
SA 0
SA 1
SC L
SD A
O DT 0
O DT 1
D
D
D
D
D
D
D
D

M0
M1
M2
M3
M4
M5
M6
M7

D
D
D
D
D
D
D
D

QS 0
QS 1
QS 2
QS 3
QS 4
QS 5
QS 6
QS 7

D
D
D
D
D
D
D
D

QS 0 #
QS 1 #
QS 2 #
QS 3 #
QS 4 #
QS 5 #
QS 6 #
QS 7 #

D Q0
D Q1
D Q2
D Q3
D Q4
D Q5
D Q6
D Q7
D Q8
D Q9
D Q1 0
D Q1 1
D Q1 2
D Q1 3
D Q1 4
D Q1 5
D Q1 6
D Q1 7
D Q1 8
D Q1 9
D Q2 0
D Q2 1
D Q2 2
D Q2 3
D Q2 4
D Q2 5
D Q2 6
D Q2 7
D Q2 8
D Q2 9
D Q3 0
D Q3 1
D Q3 2
D Q3 3
D Q3 4
D Q3 5
D Q3 6
D Q3 7
D Q3 8
D Q3 9
D Q4 0
D Q4 1
D Q4 2
D Q4 3
D Q4 4
D Q4 5
D Q4 6
D Q4 7
D Q4 8
D Q4 9
D Q5 0
D Q5 1
D Q5 2
D Q5 3
D Q5 4
D Q5 5
D Q5 6
D Q5 7
D Q5 8
D Q5 9
D Q6 0
D Q6 1
D Q6 2
D Q6 3

5
7
17
19
4
6
14
16
23
25
35
37
20
22
36
38
43
45
55
57
44
46
56
58
61
63
73
75
62
64
74
76
123
125
135
137
124
126
134
136
141
143
151
153
140
142
152
154
157
159
173
175
158
160
174
176
179
181
189
191
180
182
192
194

M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M

D_ 0
D_ 1
D_ 2
D_ 3
D_ 4
D_ 5
D_ 6
D_ 7
D_ 8
D_ 9
D_ 1 0
D_ 1 1
D_ 1 2
D_ 1 3
D_ 1 4
D_ 1 5
D_ 1 6
D_ 1 7
D_ 1 8
D_ 1 9
D_ 2 0
D_ 2 1
D_ 2 2
D_ 2 3
D_ 2 4
D_ 2 5
D_ 2 6
D_ 2 7
D_ 2 8
D_ 2 9
D_ 3 0
D_ 3 1
D_ 3 2
D_ 3 3
D_ 3 4
D_ 3 5
D_ 3 6
D_ 3 7
D_ 3 8
D_ 3 9
D_ 4 0
D_ 4 1
D_ 4 2
D_ 4 3
D_ 4 4
D_ 4 5
D_ 4 6
D_ 4 7
D_ 4 8
D_ 4 9
D_ 5 0
D_ 5 1
D_ 5 2
D_ 5 3
D_ 5 4
D_ 5 5
D_ 5 6
D_ 5 7
D_ 5 8
D_ 5 9
D_ 6 0
D_ 6 1
D_ 6 2
D_ 6 3

M D _ [ 0 : 63 ]

MD _[ 0 : 6 3 ] 6 , 1 0

1 .8 V

1. 8 V

C 66
11 2
11 1
11 7
96
95
11 8
81
82
87
10 3
88
10 4
19 9

3 . 3V S
C 69
. 1 U _ 5 0 V _ 06

S DR EF D

83
12 0
50
69
16 3
1
20 1
20 2

47
13 3
18 3
77
12
48
18 4
78
71
72
12 1
12 2
19 6
19 3
8

J D I MM 1 B
VD
VD
VD
VD
VD
VD
VD
VD
VD
VD
VD
VD

D1
D2
D3
D4
D5
D6
D7
D8
D9
D1 0
D1 1
D1 2

VSS1 6
VSS1 7
VSS1 8
VSS1 9
VSS2 0
VSS2 1
VSS2 2
VSS2 3
VSS2 4
VSS2 5
VSS2 6
VSS2 7
VSS2 8
VSS2 9
VSS3 0
VSS3 1
VSS3 2
VSS3 3
VSS3 4
VSS3 5
VSS3 6
VSS3 7
VSS3 8
VSS3 9
VSS4 0
VSS4 1
VSS4 2
VSS4 3
VSS4 4
VSS4 5
VSS4 6
VSS4 7
VSS4 8
VSS4 9
VSS5 0
VSS5 1
VSS5 2
VSS5 3
VSS5 4
VSS5 5
VSS5 6
VSS5 7

V D DS P D
N
N
N
N
N

C1
C2
C3
C4
CT E ST

VR EF
G ND 0
G ND 1

VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS

S1
S2
S3
S4
S5
S6
S7
S8
S9
S 10
S 11
S 12
S 13
S 14
S 15

18
24
41
53
42
54
59
65
60
66
1 27
1 39
1 28
1 45
1 65
1 71
1 72
1 77
1 87
1 78
1 90
9
21
33
1 55
34
1 32
1 44
1 56
1 68
2
3
15
27
39
1 49
1 61
28
40
1 38
1 50
1 62

C A 0 1 45 -2 0 0 N 0 2

1 .8 V

DDRVREF GEN. & DECOUPLING
R 71
Trace Width 12 Mil
7 5 _ 1% _ 0 6 Space 20 Mil
SDR E F D

. 1 U _ 1 6V _0 4

C3 3
. 1 U _ 1 6 V _ 04

C3 4
. 1 U _1 6 V _ 0 4

1 . 8V

C3 5

. 1 U _1 6 V _ 04

C6 7

. 1 U _1 6 V _ 04

C8 3

. 1 U _1 6 V _ 04

C3 6

. 1 U _1 6 V _ 04

C3 2

. 1 U _1 6 V _ 04

C6 5

. 1 U _1 6 V _ 04

C3 0

. 1 U _1 6 V _ 06

C7 8

. 1 U _1 6 V _ 06

C7 7

. 1 U _1 6 V _ 06

C7 6

. 1 U _1 6 V _ 06

C7 5

. 1 U _1 6 V _ 06

C6 3

. 1 U _1 6 V _ 06

C6 4

. 1 U _1 6 V _ 06

C7 2

1 0 U _ 1 0 V _0 8

C8 1

1 0 U _ 1 0 V _0 8

C4 9

1 0 U _ 1 0 V _0 8

C2 9

1 0 U _ 1 0 V _0 8

C A 0 1 4 5-2 0 0 N 0 2
C 68

C7 0

C7 1

7 5 _ 1% _ 0 6
2 . 2 U _ 6 . 3V _0 6
. 1 U _ 1 6V _0 4
2 . 2 U _ 6 . 3 V _ 06

2 M_ C LK _D D R 2

2 M_ C LK _D D R # 2

2 M _ CL K _ DD R3

C8 7 6
*1 0 P _ 50 V _ 0 6

2 M _ CL K _ DD R# 3

M961011

C8 7 7
*1 0 P _ 50 V _ 0 6

C 43
1
C 44
1

2 3 3 0 U _ 6 . 3V _D
+

R 70

2 * 33 0 U _ 6 . 3 V _ D
+

B.Schematic Diagrams

6 , 10 M A A [ 0 : 1 3]

C4 8

B - 10 DDR2-1

1 0 U _ 1 0 V _0 8

Schematic Diagrams

DDR2-2

1 0 K_ 0 6
1 0 K_ 0 6

M _ BA0
M _ BA1
M _ CS 0 #
M _ CS 1 #

_B A 0
_B A 1
_C S 0 #
_C S 1 #
_C L K _ D D R 0
_C L K _ D D R # 0
_C L K _ D D R 1
_C L K _ D D R # 1
_C K E 0
_C K E 1
_C A S #
_R A S #
_W E #

M
M
M
M
M
Z
Z
S
S

2 , 9 , 1 1, 16 S M B _ S B C LK
2, 9 , 1 1 , 1 6 S MB _S B D A T A
6 M_ O D T 0
6 M_ O D T 1
6 , 9 M _ D M [ 0. . 7]

6 , 9 M _D QS +[ 0 . . 7 ]

6, 9 M _ D Q S -[ 0 . . 7 ]

M _D M[ 0. . 7 ]

M_ D Q S + [ 0 . . 7 ]

M_ D Q S -[ 0 . . 7 ]

107
106
110
115
30
32
164
166
_ CK E 0
79
_ CK E 1
80
_ CA S #
113
108
_ RA S #
109
_ W E#
10 0 1
198
10 0 2
200
MB _ S B C L K 1 9 7
MB _ S B D A T A1 9 5

M _ OD T0
M _ OD T1

114
119

M
M
M
M
M
M
M
M

_ DM
_ DM
_ DM
_ DM
_ DM
_ DM
_ DM
_ DM

0
1
2
3
4
5
6
7

10
26
52
67
130
147
170
185

M
M
M
M
M
M
M
M

_ DQ
_ DQ
_ DQ
_ DQ
_ DQ
_ DQ
_ DQ
_ DQ

S+ 0
S+ 1
S+ 2
S+ 3
S+ 4
S+ 5
S+ 6
S+ 7

13
31
51
70
131
148
169
188

M
M
M
M
M
M
M
M

_ DQ
_ DQ
_ DQ
_ DQ
_ DQ
_ DQ
_ DQ
_ DQ

S -0
S -1
S -2
S -3
S -4
S -5
S -6
S -7

11
29
49
68
129
146
167
186

J D I M M2 A
A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A 1 0/ A P
A1 1
A1 2
A1 3
A1 4
A1 5
A 1 6_ B A 2
BA0
BA1
S0 #
S1 #
CK 0
CK 0 #
CK 1
CK 1 #
CK E 0
CK E 1
CA S #
RA S #
W E#
SA0
SA1
S CL
S DA
OD T 0
OD T 1
DM
DM
DM
DM
DM
DM
DM
DM

0
1
2
3
4
5
6
7

DQ
DQ
DQ
DQ
DQ
DQ
DQ
DQ

S0
S1
S2
S3
S4
S5
S6
S7

DQ
DQ
DQ
DQ
DQ
DQ
DQ
DQ

S0 #
S1 #
S2 #
S3 #
S4 #
S5 #
S6 #
S7 #

DQ 0
DQ 1
DQ 2
DQ 3
DQ 4
DQ 5
DQ 6
DQ 7
DQ 8
DQ 9
DQ 1 0
DQ 1 1
DQ 1 2
DQ 1 3
DQ 1 4
DQ 1 5
DQ 1 6
DQ 1 7
DQ 1 8
DQ 1 9
DQ 2 0
DQ 2 1
DQ 2 2
DQ 2 3
DQ 2 4
DQ 2 5
DQ 2 6
DQ 2 7
DQ 2 8
DQ 2 9
DQ 3 0
DQ 3 1
DQ 3 2
DQ 3 3
DQ 3 4
DQ 3 5
DQ 3 6
DQ 3 7
DQ 3 8
DQ 3 9
DQ 4 0
DQ 4 1
DQ 4 2
DQ 4 3
DQ 4 4
DQ 4 5
DQ 4 6
DQ 4 7
DQ 4 8
DQ 4 9
DQ 5 0
DQ 5 1
DQ 5 2
DQ 5 3
DQ 5 4
DQ 5 5
DQ 5 6
DQ 5 7
DQ 5 8
DQ 5 9
DQ 6 0
DQ 6 1
DQ 6 2
DQ 6 3

5
7
17
19
4
6
14
16
23
25
35
37
20
22
36
38
43
45
55
57
44
46
56
58
61
63
73
75
62
64
74
76
1 23
1 25
1 35
1 37
1 24
1 26
1 34
1 36
1 41
1 43
1 51
1 53
1 40
1 42
1 52
1 54
1 57
1 59
1 73
1 75
1 58
1 60
1 74
1 76
1 79
1 81
1 89
1 91
1 80
1 82
1 92
1 94

M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M
M

MD _ [ 0: 63 ]

D_ 0
D_ 1
D_ 2
D_ 3
D_ 4
D_ 5
D_ 6
D_ 7
D_ 8
D_ 9
D_ 1 0
D_ 1 1
D_ 1 2
D_ 1 3
D_ 1 4
D_ 1 5
D_ 1 6
D_ 1 7
D_ 1 8
D_ 1 9
D_ 2 0
D_ 2 1
D_ 2 2
D_ 2 3
D_ 2 4
D_ 2 5
D_ 2 6
D_ 2 7
D_ 2 8
D_ 2 9
D_ 3 0
D_ 3 1
D_ 3 2
D_ 3 3
D_ 3 4
D_ 3 5
D_ 3 6
D_ 3 7
D_ 3 8
D_ 3 9
D_ 4 0
D_ 4 1
D_ 4 2
D_ 4 3
D_ 4 4
D_ 4 5
D_ 4 6
D_ 4 7
D_ 4 8
D_ 4 9
D_ 5 0
D_ 5 1
D_ 5 2
D_ 5 3
D_ 5 4
D_ 5 5
D_ 5 6
D_ 5 7
D_ 5 8
D_ 5 9
D_ 6 0
D_ 6 1
D_ 6 2
D_ 6 3

M D _ [ 0 : 6 3]

6, 9
0. 9V S

1 .8 V
1 12
1 11
1 17
96
95
1 18
81
82
87
1 03
88
1 04
1 99

3 .3 V S
C5 9 1

83
1 20
50
69
1 63

. 1 U _ 1 6 V _0 6

SD R EFC

1
2 01
2 02

47
1 33
1 83
77
12
48
1 84
78
71
72
1 21
1 22
1 96
1 93
8

JD I M M2 B
V
V
V
V
V
V
V
V
V
V
V
V

DD
DD
DD
DD
DD
DD
DD
DD
DD
DD
DD
DD

1
2
3
4
5
6
7
8
9
10
11
12

VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS
VS

V DD S P D
N
N
N
N
N

C1
C2
C3
C4
CT E S T

V RE F
G ND 0
G ND 1

V
V
V
V
V
V
V
V
V
V
V
V
V
V
V

SS1
SS2
SS3
SS4
SS5
SS6
SS7
SS8
SS9
SS1 0
SS1 1
SS1 2
SS1 3
SS1 4
SS1 5

S 16
S 17
S 18
S 19
S 20
S 21
S 22
S 23
S 24
S 25
S 26
S 27
S 28
S 29
S 30
S 31
S 32
S 33
S 34
S 35
S 36
S 37
S 38
S 39
S 40
S 41
S 42
S 43
S 44
S 45
S 46
S 47
S 48
S 49
S 50
S 51
S 52
S 53
S 54
S 55
S 56
S 57

18
24
41
53
42
54
59
65
60
66
12 7
13 9
12 8
14 5
16 5
17 1
17 2
17 7
18 7
17 8
19 0
9
21
33
15 5
34
13 2
14 4
15 6
16 8
2
3
15
27
39
14 9
16 1
28
40
13 8
15 0
16 2

6 , 9 M_ C K E 3

6 , 9 M_ C K E 2
6 , 9 M_ O D T 3

6 , 9 M_ O D T 2
6 , 9 M_ C S 2 #

6 , 9 M_ C S 3 #

C A 0 1 1 5 -20 0 N 0 2

MA A 6
MA A 7
MA A 11
M_ C K E 3
MA A 8
MA A 9
MA A 12
M_ C K E 2
M_ O D T 3
M_ C A S #
M_ W E #
M_ B A 0
MA A 1
MA A 10
MA A 3
MA A 5
M_ C K E 0
M_ C K E 1
M_ C S 0#
M_ C S 1#
M_ O D T 0
M_ O D T 1
MA A 13
M_ O D T 2
M_ C S 2#
M_ R A S #

RP 3

8
7
6
5
1
2
3
4
1
2
3
4
1
2
3
4
R1 0 3
R1 0 2
R1 0 1
R1 0 7
R1 0 0
R1 0 6
8
7
6
5

1 4 7_ 8 P 4 R _ 0 4
2
3
4
8 4 7_ 8 P 4 R _ 0 4
7
6
5
8 4 7_ 8 P 4 R _ 0 4
7
6
5
8 4 7_ 8 P 4 R _ 0 4
7
6
5
47 _ 0 4
47 _ 0 4
47 _ 0 4
47 _ 0 4
47 _ 0 4
47 _ 0 4
1 4 7_ 8 P 4 R _ 0 4
2
3
4

M_ C S 3#
M_ B A 2
M_ B A 1
MA A 0
MA A 2
MA A 4

R7 8
R6 7 0
RP 2
8
7
6
5

47 _ 0 4
47 _ 0 4
1 4 7_ 8 P 4 R _ 0 4
2
3
4

RP 6

RP 4

RP 5

RP 1

C3 1
C4 7

*1 5 0 U _ 4 V _ B
1 50 U _4 V _ B

C 91

. 1 U _1 6 V _ 0 4

C 92

. 1 U _1 6 V _ 0 4

C 84

. 1 U _1 6 V _ 0 4

C 56

. 1 U _1 6 V _ 0 4

C 55

. 1 U _1 6 V _ 0 4

C 54

. 1 U _1 6 V _ 0 4

C 53

. 1 U _1 6 V _ 0 4

C 52

. 1 U _1 6 V _ 0 4

C 51

. 1 U _1 6 V _ 0 4

C 50

. 1 U _1 6 V _ 0 4

C 42

. 1 U _1 6 V _ 0 4

C 85

. 1 U _1 6 V _ 0 4

C 41

. 1 U _1 6 V _ 0 4

C 40

. 1 U _1 6 V _ 0 4

C 39

. 1 U _1 6 V _ 0 4

C 38

. 1 U _1 6 V _ 0 4

C 37

. 1 U _1 6 V _ 0 4

Sheet 10 of 40
DDR2-2

1 .8 V
C 1 0 5 . 1 U _ 16 V _ 0 6
C9 5

. 1 U _ 16 V _ 0 6

C9 9

. 1 U _ 16 V _ 0 6

C8 7

. 1 U _ 16 V _ 0 6

C 1 0 1 . 1 U _ 16 V _ 0 6

C 1 0 0 . 1 U _ 16 V _ 0 6

C 1 0 4 . 1 U _ 16 V _ 0 6

Layout Note:Place these Caps near So-Dimm0

C A 01 1 5 -2 00 N 0 2

1 .8 V

DDRVREF GEN. & DECOUPLING
Trace Width 12 Mil
7 5 _1 % _ 0 6 Space 20 Mil
R 10 5

1 .8 V

S D RE F C

C1 0 3
.1 U_ 1 6 V _ 0 4

C 10 2
. 1U _ 16 V _ 0 4

C8 2
. 1 U _ 1 6 V _ 04

R 10 4

C 96

C9 8

C 97

7 5 _1 % _ 0 6
2 . 2 U _ 6 . 3 V _ 06
. 1 U _ 1 6 V _0 6
2 . 2 U _6 . 3 V _ 0 6

C7 4

. 1 U _ 16 V _ 0 6

C8 0

1 0 U_ 1 0 V _ 0 8

C9 0

1 0 U_ 1 0 V _ 0 8

C9 3

1 0 U_ 1 0 V _ 0 8

C7 9

1 0 U_ 1 0 V _ 0 8

C 89
1

2

33 0 U _ 6 . 3 V _ D

2

*3 3 0U _ 6. 3 V _ D

+

C 88
1

C7 3
2 M_ C L K _D D R 0

2 M_ C L K _D D R # 0

C 874
* 1 0P _5 0 V _ 0 6

2 M _ CL K _ DD R1

2 M _ CL K _ DD R# 1

1 0 U_ 1 0 V _ 0 8

C8 7 5
*1 0 P _ 5 0V _0 6

M961011

DDR2-2 B - 11

B.Schematic Diagrams

R9 7
R9 9

M
M
M
M
M
M
M
M
M
M
M
M
M

102
101
100
99
98
97
94
92
93
91
105
90
89
116
86
84
85

M _ BA2

6 , 9 M_ B A 2
6 ,9
6 ,9
6
6
2
2
2
2
6
6
6 ,9
6 ,9
6 ,9

AA0
AA1
AA2
AA3
AA4
AA5
AA6
AA7
AA8
AA9
AA1 0
AA1 1
AA1 2
AA1 3

+

M
M
M
M
M
M
M
M
M
M
M
M
M
M

+

M A A [ 0 : 1 3]

+

6 , 9 MA A [ 0: 1 3 ]

Schematic Diagrams

VGA G72M-1
BG A 4A
PBG A533
- 08MM
COMMO N
1/ 12P C I_E XP RE SS

1 . 2V S
PE X_I O VD D
PE X_I O VD D
PE X_I O VD D
PE X_I O VD D
PE X_I O VD D
PE X_I O VD D
PE X_I O VD D
PE X_I O VD D

A B 10
A B 11
A B 14
A B 15
W 17
W 18
A B 20
A B 21

C 3 28

C 36 8

C 3 23

C 51 9

V GA _3 . 3V S

C 2 43

. 1 U _5 0V _0 6. 1U _ 50 V_ 06. 1 U _5 0V _0 6. 1U _ 50 V_ 06 4 . 7U _ 6. 3V _ 06

PE X _R S T AC 6

P EX _R ST

PE X_ IO VD D Q
PE X_ IO VD D Q
PE X_ IO VD D Q

Z1 10 2
Z1 10 3

P EX _TS TC LK _OU T
P EX _TS TC LK _OU T

PE X_ IO VD D Q
PE X_ IO VD D Q

A E3
A E4

P EX _R EFC LK
P EX _R EFC LK

PE X_ IO VD D Q
PE X_ IO VD D Q
PE X_ IO VD D Q

AD 5
AD 6

P EX _TX 0
P EX _TX 0

PE X_ IO VD D Q
PE X_ IO VD D Q
PE X_ IO VD D Q

7 PE 0 TX 2
7 PE 0 TX 2#
7 PE 0 R X3
7 PE 0 R X3 #
7 PE 0 TX 3
7 PE 0 TX 3#
7 PE 0 R X4
7 PE 0 R X4 #
7 PE 0 TX 4
7 PE 0 TX 4#
7 PE 0 R X5
7 PE 0 R X5 #
7 PE 0 TX 5
7 PE 0 TX 5#
7 PE 0 R X6
7 PE 0 R X6 #
7 PE 0 TX 6
7 PE 0 TX 6#
7 PE 0 R X7
7 PE 0 R X7 #
R 5 12

*2 2_0 4

R 5 13

*2 2_0 4

R 5 31

*2 2_0 4

R 5 32

*2 2_0 4

R 5 14

*2 2_0 4

R 5 15

*2 2_0 4

VD D
VD D

AD 7
AC 7

P EX _TX 2
P EX _TX 2

VD D
VD D
VD D

A F4
A F5

P EX _R X2
P EX _R X2

C 34 8 . 1 U _1 0V _0 4 PE X _R X 3
A E9
C 34 7 . 1 U _1 0V _0 4 PE X _R X 3# AE 10

P EX _TX 3
P EX _TX 3

VD D
VD D
VD D
VD D
VD D
VD D

AG6
AG7

P EX _R X3
P EX _R X3

VD D
VD D
VD D

R 5 30

*2 2_0 4

R 5 19

*2 2_0 4

R 5 16

*2 2_0 4

R 5 17

*2 2_0 4

R 5 20

*2 2_0 4

R 5 29

*2 2_0 4

R 5 23

*2 2_0 4

R 5 24

*2 2_0 4

R 5 22

*2 2_0 4

R 5 21

*2 2_0 4

VD D
VD D

C 30 7 . 1 U _1 0V _0 4 PE X _R X 4 A D 10
C 30 6 . 1 U _1 0V _0 4 PE X _R X 4# A C 10

P EX _TX 4
P EX _TX 4

A F7
A F8

P EX _R X4
P EX _R X4

VD D
VD D
VD D

C 30 5 . 1 U _1 0V _0 4 PE X _R X 5 AE 12
C 30 4 . 1 U _1 0V _0 4 PE X _R X 5# AE 13

P EX _TX 5
P EX _TX 5

VD D
VD D
VD D

AG9
A G10

P EX _R X5
P EX _R X5

VD D
VD D
VD D

C 34 5 . 1 U _1 0V _0 4 PE X _R X 6 A D 13
C 34 6 . 1 U _1 0V _0 4 PE X _R X 6# A C 13

P EX _TX 6
P EX _TX 6

VD D
VD D
VD D

AF 10
AF 11

P EX _R X6
P EX _R X6

VD D
VD D
VD D

C 34 4 . 1 U _1 0V _0 4 PE X _R X 7 A C 15
C 34 3 . 1 U _1 0V _0 4 PE X _R X 7# A D 15

P EX _TX 7
P EX _TX 7

A G12
A G13

P EX _R X7
P EX _R X7

C 30 3 . 1 U _1 0V _0 4 PE X _R X 8 AE 15
C 30 2 . 1 U _1 0V _0 4 PE X _R X 8# AE 16

P EX _TX 8
P EX _TX 8

A G15
A G16

P EX _R X8
P EX _R X8

V DD 33
V DD 33

C 34 1 . 1 U _1 0V _0 4 PE X _R X 9 A C 18
C 34 2 . 1 U _1 0V _0 4 PE X _R X 9# A D 18

P EX _TX 9
P EX _TX 9

AF 16
AF 17

V DD 33
V DD 33
V DD 33
V DD 33

P EX _R X9
P EX _R X9

VD D
VD D
VD D _LP
VD D _LP
VD D _LP
VD D _LP

P EX _TX 10
P EX _TX 10

A G18
A G19

P EX _R X10
P EX _R X10

C 34 0 . 1 U _1 0V _0 4 PE X _R X 11 A C 21
C 33 9 . 1 U _1 0V _0 4 PE X _R X 11 #A D 21

P EX _TX 11
P EX _TX 11

AF 19
AF 20

P EX _R X11
P EX _R X11

C 29 9 . 1 U _1 0V _0 4 PE X _R X 12 AE 21
C 29 8 . 1 U _1 0V _0 4 PE X _R X 12 # AE 22

P EX _TX 12
P EX _TX 12

A G21
A G22

P EX _R X12
P EX _R X12

C 33 8 . 1 U _1 0V _0 4 PE X _R X 13 A D 22
C 33 7 . 1 U _1 0V _0 4 PE X _R X 13 #A D 23

P EX _TX 13
P EX _TX 13

AF 22
AF 23

P EX _R X13
P EX _R X13

C 33 6 . 1 U _1 0V _0 4 PE X _R X 14 AF 25
C 33 5 . 1 U _1 0V _0 4 PE X _R X 14 # AE 25

P EX _TX 14
P EX _TX 14
P EX _R X14
P EX _R X14
P EX _TX 15
P EX _TX 15

A G26
AF 27

P EX _R X15
P EX _R X15

A B 13
A B 16
A C 16
A B 17
A C 17
A B 18
A B 19
A C 19
A C 20

C 806

1 0U _ 10V _ 08

R 730

1 00K _ 04

U3
1 V DD S CL K
2
SD A T A
3 D+
AL ER T#
4 DZ 111 4 TH E R M# GN D
AD M1 03 2A R M
C 1 19
2 20 0P _5 0V _0 6

H OLD
WP
CS

8

V CC

C 1 40
. 1 U _5 0V _0 6

SI
SO
S CK

4

GN D

R 11 9

*2 . 2K _0 4

C 6 18

R9
T9
J1 0
J1 1
M1 1
N1 1
R1 1
T1 1
L1 2
M1 2
T1 2
U1 2
L1 3
M1 3
T1 3
U1 3

C 21 3

C 6 25

C 20 1

C 2 14

1U _ 10 V_ 06

C 6 23

C 23 2

C 6 22

C 23 5

C 1 96

C 21 2

. 1 U _5 0V _0 6. 1U _ 50 V_ 06. 1 U _5 0V _0 6. 47 U _1 0V _0 4 . 4 7U _ 10 V_ 04

+

C 6 07

C 61 6

C 6 04

C 21 5

C 8 66M960726

C 61 3

. 1 U _5 0V _0 6. 1U _ 50 V_ 06. 1 U _5 0V _0 6. 47 U _1 0V _0 4 . 4 7U _ 10 V_ 04

F 3 R OM_S I
D 3 R OM_S O
D 2 R OM_S C K

I CH _S CL
2
I2C H _SD A

C 7 I 2C H _ SC L
B 7 I 2C H _ SD A

B U FR ST

A 6 B U FR S T

S TE RE O

F 7 S TE R EO

S WAP RD Y

1U _ 10 V_ 06
TE ST MOD E

W 13
M1 4
T1 4
L1 5
M1 5
T1 5
U1 5
W 15
L1 6
M1 6
T1 6
U1 6
W 16
M1 7
N1 7
R1 7
T1 7

C 6 06

C 60 9

C 6 15

C 19 7

D 1 R OMC S #

3 30U _ 2. 5 V_ D

1U _ 10 V_ 06

C 2 24

0_ 04

VGA _ 3. 3V S

RO M_S O
R O M_SC LK

R OM_ SI

C 23 1

. 1 U _5 0V _0 6. 1U _ 50 V_ 06. 1 U _5 0V _0 6. 47 U _1 0V _0 4 . 4 7U _ 10 V_ 04

GN D

C 2 36

VGA _ 3. 3V S

A 7 S WA P R D Y _A R 4 89
D7

Z1 10 9

V GA _3 .3 V S

R 1 62

VGA _ 3. 3V S

S S OU T

R 47 6

R 14 7

R 4 77

4. 7 _0 6

22 _04

1 0K _0 4

B XT A LOU T

V GA _3 . 3V S

J1 2
F 13
J1 3
F 14
J1 5
J1 6

C 2 06

C 18 6

C 1 78

C 18 2

C 1 93

C 19 2

C 59 8

C 5 96

C 59 7

C 5 93

47 0P _5 0V _ 04 . 1 U _5 0V _0 64. 7 U _6 .3 V _0 6. 1 U _5 0V _0 6

S S _V D D

2

S S _OU T

4

S S _R E F

5

V DD

PD

C L KI N

R 47 8
10 K_ 04

C 1 84

3

. 1 U _5 0V _0 6. 1U _ 50 V_ 06. 1 U _5 0V _0 6. 47 U _1 0V _0 4
. 47 U _1 0V _0 4 1 U _1 0V _0 6
.4 7U _ 10 V_ 04

N9

Z 1 146
C 2 28

C 20 9

. 0 1U _ 50 V_ 06

Z 1 147
C 2 38
PE X_P LLA VD D
PEX _P LLD VD D

L 31
1

S C LK
S D A TA

Z 1 148
C 2 51

C 2 37

C 25 3

C 2 52

L4 05 1

1. 2V S

GN D

2. 5V S

2
H C B 16 08 KF -12 1T 25 _0 6

4. 7U _ 6. 3 V_ 06
C 2 54

L3 2
1

C 1 85

4. 7U _ 6. 3 V_ 06

1. 2V S

L 30
1
C 240

10 /12 X TAL _PLL

Z 11 11

2 *H C B 16 08 K F-1 21 T25 _0 6
C 18 8

H4

PLL VD D

H5

PLL GN D

S SO U T

C1

XT AL_S S_ IN

R 15 9

B1

XT AL_I N

C 1 89

.1 U _5 0V _0 6 4. 7 U _6 . 3V _0 6 4 70 0P _5 0V _0 4

V GA PL LGN D

1 .2 V S
2
H C B1 60 8K F -121 T2 5_ 06

S1 5
S1

NC
NC
NC

4 . 7U _ 6. 3V _ 06

R 48 2
R 8 73

G72 M-V / N B 8M

R 4 85

75 _1% _0 4

R 4 08

0_ 06

Z 11 10 2
1
3

1 5, 26 PL T_ R ST #

0_ 06

TP->VGA_SCLK C960531

*0 _0 6

16 GPO0

C 3 80
GN D

X TA L_O U T

G7 2M-V /N B8 M

Z 1 112

1 U _1 0V _0 6

1

Y3

2

Z1 11 3

27 MH Z

75OH M

E 12 Z1 10 6
F 12 I 2C S _S C L
C 1 3 Z1 10 8

XTA L_O U T_B U F

*1 0K _0 6

3. 3 V

Z1107->I2CS_SCL
C960604

*0 _04

P BGA533-08MM
C OMMON

for G7 2MV

L2 6 1

G 72/ 73N V 4x

D 1 2 Z1 10 5

S MB _S B C LK 2, 9 ,1 0, 1 6
S MB _S B D A TA 2, 9, 1 0, 16

B G A4E

2 H C B 16 08 KF -12 1T 25 _0 6

S6 S1
1
2

P EX _P LL GN D

AA6

X TA LOU T B U FF

7
6

I C S 917 30 A MLF T

C 631

. 0 1U _ 50V _ 06 . 1U _ 50V _ 06 1 U _1 0V _0 6

Y6
AA5

1

R E F OU T/ F S_ I N 1

for NB8M

1. 0V S
2
H C B 16 08 KF -12 1T 25 _0 6
1. 2V S
2
*H C B 16 08 K F-1 21T 25 _0 6
for G72MV

4. 7U _ 6. 3 V_ 06

C 23 9

2 2_ 04

8

NB8M

. 0 1U _ 50 V_ 06 . 1U _ 50 V_ 06 1 U _1 0V _0 6

SP DI F N C

R 1 46

C L KOU T / FS _ N
I 0

R 6 49

VD D

10 K_ 04

A C8

U 30

W9
W 10
W 11
W 12

NV 44

NV _PL LAV DD

10 K_ 04

G72 M-V / N B 8M

. 1 U _5 0V _0 6. 1U _ 50 V_ 06. 1 U _5 0V _0 6. 47 U _1 0V _0 4 . 4 7U _ 10 V_ 04

L 40 7
1
NV 46

V GA_ S C LK 23
V GA_ S D AT A 1 2, 2 3
VGA _ AL E R T# 1 2

*47 _0 4

R 6 72

PBG A533
- 08MM
CO MMO N
7/ 12M IS C2
RO M_C S

J9
M9

BATT SM BUS->VGA
THERM SM BUS
VGA _ SC L K
VGA _ SD A T A
Z1 11 5 R 1 21

8
7
6
5

2.2K->* C960531

BG A 4D

VGA _ SC L K

B - 12 VGA G72M-1

C 5 21

VGA _ 3. 3V S

PE X_ PLLG N D

A G24
A G25
C 29 6 . 1 U _1 0V _0 4 PE X _R X 15 AE 24
C 29 7 . 1 U _1 0V _0 4 PE X _R X 15 #A D 24

C 24 2

1 2 V GA _T H ER MD A
1 2 V GA _T H ER MD C

for

C 30 1 . 1 U _1 0V _0 4 PE X _R X 10 AE 18
C 30 0 . 1 U _1 0V _0 4 PE X _R X 10 # AE 19

C 3 31

1 .0 V S
VD D
VD D

P EX _R X1
P EX _R X1

C 34 9 . 1 U _1 0V _0 4 PE X _R X 2
C 35 0 . 1 U _1 0V _0 4 PE X _R X 2#

7 PE 0 R X2
7 PE 0 R X2 #

C 24 4

5

P EX _TX 1
P EX _TX 1

C 2 45

1

P EX _R X0
P EX _R X0

A E6
A E7
AG3
AG4

7 PE 0 TX 1
7 PE 0 TX 1#

7 PE 0 TX 7
7 PE 0 TX 7#
2 4 D VP 1 D 11
7 PE 0 R X8
7 PE 0 R X8 #
2 4 D VP 1 D 10
7 PE 0 TX 8
7 PE 0 TX 8#
2 4 D VP 1 D 09
7 PE 0 R X9
7 PE 0 R X9 #
2 4 D VP 1 D 08
7 PE 0 TX 9
7 PE 0 TX 9#
2 4 D VP 1 D 07
7 PE 0 R X1 0
7 PE 0 R X1 0#
2 4 D VP 1 D 06
7 PE 0 TX 10
7 PE 0 TX 10#
2 4 D VP 1 C LK
7 PE 0 R X1 1
7 PE 0 R X1 1#
2 4 D VP 1 D 05
7 PE 0 TX 11
7 PE 0 TX 11#
2 4 D VP 1 D 04
7 PE 0 R X1 2
7 PE 0 R X1 2#
2 4 D VP 1 D 03
7 PE 0 TX 12
7 PE 0 TX 12#
2 4 D VP 1 D 02
7 PE 0 R X1 3
7 PE 0 R X1 3#
2 4 D VP 1 D 01
7 PE 0 TX 13
7 PE 0 TX 13#
2 4 D VP 1 D 00
7 PE 0 R X1 4
7 PE 0 R X1 4#
2 4 D VP 1 D E
7 PE 0 TX 14
7 PE 0 TX 14#
2 4 D VP 1 H S
7 PE 0 R X1 5
7 PE 0 R X1 5#
2 4 D VP 1 VS
7 PE 0 TX 15
7 PE 0 TX 15#
HS AND VS SWAP
F/09/27/07

A F1
AG2

C 36 0

. 1 U _5 0V _0 6. 1U _ 50 V_ 06. 4 7U _ 10 V_ 04
1U _1 0V _ 06 1U _10 V _0 6 4 . 7U _ 6. 3V _ 06
. 47 U _1 0V _0 4

2

C 30 9 . 1 U _1 0V _0 4 PE X _R X 1
C 30 8 . 1 U _1 0V _0 4 PE X _R X 1#

7 PE 0 R X1
7 PE 0 R X1 #

PE X_ IO VD D Q

C 5 20

0 _0 6 Z 11 16

R 72 9

1

7 PE 0 TX 0
7 PE 0 TX 0#

AF 13
AF 14

AA4
AB5
AB6
AB7
AB8
AB9
A C9
A C 11
A B 12
A C 12

2

B.Schematic Diagrams

PE X_ IO VD D Q
PE X_ IO VD D Q
PE X_ IO VD D Q
PE X_ IO VD D Q

Sheet 11 of 40
VGA G72M-1

5
2
6

* AT 25 F5 12

PE X_ IO VD D Q
PE X_ IO VD D Q

2 V GA _P E XC L K
2 V GA _P E XC L K#
C 31 1 . 1 U _1 0V _0 4 PE X _R X 0
C 31 0 . 1 U _1 0V _0 4 PE X _R X 0#

7
3
1

R OM_ SI
R OM_ SO
R OM_ SC K

U4

V GA_ 3. 3 VS

1. 2V S
PE X_ IO VD D Q

7 PE 0 R X0
7 PE 0 R X0 #

R OMC S #

1 0K _0 6

SRU DEL->R ADD->C
SR DEL->C ADD->R

NV sug ges tio n 4 /20

V GA _3 . 3V S

R 1 37

U6
4 Z 11 01
7 4A H C 1G0 8GW

R 21 2
0_0 6

P EX _R S T
R 20 8
10 K _0 6

C 6 28

C 629

1 8P _5 0V _0 4

18P _ 50 V_ 04

C 3 B XT A LOU T
C 2

R 65 0
*60 _0 6

Schematic Diagrams

VGA G72M-2
B G A4H
P BGA533-08MM
C OMMON

1. 8V S

for NB8M

C 22 3 . 01 U _1 6V _04

L4 06
HC B 1 608 KF -12 1T2 5_ 06

5/ 12 IF P_A B

Z1 201 N 6

IF P_A B_V PR OB E

N 5 L V DS -L0 N
N 4 L V DS -L0 P

I FP _A_T XD 0
I FP _A_T XD 0

2. 5V S

I FP _A_T XD 1

Z1 295 V 5
Z1 202 U 6
C 63 7

C 6 38

4. 7U _ 6. 3V _ 06 4 70 P_ 50V _ 04

A

IF P_A B_R SE T

C 63 9

R 1 74

47 00 P_ 50V _0 4

1 K_ 1%_ 04
Z1 212 V 6

1

IF P_A B_P LL_VD D

P 6 Z 1 208
R 6 Z 1 209

I FP _B_T XD 4
I FP _B_T XD 4

W 2 L V DS -U 0N
W 3 L V DS -U 0P

L VD S -U 0N 24
L VD S -U 0P 2 4

I FP _B_T XD 5

A A3 L V DS -U 1N
A A2 L V DS -U 1P

L VD S -U 1N 24
L VD S -U 1P 2 4

A A1 L V DS -U 2N
A B1 L V DS -U 2P

2
C 222

1. 8V S

C 2 17

C 218

4. 7U _ 6. 3V _0 6 4 70P _5 0V _0 4
C 640

C 6 42

Y4

L VD S -U 2N 24
L VD S -U 2P 2 4

B
I FP _B_T XD 6

IF P_A _IO _V DD

I FP _B_T XD 6

IF P_B _IO _V DD

47 00P _5 0V _0 4
Z1 20 4

MIO _A_ VD DQ

MI O_A _D 0
MI O_A _D 1

MIO _A_ VD DQ
MIO _A_ VD DQ

MI O_A _D 2
MI O_A _D 3

I FP _B_T XD 7

A

I FP _A_T XC

I FP _B_T XC
I FP _B_T XC

W 6 L V DS -U C LK N
W 5 L V DS -U C LK P

C L OC K
B
VGA _3 . 3V S

MI O_A _D 8
MI O_A _D 9
MI O_A _D 10

BG A4C
PBGA533-08MM
COMMON

C4

MI O_A _H SYN C

Z1 296

L VD S -LC LK N 24
L VD S -LC LK P 2 4
L VD S -U C LK N 2 4
L VD S -U C LK P 24

G7 2M-V / N B8 M
B G A4F

L3 3
H C B1 60 8K F-1 21T 25 _06

G7 2M-V /N B 8 M

TP->VGA_SDATA
C960531

P
BG
533CO
MA
MO
N08MM

Z1 20 5 AE 2
C 26 8

Z1 215
SU B _V E N D OR
Z1 217
Z1 218
Z1 219
Z1 220
3GI O_P AD C F G0
Z1 222
3GI O_P AD C F G1
3GI O_P AD C F G2
Z1 225

1 1/12 _G ND _

U 4 L V DS -LC L KN
T4 L V DS -LC L KP

I FP _A_T XC

47 00P _5 0V _0 4

A2
B3
A3
D4
A4
B4
B6
P4
C6
G5
V4

MI O_A _D 4
MI O_A _D 5
MI O_A _D 6

A B2 Z 1 210
A B3 Z 1 211

I FP _B_T XD 7

C 641

4. 7U _ 6. 3V _0 6 4 70P _5 0V _0 4

F6
G6
J6

L VD S -L2N 24
L VD S -L2P 24

C 2 70

C 26 9

4. 7U _ 6. 3V _0 6 4 70 P_ 50V _0 4

C 2 57

47 00P _ 50V _0 4 . 0 1U _1 6V _0 4

R 1 34

1 24_ 1%_ 04

3/ 12 DA C_ A

Z1 20 6 AB 4

D AC _A_V RE F

A D3

D AC _A_R SE T

D1 0
E 10

I C A _SC L
2
I2C A_S DA

D AC _A_V DD

V GA_ 3. 3V S

A D 4 V_ H SY
A C 4 V_ VS Y

DA C_ A_H SY NC
D AC _A_V SY NC

Z1 20 7

B GA 4L

VGA _D D C C LK 24
VGA _D D C D A TA 2 4

1 1, 2 3 VGA _S D A TA

9/ 12 MIO _B
MIO _B_ VD DQ

MI O_B _D 1

MIO _B_ VD DQ
MIO _B_ VD DQ

MI O_B _D 2
MI O_B _D 3
MI O_B _D 4

A D 1 VGR E E N

DA C_ A_G RE EN

MI O_B _D 5
MI O_B _D 6

A D 2 VB LU E

D AC _A_B LUE

R 13 8
*15 0_ 04

U9

D AC _A_ ID U MP

G2
G3
J2
J1
K4
K1
M2
M1
N1
N2
N3
R3

MI O_B _D 0

K5
K6
L6

A E 1 VR E D

DA C_ A_R ED

P BGA533-08MM
C OMMON

R 13 9
*15 0_ 04

MI O_B _D 7
MI O_B _D 8

R 14 1
*15 0_ 04

MI O_B _D 9
MI O_B _D 10
MI O_B _D 11

G7 2M-V / N B8 M

R A M_C F G0
R A M_C F G1
Z 12 34
P C I _D E V ID 2
P C I _D E V ID 0
P C I _D E V ID 1
Z 12 38
P XE _ MOD E
R A M_C F G2
R A M_C F G3
Z 12 42
P C I _D E V ID 3
for NB8M
NO STUFF

VGA _3 . 3V S
B G A4G

L2 5
H C B1 60 8K F-1 21T 25 _06

C 16 6

V GA_ 3. 3 VS

P BGA533-08MM
C OMMON

C 1 77

C 17 3

4. 7U _ 6. 3V _0 6 4 70 P_ 50V _0 4

C 1 79

47 00P _ 50V _0 4 . 0 1U _1 6V _0 4

R 1 45

1 24_ 1%_ 04

4/ 12 DA C_ B

Z1 29 7

F8

D AC _B_V DD

Z1 29 8

E7

D AC _B_V RE F

D6

D AC _B_R SE T

F 9 Z1 22 3
F 10 Z1 22 4

I C B _SC L
2
I2C B_S DA

E6
F5

DA C_ B_H SY NC
D AC _B_V SY NC

Z1 29 9

R 76 6
R 76 7

1 0K _0 4
1 0K _0 4

Z 1 229 J5

MIO _B_ CA L_PD _V DD Q

Z 1 230 M3

MIO _B_ CA L_PU _G ND

Z 1 231 J4

MIO _B_ VR EF

MI O_B _H SYN C
MIO _B _DE

Z1 25 4
Z1 25 5

DA C_ B_R ED

F4

DA C_ B_G RE EN

E4

Z1 25 7

D AC _B_B LUE

D5

Z1 25 8

D AC _B_ ID U MP

L9

F 1 Z 12 44
G4 3 GI O_P AD C F G3
G1 MI O_ B_ D E
F 2 P C I _D E V ID 4

MI O _B_V SYN C

MIO _B_C T L3

Z1 25 6

Z 12 48
Z 12 49
Z 12 50

K2
K3
R2

MI O_B _C LKO UT
MI O_B _C LKO UT
MIO _B_C LK IN

G7 2M-V /N B 8 M

G7 2M-V / N B8 M
P
BG
533CO
MA
MO
N08MM

V GA_ 3. 3V S

1 1 V GA_ TH E R MD C

C9

TH ER MDN

1 V GA_ TH E R MD A
V GA _3. 31VS

B9

TH ER MDP

R 64 2
R 64 3
R 64 4

18 0_0 4
10 K_ 04
10 K_ 04

Z1 26 1 A E 27
Z1 26 2 A D 26
Z1 26 3 A D 27
Z1 26 4 A E 26
A D 25
Z 12 65

R 647

I 2C C_S C L
I 2CC _S DA

G PI O 0
JTA G_T CK
JTA G_T MS
JTA G_T DI

G PI O 1
G PI O 2
G PI O 3

JTA G_T DO

G PI O 4

JTA G_T RS T

G PI O 5
G PI O 6
G PI O 7
G PI O 8

R 51 8

27 0_0 4

G PI O 9
G PI O1 0

10 K _04

G PI O1 1
G PI O1 2

D 11

L 51

E 9 Z1 22 6 R 14 4
D 8 Z1 22 7 R 14 3
A9
D9
A 10
B 10
C 10
C 12
B 12
A 12
A 13
B 13
B 15
A 15
B 16

33 _1 %_0 4
33 _1 %_0 4

I FPC _T XD 0

*H C B 16 08 KF -12 1_0 6

NV suggestion 4/20

I 2C C _S C L 2 4
I 2C C _S D A 2 4

Z 1 270
Z 1 271
Z 1 272
Z 1 274
Z 1 275
Z 1 276
Z 1 278
Z 1 228
Z 1 279
Z 1 280
A C _D E T

G7 2M-V /N B 8M

R 64 5
R 64 6
R 8 71
R 8 72

2K _0 4
2K _0 4
0 _04 VGA _A L ER T #
*0 _04

V GA_ EN A V D D 2 4
V GA_ BK L TE N 2 4

C 63 6

C 635

C 63 4

4. 7 U _6. 3 V_ 06

470 P_ 50 V_ 04

4 700 P_ 50 V_ 04

HC B 1 608 KF -12 1T2 5_ 06
HC B 1 608 KF -12 1T2 5_ 06
HC B 1 608 KF -12 1T2 5_ 06
C 122

C 124

V GA _R 24
V GA _G 24
V GA _B 2 4

C 12 0

V GA _H S Y 2 4
V GA _V SY 24

R 1 29

C 12 1

2 2P _5 0V _06 22 P _50 V_ 06

I 2C C _S C L
I 2C C _S D A
VGA _A L ER T #

R 1 23

R 1 28

IF PC _PLL _VD D
IF PC _R SET

V 3 Z1 291
V 2 Z1 292

I FPC _T XD 2
I FPC _T XD 2

1K _1 %_0 4
Z 1 213 M6

IF PC _PLL _GN D

ADD EC_VGA_ALERT#
C960531

V GA _3 .3 VS
L2 7
*H C B1 60 8K F-1 21_ 06

NV suggestion 4/20
C 2 04

C 20 3

SAMS UNG 1 6M X 16- ->101 0
SAMS UNG 3 2M X 16- ->110 1
SAMS UNG 8M X 32- ->011 1
SAMS UNG 1 6M X 32- ->001 1
DEVI CE ID :G72 MV - ->011 1
NB 8M-G S - ->??? ??
NB 8M-S E - ->010 00

Z 1 285 L4

I FP C_T XC

IF PC _IO _V DD

R 6 48

G7 2M-V /N B 8 M

47 00P _ 50V _0 4 1 0K _1 %_0 4

K4 J553 23QG -BC1 4(8MX 32)( 700M hz)
K4 J523 24QE -BC1 4(16M X32) (700 Mhz)

W1 Z1 293
V 1 Z1 294

I FP C_T XC

C L OC K

4. 7U _ 6. 3V _0 6 4 70 P_ 50V _0 4

2. 2K _1 %_0 4
1 0K _04
2 . 2K _1 %_0 4

I FPC _T XD 1

R 505

S4

C 123

HC B 1 608 KF -12 1T2 5_ 06
HC B 1 608 KF -12 1T2 5_ 06

Z 1 283 M4
Z 1 284 J3

T2 Z1 289
T3 Z1 290

I FPC _T XD 1

D ATA

V GA _A LE R T# 1 1
E C _V GA _A LE R T# 2 3

V GA_ 3. 3V S

22 P_ 50 V_ 06
22 P_ 50 V_ 06
22 P_ 50 V_ 06
V _H S Y L21
V _V S Y L20

R 76 8

10K _0 4

S1

C 19 4
V R ED L23
V GR EE L24
N
V B LU E L22

R 1 Z1 287
T1 Z1 288

I FPC _T XD 0

? ? ?

1

5 V_C LAMP

Z 1 282 M5

C 20 8 .0 1U _1 6V _0 4
1. 8 VS

6/ 12 MI SC 1

2

PBG A533-08MM
CO MMON

12/ 12 IF PC
IF PC _VP RO BE

R A M_C F G0
R A M_C F G1
R A M_C F G2
R A M_C F G3
P C I _D E V ID 0
P C I _D E V ID 1
P C I _D E V ID 2
P C I _D E V ID 3
P C I _D E V ID 4
P XE _ MOD E
A C _D E T

V GA _3 .3 VS
R 66 2
R 66 3
R 66 4
R 66 5
R 67 3
R 67 4
R 67 5
R 67 6
R 69 0
R 69 1
R 69 4

10 K_ 04
10 K_ 04
10 K_ 04
*10 K _04
*2K _ 04
*2K _ 04
*2K _ 04
2K _0 4
*2K _ 04
*2K _ 04
*10 K _04

R 7 69
R 7 70
R 7 71
R 7 72

*2 K_ 04
*2 K_ 04
*2 K_ 04
*2 K_ 04

0 _04

I 2 C S_ SD A
R 6 89
*0 _0 4

B8
E8
AD 8
K9
P9
V9
AD 9
A F9
B 11
E 11
F 11
L 11
P 11
U 11
A D 11
N 12
P 12
R 12
A D 12
AF 12
N 13
P 13
R 13
B 14
E 14
J 14
L 14
N 14
P 14
R 14
U 14
W 14
A C 14
A D 14
N 15
P 15
R 15
AF 15
N 16
P 16
R 16
A D 16
B 17
E 17
L 17
P 17
U 17
A D 17
AF 18
K 19
P 19
V 19
A D 19
B 20
E 20
A D 20
AF 21
B 23
E 23
H 23

B GA 4I

B GA 4K

R 8 70

B2
E2
H2
L2
P2
U2
Y2
AC 2
A F2
A F3
B5
E5
L5
P5
U5
Y5
AC 5
H6
A F6

3 GIO _ PA D C F G0
3 GIO _ PA D C F G1
3 GIO _ PA D C F G2
3 GIO _ PA D C F G3

R A M_C F G0
R A M_C F G1
R A M_C F G2
R A M_C F G3

R 66 6
R 66 7
R 66 8
R 66 9

*10 K _04
*10 K _04
*10 K _04
10 K_ 04

SU B _V E N D OR

R 67 7

2K _0 4

L 23
P 23
U 23
Y 23
A C 23
AF 24
B 26
E 26
H 26
L 26
P 26
U 26
Y 26
A C 26
AF 26

G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND

Sheet 12 of 40
VGA G72M-2

G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND

Z1214->I2CS_SDA
C960604

G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND

G72 M-V / N B 8M

LOW from SYST EM B IOS

VGA G72M-2 B - 13

B.Schematic Diagrams

L 52
H C B 16 08K F -1 21 T25 _0 6

8/ 12 MIO _A

MI O_A _D 7

I FP _B_T XD 5

1. 8V S
W4

P BGA533-08MM
C OMMON

D AT A

IF P_A B_P LL_G ND

S5

Z1 20 3

L V DS -L2 N
L V DS -L2 P

T6
T5

I FP _A_T XD 3
I FP _A_T XD 3

M66 0SR AL L D EL

B GA 4J

V GA_ 3. 3V S

L VD S -L1N 24
L VD S -L1P 24

I FP _A_T XD 2

I FP _A_T XD 2

S1

L 29
H C B 16 08K F -1 21 T25 _0 6

L VD S -L0N 24
L VD S -L0P 24

R 4 L V DS -L1 N
R 5 L V DS -L1 P

I FP _A_T XD 1

for G72MV
L 28
*H C B1 608 K F-12 1T 25_ 06

Schematic Diagrams

VGA G72M-3
M660SR ALL DEL

B G A4 B
P BGA 53
3- 08MM
C OMMO N

14 FB A D QM[ 0 . . 7]

14 F B A D QS _ WP [ 0 . 7 ]

14 F B A D QS _ R N [ 0. . 7 ]

F B A D QM[ 0 . . 7]

F B AD
F B AD
F B AD
F B AD
F B AD
F B AD
F B AD
F B AD

F B A D QS _ WP [ 0 . 7 ]

F B A D QS _ R N [ 0. . 7 ]

QM0
QM1
QM2
QM3
QM4
QM5
QM6
QM7

D2 1
F22
F20
A2 1
V2 7
W2 2
V2 2
V2 4

F B AD
F B AD
F B AD
F B AD
F B AD
F B AD
F B AD
F B AD

QS _W P 0 B 2 2
QS _W P 1 D 2 2
QS _W P 2 E 2 1
QS _W P 3 C 2 1
QS _W P 4 V 2 5
QS _W P 5 W2 4
QS _W P 6 U 2 4
QS _W P 7 W2 6

F B AD
F B AD
F B AD
F B AD
F B AD
F B AD
F B AD
F B AD

QS _R N 0
QS _R N 1
QS _R N 2
QS _R N 3
QS _R N 4
QS _R N 5
QS _R N 6
QS _R N 7

A2 2
E2 2
F21
B2 1
V2 6
W2 3
V2 3
W2 7

1 . 8V S

2/1 2F R AME _B U FF ER
F B_D Q 0
F B_D Q 1

FB _V TT

F B_D Q 2
F B_D Q 3

FB _V TT
FB _V TT

F B_D Q 4
F B_D Q 5

FB _V TT
FB _V TT

F B_D Q 6
F B_D Q 7

FB _V TT
FB _V TT

F B_D Q 8
F B_D Q 9

FB _V TT
FB _V TT

F B_D Q 10
F B_D Q 11

FB _V TT

E1 5
F15
F16
J 17
J 18
L 19
N1 9
R1 9
U1 9
W19

F B_D Q 12
F B_D Q 13
F B_D Q 14
F B_D Q 15
F B_D Q 16
F B_D Q 17
F B_D Q 18
F B_D Q 19
F B_D Q 20
F B_D Q 21

1 . 8V S

F B_D Q 22
F B_D Q 23

F B_ VD D Q

F B_D Q 24
F B_D Q 25

F B_ VD D Q
F B_ VD D Q

F B_D Q 26
F B_D Q 27

F B_ VD D Q
F B_ VD D Q

F B_D Q 28
F B_D Q 29

F B_ VD D Q
F B_ VD D Q

F B_D Q 30
F B_D Q 31

F B_ VD D Q
F B_ VD D Q

F B_D Q 32
F B_D Q 33

F B_ VD D Q

F17
F19
J 19
M1 9
T 19
J 22
L 22
P2 2
U2 2
Y2 2

C 19 0

C 1 95

C 1 37

C 13 6

C 1 99

C 1 91

C 21 1

.1 U _ 16 V _0 4 . 1U _ 16 V _0 4 . 1 U _1 6V _ 04 . 1 U _ 16 V_ 0 4 . 47 U _1 0 V_ 04 . 4 7U _ 10 V _0 4

C 13 8

C 1 39

C 1 35

C 13 4

C 2 07

C 1 83

C 23 0

.1 U _ 16 V _0 4 . 1U _ 16 V _0 4 . 1 U _1 6V _ 04 . 1 U _ 16 V_ 0 4 . 47 U _1 0 V_ 04 . 4 7U _ 10 V _0 4

F B_D Q 34
F B_D Q 35

4. 7U _6 . 3V _0 6

4. 7U _6 . 3V _0 6

F B_D Q 36
F B_D Q 37
F B_D Q 38
F B_D Q 39
F B_D Q 40
F B_D Q 41
F B_D Q 42
F B_D Q 43

3. 3 VS

F B_D Q 44
F B_D Q 45
F B_D Q 47
F B_D Q 48

F B_ CM D0

F B_D Q 53

F B_ CM D1

F B_D Q 54

F B_ CM D2

F B_D Q 55
F B_D Q 56

F B_ CM D3
F B_ CM D4

F B_D Q 57
F B_D Q 58

F B_ CM D5
F B_ CM D6

F B_D Q 59
F B_D Q 60

F B_ CM D7
F B_ CM D8

F B_D Q 61
F B_D Q 62

F B_ CM D9
F B_C MD 10

F B_D Q 63

F B_C MD 11
F B_C MD 12

F B_D Q M0

F B_C MD 13
F B_C MD 14

F B_D Q M1
F B_D Q M2

F B_C MD 15
F B_C MD 16

F B_D Q M3
F B_D Q M4

F B_C MD 17
F B_C MD 18

F B_D Q M5
F B_D Q M6

F B_C MD 19
F B_C MD 20

F B_D Q M7

F B_C MD 21
F B_C MD 22

F B_D Q S_ WP0

F B_C MD 23
F B_C MD 24

F B_D Q S_ WP1
F B_D Q S_ WP2

F B_C MD 25
F B_C MD 26

F B_D Q S_ WP3
F B_D Q S_ WP4

F B A _A 4

G2 7
D2 5
F26
F25
G2 5
J 25
J 27
M2 6
C2 7
C2 5
D2 4
N2 7
G2 4
J 26
M2 7
C2 6
M2 5
D2 6
D2 7
K2 6
K2 5
K2 4
F27
K2 7
G2 6
B2 7
N2 4

F B A _A 7
F B A _A 1 0
F B A _C KE
F B A _A 0
F B A _A 9
F B A _A 6
F B A _A 2
F B A _A 8
F B A _A 3
F B A _A 1
F B A _A 1 3

4MS

FB A _ R A S# 14

F B A _A 5

FB A _ C A S# 14
FB A _ WE # 1 4
FB A _ BA 0 14

F B B _A 5
F B A _A 1 2

R 7 52 1 00 K _0 4

F BB _ A [ 2. . 5] 1 4

FB A _ BA 2 _S C 1 # 1 4
FB A _ C S 0# 1 4

F B A _A 1 1

R 7 32

1 5, 1 6, 2 0, 2 3, 2 7, 2 8, 3 4 S U S B #
1 0K _ 04

FB A _ R S T
FB A _ R S T 14

R 1 68
FB A _ C K E

FB A _ C K E 1 4

1 0K _ 04

G ND

F B _C LK1
F B _C LK1

L 24
K2 3
M2 2
N2 2

F B A _C LK 0
F B A _C LK 0#
F B A _C LK 1
F B A _C LK 1#

F B_D Q S_ R N1
F B_D Q S_ R N2

FB _C A L_PD _ VD D Q

D1 5

F B C A L _P D

R 48 6

4 5. 3 _1 %_ 04

F B_D Q S_ R N3
F B_D Q S_ R N4

F B_ C AL_P U _G N D

E1 3

F B C A L _P U

R 48 0

2 4. 9 _1 %_ 04 Z 1 30 8

F B_D Q S_ R N5
F B_D Q S_ R N6

FB _C AL _TE R M_G N D

H2 2

F B C A L _T ER M

R 13 6

4 0. 2 %_ 04

FB A _ C LK 0 1 4
FB A _ C LK 0 # 14
FB A _ C LK 1 1 4
FB A _ C LK 1 # 14

1. 8 V S

2
S 14

F B_D Q S_ R N7

1
S1

R4 86 G 72- ->
N B8- -> 45 .3
R4 80 G 72- ->
N B8- -> 24 .9
R1 36 G 72- ->
N B8- -> 40 .2

GN D
FB _D EB U G

K 2 2 Z 1 30 5
M2 3
M2 4

R 14 2

*0 _0 4

F B A_ R A S # 1 4

F B A _C MD 27
F B A _C MD 28
for NB8M

N V 44

FB1

D 1 4 Z 1 30 9

NC

F B_P LLV D D
FB _PL LAV D D

D1 3

F B_ PLL GN D

FB _P LLG N D

C1 5

Z 1 31 1

C 15 5

C 1 54

C 16 5

47 0P _ 50 V _0 4

4 70 0P _ 50 V_ 04

4. 7 U _6 . 3V _ 06

*1K _ 1% _0 4

C 6 27
*. 1 U _1 6V _ 04

FB 4
A1 6

*2. 4 K _1 %_ 04
G72 M-V / N B 8M

C 17 6

C 1 72

C 16 9

47 0P _5 0 V_ 04

47 00 P _5 0V _ 04

4 . 7U _ 6 .3 V _0 6

Z 1 31 0

S1
S1

B - 14 VGA G72M-3

1. 2 V S

H C B2 01 2 KF -1 21 T3 0_ 08

4 . 7U _ 6. 3 V _0 6
1. 2 V S

H C B 20 12 K F-1 21 T3 0 _0 8

F B_V R EF

R 48 7

NV su ggestion 4/20

C 1 56

1

N V 46

F B_ PLL AV DD

R 75 1

F BA _ A [ 0. . 11 ] 14

F BB _ A 2[ . . 5]

F B _C LK0
F B _C LK0

F B_D Q S_ R N0

C 8 17 1 U _1 0V _ 06

F BA _ A 0[ . . 11 ]

FB A _ BA 1 14

F B B _A 2
F B B _A 4
F B B _A 3

F B_D Q S_ WP7

FB _R EF C LK

VREF = .4*VDD Q

V GA _ 3. 3V S

Q44
AO 34 09
Z 1 30 2

F B_D Q S_ WP5
F B_D Q S_ WP6

R 48 8

D

G

F B_D Q 51
F B_D Q 52

FB _R EF C LK

Z 1 30 1

*0_ 06

S
R 7 50 *1 M_ 04

F B_D Q 49
F B_D Q 50

1 . 8V S

R7 4 9

3. 3 V

F B_D Q 46

22 K_ 1% _0 4
Z 1 30 3
D

Sheet 13 of 40
VGA G72M-3

A2 6
C2 4
B2 4
A2 4
C2 2
A2 5
B2 5
D2 3
G2 2
J2 3
E2 4
F23
J2 4
F24
G2 3
H2 4
D1 6
E1 6
D1 7
F18
E1 9
E1 8
D2 0
D1 9
A1 8
B1 8
A1 9
B1 9
D1 8
C1 9
C1 6
C1 8
N2 6
N2 5
R2 5
R2 6
R2 7
T2 5
T2 7
T2 6
AB 2 3
Y2 4
AB 2 4
AB 2 2
AC2 4
AC2 2
AA 2 3
AA 2 2
T2 4
T2 3
R2 4
R2 3
R2 2
T2 2
N2 3
P2 4
AA 2 4
AA 2 7
AA 2 6
AB 2 5
AB 2 6
AB 2 7
AA 2 5
W2 5

2

B.Schematic Diagrams

14 FB A D [ 0 . 6. 3]

F B A D0
F B A D1
F B A D2
F B A D3
F B A D4
F B A D5
F B A D6
F B A D7
F B A D8
F B A D9
F B A D 10
F B A D 11
F B A D 12
F B A D 13
F B A D 14
F B A D 15
F B A D 16
F B A D 17
F B A D 18
F B A D 19
F B A D 20
F B A D 21
F B A D 22
F B A D 23
F B A D 24
F B A D 25
F B A D 26
F B A D 27
F B A D 28
F B A D 29
F B A D 30
F B A D 31
F B A D 32
F B A D 33
F B A D 34
F B A D 35
F B A D 36
F B A D 37
F B A D 38
F B A D 39
F B A D 40
F B A D 41
F B A D 42
F B A D 43
F B A D 44
F B A D 45
F B A D 46
F B A D 47
F B A D 48
F B A D 49
F B A D 50
F B A D 51
F B A D 52
F B A D 53
F B A D 54
F B A D 55
F B A D 56
F B A D 57
F B A D 58
F B A D 59
F B A D 60
F B A D 61
F B A D 62
F B A D 63

S U S B# R 75 3

Q45
2N 7 00 2

0 _0 4 Z 1 30 4G
S

F B AD [ 0. . 63 ]

R751 20K->22K
FOR VGA TIME
C960604

VG A_ 3. 3 V S

Schematic Diagrams

VGA G72M-4
U3
9

FBA_BA2
_SC1# H10
G9
FBA_BA1
FBA_BA0
G4
L4
K2
M9
K11
L9
K10
H11
K9
M4
K3
H2
K4

FBA_A11
FBA_A10
FBA_A9
FBA_A8
FBA_A7
FBA_A6
FBA_A5
FBA_A4
FBA_A3
FBA_A2
FBA_A1
FBA_A0

1.8VS

FBA_CS0#

F9

FBA_WE#

H9

FBA_RAS#

H3

FBA_CAS#

F4

FBA_CKE
R734

C812
.01U_16V_04

2.4K_1%_04

1.8VS

R738
1K_1%_0
4

R742
2.4K_1%_04

C814
.01U_16V_04

FBADQS_RN0
FBADQS_RN3
FBADQS_RN2
FBADQS_RN1

P3
P10
D10
D3

FBADQS_WP0
FBADQS_WP3
FBADQS_WP2
FBADQS_WP1

P2
P11
D11
D2

FBADQM0
FBADQM3
FBADQM2
FBADQM1

N3
N10
E10
E3
V9

FBA_RST
R740

24
3_1%_04

Z1401 A4

VREF = .7*VDDQZ1402

H1

VREF = .7*VDDQZ1403H12
PLACE VREF DIVIDER
COMPONENTS AS

.1U->.01U
FOR NV C960604

J10
J11

FBA_CLK0#
FBA_CLK0

1K_1%_0
4

R736

H4

CLOSE TO MEMORY AS
POSSIBLE

U40
DQ
31 | DQ2
3
DQ
30 | DQ2
2
DQ
29 | DQ2
1
DQ
28 | DQ2
0
DQ
27 | DQ1
9
DQ
26 | DQ1
8
DQ
25 | DQ1
7
DQ
24 | DQ1
6
DQ
23 | DQ3
1
DQ
22 | DQ3
0
DQ
21 | DQ2
9
DQ
20 | DQ2
8
DQ
19 | DQ2
7
DQ
18 | DQ2
6
DQ
17 | DQ2
5
DQ
16 | DQ2
4
DQ
15 | DQ7
DQ
14 | DQ6
DQ
13 | DQ5
DQ
12 | DQ4
DQ
11 | DQ3
DQ
10 | DQ2
DQ
9 | DQ1
DQ
8 | DQ0
DQ
7 | DQ15
DQ
6 | DQ14
DQ
5 | DQ13
DQ
4 | DQ12
DQ
3 | DQ11
DQ
2 | DQ10
DQ
1 | DQ9
DQ
0 | DQ8

BA2 | RAS
BA1 | BA0
BA0 | BA1
A11 | A7
A10 | A8
A9 | A3
A8/AP | A10
A7 | A11
A6 | A2
A5 | A1
A4 | A0
A3 | A9
A2 | A6
A1 | A5
A0 | A4
CS| CAS
WE | CKE
RAS| BA2
CAS| CS
CKE| WE

VDDQ
VDDQ
#A12
VDDQ#C1
VDDQ#C4
VDDQ#C9
VDDQ#C12
VDDQ#E1
VDDQ#E4
VDDQ#E9
VDDQ
#E12
VDDQ#J4
VDDQ#J9
VDDQ#N1
VDDQ#N4
VDDQ#N9
VDDQ#N12
VDDQ#R1
VDDQ#R4
VDDQ#R9
VDDQ#R12
VDDQ#V1
VDDQ
#V12
VDD
VDD#A11
VDD#F1
VDD#F12
VDD#M
1
VDD#M
12
VDD#V2
VDD#V11
VSSQ
VSSQ#B4
VSSQ#B9
VSSQ
#B12
VSSQ#D1
VSSQ#D4
VSSQ#D9
VSSQ#D12
VSSQ#G
2
VSSQ#G
11
VSSQ
#L2
VSSQ#L11
VSSQ#P1
VSSQ#P4
VSSQ#P9
VSSQ
#P12
VSSQ
#T1
VSSQ
#T4
VSSQ
#T9
VSSQ#T12
VSS
VSS#A10
VSS#G
1
VSS#G12
VSS#L1
VSS#L12
VSS#V3
VSS#V10

FBB_A[2..5]

13 FBB_A[ 2
. .5]

FBA_A[0..11]

13 FBA_A[ 0
. .11
]

FBAD[0..63]

13 FBAD[0..63]

FBADQ
M[0..7]

13 FBADQM
[0..7]

FBADQ
S_WP[0..7]

13 FBADQS_WP[0..7]

1.8VS

FBADQ
S_RN[0..7]

13 FBADQS_RN[ 0
. .7]

FBA_BA0
FBA_BA1
FBA_BA2_SC1#
FBA_CKE
FBA_CLK0
FBA_CLK0
#
FBA_CLK1
FBA_CLK1
#
FBA_RST
FBA_RAS#
FBA_CAS#
FBA_WE#
FBA_CS0#

13 FBA_BA0
13 FBA_BA1
13 FBA_BA2_SC1
#
13 FBA_CKE
13 FBA_CL
K0
13 FBA_CL
K0#
13 FBA_CL
K1
13 FBA_CL
K1#
13 FBA_RST
1
3 FBA_RAS#
1
3 FBA_CAS#
1
3 FBA_WE#
1
3 FBA_CS0#

A2
A11
F1
F12
M
1
M
12
V2
V11
B1
B4
B9
B12
D1
D4
D9
D12
G
2
G
11
L
2
L
11
P1
P4
P9
P12
T
1
T
4
T
9
T
12
A3
A10
G
1
G
12
L
1
L
12
V3
V10

1.8VS

L
409

CK
CK

VDDA
VDDA#K12
RDQS3 | RDQ
S2
RDQS2 | RDQ
S3
RDQS1 | RDQ
S0
RDQS0 | RDQ
S1
WDQS3 | WDQS2
WDQS2 | WDQS3VSSA#J12
VSSA
WDQS1 | WDQS0
WDQS0 | WDQS1
DM
3 | DM2
DM
2 | DM3
DM
1 | DM0
DM
0 | DM1

A1
A12
C1
C4
C9
C12
E1
E4
E9
E12
J4
J9
N1
N4
N9
N12
R1
R4
R9
R12
V1
V12

RFU2
RFU1
RFU0

K1
K12

Z1404
Z1405

1K_1%_
04

HCB1608
KF-121T2
5_06

C811
C81
0
.1U_1
0V_
04

R73
5

.1U_10V_04
J12
J1

VREF#H12

.01U_16V_04

2.4K_1%_0
4

1.8VS
J3
J2
V4

R737
1K_1%_
04

LOW-->NORMAL
HIGH-->MIRROR

VREF

MF

R74
1

C813

A9
2.4K_1%_0
4

.01U_16V_04

GN D | V D D

K4J55323QG-BC14

FBA_RAS#
FBA_BA0
FBA_BA1

H1
0
G
9
G
4

FBA_A7
FBA_A8
FBB_A3
FBA_A10
FBA_A11
FBB_A2
FBA_A1
FBA_A0
FBA_A9
FBA_A6
FBB_A5
FBB_A4

L
4
K2
M
9
K1
1
L
9
K1
0
H1
1
K9
M
4
K3
H2
K4

FBA_CAS#

F9

FBA_CKE

H9

FBA_BA2_SC1#

H3

FBA_CS0#

F4

FBA_WE#

H4
J1
0
J1
1

FBA_CL
K1#
FBA_CL
K1

R733

RESET
ZQ

T
3
T
2
R3
R2
M
3
N2
L
3
M
2
T1
0
T1
1
R1
0
R1
1
M1
0
N1
1
L1
0
M1
1
G1
0
F1
1
F1
0
E1
1
C1
0
C1
1
B1
0
B1
1
G
3
F2
F3
E2
C3
C2
B3
B2

HCB1608
KF-121T2
5_06
L411

C809

FBAD39
FBAD38
FBAD33
FBAD32
FBAD34
FBAD36
FBAD35
FBAD37
FBAD49
FBAD53
FBAD54
FBAD52
FBAD55
FBAD51
FBAD50
FBAD48
FBAD47
FBAD41
FBAD46
FBAD40
FBAD44
FBAD45
FBAD43
FBAD42
FBAD57
FBAD58
FBAD62
FBAD63
FBAD59
FBAD60
FBAD56
FBAD61

R739

FBADQ
S_RN4
FBADQ
S_RN6
FBADQ
S_RN5
FBADQ
S_RN7

P3
P1
0
D1
0
D3

FBADQ
S_WP4
FBADQ
S_WP6
FBADQ
S_WP5
FBADQ
S_WP7

P2
P1
1
D1
1
D2

FBADQ
M4
FBADQ
M6
FBADQ
M5
FBADQ
M7

N3
N1
0
E1
0
E3

FBA_RST

V9

2
43_1%_04

VREF = .7*VDDQZ1407
VREF =

128MB

.1U->.01U
FOR NV C960604
FBA_CLK0# R745

243_1%_
04 FBA_CLK0

FBA_CLK1# R746

243_1%_
04 FBA_CLK1

H1

.7*VDDQZ1408H12

PLACE VREF DIVIDER
COMPONENTS AS
CLOSE TO MEMORY AS
POSSIBLE

M960731

Z1406 A4

DQ31 | DQ2
3
DQ30 | DQ2
2
DQ29 | DQ2
1
DQ28 | DQ2
0
DQ27 | DQ1
9
DQ26 | DQ1
8
DQ25 | DQ1
7
DQ24 | DQ1
6
DQ23 | DQ3
1
DQ22 | DQ3
0
DQ21 | DQ2
9
DQ20 | DQ2
8
DQ19 | DQ2
7
DQ18 | DQ2
6
DQ17 | DQ2
5
DQ16 | DQ2
4
DQ15 | DQ7
DQ14 | DQ6
DQ13 | DQ5
DQ12 | DQ4
DQ11 | DQ3
DQ10 | DQ2
DQ9 | DQ1
DQ8 | DQ0
DQ7 | DQ15
DQ6 | DQ14
DQ5 | DQ13
DQ4 | DQ12
DQ3 | DQ11
DQ2 | DQ10
DQ1 | DQ9
DQ0 | DQ8

BA2 | RAS
BA1 | BA0
BA0 | BA1
A11 | A7
A10 | A8
A9 | A3
A8/AP | A10
A7 | A1
1
A6 | A2
A5 | A1
A4 | A0
A3 | A9
A2 | A6
A1 | A5
A0 | A4
CS | CAS
WE | CKE
RAS| BA2
CAS| CS
CKE| WE

VDDQ
VDDQ#A12
VDDQ#
C1
VDDQ#
C4
VDDQ#
C9
VDDQ#C12
VDDQ#E1
VDDQ#E4
VDDQ#E9
VDDQ#E12
VDDQ
#J4
VDDQ
#J9
VDDQ#
N1
VDDQ#
N4
VDDQ#
N9
VDDQ#N12
VDDQ#
R1
VDDQ#
R4
VDDQ#
R9
VDDQ#R12
VDDQ#V1
VDDQ#V12
VDD
VDD#A11
VDD#F1
VDD#F12
VDD#
M1
VDD#M
12
VDD#V2
VDD#V11
VSSQ
VSSQ#B4
VSSQ#B9
VSSQ#B12
VSSQ#
D1
VSSQ#
D4
VSSQ#
D9
VSSQ#D12
VSSQ#
G2
VSSQ#G
11
VSSQ
#L2
VSSQ
#L11
VSSQ#P1
VSSQ#P4
VSSQ#P9
VSSQ#P12
VSSQ
#T1
VSSQ
#T4
VSSQ
#T9
VSSQ
#T12
VSS
VSS#A10
VSS#
G1
VSS#G
12
VSS#L1
VSS#L12
VSS#V3
VSS#V10

A1
A12
C1
C4
C9
C12
E1
E4
E9
E12
J4
J9
N1
N4
N9
N12
R1
R4
R9
R12
V1
V12

1.8VS

A2
A11
F1
F12
M1
M12
V2
V11

Sheet 14 of 40
VGA G72M-4

B1
B4
B9
B12
D1
D4
D9
D12
G2
G11
L2
L11
P1
P4
P9
P12
T1
T4
T9
T12
A3
A10
G1
G12
L1
L12
V3
V10
L408

CK
CK

Z1409
K1
VDDA
K12
Z1410
VDDA#K12
RDQS3 | RDQ
S2
RDQS2 | RDQ
S3
RDQS1 | RDQ
S0
C807
RDQS0 | RDQ
S1
.1U_10V_04
WDQ
S3 | WDQS2
J12
VSSA#J12
WDQ
S2 | WDQS3
J1
VSSA
WDQ
S1 | WDQS0
WDQ
S0 | WDQS1
DM3 | DM2
DM2 | DM3
DM1 | DM0
DM0 | DM1

RFU2
RFU1
RFU0

HCB160
8KF-121T2
5_06
L41
0

HCB160
8KF-121T2
5_06

C8
08
.1U_
10V_04

J3
J2
V4

RESET
ZQ

LOW-->NORMAL
HIGH-->MIRROR

VREF
VREF#H12

MF

A9

1.8VS

GN D | V D D

K4J55323QG-BC14

128MB

M960731

M660SR ALL DEL

FOR NV C960604

VGA G72M-4 B - 15

B.Schematic Diagrams

T3
T2
R3
R2
M3
N2
L3
M2
T10
T11
R10
R11
M10
N11
L10
M11
G10
F11
F10
E11
C10
C11
B10
B11
G3
F2
F3
E2
C3
C2
B3
B2

FBAD3
FBAD2
FBAD1
FBAD4
FBAD6
FBAD5
FBAD0
FBAD7
FBAD31
FBAD30
FBAD25
FBAD24
FBAD29
FBAD27
FBAD26
FBAD28
FBAD18
FBAD17
FBAD23
FBAD16
FBAD20
FBAD21
FBAD19
FBAD22
FBAD13
FBAD10
FBAD11
FBAD8
FBAD9
FBAD12
FBAD14
FBAD15

Schematic Diagrams

VT8237A-1
3 VUS B
P C I _A D [ 3 1 : 0 ]

H9
H 10
H1 1
H1 2
J8
K8
L8
M8
N8
P 8
R 8
R1 9
T 8
T19
U8
U 19
V8
V1 9
V2 1
W9
W10
W11
W17
W18
W19
W21
Y 21
W8

C 43 8

1 9,
1 9,
1 9,
1 9,
1 9,
1 9,
1 9,
1 9,

26
26
26
26
26
26
26
26

P
P
P
P
P
P
P
P

CI_ F R A M E #
CI_ D E V S E L #
CI_ IR DY #
CI_ T RD Y #
CI_ S T O P #
CI_ S E R R #
CI_ P A R
CI_ P E R R #

8, 19 P C I _ I N T A #
19 P C I _ I N T B #
26

7

E2
C1
L4
M3

/BE0 #
/BE1 #
/BE2 #
/BE3 #

P CI_ IN T D#

P CI_ IN T H#

2 6 P C I_ RE Q # 1

1 9 P CI_ G NT # 0
2 6 P CI_ G NT # 1

M960806

P C IR S T #

J1
H2
J2
H1
K4
C2
F4
C3
R1

A4
B4
P C I_ INT C # B 5
P C I_ INT D # C4
G P I O 12
D4
I D E _ P A TD E T # E 4
I D E _ S A TD E T # A 3
P C I_ INT H # B 3
P CI_ R
P CI_ R
P CI_ R
P CI_ R
P CI_ R
P CI_ R

E Q# 0
E Q# 1
E Q# 2
E Q# 3
E Q# 4
E Q# 5

A5
B6
C5
D5
P3
R3

P CI_ G NT # 0
P CI_ G NT # 1
P CI_ G NT # 2
P CI_ G NT # 3
F W H _T B L #
F L A S H#

A6
D6
C6
E5
R4
R2

V C C 3 3 -1
V C C 3 3-2
V C C 3 3 -3
V C C 3 3 -4
V C C 33 -5
V C C 3 3 -6
V C C 3 3 -7
V C C 33 -8
V C C 3 3 -9
V C C 3 3 -10
V C C 33 -1 1
V C C 3 3 -1 2
V C C 3 3 -13
V C C 33 -1 4
V C C 3 3 -1 5
V C C 3 3- 16
V C C 3 3 -1 7
V C C 3 3 -1 8
V C C 3 3-1 9
V C C 3 3 -2 0
V C C 3 3 -2 1
V C C 3 3-2 2
V C C 3 3 -2 3
V C C 3 3 -2 4
V C C 33 -2 5
V C C 3 3 -2 6
V C C 3 3 -2 7
V C C 33 -2 8

V C C UP L L 1
V C C UP L L 2
GN D U P L L 1
GN D U P L L 2
U SBP0 +
US B P 0 U SBP1 +
US B P 1 U SBP2 +
US B P 2 U SBP3 +
US B P 3 U SBP4 +
US B P 4 U SBP5 +
US B P 5 U SBP6 +
US B P 6 U SBP7 +
US B P 7 -

F RA M E
DE V S E L
IRD Y
TR D Y
S T OP
S E RR
PAR
P E RR
P CIR S T

C6 9 4

DPR SL PV R 2

R 8 78

* 0 _ 04 P M_ D P R S L P V R

P M _ DP R S L P V R

.1 U_ 5 0 V _ 0 6

P CI_ F R A M E # R
P CI_ IR DY # R
P CI_ T RD Y # R
P CI_ S T O P # R
P CI_ S E R R # R
P C I _ D E V S E L #R
P CI_ P E R R # R
P C I _ R E Q# 0 R
P C I _ R E Q# 1 R
P C I _ R E Q# 2 R
P C I _ R E Q# 3 R
R
F LA S H #
P C I _ R E Q# 5 R
P CI_ IN T A #
R
P CI_ IN T B #
R
P CI_ IN T C#
R
P CI_ IN T D#
R
I D E _ S A T D E T #R
P CI_ IN T H#
R
I D E _ P A T D E T #R
G PIO 1 2
R
P CI_ G NT # 0 R
P CI_ G NT # 1 R
P CI_ G NT # 2 R
P CI_ G NT # 3 R
P C I _ R E Q# 4 R
F W H_ T B L #
R

P C I _ F R A ME #
P C I_ IR DY #
P C I_ T RD Y #
P C I_ S T O P #
P C I_ S E R R#
P C I_ D E V S E L #
P C I_ P E R R#
P C I_ RE Q # 0

3 ,1 7 ,3 1

2 .5 V

US
US
US
US
US
US
US
US

B OC
B OC
B OC
B OC
B OC
B OC
B OC
B OC

0
1
2
3
4
5
6
7

U SBC L K
U SBR EXT

0
1
2
3
4
5 / GP I 7

T0
T1
T2
T3
T4
T5 / G P O 7

C 24

.1 U_ 5 0 V_ 0 6

A 2 3 Z 1 50 1
B2 3

C6 7 0

2 .5 VS
F B 19
H C B 2 0 1 2 K F -1 2 1T 3 0 _ 0 8
C6 7 1
C6 7 5

.1 U_ 5 0 V_ 0 6

10 U _ 10 V _1 2

D 23
C 23
E2 0
D 20
A2 0
B2 0
E1 8
D 18
A1 8
B1 8
D 16
E1 6
A1 6
B1 6
D 14
E1 4
A1 4
B1 4

U
U
U
U
U
U
U
U
U
U
U
U
U
U
U
U

SB0 +
SB0 SB1 +
SB1 SB2 +
SB2 SB3 +
SB3 SB4 +
SB4 SB5 +
SB5 SB6 +
SB6 SB7 +
SB7 -

U
U
U
U
U
U
U
U
U
U
U
U
U
U
U
U

SB0 +
SB0 SB1 +
SB1 SB2 +
SB2 SB3 +
SB3 SB4 +
SB4 SB5 +
SB5 SB6 +
SB6 SB7 +
SB7 -

22
22
29
29
22
22
22
22
20
20
22
22
26
26
26
26

3. 3V

R6 9 7

0 _ 04

8. 2 K
8. 2 K
8. 2 K
8. 2 K
8. 2 K
8. 2 K
8. 2 K
8. 2 K
8. 2 K
8. 2 K
8. 2 K
8. 2 K
8. 2 K
8. 2 K
8. 2 K
8. 2 K
8. 2 K
8. 2 K
8. 2 K
8. 2 K
8. 2 K
8. 2 K
8. 2 K
8. 2 K
8. 2 K
8. 2 K
8. 2 K

2 .5 VS

.1 U_ 5 0 V _ 0 6

1 6 GP O0 4

594
617
593
370
592
616
384
612
589
385
372
511
382
613
591
590
373
614
615
371
573
611
374
386
383
369
380

Z1521

2

P C I R S T#

1
3

U3 7
4

NE W _ CA R D_ R S T # 2 0

74 A H C 1G 0 8 GW

R6 7 8

*0 _ 0 6

C 41 1

1 U_ 1 0 V _ 0 6

C 36 7

1 U_ 1 0 V _ 0 6

C 38 3

1 U_ 1 0 V _ 0 6

C 43 6

1 U_ 1 0 V _ 0 6

C 42 6

1 U_ 1 0 V _ 0 6

C 42 8

1 U_ 1 0 V _ 0 6

C 41 6

1 U_ 1 0 V _ 0 6

C 42 5

.1 U_ 5 0 V _ 0 6

C 44 1

.1 U_ 5 0 V _ 0 6

C 33 3

.1 U_ 5 0 V _ 0 6

C 45 1

.1 U_ 5 0 V _ 0 6

C 43 5

.1 U_ 5 0 V _ 0 6

C 44 2

.1 U_ 5 0 V _ 0 6

C 45 0

.1 U_ 5 0 V _ 0 6

C 42 4

.0 1 U_ 5 0 V _ 0 6

C 42 7

.0 1 U_ 5 0 V _ 0 6

C 36 1

.0 1 U_ 5 0 V _ 0 6

C 39 9

1 U_ 1 0 V _ 0 6

C 46 5

1 U_ 1 0 V _ 0 6

C 42 1

1 U_ 1 0 V _ 0 6

C 46 0

1 U_ 1 0 V _ 0 6

C 46 1

1 U_ 1 0 V _ 0 6

C 46 2

.1 U_ 5 0 V _ 0 6

C 47 0

.1 U_ 5 0 V _ 0 6

C 46 3

.1 U_ 5 0 V _ 0 6

C 45 4

.1 U_ 5 0 V _ 0 6

3 .3 V

INT A
INT B
INT C
INT D
I N T E / G P I 1 2 / GP O1 2
I N T F / G P I 1 3 / GP O1 3
I N T G / GP I 14 / G P O 14
I N T H / GP I 15 / G P O 15

GN
GN
GN
GN
GN
GN

A2 2
B2 2
C 22
D 22
E2 2
F22
J13
J14
J15
J16
J17
J18

C 420

1 9, 2 6
1 9, 2 6
1 9, 2 6
1 9, 2 6
1 9, 2 6
1 9, 2 6
1 9, 2 6
19

C4 7 6
V S U S US B

CB E 0
CB E 1
CB E 2
CB E 3

RE Q
RE Q
RE Q
RE Q
RE Q
RE Q

US B V CC 1
US B V CC 2
US B V CC 3
US B V CC 4
US B V CC 5
US B V CC 6
US B V CC 7
US B V CC 8
US B V CC 9
US B V C C1 0
US B V C C1 1
US B V C C1 2

C 4 30

G P I9 /UD P W R ,G P I9
G P O 9 / U D P W R E N , GP O 9

K B C K /K A 2 0 G ,K B C
K B DT /K B RC ,K B D
M SC
MS D

V T 82 3 7 A -B G A 5 4 1

K
T
K
T

C 26
D 24
B2 6
C 25 Z 1 50 3 R 2 5 0
B 2 4 Z 1 50 4 R 2 5 2
A 2 4 Z 1 50 5 R 2 5 3
A 2 6 Z 1 50 6 R 2 5 1
A2 5

O C
O C
O C
1 0K
1 0K
1 0K
1 0K

0# 2 2
1# 2 2
2# 2 9
_0 6
_0 6
_0 6
_0 6

3 .3 V

O C 7# 2 0

E2 3

C L K _ US B 4 8 2

B 2 5 Z 1 50 7 R 5 3 4

6 . 04 K _ 1 % _ 0 6

6.2K->6.04K
C960530

D 26
D 25 D P R S L P V R 2

W3
V1
W1
W2

H
K
Z
Z

3 .3 VS

R7 2 5

R 726

*1 0 K _ 0 6

* 1 0K _ 06

G P I9

_ A 2 0 GA T E
B C_ R S T #
1 51 0 R 6 0 9
1 51 1 R 3 7 9

R2 5 4

H _A 20 G A T E 2 3
K B C _ RS T # 2 3

2 . 2K _0 4
2 . 2K _0 4

1 0K _0 6

14
1

13 , 1 6 , 2 0 , 2 3 , 27 , 2 8 , 3 4 S U S B #
3 .3 V

2

Z 1 5 12

3

U1 0

2

R 698

*0 _ 0 4

R 699

0 _0 4

4

A L L _ P W R OK

Z1520 1
3
7 4A H C 1G 0 8 GW

U2 0 B
4

3 .3 VS

1 0 K_ 0 6
3 . 3V

2 3, 31 P W R G D _V C O R E

U2 0 A

2 .5 V S
R 727

3 . 3V

2 3 K B C_ P W RG D

3 .3 V

GP I O 1 2

GPI9,GPIO12
Board ID

5

CI_ C
CI_ C
CI_ C
CI_ C

A D0 0
A D0 1
A D0 2
A D0 3
A D0 4
A D0 5
A D0 6
A D0 7
A D0 8
A D0 9
A D1 0
A D1 1
A D1 2
A D1 3
A D1 4
A D1 5
A D1 6
A D1 7
A D1 8
A D1 9
A D2 0
A D2 1
A D2 2
A D2 3
A D2 4
A D2 5
A D2 6
A D2 7
A D2 8
A D2 9
A D3 0
A D3 1

C6 9 3

3. 3 V S

F B2 0
H C B 3 2 1 6K F -8 0 0T 3 0 _ 1 2
C4 1 7
C 459

1 U _1 0 V _ 0 6
.1 U_ 5 0 V _ 0 6
1 U _1 0 V _ 0 6
4. 7U _ 1 0V _0 8
. 1U _ 5 0V _0 6
1 0 U_ 1 0 V _ 0 8

14

P
P
P
P

G2
J4
J3
H3
F1
G1
H4
F2
E1
G3
E3
D1
G4
D2
D3
F3
K3
L3
K2
K1
M4
L2
N4
L1
M2
M1
P4
N3
N2
N1
P1
P2

U S BG ND 1
U S B GN D 2
U S B GN D 3
U S BG ND 4
U S B GN D 5
U S B GN D 6
U S BG ND 7
U S B GN D 8
US B G N D9
U S BG ND 1 0
U S B GN D 1 1
US B G N D1 2
U S BG ND 1 3
U S B GN D 1 4
U S BG ND 1 5
U S BG ND 1 6
U S B GN D 1 7
U S BG ND 1 8
U S B G N D 19
U S B GN D 2 0
U S BG ND 2 1
U S B GN D 22
U S B GN D 2 3
U S BG ND 2 4
U S B GN D 25
U S B GN D 2 6
U S BG ND 2 7
U S B GN D 2 8
US B G N D2 9
U S BG ND 3 0
U S B GN D 3 1
U S BG N D3 2
U S BG ND 3 3
U S B GN D 3 4
U S BG ND 3 5

26
26
26
26

0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31

C 4 23

1 U _ 10 V _ 0 6

3 VU SB

A1 3
A1 5
A 17
A1 9
A2 1
B 13
B1 5
B1 7
B 19
B2 1
C1 3
C 14
C 15
C1 6
C 17
C1 8
C1 9
C 20
C2 1
D1 3
D 15
D1 7
D 19
D 21
E1 3
E 15
E1 7
E1 9
E 21
H 13
H1 4
H 15
H 16
H1 7
H 18

1 9,
1 9,
1 9,
1 9,

I_ A D
I_ A D
I_ A D
I_ A D
I_ A D
I_ A D
I_ A D
I_ A D
I_ A D
I_ A D
I_ A D
I_ A D
I_ A D
I_ A D
I_ A D
I_ A D
I_ A D
I_ A D
I_ A D
I_ A D
I_ A D
I_ A D
I_ A D
I_ A D
I_ A D
I_ A D
I_ A D
I_ A D
I_ A D
I_ A D
I_ A D
I_ A D

GN D
GN D
G ND
GN D
G N D
G ND
GN D
G N D
G ND

Sheet 15 of 40
VT8237A-1

PC
PC
PC
PC
PC
PC
PC
PC
PC
PC
PC
PC
PC
PC
PC
PC
PC
PC
PC
PC
PC
PC
PC
PC
PC
PC
PC
PC
PC
PC
PC
PC

A1
A 2
B1
B2
E8
F25
H2 3
J21
J25

Z1516

R4 2 1

2 2 _ 06

ID E R S T # 1 8

A L L_ P W R O K 3 , 1 7 , 2 4

7 4A H C 0 4
3 .3 V

74 A H C 04
3 .3 V

C 46 4

.1 U_ 5 0 V _ 0 6

U2 0 C

U2 0 D

C 46 7

.0 1 U_ 5 0 V _ 0 6

C 46 6

.0 1 U_ 5 0 V _ 0 6

C 45 5

.0 1 U_ 5 0 V _ 0 6

C 42 9

.0 1 U_ 5 0 V _ 0 6

5
U 23

1
3

11

U2 0 E
1 0 Z 1 5 14

1

8

Z1517

R4 0 6

2 2 _ 06

P LT _ R S T # 11 , 2 6

74 A H C 04
3 .3 V

13

U2 0 F
12

7 4A H C 0 4
3 .3 V

74 A H C 04
3 .3 V

U1 9 A

U1 9 B

2

14
Z 1 5 15

7 4A H C 0 4

B - 16 VT8237A-1

9

Z1518

R4 0 5

2 2 _ 06

R4 0 7

*5 1 _ 1 % _ 06

R4 2 7

2 2 _ 06

B UF _ P L T _ RS T # 7 ,2 0 ,2 3 ,2 6

* 0_ 0 6
14

R4 2 3

-P C I R S T _ GA T E
-P C I R S T _ GA T E

7 4 A H C 1 G0 8 G W

Z 1 5 13

14

4
P CIR S T #

6

7 4A H C 0 4
3 .3 V
14

A L L_ P W R O K 2

14

14

3 .3 V

5

B.Schematic Diagrams

B GA 6A

3 .3 V

3 VU SB

3. 3V S

5

1 9 , 2 6 P C I _A D [ 3 1 : 0 ]

3

4
74 A H C 04

Z1519

P CIR S T C# 1 9

_0 6
_0 6
_0 6
_0 6
_0 6
_0 6
_0 6
_0 6
_0 6
_0 6
_0 6
_0 6
_0 6
_0 6
_0 6
_0 6
_0 6
_0 6
_0 6
_0 6
_0 6
_0 6
_0 6
_0 6
_0 6
_0 6
_0 6

Schematic Diagrams

VT8237A-2

2 . 5V S

ID
ID
ID
ID
ID
ID
ID
ID
ID
ID
IN

E _S D D R E Q A D 1 7
E _S D D A C K #A D 2 3
E _S D I OR # A F 2 3
E _S D I OW # A E 2 3
E _S I OR D Y A F 1 7
E _S D C S 1 # A F 2 5
E _S D C S 3 # A F 2 6
E _S D A 0
AF2 4
A C2 2
E _S D A 1
AE2 4
E _S D A 2
T_ I R Q1 5
AE2 6
A C1 9

S ID EV RE F

R 28 5
S A T A 5 0 C OM P A B 2 1
3 6 0_ 1 %_ 0 6
. 1 U _ 5 0 V _0 6
AB1 3
Z 1 6 17
S A T A T XP 0 C 69 6
S A T A T XN 0 C 69 5
Z 1 6 18
A C1 3
. 1 U _ 5 0 V _0 6
S A TA R X N 0 A F 1 3
1 8 S A T A RX N0
S A TA R X P 0 A E 1 3
1 8 S A T A RX P 0

1 8 S A TA T X P 0
1 8 S A TA T X N 0

Z 1 6 01
Z 1 6 02

AB1 5
A C1 5

Z 1 6 03
Z 1 6 04

AF1 5
AE1 5
W12
W13
W14
W15
W16

2. 5 V S A T A
1

0 A C2 0
1 AB2 0
2 A C2 1
3 AE1 8
4 AF1 8
5 A D1 8
6 A D1 9
7 AF1 9
8 AE2 0
9 AF2 0
10 A D 2 0
11 A E 2 1
12 A F 2 1
13 A D 2 1
14 A D 2 2
15 A F 2 2

C8 0 5

C 43 4

C 4 32

1 U _ 1 0V _ 0 6

4. 7 U _ 1 0V _ 0 8

A C1 7
A C1 1
AB1 7
AB1 1

+

S U S C L K / GP O 04
SM B CK 1
S MB D T1
S M B C K 2 / GP I 2 7 / GP O 27
S MB D T2 / GP I 2 6 / GP O 26
S U S A / GP O 02
SU SB
SU SC
GP I 00
G P I 01 , G P I 01 / T H R M T R I P
GP O 00
GP O 01
S t rap _ V D 1 *, G P I OA */ P C R E Q A *
S t rap _ V D 2 *, G P I OB */ P C R E Q B *
S t rap _ V D 0 *, G P I OC * / P C GN T A *
S t rap _ V D 3 *, G P I OD * / P C GN T B *

S DDR Q
S DDA C K
S D I OR / S H D MA R D Y / S H S TR OB E
S D I OW / S S TO P
S D R D Y / S D D MA R D Y / S D S T R OB E
S DCS 1
S DCS 3
S DA 0
S DA 1
S DA 2
I R Q1 5

S ERIR Q
S P K R/S P K R *
O SC
TPO
TEST

S VRE F ,NC

V CC A O

S C OM P P , N C

G ND A O

S TX P 1
S TX N 1

S R E XT

S RX N1
S RX P 1

SXO
SXI

S TX P 2
S TX N 2

V C C A 33 , N C

S RX N2
S RX P 2

V CCA S 1
V CCA S 2
V CCA S 3
V CCA S 4

V S U S 2 5 -1
V S U S 25 -2

I N T R U D E R / GP I 16

S DD0 0
S DD0 1
S DD0 2
S DD0 3
S DD0 4
S DD0 5
S DD0 6
S DD0 7
S DD0 8
S DD0 9
S DD1 0
S DD1 1
S DD1 2
S DD1 3
S DD1 4
S DD1 5

V D D A T S -1
V D D A T S -2
V D D A T S -3
V D D A T S -4
V D D A T S -5

T4
U4

AA4
AB4
A B5
AB6
V S U S 3 3 -1
V S U S 33 -2
V S U S 3 3-3
V S U S 3 3 -4

J9
J10
J1 1
J 12
K9
L9
L18
M9
M 18
N 9
N1 8
P9
P 18
R9
R 18
T9
T18
U9
U1 8
V9
V 10
V1 1
V 12
V1 3
V1 4
V 15
V1 6
V 17
V1 8

P DDR Q
P D D A C K / P D D A C K *, P D D A C K
P D I OR / P H D MA R D Y / P H S TR OB E
P D I OW / P S TO P
P D R D Y / P D D MA R D Y / P D S T R OB E
P D C S 1 / P D C S 1 *, P D C S 1
P DCS 3 /P D CS 3 *
P DA 0 /P DA 0 *
P DA 1 /P DA 1 *
P DA 2 /P DA 2 *
I R Q1 4

2

10 0 U _ 6 . 3V _ B

NC , W A K E
P ME
B A T L OW / GP I 05
C P U MI S S / GP I 17
R I N G/ GP I 03
S U S S T 1 / GP O 03
A OL GP I / T H R M/ GP I 18
E X T S MI / GP I 02
S MB A L R T
L I D / GP I 04
PW R BTN
P W R OK
P C K RU N
C P U S T P / GP O 05
P C I S T P / P C I S TP * / GP O 06

GN D A 33 , N C
GN
GN
GN
GN
GN
GN
GN
GN
GN

V T8 2 37 A -B G A 54 1

D
D
D
D
D
D
D
D
D

R 36 1
R 36 0

T1
U3
V2
U1
V3
T2
U2
T3

A Z _ B I TC LK
A Z _ S DIN0
A Z _ S DIN1
S DIN 2
S DIN 3
A CS Y NC
A CSD O
Z 1 60 5

Y5
W4
V4
Y1
Y2
Y3
Y4
AA1
AB1
A C1
A D2
AF1
AB7
A C7
A D6

W AKE
P ME #
P M_ B A T LO W #
C P U MI S S
RIN G
P M_ S U S _ S T A T #

* 0_ 0 4
0 _ 04

S C I # 23

R 61 8
R 59 6
R 59 5

. 1 U _ 5 0V _ 0 6
2 .5 V S

2 2 _0 6 A Z _ S Y N C
2 2 _0 6 A Z _ S D OU T
2 2 _0 6

A Z _S Y N C 27
A Z _S D OU T 2 7
A Z _R S T# 2 7

W AKE 7
P M E # 19 , 2 3, 2 6

5
5
17 , 2 3 R S MR S T#
8 2 37 _ P W R O K

2

U 34
4 S B _ P W R OK

1
3

1 00 _ 08
S B _ P W RO K 7

1 7, 2 3 R S M R S T #

CL KR UN# R8 6 8

G P O0 4 15

A C 4 S MB _ S B C L K
A B 2 S MB _ S B D A T A

S M B _ S B C L K 2 , 9, 1 0 , 11
S M B _ S B D A T A 2 , 9, 1 0 , 11

A C 3 S MB C K 2
A D 1 S MB D T2

P M _C LK R U N #

2 .5 V S

2 . 5V S

C 37 3

C 4 46

R 63 2
R 63 3

1 K _ 06
* 2. 2 K _ 06 3 . 3 V S

0/1:Enable/disable LPC FWH command

S U S C# 2 3

A Z _ S DIN 0

1 0K _ 0 6

R 35 7
R 36 8

R 36 2
R 36 3

P M_ S T P P C I #

SW I# 2 3

G P O0 1 1
G P O1 2 7

A D9 S E RIR Q
A F 8 A C_ S P K R
A B 8 S I O_ OS C

R 35 4
R 35 0

* 1K _ 0 6
2 . 2 K _0 6 3 . 3 V S

0/1:Disable/Enable LAN shadow EEPROM

S E R I R Q 19 , 2 3
AC _ SPKR 2 7
S I O_ OS C 2

A C_ S P K R

AF9 TPO
A E 9 8 23 7 TE S T

3 58
5 74
5 75
5 65
5 52
5 45
6 56

4 . 7 K _ 06
4 . 7 K _ 06
4 . 7 K _ 06
4 . 7 K _ 06
4 . 7 K _ 06
4 . 7 K _ 06
1 0 K _ 06

R
W AKE
R
SM B CK 2
SM B DT 2
R
S MB _ A L E R T # R
P E X ME S C I # R
S B _ P W R OK R
S M B _S B C LK R
S M B _S B D A TA R
R
GP O0
GP O1
R
P M_ B A T LO W # R
R
SM I#
R
RIN G
P M_ S U S _ S T A T# R
R
GP I 04

6 00
6 04
6 27
6 25
3 66
5 81
3 64
6 24
6 01
6 31
5 97
3 48
6 21
5 99
3 65

4 . 7 K _ 06
4 . 7 K _ 06
4 . 7 K _ 06
1 0 K _ 06
4 . 7 K _ 06
1 0 K _ 06
4 . 7 K _ 06
4 . 7 K _ 06
4 . 7 K _ 06
4 . 7 K _ 06
4 . 7 K _ 06
10 K _ 0 6
1 0 K _ 06
1 0 K _ 06
1 0 K _ 06

R 32 3
R 32 4

3 . 3V S

3 . 3V

1 K _ 06
* 2. 2 K _ 06 3 . 3 V S

0/1:Enable/disable100Mhz VLink clock(Reserved)
1 7 S E EDI

AZ _ RST #
R
P M _C LK R U N #R
P M _S T P C P U #R
R
S E R IRQ
R
TPO
I D E _ S I OR D Y R
A Z _ S D OU T R

* 1K _ 0 6
* 2. 2 K _ 06 3 . 3 V S

0/1:Enable/disable Auto Reboot

0 _ 06

Sheet 16 of 40
VT8237A-2

*0 _ 06

AZ _ SYN C

R6 2 6

R 60 3

1 U _ 1 0V _ 0 6

P M _ C L K R U N # 23

3 .3 V

A A 2 S USA #
A D 3 8 23 7 _S U S B #
A F 2 S USC #

1 K _ 06
* 2. 2 K _ 06 3 . 3 V S

0/1:Enable/disable CPU FREQ strapping

A C1 0 V DD A 0

Power Up Strapping for VT8237A

AB1 0

WA KE ON L AN
C9 60 525

A D1 1 S REX T

SU SA#

R 62 3
R 58 6

1 K _ 06
* 2. 2 K _ 06 3 . 3 V

GP I 0
R 6 29
S M_ I N T R U D E R #R 6 28

A E 1 0 2 5M H Z X O_ S
LAN PHY RESET:
0:MOBILE MODE
1:DESKTOP MODE

A F 1 0 2 5M H Z X I _S
A E 1 1 V D D A 33

INT _ IRQ
I N T _ I R Q 15

AF1 1
W5
V5
M1 6
N1 1
N1 2
N1 3
N1 4
N1 5
N1 6

3 . 3V S
ID
ID
ID
ID

E _ PDA 2
E _ PDA 1
E _ PDCS 3 #
E _ PDA 0

1: V 4 s u pp o rt
1 : V 4 -li t e s up p ort
VKCOMP for Vlink at 4X mode
0\1:P4/V4 Bus \ C3 Bus

5
6
7
8

4
3
2
1

Z1607
Z1608
Z1609
Z1610

R N2 0
2 . 2 K _ 8P 4 R _ 0 6

U 35
S US B#

S U S B # 1 3, 15 , 2 0, 2 3 , 27 , 2 8 , 34
C 7 08

7 4 A H C 1 G0 8 GW

. 1 U _ 5 0V _ 0 6

C4 9 0

C960531

GPIO D 0:AGTL+ pullup Enable
GPIO B 0:IOQ Depth 8 Level

4

0 _0 6

R8 6 9

P M _ S TP C P U # 2
P M _ S TP P C I # 2

1:Vlink Compensation(Manual setting)
0:V4 support(Disable)
0:V4-lite support(Disable)
1:VKCOMP for Vlink at 4X mode 0.9V

1
3

C 45 7

4 . 7 U _ 1 0V _ 0 8 1 U _ 10 V _ 06
P W R _ B TN # 2 3

GP I O
GP I O
GP I O
GP I O

R 2 93
R 2 69
R 2 76
R 2 86

C
A
D
B

* 10 U _ 1 0V _ 0 8

5
6
7
8

4
3
2
1

R N9
1 K _8 P 4 R _ 0 6

C4 4 9
. 1 U _ 5 0 V _0 6

*0 _0 6
0_ 0 6
0_ 0 6
0_ 0 6

8
7
6
5
3 . 3V S

R5 8 3

4 . 7U _1 0 V _ 08
*3 30 U _ 6 . 3 V _D
4. 7 U _ 1 0V _ 0 8

C3 8 1

A B 3 GP O 04

3 .3 V

3. 3 V

+ C3 6 2

2 .5 VS

GPIO C,A 1,1:Auto Mode

82 3 7 _S U S B # 2

+ C3 8 9
47 0 U _ 4 V _D

P M _ S U S _ S TA T # 7
P E XM E S C I # 7
S M I # 23

S MI #
S MB _ A L E R T #
GP I 0 4
P W R_ BT N#
8 23 7 _P W R OK
CL KRU N#
P M_ S T P C P U #
P M_ S T P P C I #

GP I 0
Z 1 60 6
GP O 0
GP O 1
GP I O A
GP I O B
GP I O C
GP I O D

3 . 3V S
F B 21
C 69 8 H C B 2 0 12 K F -1 2 1T 3 0_ 0 8

VDDA 3 3

3. 3 V

A E 1 S M_ I N T R U D E R #

AE2
A C2
AA3
AE3
AE5
A D5
AF5
A C6

2. 5 V S
F B 13
C 44 3 H C B 2 0 12 K F -1 2 1T 3 0_ 0 8

VDDA 0

A Z _B I T C L K 2 7
A Z _S D I N 0 27
A Z _S D I N 1 27

GN D
G ND
GN D
GN D
G ND
GN D
G ND
GN D
GN D
G ND
GN D
G ND
GN D
GN D
G ND
GN D
GN D
G ND

IDE _ SDD
IDE _ SDD
IDE _ SDD
IDE _ SDD
IDE _ SDD
IDE _ SDD
IDE _ SDD
IDE _ SDD
IDE _ SDD
IDE _ SDD
IDE _ SDD
IDE _ SDD
IDE _ SDD
IDE _ SDD
IDE _ SDD
IDE _ SDD

P E X ME S C I #
GP I 0 4

A CB IT CL K ,A Z B IT CL K
A C S D I N 0, A Z S D I N 0
A C S D I N 1, A Z S D I N 1
A C S D I N 2 , A Z S D I N 2 / GP I 2 0 / GP O2 0 / P C S 0
A C S D I N 3, A Z S D I N 3 / GP I 2 1 / GP O2 1 / P C S 1 / S L P B T N
A C S Y NC ,A Z S Y N C/A CS Y NC *
A C S D OU T, A Z S D OU T/ A C S D O U T *
A C RS T ,A Z RS T

F6
F7
J5
K5
P5
R5
L11
L1 2
L 13
L14
L1 5
L 16
M 11
M 12
M 13
M1 4
M 15
K1 8

E _P D D R E Q Y 2 3
E _P D D A C K # V 2 4
E _P D I OR # W 2 6
E _P D I OW # Y 2 5
E _P I OR D Y Y 2 2
E _P D C S 1 # V 2 2
E _P D C S 3 # V 2 3
E _P D A 0
W23
E _P D A 1
V2 5
E _P D A 2
W24
T_ I R Q
A D2 4

P DD0 0
P DD0 1
P DD0 2
P DD0 3
P DD0 4
P DD0 5
P DD0 6
P DD0 7
P DD0 8
P DD0 9
P DD1 0
P DD1 1
P DD1 2
P DD1 3
P DD1 4
P DD1 5

. 1 U _5 0 V _0 6
. 1 U _ 5 0 V _0 6

1
2
3
4

R N 28
2 . 2 K _8 P 4 R _ 0 6
4
5
3
6
2
7
1
8

Z 1 6 11
Z 1 6 12
Z 1 6 13
Z 1 6 14

R
R
R
R

35 5
35 3
35 1
34 7

* 0_ 0 6
* 0_ 0 6
0 _ 06
0 _ 06

2 5 MH Z XO _S
2 5 MH Z XI _ S

R 5 35
R 2 64

IDE_ P DD 7
IDE_ S DD 7
IDE_ S DD REQ
C P U MI S S
AZ _ SYN C
A Z _ S DO UT
AZ _ RST #

R
R
R
R

2 62
5 44
2 96
6 22
C4 9 2
C4 9 3
C4 8 8
C4 8 7

A Z _ S DIN 0
A Z _ S DIN 1
SD IN2
SD IN3
8 23 7 T E S T

R
R
R
R
R

3 81
5 98
6 19
6 20
5 54

R3 1 1
R3 1 6

1 M _0 6
1 M _0 6

0 _0 6
0 _0 6

4 . 7 K _ 06
4 . 7 K _ 06

V CC RT C

5 VS

1 0 K _ 06
1 0 K _ 06
5 . 6 K _ 06
4 . 7 K _ 06
2 2P _ 5 0 V _0 6
2 2P _ 5 0 V _0 6
2 2P _ 5 0 V _0 6
2 2P _ 5 0 V _0 6

Z 1 6 15
Z 1 6 16

4 . 7 K _ 06
4 . 7 K _ 06
4 . 7 K _ 06
4 . 7 K _ 06
4 . 7 K _ 06

X3
2 5M H z
1
2
C 4 56

C4 5 2

1 5 P _ 50 V _ 06

1 5P _ 5 0V _ 0 6

M960807

R N 29
1 K _ 8P 4 R _ 0 6

7 4 A H C 1 G0 8 GW

VT8237A-2 B - 17

B.Schematic Diagrams

ID
ID
ID
ID
ID
ID
ID
ID
ID
ID
IN

IDE _ P DD REQ
IDE _ P DD A CK #
IDE _ P DIO R#
IDE _ P DIO W #
I D E _ P I OR D Y
I D E _ P D C S 1#
I D E _ P D C S 3#
IDE _ P DA 0
IDE _ P DA 1
IDE _ P DA 2
IDE _ IRQ

0 AA2 2
1 Y2 4
2 AA2 6
3 AA2 5
4 AB2 6
5 A C2 6
6 A C2 3
7 A D2 5
8 A D2 6
9 A C2 4
10 A C 2 5
11 A B 2 4
12 A B 2 3
13 A A 2 4
14 Y 2 6
15 A A 2 3

V C C 25 -1
V C C 2 5-2
V C C 2 5 -3
V C C 2 5-4
V C C 2 5 -5
V C C 25 -6
V C C 2 5-7
V C C 2 5 -8
V C C 2 5-9
V C C 2 5 -10
V C C 25 -1 1
V C C 2 5-1 2
V C C 2 5 -1 3
V C C 2 5-1 4
V C C 2 5 -15
V C C 25 -1 6
V C C 2 5-1 7
V C C 2 5 -18
V C C 25 -1 9
V C C 2 5 -20
V C C 25 -2 1
V C C 2 5-2 2
V C C 2 5 -23
V C C 25 -2 4
V C C 2 5 -25
V C C 25 -2 6
V C C 2 5-2 7
V C C 2 5 -28
V C C 25 -2 9

IDE _ PDD
IDE _ PDD
IDE _ PDD
IDE _ PDD
IDE _ PDD
IDE _ PDD
IDE _ PDD
IDE _ PDD
IDE _ PDD
IDE _ PDD
IDE _ PDD
IDE _ PDD
IDE _ PDD
IDE _ PDD
IDE _ PDD
IDE _ PDD

VT8237R plus => 4.7K
VT8237A => 6.12K
AP339A S R E X T R 3 08
6 . 1 9 K _1 % _0 6

2. 5 V S
FB1 4
H C B 32 1 6K F -8 0 0 T3 0 _1 2
C4 4 0

2 .5 V S AT A
C4 4 7

B GA 6 B

18
18
18
18
18
18
18
18
18
18
18

2 . 5V

I D E _ P D D [ 0 . . 15 ]

AB1 4
A C 14 GN D A T S 0
G NDA T S 1
A D1 2
A D 1 3 GN D A T S 2
A D 1 4GN D A T S 3
G ND AT S 4
A D1 5
A D 16 GN D A T S 5
G NDA T S 6
A E 12
A E 1 4 GN D A T S 7
A E 1 6 GN D A T S 8
G ND AT S 9
AF1 2
A F 1 4 GN D A T S 1 0
G NDA T S 1 1
A F 16
GN D A T S 1 2
A C 16
G ND AS 1
A C1 2
A B 1 6 GN D A S 2
G NDA S 3
A B 12
GN D A S 4

1 8 I D E _P D D [ 0 . . 1 5]

3 . 3V

Schematic Diagrams

VT8237A-3
2 .5 V S
2 .5 V S

G 24

7 VBE#

K2 3
K2 5

7 U P CM D
7 D N C MD

J26
J24

7 U PSTB
7 U PSTB#

H 26
H 24

7 D NS T B
7 D NS T B #
8 V PAR
2 .5 V S

R 2 63

4 .7 K_ 0 6 VPA R

F24

L VR EF_ SB

H 22

V C OM P P

J22
L22

2 V CL K _ S B

Z 1 7 01 F 2 3
Z 1 7 02 G 2 2
2 3 LP C _ A D [ 3 : 0]

2 3 L P C _F R A M E #

3 , 1 5, 24 A LL _ P W R O K

_ AD0
_ AD1
_ AD2
_ AD3

AD
AF
AE
AD

8
7
7
7

L P C _ F RA M E # A F 6
L P C _ D R Q# 0
AE 6
L P C _ D R Q# 1
AE 8

A L L _ P W R OK

AC 5
AD 4

1 6, 23 R S M R S T #
V C CR T C

AF 4

3 2 KH ZX1

AE 4

3 2 KH ZX2

AF 3

D1 2
E 12

D 9
E9
E1 0
E1 1

MC R S
M CO L
M T X E NA
M TX D 0
M TX D 1
M TX D 2
M TX D 3
MT X C LK

VBE
UP CM D
DN CM D

MD C K
MD I O
NC ,P H Y P W RD N
P HY R S T

UP S T B+
UP S T B-

E E CS
EED O
E E D I/E E D I*
E E CK

DN S T B+
DN S T B-

L A NV C C

VPAR
VL VR EF

L A N GN D

V L C O MP

FER R
A2 0 M
I GN N E
IN IT
INT R
N MI
S MI
S T P C LK
S LP
GH I / G P I 2 2 / GP O 2 2
D P S L P / G P I 2 3 / GP O 2 3

V C LK

NC 1
NC 2

L A D0
L A D1
L A D2
L A D3

V GA T E / G P I O 8
G P I 2 8 / GP O 2 8 / V I D S E L / S A T A L E D , GP I 2 8/ G P O 2 8/ V I D S E L
V R D P S L P / G P I 2 9 / GP O 2 9
A GP B Z / G P I 0 6

L F RM
L RE Q 0
L RE Q 1

P C I C LK
A P ICC L K ,G P I1 9

R S MR S T

A P I C D 0/ GP I O 1 0
A P I C D 1/ GP I O 1 1

V T 8 2 3 7A -B GA 54 1
VBAT

P LL V C C

RT C X 1

P L L GN D

RT C X 2

A1 1
B1 1
C1 1
A1 0
B1 0
B9
A9
C1 0

17 0 3
17 0 4
17 0 5
17 0 6
17 0 7

R
R
R
R
R

3 13
5 51
5 55
5 69
5 63

33 _ 1 %
33 _ 1 %
33 _ 1 %
33 _ 1 %
33 _ 1 %

D1 0
C9
D8
C8
B8
A8
C7
A7
B7
F8
D7

_ 06
_ 06
_ 06
_ 06
_ 06

Z 17 0 8 R 5 87
Z 17 0 9 R 5 88

33 _ 1 % _ 06
33 _ 1 % _ 06

2

40mil
C 706

J OP E N

C 7 02

* 1 00 K _ 0 4

1 0 U_ 1 0 V _ 0 8

D1 1
B1 2
A1 2
C1 2

S E E CS
S E E DO
S E E DI
S E E CL K

E7

Z1710

U2 4
U2 6
T24
R2 6
T25
T26
U2 5
R2 4
V 2 6 Z 17 1 2 R 8 32
G HI
R2 2
P2 1
AC 9
AC 8
AB9
AD 1 0

2 .5 V S

5 P_ 5 0 V_ 0 6

M960803
VT8237R plus=> 10PF
VT8237A => 12PF
AP339A

FB1 2
H C B 2 0 1 2 K F -1 2 1T 3 0 _ 0 8

R5 6 4
R2 7 5

4 . 7 K _ 06
1 K_ 0 6

A P IC D0
A P IC D1

R2 6 1
R2 6 7

3 6 0_ 1 % _ 0 6
3 6 0_ 1 % _ 0 6

H_ F E R R# 3
H _ A 2 0 M# 3
H_ IG NN E # 3
H _ I N I T# 3
V DD 3
H _ I N TR 3
H_ NM I 3
R7 1 6
H_ S M I# 3
H _ S T P C LK # 3
H _ CP US L P # 3 ,5
R7 1 7

T XE N
V CO M P P

R3 1 8
R2 8 2

1 0 K _ 06
3 6 0_ 1 % _ 0 6

M960808
VC CR T C

40mil

0 _ 06

H_ DP S L P # 3

VG ATE
SATA_ L ED #
D P RS L P V R1
A G P _ B US Y #

R2 3

SPC L K

U2 3

N C_ CP P E #

R2 5
T23

APIC D 0
APIC D 1

T22

2 .5 VSBPL L

U2 2

G N D _S B P L L

A

C

R2 8 1

C 4 04

4 . 7K _0 6

. 1 U _ 50 V _ 0 6

R B 7 5 1V
D 39

1K _0 6

L V R E F _S B

A

S A T A _ L E D# 2 8

C
R B 7 51 V

A GP _ B U S Y # 8

S P CL K

2 .5 V S

D 37

4 7 0 _0 6 Z 1 7 1 7

Z1718

R2 7 9

C 4 05

1 . 05 K _ 1 % _ 0 6

. 1 U _ 50 V _ 0 6

J BAT1
R6 3 0

1 K_ 0 6 Z1 7 1 3

1
2

2

1
2

LVREF_SB= 0.3V FOR VT8237R plus
LVREF_SB=0.45V FOR VT8237A

C ON 2 _ B A T

R2 7 7

N C _C P P E # 20

*3 3 _ 0 6

3 .3 VS

Z1711
C4 1 9
D PR SL PVR 1
A GP _ B U S Y #
L P C _ D R Q #0
L P C _ D R Q #1

*1 0 P _ 5 0 V _ 0 6

1 . 05 V S

VC CR T C

R 3 40

R 35 9

1 0 K_ 0 6

1 K_ 0 6

S EED I

3

S E E C LK

2

S EEC S

1
6

U1 1
DI

DO

SK

V CC

CS
NC 1

G ND
N C2

R 55 8
R 56 7
R 57 8
R 57 0

4 .7 K _ 0 4
4 .7 K _ 0 4
4 .7 K _ 0 4
* 4 . 7K _0 4

Must use +3.3VSUS if it want to wake
on LAN from resume function well.

EEPROM

H_ P W R G D 3

4

S EED O

8
5
7

3 .3 V

C4 8 9
. 1 U _1 6 V _ 0 4

C A T 9 3 C 46 S -2 6 4 9 0 T

Q 21
2 N3 9 0 4

B

Q 20

R6 1 0
E

3 , 1 5 , 2 4 A L L _ P W R OK

E

MD I O

DPRSLPVR1 FOR VIA
C960604
R559 * ->0 C960615
D P R S LP V R 1 R 55 9

0_04

P M _ DP RS L P V R

Near to
South
Bridge

1 .5 K_ 0 4

D T C1 1 4 EUA
C 4 84
5 P_ 5 0 V_ 0 6

2S 1

DEL GHL PULL UP RESISTER

. 1U _ 5 0 V _ 06

E6

2 .5 V S

C 40 3

2 .5 VS

Z1716

C 47 9

C4 8 1

3 .3 VS

3 2 K HZ X 1

Y 2
3 2 . 7 6 8K H Z

C 46 9

V GA T E
GH I

C

3
4

2

C4 3 9

2 . 5 V S B P LL
2 .5 V S B P L L

M DC 2 1
M DI O 2 1

21

2 . 2 _ 08

Z1715

B - 18 VT8237A-3

21
21
21
21
21
21

SEED I 1 6
R3 2 5
C4 6 8

Z 1 71 4 B

1

C 4 45

G N D_ S B P L L

3 2 K HZ X 2

ADD GND SHIELD

C3 7 7

G N D _ S B P LL . 1 U _ 50 V _ 0 6 S 111

MD I O

C

R 5 80

TXEN
TXD 0
TXD 1
TXD 2
TXD 3
T X C LK

-P H Y P W R D N
-P H Y R S T 21

3 .3 V S

1 0U _ 1 0 V _ 08

C 374

4 . 7 U _ 1 0 V _ 08
. 1 U _ 5 0 V _ 06 4 . 7 U _ 1 0 V _ 08
. 1 U _5 0 V _ 0 6
1 U_ 1 0 V _ 0 6
1 U _ 10 V _ 0 6

RX E R 2 1
R X C L K 21
RX DV 2 1
RX D0 2 1
RX D1 2 1
RX D2 2 1
RX D3 2 1

V C C R TC

J1

3 . 3V

CR S 2 1
C O L 21
Z
Z
Z
Z
Z

SPC L K

P W R GD

C 4 48

1 U_ 1 0 V _ 0 6
. 1 U _ 5 0 V _ 06

M RX E R R
M R X C LK
MR X D V
M RX D 0
M RX D 1
M RX D 2
M RX D 3

L P C _ A D[3 :0 ]
L PC
L PC
L PC
L PC

II V CC 1
IIV C C 2
IIV C C3
II V CC 4

00
01
02
03
04
05
06
07
08
09
10
11
12
13
14
15

M
M
M
M

L2 3
K2 1
L 21
N 21
N 22
N2 3
N 24
N 25
N2 6
P 22
P2 3
P2 4
P 25
P2 6
M 21
M 22
M 23
M2 4
M 25
L19
M1 9
N 19
P1 9

B GA 6C
VAD
VAD
VAD
VAD
VAD
VAD
VAD
VAD
VAD
VAD
VAD
VAD
VAD
VAD
VAD
VAD

2 .5 VS

P1 1
G ND
P1 2
P 1 3 G ND
P 1 4 GN D
G ND
P1 5
P 16 G N D
R 11 GN D
G ND
R1 2
R 1 3 GN D
R 14 GN D
G ND
R1 5
R 1 6 GN D
R 21 GN D
G ND
T11
T 1 2 GN D
G N D
T13
G ND
T14
T 1 5 GN D
G N D
T16
G ND
W22
W 2 5 GN D
G ND
AA2 1
A B1 9 G ND
A B 2 2 GN D
G ND
AB2 5
A C 1 8 GN D
A E 1 7 GN D
G ND
AE1 9
A E 2 2 GN D
A E 2 5 GN D
G ND
AA9
A B 18 GN D
G N D
T21
G ND
AA1 0
K 1 9 GN D
G N D

Sheet 17 of 40
VT8237A-3

H 25
G 26
K2 6
J23
F26
G 25
K2 2
K2 4
E2 4
G 23
L26
L25
E2 6
E2 5
L24
M 26

M I I V C C 2 5 -1
MI I V C C 2 5 -2

D0
D1
D2
D3
D4
D5
D6
D7

V CC V K 1
V CC V K 2
VC CV K 3
V CC V K 4
V CC V K 5
VC CVK 6
V CC V K 7
VC C VK8
VC CVK 9
V CC V K 1 0
VC C VK1 1
V C C V K 12
V CC V K 1 3
V C CV K 1 4
V C CV K 1 5
V CC V K 1 6
V C CV K 1 7
V CC V K 1 8
V CC V K 1 9
V C CV K 2 0
V CC V K 2 1
V CC V K 2 2
V C C V K 23

LA
LA
LA
LA
LA
LA
LA
LA

1

B.Schematic Diagrams

V
V
V
V
V
V
V
V

2 .5 V

3 .3 V

C4 7 5

V LA D [ 0 . . 7 ]

7 V LA D [ 0 . . 7 ]

2 . 5V

P M_ D P R S LP V R

3, 1 5 , 3 1

Schematic Diagrams

HDD & CDROM

CD-ROM
IDE_PDD[ 15:0]

16 IDE_PDD[ 15:0]

SATATXP0 1 6
SATATXN0 16
SATARXN0 16
SATARXP0 16

3.3 VS

C709

C710

3900 P_50 V_04

10U_1 0V_0 8
5VS

SAT- 22RE1 GA
PIN G ND1~2=GND

1

C721

C719
C720
C714
C715
C713
+
.1U_10V_04
.1U_10V_04
10U_10V_08
.1U_10V_04
1U_16V_06
100U_ 6.3V_B

R458

*4.7K_04

IDE_PDD8
IDE_PDD9
IDE_PDD10
IDE_PDD11
IDE_PDD12
IDE_PDD13
IDE_PDD14
IDE_PDD15
IDE_PDDREQ
IDE_PDI OR#

IDE_PDDREQ 16
IDE_PDIOR# 16

IDE_PDDACK#
IDE_PDDACK# 16
Z18 08
IDE_PDDI AG# R452
10K_04
3.3VS
IDE_PDA2
IDE_PDA2 16
IDE_PDCS3#
IDE_PDCS3# 16

Sheet 18 of 40
HDD & CDROM

Z18 09
5 VS

C572

C569

C570

C571

C574

C12

C17
+

.1U_10 V_04
.1U_10 V_04
1U_16V_06
.1U_10 V_04
.1U_10 V_04
10U_10V_08

*10 0U_6.3V_B

2

Z1804
Z1805
Z1806

CD_R

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
CDR-50 DD2

CD_RESET#
IDE_PDD7
IDE_PDD6
IDE_PDD5
IDE_PDD4
IDE_PDD3
IDE_PDD2
IDE_PDD1
IDE_PDD0

IDE_PDIOW#
16 IDE_ PDIOW#
IDE_PIORDY
1 6 IDE_PIORDY
IDE_IRQ
16 IDE_IRQ
IDE_PDA1
16 IDE_ PDA1
IDE_PDA0
16
IDE
_
P
DA0
5VS
IDE_PDCS1#
16 IDE_ PDCS1#
CD_DASP#
28 CD_DASP#
R457
10K_04
CD_RESET#
C564
*. 01U_50V_04
CD_CABSEL
Z180 7
R459
0_04

C175: .01U_50V_04 for Nvidia platform
Z1803

33_0 4

1

SATATXP0
SATATXN0
C471 .01U_50V_04 SATARXN0
C474 .01U_50V_04 SATARXP0

R445

2

P1
P2
P3
P4
P5
P6
P7
P8
P9
P10
P11
P12
P13
P14
P15

Z1801
Z1802

1 5 I DERST#

IDERST#

La yo ut no te:

3.3VS
IDE_PDI OW#

R450

4.7 K_06

IDE_PDI OR#

R449

4.7 K_06

IDE_PI ORDY

R451

4.7 K_06

IDE_PDDREQ

R448

4.7 K_06

CD_R & CD_G & CD_L must parallel
routing to Audio Codec. The wide is
12mils and space must be equal.
Other Signal
To
AUDIO
CODEC

Si gn al: Sp ace
Si gn al: Sp ace
Si gn al: Sp ace
Si gn al: Sp ace

=
=
=
=

1: 2
1: 1
1: 1
1: 2

CD_R
CD_G

From
CD-ROM

CD_L
Other Signal

HDD & CDROM B - 19

B.Schematic Diagrams

J_HDD1
S1
S2
S3
S4
S5
S6
S7

J_ODD1

CD_L
CD_G

SATA HDD

Schematic Diagrams

CARD READER
C LOSE TO CARD SO CKET
VCC_CARD
SD_CD#
SD_WP
MS_CLK

R608

0_06

PCM_RST#

R607

0_06

G_RST#

xDWP#
xD_BSY#
PCM_MF7
PCM_SUSPEND#
PCM_MF6
PCM_MF5
G_RST#
R705
*0_04
R706
*0_04

Z1933
Z1934

XMDAT4B
xDSMCE#

CARD_PWREN#
Z1905

PCI_AD31
PCI_AD30
PCI_AD29
PCI_AD28
PCI_AD27
PCI_AD26
PCI_AD25
PCI_AD24

15,26 PCI_C/BE3#

PCM_I DSEL

3.3VS

Z1911
Z1912
Z1913

*100_04 PCM_I DSEL

PCI_AD20 R707

100_04

C532 *10P_50V_06

Z1932

R417

*0_06

33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64

PCI_AD25 R397

PCLKPCM

2 PCLKPCM

PCLKPCM R415
SD_CLKIN

3.3VS
15,26 PCI _C/BE2#
15,26 PCI_FRAME#
3.3VS
15,26 PCI_IRDY#
15, 26 PCI_TRDY#
15, 26 PCI_DEVSEL#
15,26 PCI_STOP#
15, 26 PCI_PERR#
15, 26 PCI_SERR#
15,26 PCI_PAR
15,26 PCI_C/BE1#

B - 20 CARD READER

0_04

Z1929
Z1930
Z1931

PCI_AD[31:0]

15,26 PCI _AD[31:0]

Z1906
Z1907
Z1908
Z1909
Z1910

Z1927
Z1928

15 PCI_REQ#0
15 PCI_GNT#0

SD_D2
SD_D3
SD_CMD
SD_CLK
SD_D0
SD_D1

XSDPWR33OZ
NC
GND_SD
SDDAT2SMCLE
SDDAT3SMDAT4
SDCMDSMALE
SDCLKSMWEOZ
SDDAT0SMDAT7
SDDAT1SMDAT0
VCC_SD
NC
NC
NC
NC
NC
PCIREQOZ
PCIGNTIZ
PCIAD31
PCIAD30
PCIAD29
VSS
PCIAD28
PCIAD27
PCIAD26
PCIAD25
PCIAD24
PCICBE3Z
PCIIDSELI
VCC
NC
NC
NC

Z1925
Z1926
PCI_AD17
PCI _AD16

20 SD_D2
20 SD_D3
20 SD_CMD
20 SD_CLK
20 SD_D0
20 SD_D1
VCC_CARD

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32

SERIRQ 16, 23
PCI_INTB# 15
3.3VS

R344

47K_04

SD_D3
SD_D2

RN13 1
2
3
4

8 47K_8P4R_06
7
6
5

SD_D1
SD_D0
SD_CLK
SD_CMD

RN14 1
2
3
4

8 47K_8P4R_06
7
6
5

MS_D2
MS_D0
MS_D1

RN10 1
2
3
4
R345
R416
R352

8 47K_8P4R_06
7
6
5
47K_04
47K_04
47K_04

MS_D3
SD_CLKIN
MS_BS

3.3VS

MR510

M960808
96
MSBSOSMDAT1 95
MS_SMPWROZ 94
MFUNC0 93
RIOUTZ_PMEOZ 92
VSS
PCIAD0 91
MSI NSIZ 90
89
SMCDIZ 88
PCIAD1 87
PCIAD2 86
PCIAD3 85
PCIAD4 84
PCIAD5 83
PCIAD6 82
PCIAD7 81
VCC 80
VSS 79
NC 78
NC
NC 77
NC 76
75
NC 74
PCICBE0Z 73
PCIAD8 72
PCIAD9 71
PCIAD10 70
PCIAD11 69
VCC
PCIAD12 68
PCIAD13 67
66
PCIAD14 65
PCIAD15

PCIAD23
PCIAD22
PCIAD21
PCIAD20
PCIRSTIZ
PCICLKI
PCI AD19
PCI AD18
SDCLKI
VCC
VSS
NC
NC
PCIAD17
PCIAD16
PCICBE2Z
PCIFRAMEZ
NC
NC
VCC
PCII RDYZ
PCITRDYZ
PCIDEVSELZ
PCISTOPZ
PCIPERRZ
PCISERROZ
PCIPAR
PCICBE1Z
VSS
NC
NC
NC

Sheet 19 of 40
CARD READER

NC
XPMPWR_ENI Z
XPMPWR_O
XPMPWR_VCC
NC
NC
XSDCDI Z
XSDWPISMWPDIZ
VCC
VSS
XMSCLKOSMREOZ
XMSDAT3BSMDAT3B
XMSDAT2BSMDAT5B
XMSDAT0BSMDAT2B
XMSDAT1BSMDAT6B
VCC_SD
XMDAT5BSMWPOZ
XMDAT6BSMBSYI Z
XMFUNC7B
GND_SD
XSUSPENDI Z
XMFUNC6B
XMFUNC5B
XMFUNC4B
XGRSTIZ
XMFUNC3B
XMFUNC2B
VCC
XMFUNC1B
XMDAT4B
XMDAT7BSMCEOZ
VSS

M9 60808

3.3VS
SD_CD# 20
SD_WP 20
3.3VS
MS_CLK 20
MS_D3 20
MS_D2 20
MS_D0 20
MS_D1 20
VCC_CARD

U13

128
127
126
125
124
123
122
121
120
119
118
117
116
115
114
113
112
111
110
109
108
107
106
105
104
103
102
101
100
99
98
97

Z1916

Z1914
Z1915

*0_04 Z1901
Z1902
Z1903

R606

PCI_AD23
PCI_AD22
PCI _AD21
PCI _AD20
PCM_RST#
Z1924
PCI_AD19
PCI_AD18

B.Schematic Diagrams

VCC_CARD

Z1917

15 PCI RSTC#

MS_CLK

MS_BS
CARD_PWREN# R376
Z1918
R356
Z1935
R700
PCI_AD0
MS_INS#
xD_CD#
PCI_AD1
PCI_AD2
PCI_AD3
PCI_AD4
PCI_AD5
PCI_AD6
PCI_AD7

CARD_PWREN#
MS_BS 20
0_06
*0_06
*0_04

CARD_PWREN# 20

PCI_I NTB# 15
PCI_INTA# 8, 15
PME# 16,23,26

F951202
MS_INS# 20

xD_CD#
SD_CD#
SD_WP
MS_INS#
PCM_SUSPEND#

R387
R342
R343
R378
R605

47K_04
47K_04
47K_04
47K_04
47K_04

PCM_MF5
XMDAT4B
xDSMCE#

RN12 1
2
3
4

8 47K_8P4R_06
7
6
5

xDWP#
xD_BSY#
PCM_MF7
PCM_MF6

RN11 1
2
3
4

8 47K_8P4R_06
7
6
5

3. 3VS
Z1919
Z1920
Z1921
Z1922
Z1923

CL OSE TO C HIP
PCI_C/ BE0# 15,26

PCI_AD8
PCI_AD9
PCI_AD10
PCI_AD11
PCI_AD12
PCI_AD13
PCI_AD14
PCI_AD15

3.3VS
3. 3VS

C518

VCC_CARD

C496

.1U_16V_04 .1U_16V_04

C711
. 1U_16V_04

Schematic Diagrams

NEW CARD SOCKET

3 IN 1 SOCKET SD/MMC/MS(Pro)
J_CR1
SD_CD#
SD_D2
SD_D3
SD_CMD

SD_CD# 19
SD_D2 19
SD_D3 19
SD_CMD 19

MS_CLK

SD_D0
SD_D1
SD_WP

R169

R188

*0_04

*0_04

M960813
C5
11

SD_D0 19
SD_D1 19
SD_WP 19

Z2001
C226

Card Reader Power

Z200
2
C274

*10PF_04

VCC_CARD
M
S_CLK 19

MS_CLK
MS_D3
MS_INS#
MS_D2
MS_D0
MS_D1
MS_BS

SD_CLK
VCC_CARD

VCC_CARD
SD_CLK 19

SD_CLK

M
S_D3 19
M
S_INS# 19
M
S_D2 19
M
S_D0 19
M
S_D1 19
M
S_BS 19

S

R38
9

C516

.1U_16V_04
*3.3U_10V_08
.1
U_16V_04

*10PF_04

Clo se to soc ket

AO3409
D

VCC_CARD

100K_04

MDR019-X0-0X10(Rever se)

C501

Q23

3.3VS

G

R388

C506

1K_04

.1U_
16V_04

Sheet 20 of 40
NEW CARD
SOCKET

19 CARD_PWREN#

M960813

NEW CARD

3.3V
10mil

7,15,23,26 BUF_PLT_RST#

R679

*10K_04

R680

0_04

5

C540 .1U_10V_04
3.3V
BUF_PLT_RST#

Z2015

3
. 3V

4 U21
74AHC1G08GW

2
U25

C531 .1U_10V_04

21

AUXIN

3.3VS
C544 .1U_10V_04

AUXO
UT
6
5

3.3VIN
3.3VIN

1.5VS
C546 .1U_10V_04
R719
0_04

15 NEW_CARD_RST#

NEW_CARD_
RST
#
O
C7#

15 OC7#
13,15,16,23,27,28,34 SUSB#

3
. 3V

SUSB#
R42
6

R42
0

18
19

Z2016

PERST#

2
23
4

1.5VIN
1.5VIN

SYSRST#
OC#

3.3VO
UT
3.3VO
UT

1.5VO
UT
1.5VO
UT
CPPE#
CPUSB#

9

C543 .1U_10V_0
4
8
7

10K_
04

RCLKEN
SHDN#
GND
GND

13

NC_PERST#

NC_3.3V

C547 .1U_10V_0
4

16
17

*100K_
04

22
3

R429

*100K_
04

11
25

Z2003 R424
Z2004 R425

*10K_0
4
*10K_0
4

4 8 mil

14
15

PERST#
+3.3VAUX

+3.3V
+3.3V
+1.5V
+1.5V

NC_CPPE# 17

26 PM_WAKE#
2 NC_CLKREQ
#
3.3V2 CLK_PCI E_NC
2 CLK_PCIE_
NC#
7 PCI E_RXP2_NC
7 PCI E_RXN2_NC
7 PCIE_TXP2_NC
7 PCIE_TXN2_NC

NC_
CPPE#
NC_CPUSB#
PM_WAKE#
NC_CLKREQ#

17
4
11
16

CLK_
PCIE_NC
CLK_
PCIE_NC#

19
18

PCIE_RXP2_NCC485
PCIE_RXN2_NCC486
PCIE_TXP2_
NC
PCIE_TXN2_NC

P2
231THLFC1

ENE P2231 pin3,4,15,22
has internally
pulled high (170Kohm)

9
10

4 8 mil

C549 .1U_10V_0
4

R428

3 6 mil

12

NC_1.5V

15
14

STBY#

NC
NC
NC
NC
NC

J_NEW1

NC_3.3VAUX

NC_RST#

20

*10K_04
1
10
12
13
24

C146, C147:
>>>Near to J_NEW1 for Nvidia platform
>>>Near to S.B. for Intel platform

1

3

GND
GND

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21

15 USB4+
15 USB4-

Co-lay C ommon Cho ke? 0 O
? ? , ? ? Cost
_Down? ?
26 SMB_
I CHDATA
26 SMB_ICHCLK

USB4+
USB4-

R409
1
L42

M960806

.068U_16V_
04Z2013
.068U_16V_
04Z2014

0_0
6
2

Z2007
Z2008

4
3
*PLW321
6S161SQ2
R412

0_0
6

R396
R403

0_0
4
0_0
4

22
21
25
24

3
2
8
7

CPPE#
CPUSB#
WAKE#
CLKREQ#
REFCLK+
REFCLKPERp0
PERn0
PETp0
PETn0 RESERVED
RESERVED
USB_D+
USB_DSM
B_DATA
SM
B_CLK

GND
GND
GND
GND

5
6

Z2011
Z2012

1
20
23
26

130801-1
SMB_I CHDATA
SMB_I CHCLK

Z2009
Z2010

NEW CARD SOCKET B - 21

B.Schematic Diagrams

22
23

CD_SD
DAT2_SD
CD/DAT3_SD
CM
D_SD
VSS_SD
VDD_SD
CLK_SD
VSS_SD
DAT0_SD
DAT1_SD
WP_SD
VSS_MS
VCC_MS
SCLK_MS
DAT3_MS
INS_MS
DAT2_MS
SDIO/DAT0_MS
DAT1_MS
BS_MS
VSS_MS

Schematic Diagrams

For VIA platform

3. 3
V
3.3VLANPH

HCB20
12KF-121T30
_08
2

PHYPWRDN
NWAY
DUPLEX
SPEED
LINK

C382

3.3V

.1U_10V_04

Sheet 21 of 40
LAN

C384

C365

C3
15

C3
72

C3
94

C378

C395
Z2108

.1U_1
0V_0
4
.1U_10V_04
.1U_10
V_04
.01U_5
0V_04

.1U_10V_04 .01U_5
0V_0
4

*0_08
_8P4
R
1
8
2
7
3
6
4
5

CMX
4+
CMX
4CMX
3+
CMX
3-

LN1

JLAN1

DA+
DADB+
DC+
DCDBGND1
GND2

GND
GND

DD+
DD-

1

CM
X4+

2

CM
X4-

3

CM
X3+

4

Z2101

5
6

CM
X3-

7

Z2102

49
.9
_1%_04

49.9_1%_04

REXT

R228

1
2
1
3

B4W

Z2103
Z2104
R177

75_
1%_04

1
4
1
1

R17
8

TX+
TX-

TD+
TD-

NC
NC

NC
NC

RX+
RXRX_
CT
TX_CT

RD+
RDRD_CT
TD_CT

7
8

TD+
TD-

4
5

6.49K_1%_04

RD+
RD-

3
6

Z2106

75_
1%_04

Z2105

C364

VDDRX
RXRX+
FXSD
GNDRX
GNDPLL
REXT
VDDPLL
GNDTXC
TXTX+
VDDTX

VT
6103_G
R222

R219

TXD1
TXD0
TXEN
TXC
TXER
VDDC
G
NDC
RXER
RXC
RXDV
GND1
VDD1

4
9.9_1%_04
49.9_1%_04

LF-H80P-1

75_1
%_
04
75_1%_04

TDTD+

3
.3
VLANPH

1
2

C312

RX
D0#
RX
D1#
RX
D2#
RX
D3#
MDC
MDIO#
Z2109

C363

.1
U_10V_04
. 1U_10V_04
R62

25
26
27
28
29
30
31
32
33
34
35
36

T10
1
0
9

TX+
TXRX+
RX-

L
AN_CLKO
L
AN_CLKI

Z2
107

C369
C57
1000P_2KV_12

CRS 17
COL 17
TXD3 1
7
TXD2 1
7

X1
2

C351

12
11
10
9
8
7
6
5
4
3
2
1

T
XD1
T
XD0
T
XEN

TXD1 1
7
TXD0 1
7
TX
EN 17

TXCLK# 1
RXER# 2
RXCLK# 3
RXDV# 4

RN8

.1
U_10V_04

1

25M
Hz
C314
6.8P_50V_04

8
7
6
5

TXCLK
RXER
RXCLK
RXDV

TXCLK 17
RXER 17
RXCLK 17
RXDV 17

33_8P4R_
04

RN1
1
2
3
4
R207
R180

33_8P4R_04
8 RXD0
7 RXD1
6 RXD2
5 RXD3
33_04
*0_04

M
DIO

C313
8

CRS
COL

.01U_
16V_0
4
.1U_16
V_04

PD
LED3
LED2
LED1
LED0
I NT
VDD2
GND2
CRS
COL
TXD3
TXD2

R232

RDRD+

1
6
1
5

R66

R237

GNDTX
GNDOSC
XO
XI
VDDOSC
RST
MDIO
MDC
RXD3
RXD2
RXD1
RXD0

Co-lay Common Choke? 0O
? ? , ? ? Cost_Down? ?
8
7
6
5

33_04
33_04

10U_10V_08
U7

RN15
1
2
3
4

R257
R256

CRS#
COL#
T
XD3
T
XD2

VT6103L

L4
1
1

24
23
22
21
20
19
18
17
16
15
14
13

3
. 3VLANPH

37
38
39
40
41
42
43
44
45
46
47
48

B.Schematic Diagrams

LAN

6
. 8P_50V_04

RXD0 17
RXD1 17
RXD2 17
RXD3 17
MDC 17
MDIO 17
-PHYRST 1
7

C260
2.2U_16V_08
3.3V

PJS-28
VL3

M960803

B - 22 LAN

R258
R271
R259
R272
R260

10K_
04
10K_
04
10K_
04
10K_
04
10K_
04

LINK
SPEED
DUPLEX
NWAY
PHYPWRDN R273

33_
04

-PHYPWRDN 17

Schematic Diagrams

USB & CCD

USB PORT
L18

82K_04
1

HCB3216KF-800T30_12

J_USB1
Z2201

1

60 mil
C86

2

Z2203

3

C94

+

1

Z2202

4

5 VS

L7
HCB2012KF- 500T40_08
1
2
L6
*HCB2012KF-500T40_08
1
2

CCD
5VS_CCD
Z2207

S

Q4

V+
R6

DATA_L

C11

DATA_H
GND

.1U_10V_04

330K_1%_04
1U_16 V_06

AO3409

J_CCD1
88266-05001

Z2208
R10

C9
USB_4P

48 mil

D

R31

68K_1%_04

10U_10V_08

Z2209

C568

USB2- R18
15 USB2USB2+ R13
15 USB2+
C567
23 CCD_DET#

.1U_10V_04
100K_04
10U_10V_08

VDD3

R11

USB0USB0+
USB5USB5+

15 USB015 USB0+
15 USB515 USB5+

5
6
7
8

23 CCD_EN

6-19-91001-001

From H8 de fault HI

Q36

G

10K_0 4

1
2
3
4
5

Sheet 22 of 40
USB & CCD

5

2N7002W
S

LP1
4
3
2
1

0_06 Z2210
0_06 Z2211

J_CCD1
1

D

2

100U_6.3V_B

5V

CM-4M3216-181JT
68K_04

USBVCC

L19

82K_04

HCB3216KF-800T30_12

60 mil

1

1

R110

+ C141

1

V+

Z2205

2

DATA_L

Z2206

3

DATA_H

C153

4

.1U_10V_04

2

100U_6.3V_B

J_USB2

Z2204

GND
USB_4P

GND1
GND2GND1
GND3GND2
GND4GND3
GND4

OC1#

15 OC1#

60 mil

2

R112

C128
10U_10V_08

6

5V

1

? ? U SB_P ort? ? ? ? 1 00U
? ? , ? ? ? ? ? Devi ce? ?
? Resta rt? ?
4

U2
VI N

VOUT

1

3

VI N

VOUT

5

C111

GND

2

.1U_10V_0 4
10U_10V_08
.1U_10V_04

RT9701-CPL

USBVCC
C114

C106

US BVCC? ? ? ? ? 5V
~ 5.2 5V? ? ,? ? ? ?
4. 9V, ? ? ? ? ? ? ?
De vice? E ye Pa ttern Test
f ail? ? ? ? ? ?

J_FP1

3.3V_FP
J_FP1
L404
1
2
3
4
5
6

3.3VS
HCB1608KF-121T25
USB3+ 15
USB3- 15

C748
.1U_16V_04

CON6_FP

FP CONN

USB & CCD B - 23

B.Schematic Diagrams

R132

EM I sol ution ,whe n pla cemen t
ne ar to USB Port ?

G

USBVCC

GND1
GND2GND1
GND3GND2
GND4GND3
GND4

OC0#

15 OC0#

60 mil

68K_04
2

R122

Schematic Diagrams

KBC-IT8512E
5V S
J_ KB 2

C 4 83

C 48 0

1 0U _1 0V _0 8

. 1U _1 0V _0 4

L5 3

C 3 91 C 477

. 1U _1 0V _0 4
.1 U _10 V _04

. 1U _1 0V _0 4

V DD3

F C M20 12V -12 1_ 08
2

1

C6 50 C 64 9

C 82 1

C 412

. 1U _1 0V _0 4 10U _ 10 V_ 08
. 1 U _10 V_ 04

E C _GA 20
A C _I N #
T H ER M_ AL ER T #
W E B0 #
W E B1 #

R 2 40

25 C P U _F A N
C 3 76
. 1 U _10 V _04

26 I T E_ WL AN _ PW R
2 6, 28 W LA N _E N
12 E C _V GA _A LE R T#
27 V OL_MU T E#
BA T1 _D E T R 5 38
BA T1 _V OLT R 2 65
C H G_ C U R SE R
N 2 46
TOTA L_ C U R R 2 31

? ? ? ? ? ? ? ? P ull 29 B AT 1_ D ET
H igh to V DD3
2 9 B AT 1_V OLT

29 C H G_ C U R SE N
2 9 TOT AL _C U R

C C D_ D ET #

2 2 C C D _D E T#

1 00 _04 EC _ P6 6
1 00 _04 EC _ P6 7
1 00 _04 EC _ P6 8
1 00 _04 EC _ P6 9
V C OR E _P G
3 G_S ET #

1 00 _04
1 00 _04

Z2 303
Z2 304

0 _0 4
0 _0 4

Z2 306
Z2 307

R 8 62
0 _04
B R I GH TN E SS
K B C _B EE P
27 K B C _B E EP
L ED _ SC R OL L#
28 L E D _S C ROL L#
L ED _ N U M#
28 L E D _N U M#
L ED _ C AP #
28 L E D _C A P#
L ED _ BA T _C H G#
28 L E D _B AT _C H G#
L ED _ BA T _F U LL#
28 L E D _B AT _F U LL#
L ED _ PW R #
28 L E D _P WR #

24 L C D _B R I GH TN E SS
C 8 65
*1U _ 10 V_ 04

C 8 69

C 87 0

B A T1 _D E T
S MC _B A T1
S MD _B A T1
C 8 71

*3 0P _5 0V _0 4

*30 P _50 V_ 04

* 30P _5 0V _0 4

1 6, 1 9, 26 P ME #

3 TH E R M_R S T#
PW R _S W#

29 PW R _S W#

C P U F AN
S Y S _F AN
I T E_ WL AN _ PW R
W LA N _E N
E C _V GA _A LE R T#
V OL_ MU TE #

MOD E L_ I D

SMC _ BA T1 R 5 50
SMD _ BA T1 R 5 60
SMC _ TH E R M
SMD _ TH E R M
R 3 46
R 3 39

29 S MC _B A T1
29 S MD _B A T1
3 SMC _ TH E R M
3 SMD _ TH E R M
1 1 VGA _S C LK
11, 1 2 VGA _S D A TA

M9 6080 6

1 20 K_ 04

2 4, 29 L I D _S W#

8 0C LK
3 IN1
8 0D E T#
P ME #
T P_ C LK
TP _D A TA
T H ER M_ R ST #
L I D _S W#
W E B2 #

P J 14
WD _ DI S A BL E1

2 6, 28 BT _E N
24 BL K_ EN

2

B T_ EN
B LK _E N

2 0mi l

74

3
V B AT

AV C C

11

V ST B Y
VS T BY
V S TB Y
V S TB Y
V ST B Y
V ST B Y

W R S T#
12 6 GA 20 / GPB 5
4
1 6 K B R ST #/ GP B6 ( P U )
2 0 P W U R EQ# /G P C 7( P U )
L 80 LLA T /G P E 7( PU )
23
1 5 E C S C I #/ GPD 3 ( P U )
E C S MI #/ GPD 4 ( P U )
76
77
78
79
80
81
66
67
68
69
70
71
72
73
11 0
11 1
11 5
11 6
11 7
11 8
24
25
28
29
30
31
32
34
85
86
87
88
89
90
12 5

KS O0/ P D 0
KS O1/ P D 1
KS O2/ P D 2
KS O3/ P D 3
KS O4/ P D 4
KS O5/ P D 5
KS O6/ P D 6
KS O7/ P D 7
K SO8 /A C K #
K S O9/ BU S Y
KS O10 / PE
K S O1 1/ E R R #
KS O12 / SL C T
K SO1 3
K SO1 4
K SO1 5

DAC
D A C 0/ GP J0
D A C 1/ GP J1
D A C 2/ GP J2
D A C 3/ GP J3
D A C 4/ GP J4
D A C 5/ GP J5

IT8512E

A D C 0/ GP I 0
A D C 1/ GP I 1
A D C 2/ GP I 2
A D C 3/ GP I 3
A D C 4/ GP I 4
A D C 5/ GP I 5
A D C 6/ GP I 6
A D C 7/ GP I 7

F LF R AME #/ GP G2
F L AD 0 /S C E #
FL AD 1 / SI
FL AD 2 /S O
F LA D 3/ GP G6
F LC LK / SC K
( PD )F LR S T# W
/ U I 7/ TM/ GP G0

GP IO

SMB US

PWM
)
)
)
)
)
)
)
)

36
37
38
39
40
41
42
43
44
45
46
51
52
53
54
55

KB -S O0
KB -S O1
KB -S O2
KB -S O3
KB -S O4
KB -S O5
KB -S O6
KB -S O7
KB -S O8
KB -S O9
KB -S O1 0
KB -S O1 1
KB -S O1 2
KB -S O1 3
KB -S O1 4
KB -S O1 5

1
2
3
7
9
10
13
16
17
18
19
20
21
22
23
24

1 00
1 01
1 02
1 03
1 04
1 05
1 06

( PD )WU I 5 G
/ PE5 3 5
( PD )LP C P D #/ WU I 6 /G P E 6 1 7

GP INTER RUPT

3 3 GI N T G
/ P D 5( P U )
10 8
X D / GPB 0( P U )
10 9 R
T XD / GP B1 ( P U )

CI

47
( PD )TA C H 0/ GP D 6 4 8
( PD )TA C H 1/ GP D 7
1 20
( P D )T MRI 0 / WU I 2/ GP C 4 1 24
R( P D )T MRI 1 / WU I 3/ GP C 6
( PD )C R X/ GP C 0 11 19
23
( P D )C TX /G P B 2

( PD )L8 0H LA T /G P E 0 1 9
1 12
( P D )R I N G#/ P WR F A LI #/ LP C R S T# /G P B 7
C K 32 KE
C K 32K

S U SB # 13 , 15, 1 6, 20 , 27, 2 8, 34
S U SC # 1 6

R 863
R 864
R 865

1 0K _0 4

Z 23 09
V DD3
C 4 73

R 80 7
10 0K _04

. 1U _1 0V _0 4

WD _ D I SA B LE
3
5
2

U1 2
MR #
VC C

R E S ET #

WD I
GN D
A AT 35 10I GV

1

Z2 31 0S

4

Q22
D
2N 70 02 W

3I N 1

R 75 9

4
3
2
1

5V
I T E_ SU S B #

4
3
2
1

V1.0

0_0 6 K BC _ R ST #

R SMR S T# 1 6, 17
KB C _R S T# 1 5

V C ORE _ ON 3 1
K BC _ PW R GD 1 5

HIGH

3.3V

X

HIGH

3.0V

100K

HIGH

2.7V

45K

V4.0

HIGH

2.4V

26.7K

V5.0

HIGH

2.1V

17.4K

R 37 5

C C D _D E T#

R 3 38

1 0K _04

MOD EL _I D

R 3 36

*1 0K _0 4

HI (SR )

R 3 29

1 0K _04

LO W(SR U)

NC2

0_0 6 S WI #

K B C_ P C LK

R2 95

1 0_ 04 Z 231 3 C 4 18

R S MR S T #

R7 15

* 10K _0 6

1 0P _5 0V _0 4

0_ 04

R 88 2

0_ 04

R 88 3

0_ 04

1 5, 3 1 PW R GD _V C OR E

SW I # 16

2

1

10

9

J _IT ED BG

*10 M_0 6

1

? ? ?

8Mbit
8

U4 1
V DD

SI

R 79 7 1 K_ 04
K BC _ FL AS H 3

WP #

C E#

SO

S CK
7

H OLD # VS S
SS T2 5V F0 80 B

X2
3 2. 76 8K H Z

2

AC

S MD _B A T1

AC

C 7 52

1 U _1 0V _06

1 0U _1 0V _0 8 47 P_ 50 V_ 04 47 P_ 50V _0 4

AC

AC

47 _04

2 KB C _S P I _S O_R

R 79 6

15 _1% _04 KB C _S P I _S O

1 KB C _S P I _C E #_R

R 79 8

15 _1% _04 KB C _S P I _C E # C 8 25 *33 P_ 50V _0 4

6 KB C _S P I _S C LK _R

R 79 9

47 _04

KB C _S P I _S I

C 8 23 *33 P_ 50V _0 4
C 8 24 *33 P_ 50V _0 4

KB C _S P I _S C LK C 8 26 *33 P_ 50V _0 4

SP I _V D D
K BC _ SP I _C E #_ R
K BC _ SP I _S O_R

1
3
5
7

J_ SP I 1

2
4
6
8

S P N Z-0 8S 3-B -C-0 -P

R 54 8
R 55 3
R 53 7

C
B AV 99
A
C
B AV 99
A
C
B AV 99
A
C
B AV 99
A
C
B AV 99
A
4. 7 K_ 04
4. 7 K_ 04
10 K_ 04

E C _P 67

C 40 0

1 U _10 V_ 06

E C _P 68

C 39 2

1 U _10 V_ 06

E C _P 69

C 38 8

1 U _10 V_ 06

V DD3

ITE DEBU G POR T
W D T_E N
1
2
3
W D T_E N
4
5
6
3I N 1
7
80 C LK
8
80 D ET #
9
10
HE A D ER 1 0_R

S PI _ VD D

R 79 5

AC

S MC _B A T1
S MD _B A T1
B A T1_ D ET

C
B AV 99
A

JD E BU G1

15 P_ 50 V_ 04

KBC_ SPI_ *_R = 0.1 "~0. 5"

C 12 6

VD D 3

8 0C LK
8 0D E T#

KB C _S P I _S C LK _R
KB C _S P I _S I_ R

10 K_ 04

C 1 25

D3 5
B A T1_ D ET

R 127

T P _C L K
T P _D A T A

S MC _B A T1

T OTA L_ CU R

C 43 3

5 KB C _S P I _S I_ R

4

10 K_ 04

D1 1

V C OR E_ PG

J_ I TE D BG1
2
1
4
3
6
5
8
7
10 9
S P U FZ -10 S3 -B -0-B

W D T_E N

Q4 7
2 N 700 2

1
2
3
4

C H G_ C UR S E N A C
R 88 0
1 0K _0 4

R 88 1

M 9608 06

Z 23 16

G

D1 4

3. 3 VS

C H G_E N 2 9

Z 23 08
1 5P _5 0V _0 4

C 82 2 . 1U _ 16 V_ 04
S PI _ VD D

C 49 1
1 U_ 10 V_ 06

N C _04

*0_ 04

D3 6

M960726

1 00K _0 4

10 K_ 04 Z 231 1

R 76 1

R 7 58
4 7K _04

1 M_04

V DD3

VD D 3

K BC _ R ES E T#

R 76 0

1
2
3
4
8 520 1-0 405 1

RX

V2.0

3 0 1. 5V _P G

Q46
AO3 40 9

+ 5V TP

V3.0

3 0 1. 05 V_ PG

+ 5V TP

D

R 12 6

VOLTAGE

3 IN 1

VD D 3

R 80 0 4 . 7K _04
Z 230 2

B - 24 KBC-IT8512E

SMI # 1 6
SC I # 1 6
PW R _B TN # 1 6

C P U _F AN S E N 2 5

3
R 3 30
R 3 49
1 0K _0 4

0_0 6 S MI#
0_0 6 S C I#
0_0 6 P WR _B T N #

C PU _ FA N S EN
SY S _F A N SE N

2
C K3 2K E
1 28 C K3 2K R 30 6

*0 _04

C 81 8 1 U _1 0V _06

D1 9

VC OR E _ON
KB C _P W RGD

C H G_E N

R 7 55
S

M960 803

EC _ SW I # R 867

K BC _ AGN D

Z 231 4

Z 23 15

VD D 3

WL A N_ D ET # 26
B T_D E T# 2 6
D D _ON 29 ,3 3

C 4 53

WD T _E N

*0_ 04

4
3
2
1

P M_C LK R U N # 16

4

1 0K _0 4

0_ 04

R 75 6

B A T1_ V OL T

N C 1 N C _0 4N M
R 3 77

R 75 4

5V

4
3
2
1

MODEL_ID

VER.

S U SB #
S U SC #

Z 23 01

V DD3

V DD5

J _TP 1

WE B 4#
WE B 5#

LP C/WA KE U P

CLO CK

4
3
2
1

D3 4

R SMR S T#
EC _ R C IN # R 866

PW M/CO UNTE R

P W R SW / GPE 4( P U )
1 8 R I 1 #/ WU I 0 /G P D 0( P U )
21
R I 2 #/ WU I 1 /G P D 1( P U )

4
3
2
1

3G_E N

WA KE U P
P U)
P U)
P U)
P U)
P U)
P U)

C P2 5
6
7
8
*8P 4 CX 15 0P F
KB -S O9
C P3 5
KB -S I5
6
KB -S I4
7
KB -S O11
8
*8P 4 CX 15 0P F
KB -S O8
C P4 5
KB -S O5
6
KB -S I3
7
KB -S I2
8
*8P 4 CX 15 0P F
KB -S O6
C P5 5
6
KB -S O3
KB -S O7
7
KB -S O4
8
*8P 4 CX 15 0P F
KB -S I1
C P6 5
KB -S I6
6
7
KB -S I7
KB -S I0
8
*8P 4 CX 15 0P F
KB -S O12
C P7 5
KB -S O13
6
KB -S O14
7
KB -S O15
8
*8P 4 CX 15 0P F

M960806

C C D _E N 2 2

EC _ SMI #
EC _ SC I #
EC _ PB #

WEB2#->HWEB0#->WWW
WEB0#->HWEB1#->APPLICATION
WEB1#->HWEB2#->E-MAIL

C ON 6_ H OTK E Y

F or M 670SR U EM I So lutio n

KB C _S P I _S C LK
C C D _E N

PM_ C LK R U N #
IT E _S U SB #
WE B 3#
W D T_E N
WL AN _ D ET #
BT _D E T#
D D _ON

1
2
3
4
5
6

? ? J_KB2? ?
KB -S O10
KB -S O0
KB -S O1
KB -S O2

8 520 1-24 05 1

24

J_ KB

J H OTK EY 2
W E B2 #
W E B0 #
W E B1 #

1
2
3
4
5
6
CON 6 _H OT KE Y

2 4 *8 52 01-2 405 1

J _K B

JH OTK E Y 1

M_B TN #
WE B3 #
WE B4 #
WE B5 #

29 M_ BT N #

KB C _S P I _C E #
KB C _S P I _S I
KB C _S P I _S O

82
( P D )E GA D /G P E 1
( PD )E GCS # /G P E 2 88 43
( PD )E GCL K /G P E 3

WAK E UP

I T8 512 E /E X

4
5
6
8
11
12
14
15

EX T GP IO

PS/ 2
P S 2C L K0 /G P F 0(
P S 2D A T0 /G P F 1(
P S 2C L K1 /G P F 2(
P S 2D A T1 /G P F 3(
P S 2C L K2 /G P F 4(
P S 2D A T2 /G P F 5(

UAR T

KB -S I0
KB -S I1
KB -S I2
KB -S I3
KB -S I4
KB -S I5
KB -S I6
KB -S I7

56
( P D )K S O1 6/ GP C 3 5 7
( P D )K S O1 7/ GP C 5
93
( PD )I D 0/ GP H 0 9 4
( PD )I D 1/ GP H 1
( PD )I D 2/ GP H 2 9 5
( PD )I D 3/ GP H 3 99 76
( PD )I D 4/ GP H 4 9 8
( PD )I D 5/ GP H 5 9 9
( PD )I D 6/ GP H 6
( PD )I D 7/ GP G1 1 07

S MC LK 0 /G P B 3
S MD A T0 /G P B 4
S MC LK 1 /G P C 1
S MD A T1 /G P C 2
S MC LK 2 /G P F 6( PU )
S MD A T2 /G P F 7( PU )
P W M0/ GPA 0 ( P U
P W M1/ GPA 1 ( P U
P W M2/ GPA 2 ( P U
P W M3/ GPA 3 ( P U
P W M4/ GPA 4 ( P U
P W M5/ GPA 5 ( P U
P W M6/ GPA 6 ( P U
P W M7/ GPA 7 ( P U

58
59
60
61
62
63
64
65

1

FL ASH

ADC

1
2
3
7
9
10
13
16
17
18
19
20
21
22
23
24

1
J _K B1

K BC _ AGN D

KS I 0/ S TB #
K SI 1 /A F D #
K S I2 / N
I IT #
K S I 3/ SL I N #
KS I4
KS I5
TRIX
KS I6
KS I7

A V SS

0 _06

14

K/ B MA

KB -S O0
KB -S O1
KB -S O2
KB -S O3
KB -S O4
KB -S O5
KB -S O6
KB -S O7
KB -S O8
KB -S O9
KB -S O10
KB -S O11
KB -S O12
KB -S O13
KB -S O14
KB -S O15

75

R 8 79

2 9 AC _ I N #
3 T H E RM_ AL E R T#

K B C _R E SE T#

L A D0
L A D1
L A D2
L A D3
L P CC L K
L F RA ME #
LPC
S E RIRQ
L P CR S T #/ WU I 4/ GP D 2( P U )

V SS
VS S
VSS
VSS
V SS
V SS
VS S

H _A 20GA TE
LE D _A C I N#

15 H _A 20 GAT E
2 8 LE D _A C IN #

10
9
8
7
13
6
5
22

1
12
27
49
91
1 13
12 2

SCS751V->0 OHM
C960705

Sheet 23 of 40
KBC-IT8512E

L PC _ AD 0
L PC _ AD 1
L PC _ AD 2
L PC _ AD 3
K B C _P C LK
L PC _ FR A ME #
S E R IR Q
B U F _P LT _R S T#

V CC

U9
1 7 LP C _A D 0
1 7 LP C _A D 1
1 7 LP C _A D 2
1 7 LP C _A D 3
2 K BC _ PC L K
17 L PC _ FR A ME #
16 ,1 9 S ER I R Q
7, 15 , 20, 2 6 BU F _P LT _R S T#

26
50
92
114
1 21
1 27

3. 3V S

G

B.Schematic Diagrams

* DEL C960705

KB C _A V D D

4
5
6
8
11
12
14
15

G

V DD3

KB -S I0
KB -S I1
KB -S I2
KB -S I3
KB -S I4
KB -S I5
KB -S I6
KB -S I7

D

70->X
48->SYS_FANSEN
95->WEB3#
109->BKL_EN
93->CLKRUN#
104->X
119->WEB4#
15->WEB1#
123->WEB5#

S

PIN 68->CHG_CURSEN ,PIN
PIN 71->3G_DET#
,PIN
PIN 94->ITE_SUSB# ,PIN
PIN 108->BT_EN
,PIN
PIN 79->WLAN_EN
,PIN
PIN 100->X
,PIN
PIN 107->3G_EN
,PIN
PIN 23->WEB0#
,PIN
PIN 33->WEB2#
,PIN
PIN 80->EC_VGA_ALERT#
C960531

R4 8

R4 9

1 0K _0 6 10K _0 6

C 127

Schematic Diagrams

CRT & LVDS

L VD S LP 1

R2 8
R5 4

*0 _0 4
0 _04

L A_ D AT AP 1
L VD S - L1 P

L VD S LN 2

R1 6
R4 4

*0 _0 4
0 _04

L A_ D AT AN 2
L VD S - L2 N

LV D SU N 0
LV D SU P 0

L VD S LP 2

R1 7
R4 5

*0 _0 4
0 _04

L A_ D AT AP 2
L VD S - L2 P

L VD S LC L KN

R2 9
R5 5

*0 _0 4
0 _04

L A_ C LK N
L VD S - LC L KN

L VD S LC L KP

R3 0
R5 6

*0 _0 4
0 _04

L A_ C LK P
L VD S - LC L KP

L VD S U N 0

R 7 74
R 7 75

*0 _0 4
0 _04

L B_ D AT AN 0
L VD S - U 0N

L VD S U P 0

R 7 76
R 7 77

*0 _0 4
0 _04

L B_ D AT AP 0
L VD S - U 0P

R 7 78
R 7 79

*0 _0 4
0 _04

L B_ D AT AN 1

L VD S U N 1

. 1U _5 0V _0 6

AC

AC

AC
R
G
B

Ra

D2
B A V9 9
L3 1
L4 1
L2 1

C3

C4

J_C R T 1
R 442
*1K _0 4
D Z1 1A 91- N D 2 08- 4 F
D 31
Z2 403
1
9
A
Z2 402 C
2
*SC S 75 1
10
3
11
Z 24 04
4
D DC D A TA
12
5
13
C RT _H S Y N C
6

FR E D
FG R N
FB LU

2F C M160 8K - 121 T0 6_0 6
2F C M160 8K - 121 T0 6_0 6
2F C M160 8K - 121 T0 6_0 6

R8
R9
R7
C7
C8
C6
7 5_ 1%_ 04
7 5_1 %_0 4
22 P_ 50 V_ 04
2 2P _50 V_ 04
75_ 1%_ 04
22 P _50 V_ 04

Rb

LV D S- U 0 N 1 2

LV D S- U 0 P 12

R 7 80
R 7 81

*0 _0 4
0 _04

L B_ D AT AP 1
L VD S - U 1P

R 7 82
R 7 83

*0 _0 4
0 _04

L B_ D AT AN 2
L VD S - U 2N

LV D S- U 2 N 1 2

L VD S U P 2

R 7 84
R 7 85

*0 _0 4
0 _04

L B_ D AT AP 2
L VD S - U 2P

LV D S- U 2 P 12

L VD S U C LK N

R 7 86
R 7 87

*0 _0 4
0 _04

L B_ C LK N
L VD S - U C LK N

L VD S U C LK P

R 7 88
R 7 89

*0 _0 4
0 _04

L B_ C LK P
L VD S - U C LK P

L VD S D A TA

R 4 56
R4 6

*0 _0 4
0 _04

R 4 55
R4 7

*0 _0 4
0 _04

E N A VD D

R6 1
R6 0

*0 _0 4
0 _04

Rc

P LEA SE CLO SE TO CON NEC TOR
Ra, Rb, Rc (? ? ? ? ? 7 5O )? ?
Intel So lutio n? , ? ? ? NB ? ?
Co nne ctor ? ? ? ? ? 1 50 O? ?

5V S

14

C RT _V S Y NC

15

D DC L K

2. 5 VS

GN D 1
GN D 2

C 562

C5

C 5 63

N B _GR E E N 8
V GA _ G 1 2

B

R1 9
R2 0

*0 _0 6
0 _06

N B _B L U E 8
V GA _ B 12

HSY

R 4 46
R 4 47

*0 _0 6
0 _06

N B _H S Y N C 8
V GA _ H S Y 12

VSY

R3 8
R3 3

*0 _0 6
0 _06

VG A D D C C LK

R3 2
R3 7

*0 _0 6
0 _06

N B _D D C _ C LK 8
V GA _ D D C C LK 1 2

V GA D D C D A TA

R3 4
R3 9

*0 _0 6
0 _06

N B _D D C _ D A T A 8
V GA _ D D C D A T A 12

C 83 8
C 83 9
C 84 0

*10 PF _ 04
*10 PF _ 04
*10 PF _ 04

L VD S LP 2
L VD S LC L KN
L VD S LC L KP

C 84 1
C 84 2
C 84 3
C 84 4
C 84 5

*10 PF _ 04
*10 PF _ 04
*10 PF _ 04
*10 PF _ 04
*10 PF _ 04

L
L
L
L
L
L
L
L

C 84
C 84
C 84
C 84
C 85
C 85
C 85
C 85

*10
*10
*10
*10
*10
*10
*10
*10

L VD S LN 0
L VD S LP 0
L VD S LN 1
L VD S LP 1
L VD S LN 2

N B _V S Y N C 8
V GA _ V S Y 1 2

VD S U N 0
VD S U P 0
VD S U N 1
VD S U P 1
VD S U N 2
VD S U P 2
VD S U C LK N
VD S U C LK P

5V

LV D S- U C LK N

12

10 K_ 06

Z 24 28

Z 242 9

EN A V D D
LV D S- U C LK P 1 2
N B_ LV D SD A TA
I 2C C _S D A 1 2

Q9
D T C 114 E UA

8

AO 3 40
S

L4 8
Z 243 0 1
2
H C B 32 16 KF - 80 0T3 0_1 2

C 21
G

C 5 77

C 5 76

. 1U _ 50 V_ 06

. 1 U _50 V_ 06

4 . 7U _1 0V _0 8
.1 U _5 0V _06

Q 37
2N 70 02 W

D 4 1 R B 751 V
A
C

V GA D D C D A TA
V GA D D C C LK

L 10
*H C B2 01 2K F- 12 1T 30_ 08
1
2

PL LV C C

C 14

2. 5V S

C 13

L1 1
*H C B 20 12 KF - 121 T3 0_0 8
1
2

L VD S V C C

C5 66

C 79 5

*1 U _10 V_ 06*. 1U _ 50V _ 06
*. 01 U _50 V_ 06
2
L9
*H C B2 01 2K F- 12 1T 30_ 08
P LL G ND

C1 9

C2 0

2 . 5V S

C2 2

*1U _ 10V _0 6*. 1U _5 0V _0 6
*.0 1U _ 50V _0 6
*. 01U _ 50V _ 06
2
L1 2
*H C B 20 12 KF - 121 T3 0_0 8
LV D S GN D

*. 1U _ 16V _0 4

L4 9
*H C B 201 2K F -1 21 T30 _0 8
1
2

1

R3
4 .7 K_ 04

*. 1U _5 0V _0 6
U 27

R 71 2

R 71 3

1 .5 VS

*4. 7 K_ 04

R4 0

4. 7K _ 04

R 45 3

*2 . 2K _04
L4 6 1

2 F C M16 08K - 12 1T0 6_ 06

D D C D A TA

L1

2 F C M16 08K - 12 1T0 6_ 06

D D C LK

1

2 F C M16 08K - 12 1T0 6_ 06

C R T_ HS Y N C

L4 7 1

2 F C M16 08K - 12 1T0 6_ 06

C R T_ VS Y N C

L5

R2 5

*1 K_ 04

*1 K_ 1%_ 06

L VD S _P D #

Z 2 410

11
11
11
11
11
11
11
11
11

LV R EF

Q6
*2 N 700 2W

G

C 5 73

R3 5

5V S

D5
BA V 99

R 45 4

*1K _0 4

Z2 40 9B

R P1 3
8
8P 4 RX 22 _04 7
6
5
R P 14
1
8P 4R X 22_ 04 2
3
4
R P 15
1
8P 4R X 22_ 04 2
3
4

D V P 1D 01
D V P 1D 02
D V P 1D 03
D V P 1D 00
D V P 1D 04
D V P 1D 05
D V P 1D 06
D V P 1D 07
D V P 1D 08

Q5
*2 N 390 4

8

5V S

5 VS

Z2
Z2
Z2
Z2
Z2
Z2
Z2

425
426
427
432
433
444
445

Z 2 446
Z 2 447
Z 2 448
Z 2 449

L VR E F

C

A

C

A

C

A

C
5 VS

Z 2 424

DUA L
E D GE
L VD S _P D #

DU A L

5 VS

R 69

Z 24 17

D

5V S

VI N

S
Q 41
2N 7 002 W

G

12 V G A _B KL TE N

2. 5V S

1

S

G
8 L_B K LT EN

R 10 9

*0 _06

Z 24 35

R 10 8
*1 00 K_ 06

Z 243 6

D

R6 7

R6 4

1 0K _06

*1 0K _0 6

+ C 45

C 46

2

H C B 20 12K F -1 21 T30 _0 8

D8
C

R B7 51V
A

1 0U _2 5V _1 2
. 1U _ 50V _0 6

R7 7

0 _0 6Z 243 7

3

+

23 , 29 LI D _ SW #

3, 15 , 17 A LL_ P WR O K

2

1
D9

+

+

D3 P

22 U _6. 3 V_ 08

F1001 LCD?

*. 1U _ 50V _ 06

C 26

*. 1U F _5 0V _0 6

C 25

*. 1U _ 50V _ 06

2
28
34
32
25

XC LK
DE
H SY N C
VS Y N G

-

4

-

5

C 58 2

C 79 7
*. 1U _ 16V _0 4

PL LV C C

D [ 0]
D [ 1]
D [ 2]
D [ 3]
D [ 4]
D [ 5]
D [ 6]
D [ 7]
D [ 8]
D [ 9]
D [ 10]
D [ 11]

2 3 LC D _B R I G H TN E SS

Z2 438

L1 4 1
L1 3
1
L1 5 1

Z 24 39
2 F C M16 08 K- 12 1T 06_ 06 Z 24 40
2 F C M16 08 K- 12 1T 06_ 06 Z 24 41
2 H C B 20 12 KF - 12 1T3 0_0 8Z 24 42

INVERTER

A 0A 0+
A 1A 1+
A 2A 2+
A 3A 3+
A 4A 4+
A 5A 5+
C LK 1C LK 1+
C LK 2C LK 2+

2
2
2
2
1
1
1
1
9
8
7
6

4
3
1
0
8
7
2
1

16
15
4
3

L
L
L
L
L
L
L

A_
A_
A_
A_
A_
A_
B_

D AT AN 0
D AT AP 0
D AT AN 1
D AT AP 1
D AT AN 2
D AT AP 2
D AT AN 0

L B_ D AT AP 0
L B_ D AT AN 1
L B_ D AT AP 1
L B_ D AT AN 2
L B_ D AT AP 2
L A_ C LK N
L A_ C LK P
L B_ C LK N
L B_ C LK P

D U AL
R _F B
PD B
VR E F
VS W N
I G

C 82 0
*1 0P F

NB (SR)
VG A(*SR U)

*V T 163 7

SW 1
N H D S- 0 2- T

1 2

*4. 7 K_ 04

L VD S G N D P LL G ND

1-4 ON SINGLE
2-3 X >.>>WLAN_EN: Connect to H8
>>>High level ( ON ); Low level ( OFF )

20
22
30
32
36
38

Z2621
Z2622
Z2623
Z2624

24
28
48
52
42
44
46

Z2625
Z2626
Z2627

R18
7
R20
2
R20
4
R20
5

*0_04
*0_04
0_04
0_04

WLAN_EN
BUF_PLT
_RST#
SMB_ICHCLK
SMB_ICHDATA
USB6USB6+

WLAN_EN 23,28
BUF_PLT_RST# 7,15,20,23
SMB_ICHCLK 20
SMB_ICHDATA 2
0
USB6- 15
USB6+ 15

15
,1
9 PCI_C/BE0#
15,19 PCI _
C/BE1#
15,19 PCI_C/ BE2#
15,19 PCI _
C/BE3#
15,19 PCI_FRAM
E#
15,19 PCI_IRDY#
15,19 PCI_STO
P#
15,19 PCI_TRDY#

3.3V
1.5VS
WL
AN3.3VS

2 PCLKMPCI

88911-5204
R720

3.3VS

R860

*0_12

R861

0
_12

*0_12

5VS

WLAN3
.3
VS

C804

C802

1U_16V_06

10U_10V_08

D

AO340
9
Z2630

R721

C803

100K_04

.1
U_10V_04

C704

C705

.1U_16V_04

.1U_16V_04

R722
20K_04

2N7002

WLAN_PWR Sign al default HI for WLAN
1.BIOS Setup HI for Intel PCIE WLAN
2.USB WLAN BI OS Setup LOW for
Fn+F10

15,19 PCI_PAR
15,19 PCI_SERR#
15,19 PCI_PERR#
15
, 19 PCI_DEVSEL#

Bluetooth

0_06

3VS_BT

48 mil
C292

15 USB71
5 USB7+
23 BT_DET#

USB7- R203
USB7+ R189
BT_DET#
R18
6
VDD3

0_06
0_06

Z2628
Z2629

100K_04
BT_
DAT
BT_
CLK

1
2
3
4
5

6
7

J_BT1
+3.3v
GND
USBUSB+
DETECT#
BT_ON#

L40
3.3VS
HCB2012KF- 500T40_08
1
2
50mil
C324

10U_10V_08
.1U_
10V_
04

R185
10K_04

8

CH_DATA
CH_CLK

PCI_AD24

PCI_AD16
PCI_AD17
PCI_AD18
PCI_AD19
PCI_AD20
PCI_AD21
PCI_AD22
PCI_AD23
PCI_AD24
PCI_AD25
PCI_AD26
PCI_AD27
PCI_AD28
PCI_AD29
PCI_AD30
PCI_AD31

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25

PIRQ
B#
REQ2#
GNT2#
PM
E#
I DSEL
PAR
SERR#
PERR#
DEVSEL#
PCI _
AD16
PCI _
AD17
PCI _
AD18
PCI _
AD19
PCI _
AD20
PCI _
AD21
PCI _
AD22
PCI _
AD23
PCI _
AD24
PCI _
AD25
PCI _
AD26
PCI _
AD27
PCI _
AD28
PCI _
AD29
PCI _
AD30
PCI _
AD31
*87151-25

BT_EN#

D

R77
3

15 PCI_INT
D#
15 PCI_REQ#1
15 PCI_G
NT#1
16,19,23 PM
E#

Q
43

85205-08001
JBT

Q15
2N70
02W

G BT_EN

BT
_EN 2
3,28

1
S

From SB GPIO Pin default HI
Power Plane:Suspend
S3:Defined

23 ITE_WLAN_PWR

G
S

23,28 WLAN_EN

*0_06 Z26
32

JDFFC1

Z2631
D

100K_04

8

R72
3

R72
4

Sheet 26 of 40
MINI-PCI &
BLUETOOTH

87151- 35

Q42
S

Z2633

G

3.3V

GND1
GND2
PCI _
AD0
PCI _
AD1
PCI _
AD2
PCI _
AD3
PCI _
AD4
PCI _
AD5
PCI _
AD6
PCI _
AD7
PCI _
AD8
PCI _
RST#
PCI _
AD9
PCI _
AD10
PCI _
AD11
PCI _
AD12
PCI _
AD13
PCI _
AD14
PCI _
AD15
PCI _
CBE#0
PCI _
CBE#1
PCI _
CBE#2
PCI _
CBE#3
PCI _
FRAM
E#
PCI _
I RDY#
PCI _
STO
P#
PCI _
TRDY#
GND3
PCI CLK
GND4
GND5
VDD5-1
VDD5-2
VDD5-3
VDD5-4

MINI-PCI & BLUETOOTH B - 27

B.Schematic Diagrams

KE Y
21
27
29

C148, C155:
>>>Near to JMINI1 for Nvidia platform
>>>Near to S.B. for Intel platform

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35

PCI_AD0
PCI_AD1
PCI_AD2
PCI_AD3
PCI_AD4
PCI_AD5
PCI_AD6
PCI_AD7
PCI_AD8
PLT_RST#
PCI_AD9
PCI_AD10
PCI_AD11
PCI_AD12
PCI_AD13
PCI_AD14
PCI_AD15

2

3
.3
VS

Z2601
Z2602

2

PM_WAKE#

20 PM_WAKE#

PCI_AD[31:0]

15,19 PCI_AD[31:0]

JMINI1

Schematic Diagrams

AUDIO VT1708A/ALC883
5V S_ AU D

R812 0->10K
C960705

*10K _04

R 814

*0_04 MI C- V RE F O- R

Z 2712

R817 ->*
C960615

C 73 9

2 70P _10V _06

Z 2713

C 53 6

2 70P _10V _06

Z 2714

C 73 7

1 0U _10V _08

PIN
PIN
PIN
PIN
PIN
PIN
PIN
PIN
PIN
PIN
PIN
PIN
PIN
PIN
PIN
PIN
PIN
PIN
PIN
PIN
PIN
PIN
PIN

AU D G

AU D G
AU D G

I N T- MI C - VR E FO
LI N E_S EN S E

R 8 17

*10 K_1 %_04

V RE FO - C _L

C 73 6

1 0U _10V _08

H P_ SE NS E

R 6 36

39 .2 K_1 %_04

E XT- MIC - V RE FO
Z2 710

C 51 7

1 0U _10V _08

AU D G

F RO N T- L
Z27 02

Power Pla ne
C 49 4

C 727

C 7 16

. 1u _16V _04

. 1U _16V _04

1 0U _10 V_0 8

C 503
10 U_ 10V _08

4.7uf
? REALTEK
FAE? ?
? ? ? 1uf

25

26

27

28

29

30

31

32

AU D G

33

34

35

36

F RO N T- R

5VS _A UD

AU D G

EA PD R 82 7
3. 3V S

Z27 65

24 mil

C 55 0

C 740

C7 34

1 0U _10V _08

. 1U _16 V_0 4

.1 U_ 16V _04

R 84 2
R 84 3

0_0 4
0_0 4

C 73 8

22P _5 0V_ 04

R 64 1
R 84 4

A VD D 1

C 524 4 .7 U_ 10V _08

LI N E- R

R 398

100K _04

23

Z 271 9

C 525 4 .7 U_ 10V _08

LI N E- L

R 399

100K _04

22

Z 270 8

C 526 4 .7 U_ 10V _08

Z 270 9

C 527 4 .7 U_ 10V _08

E XT- MIC L

20

Z 275 3

C 722 4 .7 U_ 10V _08

Z 2757

19

A FI LT 4

18

Z 275 6

E XT- MI C- V RE FO

*1K _04

Z 270 7

C 522 1 U_ 10V _06

16

Z 270 6

C 523 1 U_ 10V _06

I N TMIC

15

Z 277 2

R 824

0 _04

P RE H P_R

14

Z 277 3

R 826

0 _04

P RE H P_L

13

J D_ SE NS E

C 512

1
3
5

ADD C960528

R 322

0_06

7
9

Z 2734

11

JMDC 1
G ND
Aza il a_S DO

R ES ER V ED
R ES ER V ED

G ND
3. 3V Ma n
i / au x
Aza il a_S YN C
GN D
Aza il a_S DI
Aza il a_R ST #

C 53 8

M DC MOD EM

R 413

R 414

4. 7K _04

4. 7K _04
MI CR

EX T- MI C L

R 40 2

0_0 4

MI CL

2

Z2 735

4
6

Z2 736

8
10

GN D
Azal a
i _BC LK

CON 1 2_ AM P

0_ 04

0_0 4

C 535

R 58 4
C 707 . 1U _1 6V_ 04

12

C 50 9

C 498

C 497

*. 01 U_ X7R _04

*. 01 U_ X7R _04

470 P_ 50V _06

47 0P_ 50V _06

TJ MDC3
12

11

2

1

S PD _I F OC 55 9 . 01U _50 V_0 4

Z 271 1 R 431

200_ 04

SP DI F

H P _SE N SE
L IN E _SE N SE

1

L IN E -R
L IN E -L

4
5

AU D G

A UD G

P C BE EP

L IN E _SE N SE
MI C _SE N SE
HP _S EN SE

R 638

1 0K_ 1%_04

R 637

2 0K_ 1%_04

R 833

*3 9. 2K_ 1%_0 4

2
3

6
7

S PD I F
A U DG

*220 _04
3. 3V

A Z_ BI TC LK
0_0 6

Z2 737
R 58 5

J AU D IO 1

C 51 0

BE EP

10K _0 4

C5 34

. 1U _16 V_0 4
. 1U _16 V_ 04
. 1U _1 6V_ 04
10U _10 V_1 2
.1 U_ 16V _04
. 1U _16V _04

AU D G

AZ _S DI N 1
AZ _R ST #

1 6 A Z_ SD I N1

R 40 1

A U DG

Z2 751 R 63 9

&

C 731

MDC

EX T- MI C R

A UD G

C5 56

PL EASE PLA CE C LOSE COD EC &
AM P TH E PO WER INPU T PI NS

R636*->10K
C960615

Z2 752 C 73 0 1U _10 V_0 6

C 502

A U DG

C5 61

R4 37

MI C R
MI C_ SE NS E

100P _50 V_0 4

100_ 04

MI C L
H P _R
H P SE L
H P _L

8
9
10
11
12
13
14
15

JD _S EN S E

AU D G

C O N 15

1K _04
1 00P _50 V_0 4
1 6 A C _SP KR
E C _BE EP

C 728

1U _10 V_ 06

C 725

1U _10 V_ 06

5 VS _AU D

L5 4

5V S

H C B20 12K F- 500 T40_ 08
2
1

B EE P

U3 6

SD O

4

JI N TMIC 1

BI TC LK

2
C 198

SD AT A- I N
SY N C

33_ 04
0_0 4

0 _06

10U _10 V_1 2

R 84 1

B EE P

R 693

17

DEFAULT VT1708

R 71 4

MIC - VR E FO - R

1K_ 04

C 723 4 .7 U_ 10V _08

0 OHM
0 OHM
39.2K
10K
*0 OHM
*0 OHM
*4.7UF
*4.7UF
*10UF
*10UF
*270PF
0 OHM
*0 OHM
10K
*270PF
*10K
*39.2K
*270PF
0 OHM
20K
0 OHM
*0 OHM
10PF

AZ _S YN C

A UD G

R 692

*0 OHM
0 OHM
*39.2K
10K
0 OHM
0 OHM
4.7UF
4.7UF
10UF
10UF
270PF
*0 OHM
0 OHM
*0 OHM
270PF
*10K
39.2K
270PF
*0 OHM
5.1K
*0 OHM
0 OHM
22PF

AZ _S DO U T

E XT- MIC R

21

R827
R844
R833
R638
R826
R824
C723
C722
C736
C737
C536
R814
R841
R812
C739
R817
R636
C560
R820
R430
R821
R825
C738

12

R E SE TN

Z 272 0

C 73 2

. 1U _1 6V_ 04

16 AZ _S DI N 0
1 6 A Z_ SY N C

11

10

S YN C

S EN SE _A

24

R 64 0

C 52 8

16 AZ _S DO U T
16 AZ _B T
I CL K

A VS S1

V RE F F I LT

C AP 1

V RE FO U T- F _L

V RE FO U T- C _L

V RE FO U T B
- _L

PO R T- E_L

D VD D _C O R E

S DI

D VS S

B IT C LK

S/ P DI F T X

Z 270 1

1

1 6 A Z_ RS T#

A FI LT 1

A FI LT 2

S EN SE _B

S/ P DI F R X / EA PD

*0_0 4

L 44
H CB 160 8KF - 121T 25_0 6
2

P OR T- E _R

PO R T- H _R

S PD _I F O 48

9

47

P OR T- F _R
PO R T- F_L

8

0_0 4 Z 276 4

C D -L

PO R T- H _L

7

46

6

45

Z 276 3

S DO

R 82 5

Z 276 2

A FI LT4

VT1708

PO R T- G _R

D VS S

EA PD

44

PO R T- G _L

1

Sheet 27 of 40
AUDIO VT1708A/
alc883

AV SS 2

43

Z 276 1

CD - R

PO R T- A_ R

5

Z 276 0

PO R T- B_L

JD R EF

42

4

41

P O RT C
- _L
P OR T- B _R

NC

40

AU D G

A UD G

PO R T- C _R

PO R T- A_ L

NC

Z 271 8

*0_0 4 Z 275 9

5. 1K _1%_0 4
R 82 1

39

D VD D _C O RE

R 43 0
P R EH P_ R

330 P_ 50V _04

2
1

1

A CE S/ C O N2

I N TMI C
I N T- MIC - VR E FO

R 41 9

2. 2K _04

O UT

V IN

S H DN #
Z27 38 3

BY P

C 750

C 50 7

C5 04

C7 17

10U _1 0V_ 08

*. 1U _1 6V_ 04

*.1 U_ 16V _04

*. 1U _16 V_0 4

GN D

5
1
2

*G9 24

C 53 0

SDATA-IN Max: 0.5inch
3 30P _50V _04

R844 33->0
C960615

3. 3VS

3. 3VS

AU D G

AU D G

? ? 6 ,? ? DE POP? ? ?
? ? ? ? ,? ? ? ? ? ?

5

R4 11

2 3 K BC _B EE P

KB C _BE EP

2

Z 2740

1
3

3. 3V S

U 16

10K _04
4

EC _B EE P

1108
74 AH C 1G 08G W

S PK L-

8

S PK L+
S PK R+

7
6

S PK R-

5

L P2

1 S PK OU T L2 S PK OU T L+
3 S PK OU T R+
4 S PK OU T R-

4

MU TE I N

74A H C1 G0 8G W
BE EP R 69 5

AU D G

F R ON T- R

R 84 5

8. 2K _04

Z27 05

C8 54

1U _1 0V_ 06

Z2 722

3

F R ON T- L

R 84 6

8. 2K _04

Z27 16

C8 55

1U _1 0V_ 06

Z2 723

5

R 84 7

0_ 04

Z27 17

C8 56

4. 7U _6 .3 V_ 06

Z2 724 R 84 8

39K _04

Z2 726

4

R 84 9

0_ 04

Z27 21

C8 57

4. 7U _6 .3 V_ 06

Z2 725 R 85 0

39K _04

Z2 727

6

R 858

100K _04

100 K_0 4
D

Z2 730

D

*10 K_0 4

*10 K_0 4

*10 K_0 4

1 0K_ 04

C 85 8
G

Z2 729 27

Z2 731 12

1 U_ 10V _06
Z2 732 14
S

Q 52
Q 51
2N 700 2 2N 700 2

G

U 42

R_ IN _A MP
L_I N _AMP

C 505

C4 95

. 1U _16 V_0 4

10U _10 V_0 8

R _O U T+
R _O UT -

R_ IN _H P

L_O U T+

L_I N _H P

L _O UT -

10 U _10V _08

Z2 733 15
16

22

S PK R+

21

S PK R-

8

S PK L+

9

S PK L-

17

H P_ R

18

H P_ L

C7 24 . 1U _16 V_0 4

R _H P_ OU T

HP _E N

L_H P_ OU T

CP +
C PV D D
H PV D D

CP CP VS S
HP VS S

C 86 1

C near CP+ and CP1 U_ 10V _06

11

R 85 9

Z 2742

19

C8 59

S18
2

1

SH O RT

AU D G
C5 57 . 1U _16 V_0 4
0_0 6

1U _10V _0 6

C 726 . 1U _1 6V_ 04

AU D G

5 VS _AU D

C 860

AU D G
C5 58 . 1U _16 V_0 4

. 1U _16V _04

C 55 4 . 1U _16V _04
C 55 5 . 1U _16V _04
C 72 9 . 1U _16V _04

AU D G
AP A20 56A

C 862

C8 63

C8 64

1U _10V _06

.1 U_ 16V _04

A UD G

1

C 73 3 . 1U _16V _04

3 .3 VS

H CB 201 2KF - 500T 40_0 8

. 1U _16V _04

AU D G
AU D G

AU D G
C7 35 . 1U _16 V_0 4

L41 2
2

Z 2743

Z27 44

B - 28 AUDIO VT1708A/ALC883

2
3
4

C 71 8

APA2 056A
AMP_ EN #

S

H PS EL

A UD G

4

8P 4C X1 80P F

A UD G

R 85 2
Z2 728

24
R8 57

R 856

R 855

R 854

R 853

*10 K_0 4

R 85 1
1 00K _04
5VS

2
3

*0_0 4 Z 270 4

P R EH P_ R
P R EH P_ L

1

CO N 4_S PK
C P1

8

3

3
4

Power Plane

1

2 3 V O L_MU TE#

1
2

S PK OU TL +
S PK OU TL 1

U 17

JSP K1

S PK OU TR +
S PK OU TR -

7
6
5

2

1
20
10

1 3, 15, 16, 20 ,2 3, 28, 34 S US B#

Z 275 4

PV DD

0_04

P GN D

22 00P _50V _04

5VS _A UD

B YP AS S

R 557

*1 0K_ 04

25

S US B#

R1 75

23

*0_04

28
26

R 367

R695 ->*
C960615

VD D
P VD D

E AP D

3 .3 VS

BE EP
S D#

*0_04

CP G ND
P GN D

C 529

R 710

GN D

G PO 1

16 G PO 1

1M_0 4

13
7

3

74A H C1 G1 4G W

R4 10

GN D

Z2 741

2

2

29

4

U 18

5

5

F C A32 16K F4- 12 1

1

B.Schematic Diagrams

A U DG

*0_0 4 Z 275 8

R 82 0

AV D D2

2

5V S_A U D
P R EH P_ L

AF I LT3

38

P OR T -D _L

37

Z 271 5

Z 270 3 3

C 56 0 270 P_1 0V_ 06

A U DG

P OR T -D _R

U 22

2
10
13
13
14
15
18
20
29
31
32
32
32
33
33
34
34
37
39
40
41
47
6

PLEA SE P LACE CLO SE C ODEC
AMP THE POWE R IN PUT PINS

5V S_A U D

PIN Value VT1708 ALC883

R 812

AU D G

AU D G

Schematic Diagrams

LED, VS POWER
M96 0801
M960 801

VD D 3

VD D 3

17 S A TA _L ED #

SA T A_ LE D #

1

Z2 80 9

2

C D _D A SP #

3

5V S

D2 4
+

-

+

+

6

3. 3V S

E

E

E

C

Q2 8
D T A1 14E U A

E

4

P D _LE D # B

MQ1
D TA 114 EU A

IM N 1 0

Z2 81 0

HDD/PD LED
GREEN LED

R 434

100 _0 6 Z2 80 4 2

R 433

100 _0 6 Z2 80 3 4

D LE D
GR EEN

D 27

1

Z 280 5 R 4 36

1 00_ 06 Z 28 08 2

3

Z 280 6 R 4 35

1 00_ 06 Z 28 07 4

100 _0 6

G RE EN

1

Z2 81 1

3

V DD5

MD 1

6
5
2
4
1
P Q14
N TGS 41 41 N T1G
Z 28 20

V DD5

L ED

O RA NGE

M960725
100 K_ 04

Z2 82 3

G

D
PQ1 1
2N 70 02 W

S U S B# G

D

10K _ 04

P C 64
P Q12
2N 70 02 W .1 U _1 6V _04

V DD3

S

1 3, 15 , 16, 2 0, 23 ,2 7, 34 SU S B #

5V S

3

PR 8 6

PR 8 4

C

M960725

P C 66

P C 70

10 U _1 0V _08
. 1U _ 16 V_ 04

3. 3V S

6
5
2
1

4

P Q15
N TGS 41 41 N T1G
Z 28 21

100 K_ 04

D

3

PR 8 7
3. 3V S

P C 67
P C 71
R 7 18
1 0U _1 0V _0 8
1 00_ 08
. 1U _ 16 V_ 04
Z 2 826

C
B

Q29

D2 9

LE D

LE D

E

S

C

D TC 1 14 EU A

S
100 _06

A

A

D2 8

Z2 81 8
1 .5 V

D3 0

SCR LOCK
GREEN LED

C

D
Q33
2 3, 26 W LA N _E N
2N 7 002 W

L ED

S Y S1 5V

3A

DTA114EUA->2N3906
M960803

P R 85
1M_ 04
PR 1 51

E N _15 VS

0_0 4

P C 62

1

9
8
7
6

9
8
7
6

MTH 8 _0D 2 _8

2
3
4
5

H1 6

9
8
7
6

1
MTH 8 _0 D 2_8

2
3
4
5

H1 7

9
8
7
6

1

H 21

2
3
4
5

MT H 8_0 D 2_8

1

9
8
7
6

MT H 8_0 D 2_ 8

2
3
4
5

H 32
1

9
8
7
6

MT H 8_ 0D 2_ 8

2
3
4
5

H 31
1
MTH 8_ 0D 2_ 8

9
8
7
6

2
3
4
5

H3 3

9
8
7
6

1
MTH 8_ 0D 2 _8

H3 8

2
3
4
5

1

9
8
7
6

2
3
4
5

MTH 8 _0D 2 _8

H3 9
1

2 . 5V
9
8
7
6

MTH 8 _0 D 2_8

PR 1 60

P Q57
SI 4 800 BD Y
Z 28 25

G
H 45
C 315 D 14 6

H4 6
C 3 15 D1 46

H4 7
H 5 _5D 2 _7

H 48
H 49
C 25 6D 14 6 H 5_ 5D 2_ 7

H 28
C 256 D 14 6

H2 4
C 2 56 D1 46

H3 5
C 2 17

3
2
1

P C 175
P Q58
2N 7 00 2W .1 U _1 6V _06

H1 2
C 1 58

H 13
C 158

H 14
C 15 8

H 15
C 15 8

H 18
H1 9
H2 0
H2 2
C 15 8 H6 _5 D 4_2 H 6 _5 D 4_2 H 6 _5 D 4_2

H2 3
H 6 _5D 4 _2

P C 176

P C 17 7

10 U _1 0V _08
. 1U _ 16 V_ 04

H2 6
C 1 58

H2 7
C1 58

H 29
C 158

H 30 H 5
H3 6
H4
H 37
H2
H 40
H3
H6
H 41
H1
C 15 8 C 1 58D 1 58 C 1 58D 1 58 C 1 58D 1 58 C 15 8D 1 58 C 15 8D 1 58 C 15 8D 1 58 C 15 8D 1 58 C 15 8D 15 8 C 15 8D 15 8 C 15 8D 15 8

300 K _1% _04
G
PC 6 1

1. 8V S

4

3
2
1

P C 63
PQ1 0
2 N 700 2W .1 U _1 6V _06

P C 74

P C 73

10 U _1 0V _08
. 1U _ 16 V_ 04

S

H1 1
C 1 58

8
7
6
5
P Q51
S I 48 00 BD Y
Z 28 24

D

1 . 8V

P R 88
H7
H3 4
H8
H 6 _0 D2 _3 C 7 9D 79 C7 9D 7 9

P C 83

S

H 44
C 25 6D 14 6

P C 82

10 U _1 0V _08
. 1U _ 16 V_ 04

2. 5V S
8
7
6
5

0_0 4
H4 2
H4 3
H 7 _7 D4 _5 H 6 _0D 3 _7

P C 165
P Q52
2N 7 00 2W .1 U _1 6V _04

4

2
3
4
5

1
MTH 8 _0D 2 _8
H9

G

22 00P _ 50V _0 4

3
2
1

S

2
3
4
5

H1 0

WIRELESS LAN /BT
LED

1. 5V S
P L1
*H C B 32 16 K-8 00T 30

8
7
6
5
P Q16
S I 480 0B D Y
Z 28 22

D

G

23 ,2 6 B T_E N

R4 41

10 0_0 6
Z 281 6

CAPS LOCK LED
GREEN LED

2 N 700 2

Z2 81 9

R 440

10 0_0 6
Z 281 4

NUM LOCK LED
GREEN LED

Q32
2N 39 06

Q50

G

B

23 L ED _ SC R OL L#
Z 281 7

R 439
Z2 81 3

E

B
Q31
2N 3 906

Z 281 5

4

23 L ED _ C AP #

Q30
2N 3 906

D

B

2 3 LE D _N U M#

C
LE D

C

D2 5

A

A

C

Z2 81 2

C

1 00 _06

C

R4 38

3 .3 V S

E

E

S

D

G

P C 65
PQ1 3
2 N 700 2W .1 U _1 6V _04

Sheet 28 of 40
LED, VS POWER

*. 1U _5 0V _0 6

M3
M4
M5
M8
M1 0
M1 1
M1 2
M1
M2
M14
M7
M13
M6
M9
M-MA R K1 M-MA R K1 M-MA R K1 M-MA R K1 M-MA R K1 M-MA R K1 M-MA R K1 M-MA R K1 M-MA RK 1 M-MAR K 1 M-MAR K 1 M-MAR K 1 M-MAR K 1 M-MAR K 1

LED, VS POWER B - 29

B.Schematic Diagrams

OR ANG E

VS P OW ER

MR 1

D LE D
A

D2 6

S

4 7K

Z 2 801

Z 2 802

-

C

47 K
4 7K

C

BATT/E mail
CHARGE FULL

18 C D _ D AS P #

C

47 K
4 7K

C

47 K
Q2 7
D T A1 14 EU A

B

23 LE D _B A T_ FU L L#

4 7K

23 LE D _B AT _C H G#

ACIN
PWR/SUS

47 K

Q2 6
D T A1 14 EU A

B

47 K

Q2 5
D T A1 14 EU A

B

2 3 LE D _P WR #

4. 7 K_ 06

4 7K

B

23 LE D _A C IN #

D3P

E

R 43 2
5

-

Schematic Diagrams

CHARGER, DC IN
P Q 21
S I 4 83 5 BD Y
8
3
7
2
6
1
5

VIN

4A 160mil

. 1 U _2 5V _ 06

1 0U _ 2 5V _ 12
P C 1 08

Z 2 90 3

0_ 06

C ON 2 0 AF

Hi:16 .8V
Low:1 2.6V

V RE F

P R 11 2
2 0 K_ 1 %_ 06

C H G_ C U R SE N 2 3

P R1 0 9
0 _0 4
VA

P R1 6

P C 11 0
. 01 U _ 50 V _0 6

0_ 06 C T L

? Ada pter ? ? 90 W? ? ,? ? ? MOSFET? ? ?

P C4

. 1U _ 2 5V _ 06

VA-

P C3

Z2 9 04
Z2 9 05
Z2 9 06
Z2 9 07

Z2 9 08
Z2 9 09
1 0_ 06 Z2 9 25
Z2 9 10
. 2 2U _ 1 6V _ 06
Z2 9 11

P R1 7 3
* 51 0K _ 06

4

Z 2 93 9

3 0K _ 06

# TOTAL POWER = 60W
P R1 7 5
* 20 0K _ 06

+I N C 1
-I N C 1
C TL OU TD / S E L
F B1 2 3 OU T C 1
-I N E 3
+ INE 1
RT
-I N E 1
XA C O K A C I N
VH
VRE F
OU T
A C OK
VCC
-I N E 2
CS
+ INE 2
GN D
OU T C 2
-I N C 2
+I N C 2
MB39A126 MB 39 A 12 6

P R9

C

# BAT_SEL H:16.8V ; L: 12.6V

P Q6 2
* 2N 3 9 04

PC 19 3

P R4

3 0K _ 1% _0 6

3 0K _ 1% _0 6

PC 2

# CURSEN :

P R 14

1 00 K _0 4

Z 29 13
Z 29 14

P R 13
P R 10 7

1 0K _ 06 Z 29 28 P C 7
0 _0 6 A C I N

6 8 00 P _5 0V _ 06

A C OK
Z 29 15

PR 7

1 0K _ 06

6 8 00 P _5 0V _ 06

PR 8

1 00 K _0 4

Z 29 29 P C 6

T OTA L _C U R 23
PC 1

. 01 U _ 50 V _0 6

P R 1 08
*2 . 2M_ 0 4

SYS5 V

1 0 00 P _5 0V _ 06

ADJ C.V POINT
P R 1 30
P R5

P R2

# TOTAL_CUR : Vtotal_cur=0.020 X 20 X Ichg
1 0K _ 1% _0 6

1 00 K _0 4

1 0K _ 1% _0 6

P Q5
2 N 7 00 2W

G Z 2 91 6
1

S

. 1 U _ 25 V _0 6

P Q6
2N 7 00 2 W

PJ 2
3m m

F M0 5 40 -N
P R 1 33

V DD3

V IN1
A

VI N

10 K _0 6

E

P Q28
2S B 1 19 8K R
C
Z2 9 21

P D 1 6 F M0 5 40 -N
C

VIN

Z 2 91 8

G

D

G

G

10 0 K_ 0 4

E

From H8

D D _O N

C Z 2 92 3

P R 1 28

30 K _1 %_ 0 6
P Q2 4
D T A 11 4 EU A
Z 2 92 7

D D _ ON 2 3, 3 3

S

P R3 1

P C1 0
. 1 U _2 5 V_ 0 6

P Q2 5
2 N 7 00 2W

SYS5 V

P Q30

G

2 N 7 00 2W

B A T 1_ V OL T

PR 13 1

B A T1 _ VO LT 23

P C1 4 2

6. 0 4K _ 1% _0 6 . 1 U _ 25 V _0 6

6. 8K->6.0 4K C9607 05

S

P Q1
D T A 11 4E U A

PQ 26
2N 7 00 2 W

S

2 0 0K _ 06

B

VB

Z2 9 22

C

10 K _0 6

E

Z 2 91 7
P R3 4

10 K _0 6

PR 30

Z 29 2 0

M _B T N #

P R2 9

Z 2 91 9

S

P C1 2
. 1 U _2 5V _ 06

DB

P R3 2
1 00 K _0 4

D

D

P Q 27
2 N 7 00 2W

B

P D 1 7 F M0 5 40 -N
P W R _ SW #

2 3 P W R_ S W #

VD D 3
PF1

? ?

PR4 3

VB

pull H I C96070 5
P R1 1 8

P R 1 16

7A

P R2 2

Z 2 93 1

* 10 K _0 6 *1 0K _ 06 * 10 K _0 6
2 3 S M C _B A T 1
2 3 S M D _B A T 1
2 3 B A T 1_ D E T

P R 1 15
P R2 1

10 0 _0 6
10 0 _0 6

P R 11 7

Z 2 93 2
Z 2 93 3
Z 2 93 4
Z 2 93 5
Z 2 93 6

1 00 _ 06
PC 12 0

P C1 8 0

30 P _5 0V _ 06 3 0P _ 50 V _0 6

P C 1 19

P R 23

P R 24

P C1 2 5

3 0P _ 50 V _0 6

0 _ 06

0_ 06

1 0 U _2 5V _ 12 1 0U _ 2 5V _ 12 . 1U _5 0V _ 06 . 1U _ 50 V _0 6

P C 1 26

P C 1 91

P C 19 2

M960806

B - 30 CHARGER, DC IN

C H G _E N 2 3

C

A

To H8

2 3 M_ B TN #

G C H G_E N
S

VA

A

2

PD1 5
C

P R1 1
*1 0K _ 04

Vcursen=0.025 X 20 X Ichg

E

B

P C 1 78 . 1 U _ 5 0 V _ 0 6

# MAX CHARGE CURRENT = 2.5A

Z 2 93 8

PR 17 6
*10 0 K_ 0 6

12
11
10
9
8
7
6
5
4
3
2
1

V RE F

Z2 9 37

PR 17 4
*10 K _0 6

Z2 9 40

P U5

D

C

8 *S I 4 83 5B D Y
7
3
6
2
5
1
P Q6 1
PD 28
*U D Z 1 6B

0 _0 6

P R3

V I N P R 1 61

4

Sheet 29 of 40
CHARGER, DC IN

P R1 5

13
14
15
16
17
18
19
20
21
22
23
24

D

VA

Z 29 3 0
PC 5
P R6
2 2 00 P _5 0V _ 04 3 3K _ 06

*1 00 K _0 4

C U R _C O N T

8 *S I 4 83 5B D Y
7
3
6
2
5
1
P Q6 0

P R1 1 0

T _C U R _C ON T

P C 11 1 22 P _5 0 V_ 0 4

A

B.Schematic Diagrams

P C 1 09

10 U _ 25 V _1 2

1 0U _ 2 5V _ 12

* 0_ 04

P C 1 24

Z 2 91 2 P R 12

A
PR 10 6

. 0 25 _1 %_ 2 5

P C 11 2

F M58 22

Z 2 90 1

VB

P R 1 22

3A 120mil
1 0 U _2 5V _ 12

C
P D 14

4

VA

4

PL 2
1 5U _ 1 0*1 0* 4

Z 2 90 2

10 U _ 25 V _1 2

P Q2 3
S I 4 83 5B D Y
8
7
6
5

P C 12 3

AC I N

L I D _ SW # 23 , 24
USB 1 + 1 5

10 U _ 25 V _1 2

VD D 3

LI D _ S W #
U S B 1+

P C 11 8

2 2 0K _ 1% _0 6

. 1U _5 0V _ 06

10 K _0 8

P C 1 33

OC 2 #
U S B 1-

P R 11 1

3
2
1

P C 12 2

15 OC 2 #
15 U S B 1-

5V

P R1 0

1 0U _2 5V _ 12

5V

F M1 04 0 -T1

2
4
6
8
10
12
14
16
18
20

4A 160 mil

. 0 2_ 1% _ 25

P C 13 8

1
3
5
7
9
11
13
15
17
19

P R1 1 9

VA-

C

10 U _ 25 V _1 2

P D7
A

P C1 2 8

VA
JU S B1

0_ 06

A C OK

J BA T TA 1
1
2
3
4
5
6
7
8
9
C ON _B A T

VD D 3

PR 40

1 0K _ 04

A C_ IN#

A C _I N # 23

Schematic Diagrams

1.5V, 1.05VS
V IN

Z3010

P C9 3

1U _ 10 V _ 06

1 0 _0 6

Z3011

P C 1 01

1U _ 10 V _ 06

1 . 0 5V _ P G

M960804

P C 97

4

. 1 U _ 2 5 V _0 6

Z3005

2

Z3006

7

Z3007

Z 3 00 8

Vo ut = 0.5 V ( 1 + R a / R b )

T ON 1

I L I M1

T ON 2

L X1

DH 2

D L1

BST2

SC413

22

11

F BK2

E N /P S V 1

V O UT 2

Z 30 1 2

9

Z 30 1 3

20

Z 30 1 4

21

19

Z 30 1 5
P R 97
0_04
Z 30 1 7
P R9 6
1 0 K _1 % _ 06
Z 30 1 8

16

Z 30 1 9

12

Z 30 2 0

18

DL 2

E N /P S V 2

S GN D 1

23

P R1 5 5

S GN D 2

P R1 5 2
Z 3 01 6

7 5 0 K _0 4
PC9 6
. 1U _2 5 V _ 06

V 1. 5

PQ 5 6
S I 4 8 0 0B D Y
1

4

10

PQ 5 4
S I 4 8 0 0B D Y

Power Plane

3A 1

PL 9

2
2 . 5U _ 7* 7* 3. 5

F M 58 2 2

A

+

1 . 5V
PJ 1 3

2

O P E N -5 m m
PR 9 4

PC9 5

2 2 K _ 1% _ 0 6

22 P _ 50 V _ 0 4

1 . 5V S

Ra
1

PJ 1 5

Sheet 30 of 40
1.5V, 1.05VS

2

O P E N -5 m m

+

2
P C 1 67

P G ND2
15

A GN D 2

P G ND 1

A G ND1

4 7 K _0 4

1

28

P R 15 4

14

P R9 9
1 0K _ 1 % _0 6

1 .5 8V
4

PD 3

5V

Rb

2A

1 M _0 4

Vou t = 0 .5 V ( 1 + Ra / Rb )

L X2

VO UT 1

8

25

I LI M 2

FBK1

24

1 1 K _ 1% _ 0 6

D H1

BST1

26

3
2
1

A

Ra

5

V CC A 2

P C8 4
* 10 U _ 2 5 V _1 2

2 2 0U _ 4V _ D

F M5 8 22

*2 2 0 U _ 4V _ D

4

V CC A 1

VD DP2

P C8 5
1 0U _ 25 V _ 1 2

*2 20 U _ 4 V _ D

2 2 0U _4 V _ D

P Q 53
S I 4 8 0 0B D Y

Z3003
Z3004

VD DP1

PC 9 1
. 1 U _ 5 0V _ 0 6

1

P D4

2

PR1 0 0

PC1 6 6
+

8
7
6
5

PC8 9
+

2 . 5 U _ 7 *7 *3 . 5
C

1

1

P L8

P C 99

O P E N -3 m m

P R 98
1 0 K _ 1% _ 06

3
2
1

2.5A

2

2

1 0 0P _ 5 0 V _0 4

P J 12
1

4

6

10 0 0P _5 0 V _0 4

1

V 1 . 05

17
Z3002

10 0 0P _5 0 V _0 4

PC9 8

N OR MAL O PE N
PR 9 5

Rb

P U4

9 . 5 3 K _1 % _ 06

D

S GN D 1

3 2, 3 3 D D _O N #
2. 5 V

D D _ ON #

P R 16 6

* 0_ 0 6

P R 16 7

0_06

G
Z 3 0 25

PQ 1 7

P C 16 9

2 N 70 0 2W

. 1 U _ 1 6V _ 0 4

S GN D 1

S GN D 2

070529

S

3 2 , 34 S U S B +

S GN D 2

S G ND 2

3 .3 V

4 0m il

5V

3 .3 V

M960804

P D2 4
P R 15 3
Z 3 00 9

5V
F M5 8 22

2. 5 V S

*F M 40 0 2
D

C

G

PR 9 3

0 _0 6

0_06

PQ 1 8

P C 17 0

2 N 70 0 2W

. 1 U _ 1 6V _ 0 4

S GN D 1

Z3022

S GN D 2

P R 16 2
2. 5V

0 _ 06

P D2 6

S

3 2 , 34 S U S B +

M960813

A

P D2 5
A

P D2 7

4 7K _0 4

3 .3 VS

4 0m il

P R1 0 2
A

C

C

A

PU 3

R1
P C1 8 9

P R1 7 0
2. 3 7 K _ 1% _ 0 4

PG

EN

AD J
GN D
A ME 8 8 04

1
3

4

Z 3 02 1

PR8 9

Ra

G ND

GN D

A DJ

3

P C 18 7
. 1 U _ 1 6V _ 0 4

. 1 U _ 16 _ 0 4

P C8 0

PC8 1

1 0U _1 0 V _ 08

1 0U _ 10 V _ 0 8

PR9 0
PR1 6 9

PC1 9 0

P C1 7 9

1K _ 1 % _0 6
0. 0 1 U _ 1 6V _0 6

V D D3
Z 3 0 28

2

V O= VRE F( R1+ R2 )/ R2
V RE F=1 .2 15V
P R1 7 2

EN

5
V IN

Z 30 2 7

10 U _ 1 0 V _0 8

R2

5

VO UT

P R 17 1

D

4

10 0 K _ 04

4 7K _ 0 4

5V

M ax ou tp ut cu rr ent i s 1 A

PQ 5 9
2 N 70 0 2W
G

S

6

V O UT

V IN

1 0 U _ 1 0V _0 8

PU9
Z 30 2 6

P C 1 88

1

M960813
1 0 U _ 1 0V _ 0 8

P R 1 68

. 1 U _ 16 V _ 0 4

2

Z 30 2 4

P C7 8

070529

* 0_ 0 8

Z 30 2 3

G ND

P C7 9

* 0_ 0 6

1A

8

P R1 6 3

7

S GN D 1

1 .5 V

A ME 8 8 1 6

GN D

1A

C

*F M 40 0 2

6

F M5 8 22

D D _ ON #

Rb

95 3 _ 1% _ 06

Vo ut = 1. 24V ( 1 + Ra / Rb )
P R1 6 4
D D _ ON # 32 , 3 3

5V
3 6 K _ 1% _ 0 6

P R 16 5
6 4. 9K _ 1 % _0 6

C4 9 9
1 U _ 1 0V _ 0 6

10 K _ 1 %_ 0 4

M96081 3

1.5V, 1.05VS B - 31

B.Schematic Diagrams

1 . 05 V S

3

P C 9 4 1 U _ 1 0V _0 6

PC1 6 8

5
6
7
8

8
7
6
5

P C 1 0 0 1 U _ 1 0V _0 6
P Q 55
S I 4 8 0 0B D Y

13

P G OOD 2

1
2
3

2A
Power Plane

P G OO D 1

C

C

. 1 U _ 50 V _ 0 6 1 0 U _ 25 V _ 1 2 1 0 U _ 25 V _ 1 2 * 10 U _ 2 5 V _1 2
27

S GN D 2

PD 5
F M 0 54 0 -N

1 . 5V _ P G

2
P C 90

P D6
F M0 5 4023-N 1 . 5 V _P G

A

A

S GN D 1

M960804

PC8 6

1 0 _0 6

P R 1 03

C

P C 88

P R9 2

5
6
7
8

PC8 7

Z 30 0 1

*1 0K _ 0 4

2 3 1 . 0 5 V _P G
PC9 2

0 _ 06

P R 1 01

3 .3 V

1
2
3

P R9 1

V DD 5

Schematic Diagrams

VCORE
VCORE F OR YUNA AND NAPA CPU
1U _1 0V _ 06

5V

P R4 9

E

VC C S E N S E 4
VS S S E N S E 4

0 _0 4

P C2 6
*1 0 P _ 5 0 V _ 0 6

1 00 K _0 4

Z 31 05
P C 44

18 0P _ 50 V _0 4

. 0 1U _ X 7R _ 50 V _0 6

88 7_ 1 %_ 06
PR6 9

P C3 1

10 0P _ 50 V _0 4

P C 47

10 00 P _5 0V _ 04

P R 55

P R5 3

0 _0 6

GN D _S I GN A L

VREF VC

GN D _ S I GN A L

5V
CS 1 N
PR3 8

PC2 9

27 . 4K _1 % _0 6

Z 3 10 7

P R 45

P R4 1
* 0_ 04

10 0_ 0 4

D
Z 31 08

PC 24
*10 0P _ 50 V _0 4
23 VC OR E _ON
CS-

P C2 5
*1 0 0P _ 50 V_ 0 4
GN D _ S I GN A L

B - 32 VCORE

E N _ VC OR E

CS+

10 0_ 0 4

. 02 2U _5 0V _ 06

P R 50
10 K _0 4

P R 33

0 _0 4

PJ 1

V R _ON G
P Q2
2N 70 02 W

P Q4
2N 7 0 02 W

G
S

1
1 00 K _0 6

2

P C2 1

2

D

Z 31 0 6

D R P _L 1

P R 46

3m m
1

P C 14
*33 0 0P _ 50 V_ 0 4

2 8K _ 1% _0 6

P T H1

S

P R3 6

DRN

P R 44
10 K _0 4

*0_ 0 4

1 2. 4 K _1 %_ 06

G N D _S I GN AL

P R 37

P R4 8

*10 0 P_ 5 0V _0 4

*6 8 P_ 5 0V _0 4

P C 20

G N D _S I GN AL

P R5 1
* 10 K_ 0 4

10 U _ 25 V _1 2
P C 22

1

1

1

47 0U _ 2. 5 V _D
2

+

P C 75
+
33 0U _2 . 5V _ D

1
1 5U _ 25 V _D

1 0 U _2 5V _ 12
P C 23

1
15 U _ 25 V_ D
1

1
2
P R3 5

PC2 8

1 30 K _1 %_ 06

2
P C 1 46

2
P C 14 7

. 1U _5 0V _ 06

. 1 U _5 0 V_ 0 6
P C 1 86

P C 18 5

PC 18 4

. 1 U _5 0V _ 06
P C 1 83

P C 18 2

* . 00 1 U _ 5 0 V _ 0 8

PT H2
* 10 0K

V C OR E

P C 69
P C7 7
+
+
4 70 U _ 2. 5 V _D
2

C

P C 1 74

P C 76

4 70 U _2 . 5V _ D
2

5
6
7
8
2
3
1
S I 4 85 6D Y

2
3
1
2
3
1

Z 3 11 5

GN D _ S I GN A L

P C 30

PR7 3

*1 0 0P _ 50 V_ 0 4

P C 43

10 00 P _5 0V _ 04

F M58 2 2

DA C
E R R OU T

PR7 4
1 30 K _1 %_ 06

*1 00 P_ 5 0V _ 04
P C 51

0_ 06

CS+
CS-

SS

V RE F V C

PR7 7
1 30 K _1 %_ 06

* 10 _0 8

VC C A

P M_ D P R S LP V R 3, 1 5, 1 7

P C 68
+

P R1 5 9

PD2 0

1

GN D _S I GN A L

V CCA
C L S ET

PQ 42

10 K _0 4

TT R I P

HY S

GN D _ SI G N A L

1 0 00 P _ 5 0 V _ 0 4

4

A
P R5 2

+

. 6U _ 13 *1 3*4

2

S C454

P C2 7

EN _V C OR E
Z3 11 4
Z3 10 9

0_ 06

*0 _0 4

P Q8
2 N 39 0 4

1 K_ 04

PU1

5
6
7
8

32
31
30
29
28
27
26
25
VRT T #
BST
TG
DR N
BG
V5
C LK E N #
PW R G D

P R 76

24
23
22
21
20
19
18
17

EN
R A MP
DP RS L
TT R I P
CS +
CS FB+
FB-

P R4 7

B

33

Z 3 10 2

GN D

0 _0 4

C

P R8 2
3, 1 5, 1 7 P M_ D P R S LP V R

P R7 9

V ID6
V ID5
V ID4
V ID3
V ID2
V ID1
V ID0
D PR ST P #

PQ 41

S I 4 85 6D Y

PQ 40
4
SI 4 85 6D Y

4

HYS
CL S ET
V RE F
A GN D
V CC A
E R R OU T
DAC
SS

Z 31 0 1

1
2
3
4
5
6
7
Z 3 10 3 8

H_VID6
H_VID5
H_VID4
H_VID3
H_VID2
H_VID1
H_VID0

P W R GD _ V C OR E 1 5, 2 3

9
10
11
12
13
14
15
16

4
4
4
4
4
4
4

5
6
7
8

P R7 2

+

32 A
P L3

BG

*0 _0 6

P R 80
10 K _0 4

4

C S 1N

Sheet 31 of 40
VCORE

Z 3 11 3

DRN

Z3 1 12

1 .0 5 VS

0_ 06

P Q36
SI 4 85 6 D Y

DRN

B.Schematic Diagrams

PR7 1
*10 K _0 4

S I 4 85 6D Y

4
PR6 3

. 1U _ 5 0V _0 6

. 1 U _ 50 V _0 6

GN D _S I GN A L

TG

P C1 8 1

1K _ 04

P Q3 7

47 0U _2 . 5V _ D
2

1 U_ 1 0 V _ 0 6

2 C LK E N #
3 . 3V S

5
6
7
8

PR5 4

P C4 6

0_ 06

1 U _ 10 V _0 6

2
3
1

Z 3 10 4

5
6
7
8

68 0_ 1% _0 6

P C4 2

2
3
1

A
P R 67

BST

VC C A

3. 3 VS
C

P R 56

1 0_ 0 6

P D1
F M0 54 0 -N

P C 41

3. 3 V S

.1 U _ 50 V _0 6

V IN
P R 62

Schematic Diagrams

1.8V, 0.9VS

PD2

Z3205

9

*.1U_25V_06

Z3206 10
Z3207

PR142

PC50

PC35
1U_10V_06

. 068U_50V_06

PC33

10_06

PC59
+

PC154

V1. 8

+

150U_4V_B

*150U_4V_B2

VCCA

PC40

PC38

*10U_10V_08

1U_10V_06

PR75

.1U_25V_06
Z3213

0_06
PR78
21 Z3212
18K_1%_06
LX 22
DL 19 Z3215

VTT
VTT
VDDP2
VDDP2

1

EN/PSV

11

VTTEN

VDDP1

5V
PC55

GND
PGND1
PGND1
PGND2

25
18
16
17

PC143

PC145

10U_25V_12

10U_25V_12

.1U_50V_06

PL6

V1.8

2. 5U_7*7*3. 5

Z3214

PQ43
SI4800BDY

4

20

PC144

PQ38
SI4800BDY

4

ILIM

VSSA

12
13

2

2

20K_1%_06

4

Z3209 14
15
1

OPEN-3mm
PR145

VTTS

Z3216
PC49

0_06
23 Z3211

Sheet 32 of 40
1.8V, 0.9VS

VIN

24 Z3210 PR70

COMP

PC36

3A

1

1

7A

PD21

PC48
+

+
220U_4V_D

FM5822

PC57
*220U_4V_D

1

PJ7

2

1.8V

OPEN-8mm
PC34

PC39

.1U_16V_04

.01U_50V_06

1U_10V_06
PR83

VSSA

0_06

SC486
PR64

47K_04

1. 8VEN
D

5V

DD_ON# G

PQ7
2N7002W

PC45
.1U_16V_04

S

30, 33 DD_ON#

PR81

47K_04

VTTEN
D

5V

30,34 SUSB+

PQ9

G

2N7002W

PC53
.1U_16V_04

S

PJ3

5

1000P_50V_04 1U_10V_06

VSSA
2

BST

1

FB
REF

DH

Z3208

0. 9VS

TON

6
8

PC52

PR57
10K_1%_06

10_06

2

Z3203
Z3204

7 Z3217

2

10_06

Z3202

PGD

1

PR68

Rb

PR66

VDDQS

2

1U_10V_06

3

C

1U_10V_06

Z3201

A

PC37

5
6
7
8

PR60
2.2K_1%_06

100P_50V_04

10_06

PC56

C

PR58

Ra

PC32

PR65
*100K_04

FM0540-N

PU2

1
2
3

10_06

5
6
7
8

PR61

1.5M_04

1
2
3

PR59

3.3V

1.8V, 0.9VS B - 33

B.Schematic Diagrams

Vout = 1.5V ( 1 + Ra / Rb )

5V

A

VIN

V1.8

Schematic Diagrams

VDD3, VDD5
Z331 4

VIN2
Z3302
PR12 5

Vout = 0.8V ( 1 + Ra / R b )

5
6

*30P_50 V_0 4

Z3310

8

Z3311

9

Z3312 11
PC130
12

Rb
PC127

. 01U_1 6V_ 04

7

Ra

PR27

I TH1

INTVCC

3. 3VOUT

19

1U_10 V_0 6

4 .7U_1 0V_08

Z3320
PC13 9

15

SW2

SENSE2-

TG2

14

RU N/SS2

13

SENSE2+

8
7
6
5

C

10_0 6

PC17

VOSENSE2

1
2

. 1U_16 V_0 4

3
2
1

VIN
PC140

17

BOOST2

I TH2

150U_ 6.3V_D

PC141

20

PC1 3
.1 U_25V_06

PD11 FM05 40-N
C
A
4

.1U_ 25V_06

Z3322

PC15

PC1 6

PC18

.1 U_50V_06 1 0U_25 V_1 2 10U _25V_12

18

BG2

4

PQ32
SI480 0BD Y

Z3321

10 U_25V_12

10_0 4
10_0 4

1 0U_25 V_1 2

PQ34

SYS3V
PL4
1

2

4.7 U_7*7*3.5 . 010_1 %_2 5

VDD3
PJ8

5A

PR14 3

1

+ PC155
150 U_6.3 V_D

Z3330

PR121

PC19

SI4 800BDY

PD18
FM5822

LTC37 28LXCUH

PR124

2

4 SI4800 BDY

A

FM05 40-N

21

PGND

SGND

C

PJ9

OPEN -5mm
PC137

+ PC156

PQ35

1
2
3

32

29

Z3318

.01 0_1%_25
4

VDD5
1

2

0_0 4

22

EXTVCC

Z3313
*1000P_5 0V_ 04 63.4 K_1 %_0 6

VIN2

FCB

100 0P_ 50V_04

PR 18

23

5A

1

Z3307

24

VIN
BG1

PLLI N

.1U_2 5V_06

BOOST1

PD13

PR144

A

4

Z331 7

3
2
1

3

PC135

25

A

22 0P_ 50V_04

PC121

PLLFLTR

26

PL5
4. 7U_7*7*3. 5
2

8
7
6
5

1 5K_ 04 Z3309 PC113

2 0K_ 1%_ 06

VOSENSE1

2

Z3306

*30P_50 V_0 4

SW1

1

C

22 0P_ 50V_04

TG1

SENSE1-

1

Z3305

PGOOD

SENSE1+

5
6
7
8

Z3303

0V :220KHz
5V :640KHz

RUN/ SS1

NC

30

27

PR13 2

. 01U_1 6V_ 04

PR25

PR1 9
*0 _04

SYS5V
PQ33
PD19
SI4800 BDY FM5822

Z3315
Z3316

5
6
7
8

*0_04 Z3304
0_0 4

PR113

PC11 5

5V

1
2
3

Ra
PR1 7
PR1 14

1 5K_ 04 Z3308 PC8

2

OPEN- 5mm
PC157
.1 U_16V_04

Z33 23

INTVCC2
SGND4
VI N2

PR1 26

1M_ 04

0.0 1U_50V_0 6

5V

PD10 FM054 0-N

5A 5 V

SGND4

Power Plane

I NTVCC2

2 SHOR T-3mm

PQ 50
2N70 02W
G DD_ON#

*.01U _25V_04

PQ 29
2N70 02W
G

PJ10

SGND4

PR1 27
10K_04

SGND4

SY S5V

C

SY S10 V
PC11
2200P_50 V_0 6

A

PD9
Z332 5
A
.0 1U_50V_X7R_06

FM054 0-N

PD8

FM054 0-N

C

SY S15 V
PC9
2200P_50 V_0 6

PR134
10K_04

Z3326
PQ31
2N7 002W
G

THERMTRIP# 3

S

D

2

OPEN-3mm

INTVCC2

PQ22
2N 7002W
G

SGND4

1

PC1 63

1

PJ6

D

D

0_04

4

Z33 28

PC134

RUN_SS1

PR1 48
EN_15 V

D

PQ 47
SI 4800 BDY
8
7
3
6
2
5
1

A

PD12 FM054 0-N
Z332 4
.0 1U_50V_X7R_06
A
C

S

5A

S

VDD5

C

PC136

RUN_SS2
PC 132

S

SYS5V

VDD3

5A

DD_ ON#

Z332 7
270K_04

PC162
2200 P_5 0V_04

B - 34 VDD3, VDD5

1 0K_ 04

5A
Power Plane

PC161

PQ49
2 N7002 W
G

. 1U_25V_06

DD_ON# 30, 32

D

PR 146

EN _15V

D

1M_0 4

PR150
3. 3V

4

PR 147

PQ46
SI4 800BDY
8
7
3
6
2
5
1

23, 29 DD_ON

DD_ON

PQ48
2 N7002W

G

PR149

DD_ON#
100K_0 4

S

SY S15 V

S

B.Schematic Diagrams

PC129

1000 P_5 0V_ 04 31

NC

105K_1%_06

28

3.3VREF

SGND4

5V

Z3319

NC

*180P_50 V_0 4

PR2 8

PC114

Sheet 33 of 40
VDD3, VDD5

0_06

VI N1
PU6

NC

PC1 17

PC 116

PR20

10_04

16

RUN_SS1

2 0K_ 1%_ 06
INTVCC2

PR123

PR129

Z3 301

10

PR26

10_04

1 M_04

PC13 1 .02 2U_25 V_0 6

Rb

PR120

PC1 64
.1U_ 16V_04

Schematic Diagrams

EXT GPU 1.0VS/1.2VS

V IN

5V

P C6 0

PC 5 4

P C 58

. 1U _2 5 V _ 06

1 0 U _ 2 5V _ 1 2

1 0 U _ 25 V _ 1 2

1 .0 V S
V 1 .0

. 1 U _ 2 5V _ 0 6

P C7 2

P C 1 49

P C 1 60

30 P _ 50 V _ 0 4

1
4 70 U _ 2 . 5V _ D
+

P C 15 9

2

+

2

+

A

S GN D 3
S GN D 3

1

2
OP E N -8 m m

. 1 U _ 1 6V _ 0 4

2
3
1

1

F M 5 82 2

PC1 5 8

PD 2 3

S I 4 85 6 D Y

4

1U _1 0 V _ 06

P C 15 0

PQ 4 5

C

4 . 3 K _0 6
P C 1 53 Z 3 40 7

P J1 1

8A

2. 5 U _ 7 *7 *3 . 5
22 0 U _ 4 V _D

2

* 22 0 U _ 4V _ D

PL 7 1

1

P R 14 1
Z3406

2
3
1

P C 1 52
Z3405

S I 4 8 5 6D Y

5
6
7
8

NC

13
12
11
10
9
8
7
17

S C 4 11
S G ND3

PQ 4 4

C

14
N C

BST
DH
LX
IL IM
V DD P
D L
P G ND
M1

4

P R1 3 8

Ra

1 0K _ 1 % _0 6

Z 3 40 8

Vo ut = 0 .5 V ( 1 + Ra / Rb )

Sheet 34 of 40
EXT GPU 1.0VS/
1.2VS

P R1 3 5

PR1 41 3K -> 4.3 K 1.0 VS ? OC P?
? ? ? 11 .8 A C 96 072 4

Rb

PR1 3 7

7 . 5K _ 1 % _0 6

0_ 0 6
S G ND 3

R1 35= 7. 5K- -> 1.1 67 V
R1 35= 7. 87- -> 1.1 35 V

S GN D 3

SYS5 V

5V

1 0K _ 0 4

. 1U _1 6 V _ 06

EN
G ND

V O UT
V O UT

6

1 0U _ 10 V _ 08

2

Z 34 1 0 P R 1 5 6

1 5 K _ 1% _ 06

P C 17 2

2

8 2 P _5 0 V _ 04

P C 1 06

P C 1 04

S U S B + 3 0 , 32

S US B +

P C 1 02

. 1 U _1 6 V _0 4
1 0U _ 10 V _ 08 1 0 U _ 1 0V _ 0 8
1 3, 1 5 , 1 6, 2 0 , 23 , 2 7 , 28 S U S B #

P C 1 03
. 1 U _1 6 V _0 4

P J4

OP E N -3 m m

A P L5 9 13
P C 1 05

1 .2 VS
1

3

Ra
VFB

V 1 .2 V S

1. 5A

4

P R 1 57

Rb

2 6 . 7 K _1 % _0 6

S US B #

P Q2 0
2 N 7 00 2 W

G
P R 1 04

M960813

P C 10 7

1

1

P C 1 73
P Q1 9
2N 70 0 2 W

G
S

30 , 3 2 S U S B +

8

V CNT L

P J5

3 0 P _5 0 V _0 4

OP E N -3m m
2

Z 34 0 9
D

2 20 K _ 0 4

V IN
V IN
PO K

1 U _ 10 V _ 06

D

5V

5
9
7

P U8

S

2A

PR1 5 8

P R1 0 5

P C 1 71

V 1. 5

1 0 0 K _0 4

Vo ut = 0.8 V ( 1 + Ra / Rb )

EXT GPU 1.0VS/1.2VS B - 35

B.Schematic Diagrams

S G ND3

E N/P S V
TO N
V OU T
V CC A
F BK
P GO OD
VSSA

Z3404

5

1 U _ 1 0V _ 0 6_ X 7R

S

2 N 7 0 02 W

Z 3 4 01

P C 1 51

P Q 39
G

15
16
1
2
3
4
6

1 0 00 P _ 5 0V _ 0 4

10 0 K _1 % _ 04

D

1 .0 V _ E N

5
6
7
8

F M 0 54 0 -N

PU 7

P R 1 40

A
PD 2 2
Z3403

S G ND3

5V

3 0 , 32 S U S B +

10 _ 0 6

1 U _ 10 V _ 0 6

1 . 5M _0 4

2

P C 1 48

P R 1 39

V IN

P R1 3 6

Z 3 40 2

PR 88 15 0K- >1 00K
PC 151 . 1U- >1 U
FO R V GA TI ME
C9 606 04

Schematic Diagrams

HOTKEY LT BOARD

H SW1

HSW2

4
3

B.Schematic Diagrams

H GND

1
2

4
3

HWEB2#

H CH STS- 05
PIN 5,6 = HG ND

HGN D

E-MAIL

HC H STS-05
PIN5 ,6 =HG N D

WWW

Sheet 35 of 40
HOTKEY LT
BOARD

HJHO TKEY 1
HWEB0#
HWEB1#
HWEB2#

1
2
3
4
5
6

H H1
C 67D67

B - 36 HOTKEY LT BOARD

HWEB0#-->WEB0-->WWW
HWEB1#-->WEB2-->APPLICATION
HWEB2#-->WEB1-->E-MAIL

CO N6A

H GND

HH2
C67D67

HH3
C237D91

HH 4
C237D91

HH5
C237D 91

HG ND

HGND

H GND

HSW3
1
2

4
3

HWEB0#

H GND

1
2
HCH STS- 05
PI N5, 6= HG ND

AP

HWEB1#

Schematic Diagrams

PWR HOT BOARD
PPS W1

PPSW2

4
3

1
2

PPWEB5#

4
3

HCH STS-05
PPGND

PPWEB4#

HCH STS-05

PIN 5,6=PPGND

PPGND

PPS W3

PIN5,6=PPGND

PPSW4

4
3

1
2

PPWEB3#

4
3

1
2

PPM_BTN#

HCH STS-05

PIN 5,6=PPGND

PPGND

PIN5,6=PPGND

Sheet 36 of 40
PWR HOT BOARD

PP+5VS

PP+5VS

PPR1
221_06

PPJHOTKEY 1

A

PPM_BTN#
PPWEB3#
PPWEB4#
PPWEB5#

Z3601

1
2
3
4
5
6

PPD1
LED_12

C

CON6A_HOTKEY

PWR LED

PPG ND
PPGND

PPH1
C67D6 7

PPH5
C67D67

PPH6
C237D91

PPGND

PPH4
C237D91

PPGND

PPH3
C237D9 1

PPGN D

PPH2
C237D91

PPGND

PWR HOT BOARD B - 37

B.Schematic Diagrams

HCH STS-05
PPGND

1
2

Schematic Diagrams

AUDIO & MODEM BOARD

CONN.? ? ? ? ?

B.Schematic Diagrams

ASPD _I FO 1
2
AL2 FC M1608K- 121T06_06

AH MD CC 1

AL9
AJMOD EM1
HK2125 R10J -T_08
2 Z3701
Z3703
2 1 Z3702
Z3704
1
HK2125 R10J -T_08
AL10
85204- 2

1
2

AC 2
1000P_50V_06

TI P
RI NG

3
5
4
2
1

AJSPD IF1
3
5
4
2
1

SPDIF OUT
/Side Surronnd
OUT

AUD IO J ACK

AGN D

AGND

PJ S
PI N GN D1=AGND

A C3

J LINEIN
5
4
3

Sheet 37 of 40
AUDIO & MODEM
BOARD

Z3705
Z3706
ASPDI F

AGN D
680P_50V_06

AMI CSEN SE
AMI CR
1
2
AL3 H CB1608KF-121T25_06
AMI CL
1
2
AL4 H CB1608KF-121T25_06

Z3707
Z3708
Z3709
AC5

1

5
4
3
6
2
1

AJMIC 1

Mic In
/Cen/LEF Out
2SJ -S351-S01

1000P_50V_06

2
6

SOLDE R SIDE VIEW
AHP_SEN SE
ALI NE_SEN SE

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15

ALI NE1-R
ALI NE1-L
ASPD_IF O
AMI CR
AMI CSEN SE
AMI CL
AHP_R
AHPSEL
AHP_L

AGND
AGN D

AJ AUD IO1
AC 7

AR 1

1K_06
. 1U _50V_06
AHP_SENS E
AHP_R
1
2
AH PSEL
AL5 H CB1608KF-121T25_06
AHP_L
1
2
AL6 H CB1608KF-121T25_06
AC 9
1U_50V_06

Z3710
Z3711

AR 2
1K_06

AC 8

5
4
3
6
2
1

AC6

AJH P1

HEADPHONE
2SJ -S351-S03

2200P _50V_06
2200P_50V_06

C ON 15_R
AGN D

AGND

AGN D

ALI NE_SENS E
ALI NE1-R AC 11 1U_10V_06 Z3715
AL7 H CB1608KF-121T25_06
ALI NE1-L AC 13 1U_10V_06 Z3716
AL8 H CB1608KF-121T25_06
AC 12

Z3712
Z3713
Z3714
AC10

2200P_50V_06
2200P_50V_06
AH3
C256D256

AH5
C79D 79

AH1
C79D 79

AH2
C111D111

AH 4
C 315D 110

AGND

B - 38 AUDIO & MODEM BOARD

AGN D

5
4
3
6
2
1

AJLIN EIN 1

Line In
/REAL
Surround Out
2SJ -S351-S05

Schematic Diagrams

CLICK BOARD

T T C_ VDD
TJ _ F P 2
T G ND

T MU X O U T
T GR I D 0 / S E N S E
T MC S
T MI S O / MO D E 3
T GP I O 1

T G ND

T DA T A 0
T DA T A 1
T GP I O 0/ I N T
T US B _ P N3 _ R
T US B _ P P 3 _ R

2
4
6
8
10
12
14
16
18
20
22
24

T AVDD
T TC _ V D D
T DV D D1

TM OS I
TP D _ R E G
TN R E S E T
TD A T A 2
TE S D _ R I N G
TM C L K
TX I N
TX O U T

T C 24

TC 2 5

T C2 6

1 U _1 0 V _ 06

1U _ 10 V _ 0 6

1 U _ 1 0V _ 0 6

C ON 2 4A
T GN D

T GN D

T G ND

T D A TA 2

T R1

4 7K _ 0 4

T D A TA 1

T R2

4 7K _ 0 4

5
2
1
6

T MI S O / MO D E 3
T MOS I
T MC S
T MC L K

T T C_ V DD

1
3
5
7
9
11
13
15
17
19
21
23

TU 2
S
Q
C S#
SC K

8

V DD

3

W P#

TC 2
. 1U _ 16 V _ 0 4

4

T D A TA 0

T R3

T G P I O1

T R4

3 30 K _ 0 4

T M I S O/ M OD E 3

T R5

4 7K _ 0 4

4 7K _ 0 4
Z 3 8 09

T R1 5

H O LD #

M9 51 2 8 W MN 6T P

T GN D

TG N D

VSS

7

1 00 _ 04

T GN D

1

T E S D_ RI NG

T GN D

1

1

T MU XO U T

23

T T C_ V DD
2
24
TOP VIEW

2
24
BOTTON VIEW

3
R C al m p0 5 0 2B

T C7

T R1 6

TC 8

. 1 U _ 2 5V _0 6

3 3 0K _ 0 4

* 1 8P _ 5 0 V _0 6

T G RID0 /S E N S E

TC 2 8
33 P _ 5 0V _ 0 6

T N RESE T

T R2 4

4 7K _ 0 4

Sheet 38 of 40
CLICK BOARD

T GN D

T C2 7
T 3. 3 V

T 3. 3 V

TG N D

T J _ F P1

T 3 . 3V

TC 4

TC 5

1U _ 10 V _ 0 6

. 1U _1 6 V _ 04

T Q1
N D S 3 52 A P
G

2 7 . 4 _1 % _ 04

T U S B _P N 3

T G_ F E T

TR 2 2

T GN D
D
T R6

3 3 _ 06

4

TG N D

T R1 4

B

T DVD D1

T X1
H S X5 3 1 S _1 2 MH z

T C 20

. 1 U _ 1 6 V _0 4

T 3 . 3V
T C1 8
. 1 U _ 16 V _ 0 4

TC 1 7

1 8 P _5 0 V _ 06

18 P _ 5 0V _0 6

T GN D

T R1 2

T C2 2

1 0 0K _ 0 4

1 U _ 1 0V _ 0 6

T 3. 3 V

1

T U S B _P P 3

47 P _ 5 0V _0 4

VC C

B

3

Rx /Cx

CL R#

Cx

GN D

Q

8

3 30 K _ 0 4

7

Z 3 80 6

6

Z 3 80 7

5

Z 3 80 8 T R 1 3

T C2 1

T G ND

2 . 2 U _ 6 . 3 V _0 6
1 0K _ 0 4

TG _ F E T
T 3 . 3V

T SW 2

H C H S T S -05
P IN5 ,6 = T G ND

2 7 . 4 _1 % _ 04

7 4L V C 1G 1 23
T GN D

T +5 V

T J TP 2
1
2
3
4

TS W 1
1
2

1 . 5 K _ 04

TR 20

T R1 1

A#

2

T G ND
T GN D

4
3

TR 19

TC 1 9

T U3
T GN D
Z3805

4
T GN D

T GP I O 0/ I N T
T USB_ PP 3 _ R

T G ND
T Q2
2 S B 11 9 8 K

1 U _1 0 V _ 06

*4 7 K _ 04

T C1 6

T GN D

4
3

TP B U T TO N _ L

TG N D

1
2
H C H S T S -0 5
P IN5 ,6 = T G ND

TP B U T T ON _ R

C ON 4

1
2
3
4

T T P_ CL K
T T P_ DAT A

TG N D

T J TP 1
1
2
3
4
5
6
7
8
9
10
11
12

Z 3 80 1
T P B U T T ON _R
T P B U T T ON _L
Z 3 80 2
Z 3 80 3
Z 3 80 4

T R2 1

T C2 3

3 3 0K _0 4

1 U _ 6 . 3V _0 4

T P D_ RE G
C

1

3

T C1 5

1 U _ 1 0V _ 0 6

E

2

T C1 4

C

TG N D

4 7 P _ 50 V _ 0 4

1 5_ 0 6

TR 9

T XO U T

1 0 0K _ 0 4

T GN D

T A V DD

. 0 2 2 U _ 1 6V _ 0 4
T T C_ VDD

T XI N

T C 13

*0 _0 6

T C 12
T GN D

T R1 8

T G ND
T TP _ C LK
T TP _ D A TA
T+5V

T R2 3
TH 2
C 6 7D 6 7

T H5
C6 7 D6 7

T H3
C 23 7 D 9 1

TH 1
C 2 37 D 91

T H4
C2 3 7 D9 1

T H6
C 23 7 D 9 1

T GN D

TG N D

T GN D

T GN D

T D1
*S C S 5 5 1V

0 _ 04
A

1
6
TJ_FP1

C ON 6 _C LI C K

TG N D

TR 1 7

T US B _ P N3 _ R

T GN D
T USB_ PP3
TU S B _ P N 3

S

1
2
3
4
5
6

. 1 U _ 2 5 V _0 6

T C1

8 7 15 1 -1 20 7 G

0 . 1U F _ 04
T G ND

T GN D

TG N D

CLICK BOARD B - 39

B.Schematic Diagrams

J_FP1
23

TU 4

2

Schematic Diagrams

USB BOARD
U J U S B2

960522
UJA C1
1
2
3
4

U P F1
U VA +

1
2
GN D 1
GN D 2

U VA ++ U PR 2

* 0_1 2

5A
UP C1

A C I N _C ON

P I N G N D 3 ~4 = U G N D

Z3 905

U P L1
1

4

2

3

UP C2

. 1 U _50V _08

U GN D

UP C3

. 1 U _50V_08

U GN D

. 1U _50V_0 8

U PR 1

U +5V
U U SB _OC 2#
U U SB _PN 1

E MI _ C H OK E
Z39 01
* 0_1 2

1
3
5
7
9
11
13
15
17
19

U GN D
U +5V
U +V D D 3
U LID _R SU M #
U U SB _PP 1

U +VD D 3
U GN D

C ON 20 A

U +V D D 3
UR3

Sheet 39 of 40
USB BOARD

1
6
2

UC9
U C4
1U _ 10V_0 6
. 01U _ 50V _0 6

C

UD1
2.7 K _06

UU1
VS
PR G
GN D

Q
GN D
GN D

3
4
5

*B AV 99

AC

A

TLE4917 D S

U GN D

U GN D

UR 2

UG ND

U GN D

LID SWITCH

U LI D _R S U M#
U C2

82K_0 6

. 1U _50 V _06
Z 3902
1

U L2
U U S B_OC 2#

UR 1

68K_0 6
H C B 3216K F -800 T30_12
UC3
U U S BV C C

+

U U SB _PN 1

4

U U SB _PP 1

1
2
W C M3216F2S -161 T03

U L3

3

Z 3903

2

Z 3904

3

UC1

. 1U _50V_0 6
100U _10V_D

4

UU 2
4
1

U +5V
UC5
+

3

V IN

V OU T

V IN

V OU T
GN D

1 00U _6. 3V _B

U GN D
5

UC6

2

.1 U _10V_04
10U _10 V _08
.1U _1 0V _04

U GN D

UC7

U GN D

UC 8

U GN D

UH1
C 79 D 79

U H2
C 237 D 91

UUSBVCC? ? ? ? ? 5V
~ 5.25V? ? ,? ? ? ?
4.9V, ? ? ? ? ? ? ?
Device? Eye Pattern Test
fail? ? ? ? ? ?

UH6
UH7
H 6_ 0D 2_3 H 6_0 D 2_3

UH3
C 79D 79
2
3
4
5

UH4

9
8
7
6

1

MTH 8_0 D 2_8

2
3
4
5

UH5

9
8
7
6

1

MTH 8_ 0D 2_8

U GN D
U GN D

B - 40 USB BOARD

UJUS B 1
V CC
S
D A TAS
GN D

UG ND

U GN D

U GN D

U GN D

U S B_1
U GN D

GN D 1
GN D 2

D A TA+

1

R T97 01-C P L

2

B.Schematic Diagrams

2
4
6
8
10
12
14
16
18
20

UG ND

Schematic Diagrams

FINGERPRINT BOARD
FU1
EXT_RING2
CRIDO
RING
MUXOUT
AVDD
MCS

MISO
DVDD
GPI O1
AGND
DATA0
DATA1
GPI O0
MCLK
USB_DN
USB_DP
MOSI
PD_REG
NRESET
DGND
DATA2
EXT_RING1
PVDD
XTALIN
PGND
XTALOUT

FESD_RING

B1

FGRID0/SENSE

C1
D1

FGND
FMUXOUT

E1
F1

FAVDD
FMCS

G1
H1

FTC_VDD
FGND

J1
A2

FJ1

FMISO/MODE3
FDVDD1
FGPIO1

B2

FGND

C2

FDATA0

D2

FDATA1

E2

FGPIO0/ INT

F2

FMCLK

G2

FUSB_PN7_R

H2

FUSB_PP7_R

J2

FMOSI

A3

FPD_REG

B3

FNRESET

FGND

FMUXOUT
FGRID0/SENSE
FMCS
FMISO/ MODE3
FGPIO1
FDATA0
FDATA1
FGPIO0/INT
FUSB_PN7_R
FUSB_PP7_R

1
3
5
7
9
11
13
15
17
19
21
23

2
4
6
8
10
12
14
16
18
20
22
24

FMOSI
FPD_REG
FNRESET
FDATA2
FESD_RING
FMCLK
FXIN
FXOUT

F AVDD
F TC_VDD
F DVDD1

Sheet 40 of 40
FINGERPRINT
BOARD

F GND

CON24

F J1

C3

FGND

D3

FDATA2

E3

FESD_RING

F3
G3

23

23

1

2
24
BOT TO N V IE W

24

2
TO P V IE W

1

FTC_VDD
FXIN

H3
FGND
J3

FXOUT

TCS4B

FINGERPRINT BOARD B - 41

B.Schematic Diagrams

PAD_VDD1B

A1

B.Schematic Diagrams

Schematic Diagrams

B - 42

www.s-manuals.com



Source Exif Data:
File Type                       : PDF
File Type Extension             : pdf
MIME Type                       : application/pdf
PDF Version                     : 1.6
Linearized                      : No
Page Mode                       : UseOutlines
XMP Toolkit                     : Adobe XMP Core 4.0-c316 44.253921, Sun Oct 01 2006 17:14:39
Producer                        : Acrobat Distiller 6.0 (Windows)
Create Date                     : 2007:11:29 13:06:16Z
Creator Tool                    : FrameMaker 7.0
Modify Date                     : 2015:10:02 09:30:36+03:00
Metadata Date                   : 2015:10:02 09:30:36+03:00
Document ID                     : uuid:d0dcfbe4-a0fc-4221-9d9a-fd9719c87e6b
Instance ID                     : uuid:b7584c5a-e2ca-4009-bd7f-74ea2a783abe
Format                          : application/pdf
Creator                         : 
Title                           : Clevo M660SR, M665SR - Service Manual. www.s-manuals.com.
Subject                         : Clevo M660SR, M665SR - Service Manual. www.s-manuals.com.
Page Count                      : 93
Page Layout                     : SinglePage
Keywords                        : Clevo M660SR, M665SR - Service Manual. www.s-manuals.com.
EXIF Metadata provided by EXIF.tools

Navigation menu