Sentaurus.SProcess.User Guide

User Manual:

Open the PDF directly: View PDF PDF.
Page Count: 1226

DownloadSentaurus.SProcess.User Guide
Open PDF In BrowserView PDF
Sentaurus™ Process User
Guide
Version I-2013.12, December 2013

Copyright and Proprietary Information Notice
Copyright © 2013 Synopsys, Inc. All rights reserved. This software and documentation contain confidential and proprietary
information that is the property of Synopsys, Inc. The software and documentation are furnished under a license agreement and
may be used or copied only in accordance with the terms of the license agreement. No part of the software and documentation may
be reproduced, transmitted, or translated, in any form or by any means, electronic, mechanical, manual, optical, or otherwise, without
prior written permission of Synopsys, Inc., or as expressly provided by the license agreement.

Destination Control Statement

All technical data contained in this publication is subject to the export control laws of the United States of America.
Disclosure to nationals of other countries contrary to United States law is prohibited. It is the reader’s responsibility to
determine the applicable regulations and to comply with them.

Disclaimer

SYNOPSYS, INC., AND ITS LICENSORS MAKE NO WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, WITH
REGARD TO THIS MATERIAL, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE.

Trademarks

Synopsys and certain Synopsys product names are trademarks of Synopsys, as set forth at
http://www.synopsys.com/Company/Pages/Trademarks.aspx.
All other product or company names may be trademarks of their respective owners.
Synopsys, Inc.
700 E. Middlefield Road
Mountain View, CA 94043
www.synopsys.com

ii

Sentaurus™ Process User Guide
I-2013.12

Contents
About This Guide

xxxi

Audience . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xxxii
Related Publications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xxxii
Typographic Conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xxxii
Customer Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xxxiii
Accessing SolvNet. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xxxiii
Contacting Synopsys Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xxxiii
Contacting Your Local TCAD Support Team Directly. . . . . . . . . . . . . . . . . . . . . xxxiv
Acknowledgments. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xxxiv
Chapter 1 Getting Started

1

Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1
Setting Up the Environment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2
Starting Sentaurus Process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2
Starting Different Versions of Sentaurus Process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3
Using a Command File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3
Example: 1D Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4
Defining Initial 1D Grid . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4
Defining Initial Simulation Domain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
Initializing the Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
Choosing Process Models and Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6
Setting Up a Meshing Strategy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6
Growing Screening Oxide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6
Measuring Oxide Thickness . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
Depositing Screening Oxide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
Tcl Control Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
Implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
Saving the As-Implanted Profile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
Thermal Annealing, Drive-in, Activation, and Screening Oxide Strip . . . . . . . . . . . . 11
Example: 2D Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12
Defining Initial Structure and Mesh Refinement. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12
Implanting Boron. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15
Growing Gate Oxide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15
Defining Polysilicon Gate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
Working with Masks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
Polysilicon Reoxidation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
Saving Snapshots . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18
Sentaurus™ Process User Guide
I-2013.12

iii

Contents

Remeshing for LDD and Halo Implants . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18
Implanting LDD and Halo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19
Forming Nitride Spacers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20
Remeshing for Source/Drain Implants . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20
Implanting Source/Drain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
Transferring to Device Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
Remeshing for Device Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
Contacts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
Saving the Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23
Extracting 1D Profiles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23
Adaptive Meshing: 2D npn Vertical BJT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24
Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24
Defining Initial Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26
Adaptive Meshing Settings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27
Buried Layer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28
Epi Layer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29
Sinker Region . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29
Base Region . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30
Emitter Region . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30
Backend . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31
Full-Text Versions of Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32
1D NMOS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32
2D NMOS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33
2D npn Vertical Bipolar. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38
Chapter 2 The Simulator Sentaurus Process

43

Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43
Interactive Graphics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44
Command-Line Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45
Interactive Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46
Fast Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47
Terminating Execution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47
Environment Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47
File Types Used in Sentaurus Process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48
Syntax for Creating Input Command Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50
Tcl Input. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50
Material Specification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52
Aliases . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52
Default Simulator Settings: SPROCESS.models File. . . . . . . . . . . . . . . . . . . . . . . . . . . . 53
Compatibility With Previous Releases . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54
Parameter Database. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55
iv

Sentaurus™ Process User Guide
I-2013.12

Contents

Parameter Inheritance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57
Materials in Parameter Database . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57
Like Materials: Material Parameter Inheritance . . . . . . . . . . . . . . . . . . . . . . . . . . . 57
Interface Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58
Regionwise Parameters and Region Name-handling. . . . . . . . . . . . . . . . . . . . . . . . . . 58
Viewing the Defaults: Parameter Database Browser . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60
Starting the Parameter Database Browser . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62
Browser PDB Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62
PDB Preferences. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64
Viewing Parameters Stored in TDR Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65
Creating and Loading Structures and Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66
Understanding Coordinate Systems. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66
Wafer Coordinate System. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66
Simulation Coordinate System (Unified Coordinate System) . . . . . . . . . . . . . . . . 67
Visualization Coordinate Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68
Defining the Structure: The line and region Commands . . . . . . . . . . . . . . . . . . . . . . . 70
Creating the Structure and Initializing Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71
Defining the Crystal Orientation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73
Automatic Dimension Control. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74
Saving and Visualizing Structures. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75
Saving a Structure for Restarting the Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . 76
Saving a Structure for Device Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77
Saving Doping Information in SiC and GaN for Device Simulations . . . . . . . . . . 79
Saving 1D Profiles for Inspect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79
Saving 1D TDR Files from 2D and 3D Simulations . . . . . . . . . . . . . . . . . . . . . . . 79
The select Command (More 1D Saving Options) . . . . . . . . . . . . . . . . . . . . . . . . . 80
Loading 1D Profiles: The profile Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80
Chapter 3 Ion Implantation

81

Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81
Selecting Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84
Dios or Default Tables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85
Taurus Tables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86
TSUPREM-4 Native Implant Tables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86
Multirotation Implantation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88
Energy Contamination Implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88
Adaptive Meshing during Implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89
Coordinate System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89
Coordinates for Implantation: Tilt and Rotation Angles . . . . . . . . . . . . . . . . . . . . . . . 89
2D Coordinate System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91
Sentaurus™ Process User Guide
I-2013.12

v

Contents

Analytic Implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92
Primary Distribution Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94
Gaussian Distribution: gaussian . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94
Pearson Distribution: pearson. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95
Pearson Distribution with Linear Exponential Tail: pearson.s. . . . . . . . . . . . . . . . 96
Dual Pearson Distribution: dualpearson . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97
Point-Response Distribution: point.response . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98
Screening (Cap) Layer-dependent Moments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98
Lateral Straggle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99
Depth-dependent Lateral Straggle: Sentaurus Process Formulation . . . . . . . . . . 100
Depth-dependent Lateral Straggle: Dios Formulation . . . . . . . . . . . . . . . . . . . . . 100
Depth-dependent Lateral Straggle: Taurus Formulation . . . . . . . . . . . . . . . . . . . 101
Analytic Damage: Hobler Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101
Datasets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103
Tables. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104
Implantation Table Library. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104
File Formats . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106
Multilayer Implantations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111
Lateral Integration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113
Local Layer Structure in 2D . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113
Primary Direction and Scaling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115
Point-Response Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 116
Analytic Damage and Point-Defect Calculation . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117
Implantation Damage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118
Point-Defect Calculation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118
Backscattering Algorithm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120
Multiple Implantation Steps. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121
Preamorphization Implantation (PAI) Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . 121
CoImplant Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122
Profile Reshaping. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125
Ge-dependent Analytic Implantation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127
Analytic Molecular Implantation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128
Molecular Implantation with Supplied Implant Tables . . . . . . . . . . . . . . . . . . . . 130
BF2 Implant . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130
Damage Calculation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131
Performing 1D or 2D Analytic Implantation in 3D Mode. . . . . . . . . . . . . . . . . . . . . 131
Implantation on (110)/(111) Wafers Using (100) Implant Tables. . . . . . . . . . . . . . . 132
Monte Carlo Implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133
Running Sentaurus MC or Crystal-TRIM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133
Structure of Target Material . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136
Composition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136

vi

Sentaurus™ Process User Guide
I-2013.12

Contents

Single-Crystalline Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137
Amorphous Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139
Polycrystalline Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139
Molar Fractions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 140
Sentaurus MC Physical Models. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141
Binary Collision Theory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141
Electronic Stopping Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148
Damage Accumulation and Dynamic Annealing . . . . . . . . . . . . . . . . . . . . . . . . . 149
Crystal-TRIM Physical Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155
Single-Crystalline Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155
Amorphous Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156
Damage Buildup and Crystalline–Amorphous Transition . . . . . . . . . . . . . . . . . . 158
Internal Storage Grid for Implantation Damage. . . . . . . . . . . . . . . . . . . . . . . . . . 159
Molecular Implantations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 161
MC Implantation into Polysilicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 162
MC Implantation into Compound Materials with Molar Fractions. . . . . . . . . . . . . . 163
MC Implantation into Silicon Carbide. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 165
Recoil Implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 166
Plasma Implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 167
Simple Source. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 168
Complex Source . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 168
Deposition of Material . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 169
Knock-on and Knock-off Effect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 169
Conformal Doping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 170
Other Plasma Implantation–related Parameters and Procedures . . . . . . . . . . . . . 170
MC Implantation Damage and Point-Defect Calculation . . . . . . . . . . . . . . . . . . . . . 172
Sentaurus MC Damage Calculation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 172
Crystal-TRIM: Damage Probability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 173
Point Defects. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174
Statistical Enhancement. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 176
Trajectory Splitting. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 176
Dose Split . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 177
Trajectory Replication . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 178
Datasets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 179
Boundary Conditions and Domain Extension. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 180
Unified Implant Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 181
Implant Boundary Conditions using PDB Commands . . . . . . . . . . . . . . . . . . . . . . . 181
Monte Carlo Implant . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 182
Analytic Implant . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 185
Smoothing Implantation Profiles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 186
Automatic Extraction of Implant Moments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 187

Sentaurus™ Process User Guide
I-2013.12

vii

Contents

Required Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 188
Optional Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 188
Output Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189
Utilities. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189
Loading External Profiles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190
Loading Files Using load.mc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190
Automated Monte Carlo Run. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 191
Multithreaded Parallelization of 3D Analytic Implantation . . . . . . . . . . . . . . . . . . . . . . 191
Multithreaded Parallelization of Sentaurus MC Implantation . . . . . . . . . . . . . . . . . . . . 192
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193
Chapter 4 Diffusion

197

Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 197
Basic Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 198
Obtaining Active and Total Dopant Concentrations . . . . . . . . . . . . . . . . . . . . . . . . . 200
Transport Models. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 201
Recombination and Reaction Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203
Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203
Other Materials and Effects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 204
General Formulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 204
Transport Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205
ChargedReact Diffusion Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 206
React Diffusion Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 212
ChargedPair Diffusion Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 214
Pair Diffusion Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 216
ChargedFermi Diffusion Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 217
Fermi Diffusion Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 219
Constant Diffusion Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 220
NeutralReact Diffusion Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 220
Carbon Diffusion Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 221
Nitrogen Diffusion Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 222
Mobile Impurities and Ion-Pairing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 223
Solid Phase Epitaxial Regrowth Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 224
Level-Set Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 224
Phase Field Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 227
Flash or Laser Anneal Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 229
Dopant Diffusion in Melting Laser Anneal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 232
Guideline for Parameter Setting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 233
Saving a Thermal Profile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 234
Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 235
Structure Extension . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 235
viii

Sentaurus™ Process User Guide
I-2013.12

Contents

Intensity Models for Flash Anneal. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 236
Gaussian Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 236
Table Lookup Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 237
User-specified Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 238
Intensity Model for Scanning Laser. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 238
Control Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 240
Notes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 242
Diffusion in Polysilicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 242
Isotropic Diffusion Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 243
Grain Shape and the Grain Growth Equation . . . . . . . . . . . . . . . . . . . . . . . . . . . . 243
Diffusion Equations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 246
Anisotropic Diffusion Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 248
Diffusion in Grain Interiors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 248
Grain Boundary Structure. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 249
Diffusion along Grain Boundaries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 249
Segregation Between Grain Interior and Boundaries . . . . . . . . . . . . . . . . . . . . . . 251
Grain Size Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 252
Surface Nucleation Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 253
Grain Growth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 254
Interface Oxide Breakup and Epitaxial Regrowth . . . . . . . . . . . . . . . . . . . . . . . . 255
Dependence of Polysilicon Oxidation Rate on Grain Size. . . . . . . . . . . . . . . . . . 257
Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 258
Boundary Conditions for Grain Growth Equation . . . . . . . . . . . . . . . . . . . . . . . . 258
Dopant Diffusion Boundary Conditions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 258
Dopant Diffusion in SiGe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 260
Bandgap Effect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 260
Potential Equation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 261
Effects on Point-Defect Equilibrium Concentrations . . . . . . . . . . . . . . . . . . . . . . . . 262
Effect of Ge on Point-Defect Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 263
Impact of Ge on Extended-Defect Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 263
Impact of Dopant Diffusivities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 263
SiGe Strain and Dopant Activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 264
Germanium–Boron Pairing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 264
Initializing Germanium–Boron Clusters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 265
Diffusion in III–V Compounds . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 265
Material Conversion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 265
Physical Parameter Interpolation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 266
Dopant Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 267
ChargedReact Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 267
Fermi Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 271
Constant Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 271

Sentaurus™ Process User Guide
I-2013.12

ix

Contents

Activation Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 271
Point-Defect Diffusion. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 272
Poisson Equation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 274
MoleFractionFields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 274
Pressure-dependent Defect Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 275
Electron Concentration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 276
Poisson Equation for Hetero-junctions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 278
Bandgap Narrowing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 280
Epitaxy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 282
Using LKMC for Deposition Shape . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 283
Epi Doping. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 284
Initialization of Dopant Clusters in Epi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 284
Epi Auto-Doping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 285
Epi Doping Using Resistivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 286
Epi Growth Settings: Low-Temperature Epitaxy . . . . . . . . . . . . . . . . . . . . . . . . . . . 286
Simulating Facet Growth during Selective Epitaxy . . . . . . . . . . . . . . . . . . . . . . . . . 287
Controlling Where Facets Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 288
Time-stepping. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 288
Other Effects on Dopant Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 289
Pressure-dependent Dopant Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 289
Diffusion Prefactors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 290
High-Concentration Effects on Dopant Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . 291
Hydrogen Effects on Dopant Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 291
Dopant Activation and Clustering. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 292
Dopant Active Model: None . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 292
Dopant Active Model: Solid . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 293
Dopant Active Model: Precipitation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 293
Initializing Precipitation Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 294
Dopant Active Model: Transient . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 296
Initializing Transient Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 298
Dopant Active Model: Cluster. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 299
Initializing Cluster Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 301
Dopant Active Model: NeutralCluster. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 301
Initializing NeutralCluster Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 303
Carbon Cluster . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 303
Nitrogen Cluster . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 304
Dopant Active Model: FVCluster . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 304
Initializing the FVCluster Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 306
Dopant Active Model: Equilibrium . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 306
Dopant Active Model: BIC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 307
Initializing BIC Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 309

x

Sentaurus™ Process User Guide
I-2013.12

Contents

Dopant Active Model: ChargedCluster . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 309
Initializing ChargedCluster Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 312
Dopant Active Model: ComplexCluster . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 312
Initializing ComplexCluster Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 314
Dopant and Dopant-Defect Cluster Initialization . . . . . . . . . . . . . . . . . . . . . . . . . . . 315
Dopant Trapping at EOR Defects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 316
Initializing Dopant Trapping in EOR Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . 319
Defect Clusters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 319
Defect Cluster Model: None . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 320
Defect Cluster Model: Equilibrium . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 320
Defect Cluster Model: 311. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 320
Initializing 311 Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 327
Defect Cluster Model: Loop . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 328
Direct Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 328
Size-dependent Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 329
Initializing Loop Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 330
Defect Cluster Model: LoopEvolution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 331
Initializing LoopEvolution Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 332
Defect Cluster Model: FRENDTECH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 333
Initializing FRENDTECH Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 336
Defect Cluster Model: 1Moment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 337
Interstitial . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 337
Vacancy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 339
Initializing 1Moment Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 341
Defect Cluster Model: 2Moment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 341
Interstitial . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 341
Vacancy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 343
Initializing 2Moment Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 345
Defect Cluster Model: Full . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 346
Interstitial . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 346
Vacancy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 349
Initializing Full Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 352
Ion Implantation to Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 353
Initializing Solution Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 355
Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 357
HomNeumann . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 357
Natural . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 358
Surface Recombination Model: PDependent . . . . . . . . . . . . . . . . . . . . . . . . . . . . 358
Surface Recombination Model: InitGrowth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 360
Surface Recombination Model: Simple . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 360
Surface Recombination Model: Normalized . . . . . . . . . . . . . . . . . . . . . . . . . . . . 360

Sentaurus™ Process User Guide
I-2013.12

xi

Contents

Modifying Point-Defect Equilibrium Values at Surface . . . . . . . . . . . . . . . . . . . 361
Segregation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 361
Surface Recombination Model: Default . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 362
Surface Recombination Model: PairSegregation . . . . . . . . . . . . . . . . . . . . . . . . . 362
Dirichlet . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 364
ThreePhaseSegregation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 365
Surface Recombination Model: Default . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 366
Surface Recombination Model: PairSegregation . . . . . . . . . . . . . . . . . . . . . . . . . 368
Trap . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 369
TrapGen . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 369
Continuous . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 369
Periodic Boundary Condition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 370
Boundary Conditions at Moving Interfaces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 370
Enhanced and Retarded Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 370
Conserving Dose . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 371
Common Dopant and Defect Dataset Names . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 371
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 377
Chapter 5 Atomistic Kinetic Monte Carlo Diffusion

381

Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 381
KMC Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 382
Operating Modes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 382
Atomistic Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 383
Restrictions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 383
Implant . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 384
Diffuse . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 385
Nonatomistic Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 386
Atomistic/Nonatomistic Translation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 387
Sano Method. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 388
Simulation Domain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 389
Recommended Domain Size . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 389
Internal Grid . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 390
Randomization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 392
Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 392
Parallelism. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 393
How Parallelism Works . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 393
Estimating CPU Time . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 394
Atomistic Diffusion Simulation with Sentaurus Process KMC . . . . . . . . . . . . . . . . . . . 395
Units. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 396
Space Management . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 397
Materials and Space . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 398
xii

Sentaurus™ Process User Guide
I-2013.12

Contents

Supported Materials. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 399
Material Alloying. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 402
Point Defects. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 403
Ambiguous Alloying . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 403
Time Management . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 403
Simulation and CPU Times . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 404
Parallelism and CPU Time . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 406
Snapshots . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 407
Movie . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 407
Time Internal Representation and Limitations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 408
Particles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 408
Particle Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 408
Particles in Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 410
Alias . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 411
Colors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 411
Particles and Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 411
Undefining Particles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 414
Defect Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 414
Point Defects, Impurities, Dopants, and Impurity-paired Point Defects . . . . . . . . . . . . 415
Interstitials and Vacancies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 415
Impurities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 418
Migration (Diffusion) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 418
Breakup. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 419
Percolation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 421
Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 421
Parameter Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 422
Hopping Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 423
The short Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 423
The long Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 423
The double Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 424
The longdouble Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 424
Enabling and Disabling Interactions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 424
Interaction Rules. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 425
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 426
Defining Nonstandard Interactions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 427
Interaction Rules. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 427
Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 428
Stress Effects on Point Defects, Impurities, Dopants, and Impurity-Paired Point Defects
428
Migration Energy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 429
Binding Energy. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 429
Alloys. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 430
Sentaurus™ Process User Guide
I-2013.12

xiii

Contents

Alloy Diffusion. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 431
Alloy Effects. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 432
Introducing Alloys in the Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 432
Damage Accumulation Model: Amorphous Pockets . . . . . . . . . . . . . . . . . . . . . . . . . . . 432
Shape . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 434
Growth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 434
Recombination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 434
Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 435
Emission. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 436
Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 437
Amorphous Pockets Life Cycle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 439
Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 440
Interactions of Amorphous Pockets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 440
Interaction with Point Defects: I and V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 440
Interaction with Impurities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 441
Extended Defects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 442
{311} Defects (ThreeOneOne) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 442
Shape . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 443
Capture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 444
Emission . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 444
Recombination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 446
Interactions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 446
Dislocation Loops . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 447
Shape . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 447
Capture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 448
Emission . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 448
Interactions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 450
Voids . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 451
Shape . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 452
Capture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 453
Emission . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 453
Recombination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 454
Interactions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 454
Amorphization and Recrystallization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 454
Amorphous Defects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 456
Material. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 456
Shape . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 456
Growth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 456
Recombination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 456
Diffusion in Amorphous Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 456
Direct diffusion. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 457

xiv

Sentaurus™ Process User Guide
I-2013.12

Contents

Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 457
Indirect Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 457
Impurity Clusters in Amorphous Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 459
Recrystallization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 460
KMC: Quasiatomistic Solid Phase Epitaxial Regrowth . . . . . . . . . . . . . . . . . . . . 460
LKMC: Fully Atomistic Modeling of Solid Phase Epitaxial Regrowth . . . . . . . 463
Defect Generation during SPER. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 467
Redistributing Damage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 469
Impurity Sweep/Deposit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 470
Impurity Clusters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 472
Shape . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 473
Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 474
Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 474
Limitations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 475
Growth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 475
Initial Seeds . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 477
Percolation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 477
Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 478
Emission. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 479
Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 480
Recombination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 481
Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 481
Frank–Turnbull Mechanism . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 481
Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 482
Complementary Recombination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 483
Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 484
Complementary Emission . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 484
Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 485
Charge Dependency . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 485
Neutral Reactions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 485
Nonneutral Reactions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 485
Interactions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 487
Complex Impurity Clusters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 487
Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 488
Setting Up Impurity Clusters in a Material . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 489
Fermi-Level Effects: Charge Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 490
Sentaurus Process KMC Approach . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 491
Assumptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 491
Formation Energies for Charged Species . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 492
Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 493
Binding Energies for Particles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 493

Sentaurus™ Process User Guide
I-2013.12

xv

Contents

Binding Energies for Impurity Clusters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 493
Temperature Dependency . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 494
Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 494
Charge Attractions and Repulsions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 495
Fermi-Level Computation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 495
Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 496
Updating Charged States . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 497
Electronic Concentrations and Charge-State Ratios. . . . . . . . . . . . . . . . . . . . . . . 497
Mobile Particles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 498
Pairing and Breakup Reactions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 498
Electric Drift . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 499
Bandgap Narrowing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 500
Narrowing due to Dopant Concentration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 500
Narrowing due to Strain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 501
Narrowing due to Presence of an Alloy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 504
Bandgap Narrowing Use . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 504
Charge Model and Boron Diffusion Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 505
Charge Model and Arsenic Diffusion Example. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 506
Interfaces and Surfaces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 507
Different Interface Models. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 508
Interfaces for Self-Silicon Point Defects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 509
Capture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 509
Emission . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 509
Stress. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 510
Alloys . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 511
Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 511
Oxidation-enhanced Diffusion (OED) Model . . . . . . . . . . . . . . . . . . . . . . . . . . . 512
Interfaces for Impurities. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 514
Simple Material Side . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 514
Full Material Side . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 516
Oxidation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 518
Epitaxial Deposition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 519
Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 521
Including New Impurities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 522
Impurities Diffusing without Pairing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 525
Normal Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 525
Diffusion without Pairing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 525
Reports . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 526
Models Used Report . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 526
Particle Distribution Report . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 527
Cluster Distribution Report . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 528

xvi

Sentaurus™ Process User Guide
I-2013.12

Contents

Defect Activity Report. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 528
Interactions Report. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 530
PointDefect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 530
AmorphousPocket . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 531
ThreeOneOne . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 531
Loop . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 531
ImpurityCluster. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 531
Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 532
Event Report . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 532
PointDefect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 532
AmorphousPocket . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 533
ThreeOneOne . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 534
Loop . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 534
ImpurityCluster. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 534
Amorphous Defects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 535
Lattice Atoms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 535
Simple Materials. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 535
Extracting KMC-related Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 536
Transferring Fields from KMC to Continuum Information: deatomize . . . . . . . . . . 536
Smoothing Out Deatomized Concentrations . . . . . . . . . . . . . . . . . . . . . . . . . . . . 537
Adding and Obtaining Defects in Simulations: add, defects.add, and defects.write . 539
Using the Sentaurus Process Interface. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 541
The select, print, WritePlx, and plot Commands . . . . . . . . . . . . . . . . . . . . . . . . . 541
The init Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 542
The struct Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 542
The load Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 542
The deposit Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 542
The diffuse Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 543
Nonatomistic Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 543
Atomistic Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 543
Calling Directly the Sentaurus Process KMC Kernel . . . . . . . . . . . . . . . . . . . . . . . . 543
Writing and Displaying TDR Files with KMC Information . . . . . . . . . . . . . . . . 544
Inquiring about KMC Profiles, Histograms, and Defects . . . . . . . . . . . . . . . . . . . . . 547
The histogram Option. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 548
The profile Option . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 551
The supersaturation Option . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 554
The defects Option . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 555
The dose Option . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 557
The materials Option . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 559
The acinterface Option . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 560
Common Dopant and Point-Defect Names . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 560

Sentaurus™ Process User Guide
I-2013.12

xvii

Contents

Advanced Calibration for Sentaurus Process KMC . . . . . . . . . . . . . . . . . . . . . . . . . . . . 565
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 566
Chapter 6 Alagator Scripting Language

571

Operators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 571
Binary and Unary Operators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 571
Simple Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 572
Differential Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 573
Special Functions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 573
The diag Operator. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 573
String Names . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 574
Solution Names and Subexpressions: Terms. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 574
Constants and Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 575
Alagator for Diffusion. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 575
Basics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 576
Setting Boundary Conditions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 578
Dirichlet Boundary Condition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 578
Segregation Boundary Condition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 578
Natural Boundary Condition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 579
Interface Traps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 579
External Boundary Condition. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 580
Using Terms. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 580
Callback Procedures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 582
Callbacks during Execution of diffuse Command . . . . . . . . . . . . . . . . . . . . . . . . 583
Using Callback Procedures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 586
Setup Procedure: InitProc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 587
Preprocessing and Postprocessing Data: diffPreProcess, UserDiffPreProcess,
diffPostProcess, UserDiffPostProcess . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 591
Complex Initialization Procedures: InitSolve and EquationInitProc . . . . . . . . . . 592
Diffusion Summary: pdb, TclLib, SPROCESS.models . . . . . . . . . . . . . . . . . . . . . . 594
Alagator for Generic Growth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 596
Basics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 596
Epi Reactions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 598
Callback Procedures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 600
Setup Procedure: InitGrowth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 602
Equation Procedure: EquationGrowthProc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 603
Epitaxy Growth Rate: GrowthRateProc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 605
Generic Growth Summary: pdb, TclLib, SPROCESS.models . . . . . . . . . . . . . . . . . 606
Modifying Diffusion Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 608
UserAddEqnTerm and UserSubEqnTerm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 608
UserAddToTerm and UserSubFromTerm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 609
xviii

Sentaurus™ Process User Guide
I-2013.12

Contents

References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 610
Chapter 7 Advanced Calibration

611

Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 611
Using Advanced Calibration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 611
Additional Calibration by Users . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 612
Chapter 8 Oxidation and Silicidation

615

Oxidation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 615
Basic Oxidation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 616
Temperature Cycles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 616
Ambients and Gas Flows . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 617
Specifying Gas Flows. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 618
Computing Partial Pressures. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 619
In Situ Steam-generated Oxidation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 620
Oxidant Diffusion and Reaction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 620
Transition to Linear and Parabolic Rate Constants . . . . . . . . . . . . . . . . . . . . . . . 622
Massoud Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 623
Orientation-dependent Oxidation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 624
Stress-dependent Oxidation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 624
Trap-dependent Oxidation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 626
Dopant-dependent Oxidation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 627
Diffusion Prefactors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 629
Oxidation with Dielectric on Top . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 630
N2O Oxidation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 630
SiC Oxidation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 630
In Situ Steam-generated Oxidation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 632
Silicide Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 634
TiSi2 Growth Kinetics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 634
TiSi2 Formation Reactions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 635
Tungsten-, Cobalt-, and Nickel-Silicide Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . 637
Stress-dependent Silicidation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 637
Oxygen-retarded Silicidation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 638
Triple-Point Control. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 639
Dopants and Defects in Oxides and Silicides . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 640
Numerics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 640
Outer Time Loop . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 640
Inner Time Loop . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 641
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 642

Sentaurus™ Process User Guide
I-2013.12

xix

Contents

Chapter 9 Computing Mechanical Stress

643

Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 643
Material Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 644
Viscoelastic Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 645
Maxwell Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 645
Standard Linear Solid Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 646
Purely Viscous Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 648
Shear Stress–dependent Viscosity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 648
Purely Elastic Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 649
Anisotropic Elastic Materials. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 650
Cubic Crystal Anisotropy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 650
Orthotropic Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 651
Plastic Materials. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 653
Incremental Plasticity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 653
Deformation Plasticity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 655
Viscoplastic Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 656
Anand Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 656
Power Law Creep . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 658
Swelling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 660
Mole Fraction–dependent Mechanical Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . 661
Deprecated Syntax for Mole Fraction–dependent Mechanical Properties of Binary
Compounds. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 663
Temperature-dependent Mechanical Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . 664
Deprecated Syntax for Temperature-dependent Mechanical Properties . . . . . . . 665
Plane Stress Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 665
Equations: Global Equilibrium Condition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 666
Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 667
Example: Applying Boundary Conditions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 669
Pressure Boundary Condition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 670
Advanced Dirichlet Boundary Condition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 670
Periodic Boundary Condition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 670
Time Step Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 672
Stress-causing Mechanisms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 672
Stress Induced by Growth of Material. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 672
Densification-induced Stress . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 673
Selectively Switching Off Grid Movement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 673
Stress Caused by Thermal Mismatch. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 674
Lattice Mismatch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 675
Using the Lattice Mismatch Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 677
Total Concentration Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 678
Reference Concentration Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 679
xx

Sentaurus™ Process User Guide
I-2013.12

Contents

Strained Deposition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 679
Edge Dislocation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 680
Intrinsic Stress . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 682
Stress Rebalancing after Etching and Deposition . . . . . . . . . . . . . . . . . . . . . . . . . . . 683
Automated Tracing of Stress History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 683
Saving Stress and Strain Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 684
Description of Output Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 684
Tracking Maximum Stresses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 690
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 690
Chapter 10 Mesh Generation

693

Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 693
Mesh Refinement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 694
Viewing Mesh Refinement. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 695
Static Refinement. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 695
Standard Refinement Boxes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 695
Interface Refinement Boxes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 696
Interface Offsetting Refinement Boxes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 696
Refinement Inside a Mask . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 697
Refinement Near Mask Edges . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 698
Adaptive Refinement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 699
Adaptive Refinement Criteria. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 700
Localizing Adaptive Meshing using refinebox Command. . . . . . . . . . . . . . . . . . 706
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 707
Adaptive Meshing during Diffusion. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 707
Adaptive Meshing during Implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 708
Tips for Adaptive Meshing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 709
Default Refinement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 710
Refinement Box Manipulations: Using transform.refinement . . . . . . . . . . . . . . . . . 711
Mesh Settings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 712
Controlling Mesh during Oxidation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 714
TS4 Mesh Library . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 714
Control Parameters in TS4Mesh. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 715
Moving Mesh and Mechanics Displacements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 717
Grid Spacing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 717
Grid Cleanup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 717
Maximum-allowed Rate of Growth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 718
Miscellaneous Tricks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 718
Meshing for 3D Oxidation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 719
MovingMesh . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 719
UseLines: Keeping User-defined Mesh Lines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 722
Sentaurus™ Process User Guide
I-2013.12

xxi

Contents

Using line Commands after init Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 723
Dimension within Current Spatial Dimension . . . . . . . . . . . . . . . . . . . . . . . . . . . 723
Dimension Greater Than Current Spatial Dimension. . . . . . . . . . . . . . . . . . . . . . 723
Creating More Than One Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 724
The UseLines and transform Commands. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 725
The reflect Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 725
The stretch Command. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 725
The rotate Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 725
The translate Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 725
The cut Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 725
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 725
Testing line Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 725
Showing Clearing Lines for a New Structure. . . . . . . . . . . . . . . . . . . . . . . . . . . . 726
Data Interpolation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 727
Troubleshooting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 727
Chapter 11 Structure Generation

731

Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 731
Functionality . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 731
Etching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 732
Deposition. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 732
Masks and Photoresist . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 732
Geometry Creation and Transformations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 732
Etching and Deposition Types and Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 733
Etching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 733
Etching Tips . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 736
Etching Type: Isotropic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 736
Etching Types: Anisotropic and Directional . . . . . . . . . . . . . . . . . . . . . . . . . . . . 737
Etching Types: Polygonal and CMP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 740
Etching Type: Fourier. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 741
Etching Type: Crystallographic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 744
Etching Type: Trapezoidal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 745
Etching Type: Piecewise Linear . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 749
Deposition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 751
Mask Naming . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 752
Deposition Type: Isotropic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 752
Deposition Types: Fill and Polygonal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 752
Deposition Type: Crystallographic. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 753
Deposition Type: Fourier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 754
Deposition Type: Trapezoidal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 755
Selective Deposition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 756
xxii

Sentaurus™ Process User Guide
I-2013.12

Contents

Fields in Deposited Layers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 756
Stress Handling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 757
Shape Library. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 757
PolyHedronSTI. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 758
PolyHedronSTIaccc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 760
PolyHedronSTIaccv . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 761
PolyHedronCylinder. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 762
PolygonWaferMask . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 762
PolyHedronEpiDiamond . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 763
The mask and photo Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 764
Photoresist Masks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 767
Boolean Masks. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 767
Line Edge Roughness Effect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 769
Mirrored Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 771
Geometry Transformations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 772
Refinement Handling during Transformation . . . . . . . . . . . . . . . . . . . . . . . . . . . 773
Contact Handling during Transformation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 773
The transform reflect Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 774
Refinement Handling during Reflection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 774
The transform stretch Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 774
Refinement Handling during Stretch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 775
The transform cut Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 775
Refinement Handling during Cut . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 776
The transform flip Command and Backside Processing . . . . . . . . . . . . . . . . . . . . . . 776
Refinement Handling during Flip. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 777
The transform rotate Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 777
Refinement Handling during Rotation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 778
The transform translate Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 778
MGOALS Interface. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 778
MGOALS Boundary-moving Algorithms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 778
MGOALS Boundary-moving Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 780
MGOALS 3D Boundary-moving Algorithms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 782
Summary of MGOALS Etching and Deposition Algorithms . . . . . . . . . . . . . . . . . . 783
MGOALS Backward Compatibility. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 784
Boundary Repair Algorithm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 785
Inserting Segments in One Dimension . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 785
Inserting Polygons in Two Dimensions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 785
Inserting Polyhedra in Three Dimensions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 786
Reading Polyhedra from a TDR Boundary File . . . . . . . . . . . . . . . . . . . . . . . . . . 786
Creating a Rectangular Prism . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 787
Extruding a 2D Polygon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 787

Sentaurus™ Process User Guide
I-2013.12

xxiii

Contents

Creating a Polyhedron from Its Constituent Polygonal Faces . . . . . . . . . . . . . . . 788
Sentaurus Structure Editor Interface: External Mode. . . . . . . . . . . . . . . . . . . . . . 788
Inserting Polyhedra. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 789
Structure Assembly in MGOALS Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 790
Multithreading . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 790
Sentaurus Structure Editor Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 791
Sentaurus Topography Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 794
Sentaurus Topography . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 794
Sentaurus Topography 3D . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 796
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 797
Using Polygon and Rectangle Mask in 2D Simulation . . . . . . . . . . . . . . . . . . . . . . . 797
3D Etching after 2D LOCOS Simulation (Sentaurus Structure Editor Interface) . . . 797
Using Layout File for 3D Etching (Sentaurus Structure Editor Interface) . . . . . . . . 799
3D Trench Etching, Sloped Sidewall with Predefined Angle (Sentaurus Structure Editor
Interface). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 803
3D Etching after 2D LOCOS Simulation using MGOALS. . . . . . . . . . . . . . . . . . . . 805
Structure Assembly in MGOALS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 807
Polygon Creation and Insertion in MGOALS2D . . . . . . . . . . . . . . . . . . . . . . . . . . . 809
Polyhedron Creation and Insertion in MGOALS . . . . . . . . . . . . . . . . . . . . . . . . . . . 812
Reading a TDR file. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 812
Extruding a 2D Polygon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 813
Creating a Polyhedron using Polygons. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 814
Defining a Brick . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 815
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 816
Chapter 12 ICWBEV Plus Interface for Layout-driven Simulations

817

Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 817
ICWBEV Plus Introduction for TCAD Users. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 818
Opening GDSII Layout Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 818
Graphical User Interface of ICWBEV Plus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 819
Sentaurus Markups . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 820
Stretch Utility. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 822
Renaming Markups . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 824
Auxiliary Layers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 825
Editing Polygons . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 826
Resizing a Rectangle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 826
Converting a Rectangle to a Polygon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 827
Nonaxis-aligned Simulation Domains . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 827
Files Relevant to ICWBEV Plus–TCAD Sentaurus . . . . . . . . . . . . . . . . . . . . . . . . . . . . 828
Saving the Sentaurus Markup File. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 829
Contents of Sentaurus Markup File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 830
xxiv

Sentaurus™ Process User Guide
I-2013.12

Contents

Reloading the Markup File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 831
Saving the TCAD Layout File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 832
Contents of TCAD Layout File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 833
Reloading the TCAD Layout File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 834
ICWBEV Plus Batch Mode and Macros. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 834
Starting ICWBEV Plus in Batch Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 834
ICWBEV Plus Macros. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 834
Tcl-based Macros for Layout Parameterization. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 835
TCAD Layout Reader of Sentaurus Process. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 835
Loading the TCAD Layout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 836
Finding Simulation Domains . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 836
Finding Layer Names and Layer IDs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 836
Selecting the Simulation Domain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 837
Loading a GDSII Layout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 837
Finding Domain Dimensions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 838
Finding Bounding Box of Domain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 838
Interface with line Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 839
Creating Masks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 839
Layout-driven Meshing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 841
Layout-driven Contact Assignment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 842
Aligning Wafer and Simulation Domain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 844
Additional Query Functions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 846
Chapter 13 Extracting Results

849

Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 849
Saving Data Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 849
Selecting Fields for Viewing or Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 850
Obtaining 1D Data Cuts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 851
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 851
Determining the Dose: Layers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 853
Extracting Values and Level Crossings: interpolate . . . . . . . . . . . . . . . . . . . . . . . . . . . . 854
Extracting Values during diffuse Step: extract . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 854
Optimizing Parameters Automatically. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 855
Fitting Routines: FitLine, FitArrhenius, FitPearson, and FitPearsonFloor. . . . . . . . . . . 856
Resistivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 857
Sheet Resistance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 859
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 860
Chapter 14 Numerics

861

Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 861

Sentaurus™ Process User Guide
I-2013.12

xxv

Contents

Setting Parameters of the Iterative Solver ILS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 862
Partitioning and Parallel Matrix Assembly . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 864
Matrix Size Manipulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 867
Node and Equation Ordering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 867
Time Integration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 868
Time-Step Control. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 869
Time-Step Control for PDEs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 869
Error Control for PDEs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 871
Time-Step Control for Mechanics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 871
Convergence Criteria . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 872
Time-Step Adjustment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 873
Time-Step Cutback . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 874
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 875
Appendix A Commands

877

Syntax Conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 877
Example of Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 878
Common Arguments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 879
alias . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 880
ambient . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 881
ArrBreak . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 883
Arrhenius. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 884
beam . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 885
bound. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 887
Compatibility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 888
contact . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 889
contour . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 894
CutLine2D. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 896
define. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 897
defineproc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 898
DeleteRefinementboxes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 900
deposit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 901
diffuse . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 908
doping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 917
element . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 919
Enu2G . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 920
Enu2K . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 921
equation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 922
etch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 923
exit. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 930
extract . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 931
xxvi

Sentaurus™ Process User Guide
I-2013.12

Contents

fbreak . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 933
fcontinue . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 933
fexec . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 934
fproc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 934
fset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 934
gas_flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 935
graphics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 938
grid . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 940
help . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 950
icwb . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 951
icwb.contact.mask . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 954
icwb.create.all.masks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 956
icwb.create.mask . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 957
icwb.refine.mask . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 959
implant . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 961
init . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 978
insert . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 982
integrate. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 985
interface. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 988
interpolate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 991
KG2E . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 993
KG2nu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 994
kmc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 995
KMC2PDE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1007
layers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1008
line. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1010
line_edge_roughness . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1013
load . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1016
LogFile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1019
mask . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1020
mater . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1025
math. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1027
mgoals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1037
optimize. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1042
paste . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1046
pdbDelayDouble . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1048
pdbdiff . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1049
pdbDopantLike . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1050
pdbExprDouble . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1051
pdbGet and Related Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1052
pdbIsAvailable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1054

Sentaurus™ Process User Guide
I-2013.12

xxvii

Contents

pdbLike . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1055
pdbSet and Related Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1056
pdbUnSet-related Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1059
PDE2KMC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1060
photo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1061
plot.1d . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1063
plot.2d . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1066
plot.tec. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1070
plot.xy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1076
point . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1078
point.xy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1080
polygon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1082
polyhedron . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1086
PowerDeviceMode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1089
print.1d . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1090
print.data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1092
profile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1093
RangeRefineboxes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1096
reaction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1099
refinebox . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1101
region . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1110
sde . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1114
select . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1117
SetAtomistic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1121
SetDFISEList . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1122
SetDielectricOxidationMode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1124
SetFastMode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1126
setMobilityModel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1127
SetPlxList . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1128
SetTDRList . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1129
SetTemp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1130
SetTS4ImplantMode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1131
SetTS4MechanicsMode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1132
SetTS4OxidationMode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1133
SetTS4PolyMode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1134
SheetResistance. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1135
simDelayDouble . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1136
simGetBoolean . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1137
simGetDouble . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1138
simSetBoolean . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1139
simSetDouble . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1140

xxviii

Sentaurus™ Process User Guide
I-2013.12

Contents

slice . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1141
smooth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1144
solution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1145
sptopo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1148
stdiff . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1149
strain_profile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1150
stressdata . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1151
StressDependentSilicidation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1156
strip . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1157
struct . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1158
substrate_profile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1163
tclsel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1164
temp_ramp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1166
term . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1173
topo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1176
transform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1177
transform.refinement. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1182
translate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1186
UnsetAtomistic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1187
UnsetDielectricOxidationMode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1189
update_substrate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1190
WritePlx . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1191

Sentaurus™ Process User Guide
I-2013.12

xxix

Contents

xxx

Sentaurus™ Process User Guide
I-2013.12

About This Guide
The Synopsys Sentaurus™ Process tool is an advanced 1D, 2D, and 3D process simulator
suitable for silicon and nonsilicon semiconductor devices. It features modern software
architecture and state-of-the-art models to address current and future process technologies.
Sentaurus Process simulates all standard process simulation steps, diffusion, implantation,
Monte Carlo (MC) implantation (Taurus MC or Crystal-TRIM), oxidation, etching, deposition,
and silicidation. Capabilities in 3D include meshing of 3D boundary files through the
MGOALS library, implantation through the Imp3D module from FhG Erlangen, mechanics
(stress and strain), diffusion, a limited capability for 3D oxidation, and an interface to
Sentaurus Structure Editor, which is the 3D geometry editing tool based on the ACIS solid
modeling library.
Sentaurus Process uses the Alagator scripting language that allows users to solve their own
diffusion equations. Alagator can be used to solve any diffusion equation including dopant,
defect, impurity, and oxidant diffusion equations. Simulation of 3D diffusion is handled exactly
as for 1D and 2D. Therefore, all the advanced models and user programmability available in
1D and 2D can be used in 3D. In addition, a set of built-in calibrated parameters is available
with Advanced Calibration.
The main chapters are:
■

Chapter 1 describes how to run Sentaurus Process.

■

Chapter 2 presents an overview of how Sentaurus Process operates.

■

Chapter 3 presents the ion implantation technique used in Sentaurus Process.

■

Chapter 4 provides information on the dopant and defect diffusion models and parameters.

■

Chapter 5 describes atomistic kinetic Monte Carlo diffusion.

■

Chapter 6 discusses the Alagator scripting language for solving diffusion equations.

■

Chapter 7 provides details about using Advanced Calibration in Sentaurus Process.

■

Chapter 8 describes the oxidation models.

■

Chapter 9 describes the computation of mechanical stress.

■

■

■

■

Chapter 10 describes the mesh algorithms and meshing parameters available in Sentaurus
Process.
Chapter 11 discusses etching and deposition, and other geometry manipulations available
in Sentaurus Process.
Chapter 12 presents strategies for using the IC WorkBench EV Plus–TCAD Sentaurus
interface.
Chapter 13 presents strategies for analysing simulation results.

Sentaurus™ Process User Guide
I-2013.12

xxxi

About This Guide
Audience

Chapter 14 discusses numerics-related issues, time integration methods, and the linear
solvers used in Sentaurus Process.

■

Appendix A lists the available commands, including descriptions, options, and examples.

■

Audience
This user guide is intended for users of the Sentaurus Process software package.

Related Publications
For additional information about Sentaurus Process, see:
The TCAD Sentaurus release notes, available on SolvNet® (see Accessing SolvNet on
page xxxiii).

■

Documentation available through SolvNet at https://solvnet.synopsys.com/DocsOnWeb.

■

Typographic Conventions

xxxii

Convention

Explanation

<>

Angle brackets

{}

Braces

[]

Brackets

()

Parentheses

Blue text

Identifies a cross-reference (only on the screen).

Bold text

Identifies a selectable icon, button, menu, or tab. It also indicates the name of a field or an
option.

Courier font

Identifies text that is displayed on the screen or that the user must type. It identifies the names
of files, directories, paths, parameters, keywords, and variables.

Italicized text

Used for emphasis, the titles of books and journals, and non-English words. It also identifies
components of an equation or a formula, a placeholder, or an identifier.

Menu > Command

Indicates a menu command, for example, File > New (from the File menu, select New).

NOTE:

Identifies important information.

Sentaurus™ Process User Guide
I-2013.12

About This Guide
Customer Support

Customer Support
Customer support is available through SolvNet online customer support and through
contacting the Synopsys support center.

Accessing SolvNet
SolvNet includes an electronic knowledge base of technical articles and answers to frequently
asked questions about Synopsys tools. SolvNet also gives you access to a wide range of
Synopsys online services, which include downloading software, viewing documentation, and
entering a call to the Synopsys support center.
To access SolvNet:
1. Go to the SolvNet Web page at https://solvnet.synopsys.com.
2. If prompted, enter your user name and password. (If you do not have a Synopsys user name
and password, follow the instructions to register with SolvNet.)
If you need help using SolvNet, click Help on the SolvNet menu bar.

Contacting Synopsys Support
If you have problems, questions, or suggestions, you can contact Synopsys support in the
following ways:
■

■

Go to the Synopsys Global Support Centers site on www.synopsys.com. There you can find
e-mail addresses and telephone numbers for Synopsys support centers throughout the
world.
Go to either the Synopsys SolvNet site or the Synopsys Global Support Centers site and
open a case online (Synopsys user name and password required).

Sentaurus™ Process User Guide
I-2013.12

xxxiii

About This Guide
Acknowledgments

Contacting Your Local TCAD Support Team Directly
Send an e-mail message to:
■

support-tcad-us@synopsys.com from within North America and South America.

■

support-tcad-eu@synopsys.com from within Europe.

■

support-tcad-ap@synopsys.com from within Asia Pacific (China, Taiwan, Singapore,
Malaysia, India, Australia).

■

support-tcad-kr@synopsys.com from Korea.

■

support-tcad-jp@synopsys.com from Japan.

Acknowledgments
Sentaurus Process is based on the 2000 and 2002 releases of FLOOPS written by
Professor Mark Law and coworkers at the University of Florida. Synopsys acknowledges the
contribution of Professor Law and his advice in the development of Sentaurus Process. For
more information about TCAD at the University of Florida, visit
http://www.swamp.tec.ufl.edu.
Sentaurus Process Kinetic Monte Carlo is based on DADOS written by Professor Martin Jaraiz
and coworkers at the University of Valladolid, Spain. Synopsys acknowledges Professor Jaraiz’
contribution and advice. For more information, visit http://www.ele.uva.es/~simulacion/
KMC.htm.

xxxiv

Sentaurus™ Process User Guide
I-2013.12

CHAPTER 1

Getting Started
This chapter describes how to run Sentaurus Process and guides you
through a series of examples.

This chapter is not a comprehensive reference but is intended to introduce some of the more
widely used features of Sentaurus Process in a realistic context. For new users, the sections
Interactive Mode on page 46, Syntax for Creating Input Command Files on page 50, and
Creating the Structure and Initializing Data on page 71 would be useful to refer to while
reading this chapter. For more advanced users who need to adjust model parameters, Like
Materials: Material Parameter Inheritance on page 57 would be useful. For the TCAD
Sentaurus Tutorial and examples, go to:
$STROOT/tcad/$STRELEASE/Sentaurus_Training/index.html

where STROOT is an environment variable that indicates where the Synopsys TCAD
distribution has been installed, and STRELEASE indicates the Synopsys TCAD release number.

Overview
Sentaurus Process is a complete and highly flexible, multidimensional, process modeling
environment. With its modern software architecture and extensive breadth of capabilities,
Sentaurus Process is a state-of-the-art process simulation tool. Calibrated to a wide range of
the latest experimental data using proven calibration methodology, Sentaurus Process offers
unique predictive capabilities for modern silicon and nonsilicon technologies.
Sentaurus Process accepts as input a sequence of commands that is either entered from
standard input (that is, at the command prompt) or composed in a command file. A process
flow is simulated by issuing a sequence of commands that corresponds to the individual
process steps. In addition, several commands allow you to select physical models and
parameters, grid strategies, and graphical output preferences, if required. You should place
parameter settings in a separate file, which is sourced at the beginning of input files using the
source command.
In addition, a special language (Alagator) allows you to describe and implement your own
models and diffusion equations.

Sentaurus™ Process User Guide
H-2013.03

1

1: Getting Started
Setting Up the Environment

Setting Up the Environment
The STROOT environment variable is the TCAD Sentaurus root directory, and you must set this
variable to the installation directory of TCAD Sentaurus. The STRELEASE environment
variable can be used to specify the release of the software to run, for example, H-2013.03. If
STRELEASE is not set, the default version is used which is usually the last version installed.
To set the environment variables:
1. Set the TCAD Sentaurus root directory environment variable STROOT to the TCAD
Sentaurus installation directory, for example:
* Add to .cshrc
setenv STROOT 
* Add to .profile, .kshrc, or .bashrc
STROOT=; export STROOT

2. Add the /bin directory to the user path.
For example:
* Add to .cshrc:
set path=(/bin $path)
* Add to .profile, .kshrc, or .bashrc:
PATH=/bin:$PATH
export PATH

Starting Sentaurus Process
You can run Sentaurus Process in either the interactive mode or batch mode. In the interactive
mode, a whole process flow can be simulated by entering commands line-by-line as standard
input. To start Sentaurus Process in the interactive mode, enter the following on the command
line:
> sprocess

Sentaurus Process displays version and host information, followed by the Sentaurus Process
command prompt. You now can enter Sentaurus Process commands at the prompt:
sprocess>

2

Sentaurus™ Process User Guide
H-2013.03

1: Getting Started
Using a Command File

This is a flexible way of working with Sentaurus Process to test individual process steps or
short sequences, but it is inconvenient for long process flows. It is more useful to compile the
command sequence in a command file, which can be run in batch mode or inside Sentaurus
Workbench.
To run Sentaurus Process in batch mode, load a command file when starting Sentaurus Process,
for example:
> sprocess input.cmd

Starting Different Versions of Sentaurus Process
You can select a specific release and version number of Sentaurus Process using the -rel and
-ver options:
> sprocess -rel  -ver 

For example:
> sprocess -rel H-2013.03

The command:
> sprocess -rel H-2013.03 -ver 1.2 nmos_fps.cmd

starts the simulation of nmos_fps.cmd using the 1.2 version of Release H-2013.03 as long as
this version is installed.

Using a Command File
As an alternative to entering Sentaurus Process commands line-by-line, the required sequence
of commands can be saved to a command file, which can be written entirely by users or
generated using Ligament. To save time and reduce syntax errors, you can copy and edit
examples of command files in this user guide or use Ligament to create a template.
If a command file has been prepared, run Sentaurus Process by typing the command:
sprocess 

Alternatively, you can automatically start Sentaurus Process through the Scheduler in
Sentaurus Workbench. By convention, the command file name has the extension .cmd. (This is
the convention adopted in Sentaurus Workbench.)

Sentaurus™ Process User Guide
H-2013.03

3

1: Getting Started
Example: 1D Simulation

The command file is checked for correct syntax and then the commands are executed in
sequence until the simulation is stopped by the command exit or the end of the file is reached.
Since Sentaurus Process is written as an extension of the tool command language (Tcl), all Tcl
commands and functionalities (such as loops, control structures, creating and evaluating
variables) are available in the command files. This results in some limitations in syntax control
if the command file contains complicated Tcl commands. Syntax-checking can be switched off
with the command-line option -n, for example:
sprocess -n inputfile

Sentaurus Process ignores character strings starting with # (although Sentaurus Workbench
interprets # as a special character for conditional statements). Therefore, this special character
can be used to insert comments in the simulation command file.
A file with the extension .log is created automatically whenever Sentaurus Process is run from
a command line, that is, outside the Sentaurus Workbench environment. This file contains the
run-time output, which is generated by Sentaurus Process and is sent to standard output. When
Sentaurus Process is run by using a command file _fps.cmd, the output
file is named _fps.log.
When Sentaurus Process is run in Sentaurus Workbench, no log file is created. Instead, the file
_fps.out is generated as a copy of the standard output. For a complete
list of all commands, see Appendix A on page 877.

Example: 1D Simulation
Many widely used process and control commands are introduced in the context of a nominal
0.18 µm n-channel MOSFET process flow. The MOSFET structure is simulated in 1D and
2D, and the processing of the isolation is excluded.
In this section, a simple 1D process simulation is performed.

Defining Initial 1D Grid
The initial 1D grid is defined with the line command:
line
line
line
line
line
line

4

x
x
x
x
x
x

location=0.0 spacing= 1 tag=SiTop
location= 10 spacing= 2
location= 50 spacing= 10
location=300 spacing= 20
location=0.5 spacing= 50
location=2.0 spacing=0.2 tag=SiBottom

Sentaurus™ Process User Guide
H-2013.03

1: Getting Started
Example: 1D Simulation

The first argument of the line specifies the direction of the grid. For 1D, this is always x.
The grid spacing is defined by pairs of the location and spacing keywords. The keyword
spacing defines the spacing between two grid lines at the specified location. Sentaurus
Process expands or compresses the grid spacing linearly in between two locations defined in
the line command.
NOTE

Units in Sentaurus Process can be specified explicitly by giving the units
in angle brackets. For most cases, the default unit of length is
micrometer. Therefore, the statements location=2.0 and
location=2.0 are equivalent. In this section, units are given
explicitly.

You can label a line with the tag keyword for later use in the region command.

Defining Initial Simulation Domain
The initial simulation domain is defined with the region command:
region Silicon xlo=SiTop xhi=SiBottom

The keyword Silicon specifies the material of the region. The keywords xlo and xhi take
tags as arguments, which are defined in the line command.
NOTE

For 2D and 3D, the additional keywords ylo, yhi, zlo, and zhi are
used to define rectangular or cuboidal regions. In general, the initial
simulation domain can consist of several regions.

Initializing the Simulation
The simulation is initialized with the init command:
init concentration=1.0e15 field=Boron

Here, the initial boron concentration in the silicon wafer (as defined in the previous region
15
–3
command) is set to 10 cm .

Sentaurus™ Process User Guide
H-2013.03

5

1: Getting Started
Example: 1D Simulation

Choosing Process Models and Parameters
The set of physical models and parameters to be used is declared with the
AdvancedCalibration command:
AdvancedCalibration I-2013.12

This command loads the Advanced Calibration set of models and parameters. This is
recommended for accurate process simulation of all silicon and germanium technologies. For
more information about the Advanced Calibration models and parameters, refer to the
Advanced Calibration for Process Simulation User Guide.

Setting Up a Meshing Strategy
The initial grid is valid until the first command that changes the geometry, such as oxidation,
deposition, and etching. For these steps, a remeshing strategy must be defined.
The Sentaurus Mesh meshing engine tries to preserve the initial mesh as much as possible and
only modifies the mesh in the new layers and in the vicinity of the new interfaces.
To define a remeshing strategy, use:
pdbSet Grid SnMesh min.normal.size 0.003
pdbSet Grid SnMesh normal.growth.ratio.2d 1.4

;# this is for 1D and 2D

where:
■
■

■

■

The command pdbSet is used to set the parameter value in parameter database (PDB).
The parameter min.normal.size determines the grid spacing of the first layer starting
from the interface in micrometers.
The parameter normal.growth.ratio.2d determines how fast the grid spacing can
increase from one layer to another. This parameter is unitless.
The semicolon hash mark (; #) indicates the end of the command line and starts the inline
comments.

Growing Screening Oxide
The 1D process simulation is started by thermally growing a thin layer of sacrificial screening
oxide:
gas_flow name=O2_1_N2_1 pressure=1 flowO2=1.2 flowN2=1.0
diffuse temperature=900 time=40 gas_flow=O2_1_N2_1

6

Sentaurus™ Process User Guide
H-2013.03

1: Getting Started
Example: 1D Simulation

The gas_flow statement is used to specify the gas mixture. The name keyword defines a
gas_flow record for later use in a diffuse command. The pressure of the ambient gas is set
to 1 atm, and the flows of oxygen and nitrogen are set to 1.2 l/minute and 1.0 l/minute,
respectively.
NOTE

Other gas flow parameters, such as ambient gases and partial pressures,
can be defined as well (see gas_flow on page 935 for details).

The thermal oxidation step is started with the diffuse command. Here, the wafer is exposed
to the oxidizing gases, defined in the gas_flow statement, for 20 minutes at an ambient
temperature of 900°C .
NOTE

More options, such as temperature ramps and numeric parameters, are
available (see Oxidation on page 615 for details).

Sentaurus Process prints information about the progress of the oxidation step:
Anneal step:
Time=40min, Ramp rate=0C/s, Temperature=900.0C
Temperature > minT. Diffusion: On
Reaction: On
Assembly: Serial
SProcess parallel assembly thread count = 1
Reaction :
0s
to
0.0001s
step
:
0.0001s
temp: 900.0C
SProcess Pardiso thread count = 1
Mechanics:
0s
to
0.0001s
step
:
0.0001s
temp: 900.0C
--- --- --- --- --- --- --- --- --- --- --- --- --- --- --- --- --- --- --- -Initializing:
--- --- --- --- --- --- --- --- --- --- --- --- --- --- --- --- --- --- --- -Initialization is done.
--- --- --- --- --- --- --- --- --- --- --- --- --- --- --- --- --- --- --- -Diffusion:
0s
to
0.0001s
step (d):
0.0001s
temp: 900.0C
Reaction :
0.0001s
to 0.0001712s
step
: 7.125e-05s
temp: 900.0C
Mechanics:
0.0001s
to 0.0001712s
step
: 7.125e-05s
temp: 900.0C
Diffusion:
0.0001s
to 0.0001712s
step (d): 7.125e-05s
temp: 900.0C
Reaction : 0.0001712s
to 0.0002387s
step
: 6.741e-05s
temp: 900.0C
Mechanics: 0.0001712s
to 0.0002387s
step
: 6.741e-05s
temp: 900.0C
Diffusion: 0.0001712s
to 0.0002387s
step (d): 6.741e-05s
temp: 900.0C
...
Reaction :
37.29min to
40min step
:
2.714min temp: 900.0C
Mechanics:
37.29min to
40min step
:
2.714min temp: 900.0C
Diffusion:
37.29min to
40min step (d):
2.714min temp: 900.0C
Elapsed time for diffuse 41.34s

Sentaurus™ Process User Guide
H-2013.03

7

1: Getting Started
Example: 1D Simulation

Measuring Oxide Thickness
To measure the thickness of the thermally grown oxide, use:
select z=1
layers

The select command chooses a quantity for postprocessing. Selecting 1 is a way to obtain
the material thicknesses.
The layers command prints a list of regions with their respective top and bottom coordinates.
This command also gives the integral over the selected quantity in each region. Having selected
1, the integral equals the thickness (in units of cm):
{
{
{

Top
-6.178796082035e-03
3.676329713272e-03

Bottom
3.676329713272e-03
2.000000000000e+00

Integral
Material }
9.855125795306e-07 Oxide }
1.996323670287e-04 Silicon }

Here, 3.67 nm of silicon was consumed in the thermal oxidation process, and the final oxide
thickness is 9.85 nm.
NOTE

Internally, Sentaurus Process uses centimeters (cm) as the unit for
length.

Selecting boron, the output of layers command would look like:
{
{
{

Top
-6.178796082035e-03
3.676329713272e-03

Bottom
3.676329713272e-03
2.000000000000e+00

Integral
Material }
3.012697967871e+09 Oxide }
1.969873116640e+11 Silicon }

The integral boron concentration in the silicon layer is:
11

1.97 ×10 cm

–2

15

–3

–4

–7

= 1 ×10 cm ( 2 ×10 cm – 3.67 ×10 cm )

(1)

which is consistent with the specified wafer doping.

Depositing Screening Oxide
A faster alternative to the simulation of the oxide growth is to deposit an oxide layer and to
simulate afterwards a thermal cycle to account for the thermal budget during the oxidation.
This is an efficient way to emulate the creation of the screen oxide if oxidation-enhanced
diffusion (OED) and the silicon consumption during the oxidation are not important.

8

Sentaurus™ Process User Guide
H-2013.03

1: Getting Started
Example: 1D Simulation

To deposit a 10 nm layer of screening oxide and perform a thermal cycle in an inert
environment, use:
deposit Oxide type=isotropic thickness=10.0
diffuse temperature=900 time=40

The diffuse command assumes an inert environment if no gas flow is specified.
When you want to omit the oxide growth but OED is not negligible, specification of a reacting
ambient together with the following flag:
pdbSetBoolean Grid Reaction.Modify.Mesh 0

switches on OED without applying velocities to the mesh nodes. This is often used in three
dimensions.

Tcl Control Statements
Tcl constructs can be freely used in the command file of Sentaurus Process. (For an
introduction to Tcl, refer to the Tool Command Language module in the TCAD Sentaurus
Tutorial.)
The following code segment simulates oxidation or performs a deposition depending on the
value of the Tcl variable SCREEN:
set SCREEN Grow
if { $SCREEN == "Grow" } {
#--- Growing screening oxide ----------------------------------------gas_flow name=O2_1_N2_1 pressure=1 flowO2=1.2 flowN2=1.0
diffuse temperature=900 time=40 gas_flow=O2_1_N2_1
} else {
#--- Depositing screening oxide -------------------------------------deposit Oxide type=isotropic thickness=10.0
diffuse temperature=900 time=40
}

Implantation
To implant arsenic with an energy of 50 keV, a dose of 10
a wafer rotation 0° , use:

14

cm

–2

, an implant tilt of 7° , and

implant Arsenic energy=50 dose=1e14 tilt=7 \
rotation=0

Sentaurus™ Process User Guide
H-2013.03

9

1: Getting Started
Example: 1D Simulation

where “\” immediately followed by a new line (without any space in between) is used to
continue a command line. Sentaurus Process reports:
Species
=
Dataset
=
Energy
=
Dose (WaferDose) =
BeamDose
=
Tilt
=
Rotation
=
Temperature
=
Total implant time:
- - - - - - - - - Dose in:
Boron
Arsenic
Int
Vac
ICluster
O2
B4
- - - - -

Arsenic
Arsenic
30keV
1e+14/cm2
1.0075e+14/cm2
7deg
0deg
300.00K
0.61sec
- - - - - - - - - - - - - - - - - - - - - - - - - - - -

Silicon_1
Oxide_1
Total
Silicon
Oxide
1.9699e+11 3.0127e+09 2.0000e+11
9.9703e+13 2.7722e+12 1.0247e+14
9.4629e+07 7.8031e+02 1.1463e+08
8.9179e+09 1.3391e+06 8.9393e+09
2.2353e+07 9.8551e+00 4.2353e+07
1.9963e-04 2.6215e+10 3.6215e+10
3.0629e-10 0.0000e+00 3.0629e-10
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -

The report shows that due to the nonzero tilt angle, Sentaurus Process adapted the beam dose
so that the total dose deposited on the wafer is as specified. The slice angle denotes the angle
between the simulation plane and the normal to the wafer flat. By default, the simulation
domain is parallel to the wafer flat.
The report shows the integrated doping concentrations for each species and region.

Saving the As-Implanted Profile
To save the as-implanted profile, use:
SetPlxList { BTotal Arsenic_Implant }
WritePlx 1DasImpl.plx

The SetPlxList command defines which solution variables are to be saved in the .plx file.
Here, only the total (chemical) boron and the as-implanted arsenic concentrations are saved. If
the SetPlxList command is omitted, all available solutions are saved in the .plx file by
default.

10

Sentaurus™ Process User Guide
H-2013.03

1: Getting Started
Example: 1D Simulation

Besides the file name, here 1DasImpl.plx, the WritePlx command also accepts a material
specifier, which restricts the plot to the given material. For 2D and 3D structures, the x-, y-, or
z-coordinates of the 1D cutline must be given.

Figure 1

As-implanted arsenic profiles and background boron concentration

Figure 1 shows the as-implanted arsenic profiles and the background boron concentration. The
black vertical line marks the oxide–silicon interface. Note the boron depletion at the interface,
which is caused by boron segregation during the oxide growth.
Figure 1 is generated by loading the .plx file into Inspect with:
> inspect 1DasImpl.plx

Thermal Annealing, Drive-in, Activation, and Screening
Oxide Strip
To anneal the damage during implantation, or to drive the dopants deeper into the substrate, or
to activate the implanted dopants in an inert environment, use:
diffuse temperature=1000 time=30
strip Oxide
SetPlxList { BTotal BActive AsTotal AsActive }
WritePlx 1Danneal.plx

Here, the structure is annealed at a constant temperature of 1000°C for 30 minutes. The
annealing is performed in an inert gas because no particular environment is specified.

Sentaurus™ Process User Guide
H-2013.03

11

1: Getting Started
Example: 2D Simulation

The annealed profiles are written to the file 1Danneal.plx. The total (chemical)
concentration of boron and arsenic, as well as the respective electrically active (substitutional)
concentrations are saved.

Figure 2

Comparison of as-implanted and annealed arsenic profiles

Figure 2 compares the as-implanted and the annealed arsenic profiles. It is generated by
loading both .plx files into Inspect with:
> inspect 1DasImpl.plx 1Danneal.plx

Example: 2D Simulation
Many widely used process and control commands are introduced in the context of a nominal
0.18 µm n-channel MOSFET process flow. The MOSFET structure is simulated in 2D, and
the processing of the isolation is excluded. A simplified treatment is presented using only
default parameters and models.

Defining Initial Structure and Mesh Refinement
The command math coord.ucs is used to switch on the unified coordinate system (UCS).
Using the UCS is recommended because the default behavior is to rotate the structure when
saving and loading to the DF–ISE coordinate system. With the UCS, the structure is not
rotated. Therefore, the axes in Tecplot SV match the axes in the Sentaurus Process command
file. It is recommended to insert this as the first command in the command file.
The line command is used to:
■

12

Define the initial size of the structure.

Sentaurus™ Process User Guide
H-2013.03

1: Getting Started
Example: 2D Simulation

■

Subdivide the structure.

Mesh refinement starts from the user-defined subdivisions; therefore, the specification of lines
helps to compartmentalize mesh refinement. In turn, compartmentalization of the mesh
prevents moving boundaries, and therefore, moving mesh refinement from affecting
geometrically static areas. Whenever mesh lines move, interpolation must be used to obtain
new field values, such as dopant concentrations, and this introduces errors in the simulation.
During the polysilicon reoxidation step, the oxide–silicon and oxide–polysilicon boundaries
move, and this interface movement may cause mesh lines to move. This could be prevented by
inserting lines as follows:
line
line
line
line
line
line

x
x
x
y
y
y

location=
location=
location=
location=
location=
location=

0.0
3.0
10.0
0.0
85.0
0.4

;# just deeper than reox in silicon

;# just deeper than reox in poly

To minimize this effect, the silicon and polysilicon regions are isolated from the moving
interfaces by introducing lines immediately inside the final oxide depth in both regions as
shown in Figure 3.
User-defined mesh lines

X

-0.1

0

0.1
0

0.1

0.2

0.3

Y

Figure 3

Final structure showing placement of user-defined lines: these lines are used to
isolate silicon and polysilicon regions from boundary movement at the oxide
interfaces

Sentaurus Process uses coordinate systems such that 1D, 2D, and 3D simulations are
consistent. Independent of the current simulation dimension, the positive x is into the wafer; y
is positive to the right, and z is positive out of the page.
NOTE

By default, the simulation dimension is promoted only when necessary.
Therefore, until a mask is introduced, the simulation remains in 1D.
Similarly, when going from 2D to 3D, until a 3D mask is introduced

Sentaurus™ Process User Guide
H-2013.03

13

1: Getting Started
Example: 2D Simulation

(one that varies in the z-direction in the defined simulation domain), the
simulation remains in 2D.
The initial simulation domain is defined with the region command. Many, if not most,
simulations start with a block of silicon. The shorthand for this situation is to define a region
of silicon that spans all defined lines:
region Silicon

The region command also can be used to define a new region between specified lines. To limit
the size of the region to be less than all defined lines, the lines must be given a tag with the tag
parameter. These tags are used in the region command with the xlo, xhi, ylo, yhi, zlo,
and zhi parameters.
Finally, the initial mesh and background doping is specified using the init command as
follows:
init concentration=1.0e+15 field=Phosphorus wafer.orient=100

Here, an n-doped substrate with a phosphorus concentration of 10
orientation is set to 100, which is the default.

15

cm

–3

is used. The wafer

The Advanced Calibration set of physical models and parameters is loaded (this is the
recommended choice for accurate process simulation):
AdvancedCalibration I-2013.12

Usually, localized refinement is defined by introducing refinement boxes. This strategy
prevents excessive mesh that can result if mesh refinement is based solely on the line
command (with the spacing parameter). Lines specified with the line command run the
entire length (or breadth or depth) of the structure.
The refinement boxes can be inserted at any time during the simulation. The simplest form of
the refinement box, used in this example, consists of minimum and maximum coordinates
where the refinement box is valid and local maximum mesh spacing in the x-, y- and
z-directions. A refinement box specified for a 2D simulation will be applied to 1D if it is valid
for y = 0.0. Similarly a 3D refinement box will be applied if it covers z = 0.0.
The following refinement boxes specify refinement only in the x-direction for the 1D part of
the simulation:
#--- Refinement
refinebox clear
refinebox min =
refinebox min =
refinebox min =

14

in vertical direction --------------------------------;# remove all default refinement
0
max = 50.0 xrefine = {2.0 10.0}
50.0 max = 2.0 xrefine = {10.0 0.1 0.2}
2.0 max = 10.0 xrefine = {0.2 2.0}

Sentaurus™ Process User Guide
H-2013.03

1: Getting Started
Example: 2D Simulation

The other type of refinement box used in this example is the interface refinement type. Interface
refinement is a graded refinement that is refined near an interface in the perpendicular direction
and relaxed away from the interface. Using the refinebox command, you can specify
interface refinement using the interface.materials or interface.mat.pairs
parameter:
■

■

Use interface.materials to indicate refinement will occur at all interfaces to the
specified materials.
Use interface.mat.pairs to choose interface refinement only at specific material
interfaces.
#--- Interface refinement --------------------------------------------refinebox interface.materials = { PolySilicon Silicon }

For more details on mesh refinement, see Mesh Refinement on page 694.

Implanting Boron
First, three sets of boron implants are performed:
implant Boron dose=2.0e13 energy=200 tilt=0 rotation=0
implant Boron dose=1.0e13 energy= 80 tilt=0 rotation=0
implant Boron dose=2.0e12 energy= 25 tilt=0 rotation=0

The first high-energy implant creates the p-well, the second medium-energy implant defines a
retrograde boron profile to prevent punch-through, and the third low-energy implant is for a Vt
adjustment.

Growing Gate Oxide
The gate oxide is grown at a temperature of 850°C for 10 minutes in pure oxygen using:
diffuse temperature=850 time=10.0 O2
select z=Boron
layers

The layers command shows that the thickness of the grown oxide is 3.2 nm:
{
{
{

Top
-2.500551327519e-03
7.862861879285e-04

Bottom
7.862861879285e-04
1.000000000000e+01

Integral
Material }
1.247399405710e+10 Oxide }
3.197435354292e+13 Silicon }

For details, see Measuring Oxide Thickness on page 8.

Sentaurus™ Process User Guide
H-2013.03

15

1: Getting Started
Example: 2D Simulation

Defining Polysilicon Gate
The polysilicon gate is created using:
deposit PolySilicon type=isotropic thickness=0.18
mask name=gate_mask left=-1 right=90
etch PolySilicon type=anisotropic thickness=0.2 mask=gate_mask
etch Oxide type=anisotropic thickness=0.1

First, 0.18 µm of polysilicon is deposited over the entire structure. The keyword
type=isotropic means that the layer is grown equally in all directions, but since the
simulation is in 1D, it would be the same as type=anisotropic.
A mask is defined to protect the gate area with the mask command. In this project, only half of
the transistor is simulated. Therefore, the left edge of the gate mask is unimportant. In general,
you should run the mask over the sides of the simulation to prevent round-off errors that could
prevent complete mask coverage. The name gate_mask is associated with this mask for later
reference.
The first etch command refers to the previously defined mask and, therefore, only the exposed
part of the polysilicon is etched. The requested etching depth ( 0.2 µm ) is larger than the
deposited layer. This overetching ensures that no residual islands remain. The etching is
specified to be anisotropic, that is, the applied mask is transferred straight down, without any
undercut.
The second etch statement does not refer to any masks. However, the polysilicon naturally
acts as a mask for this selective etching process. Again, a considerable overetching is specified.

Working with Masks
Masks must be defined before they are used. For example, ex_mask blocks processing from
–1 to 2 µm and from 4 to 20 µm :
mask clear
mask name=ex_mask segments = { -1.0 2.0 4.0 20.0 }
segments specifies a list of coordinates of mask segments. Several mask segments can be

specified at the same time. The first coordinate defines the beginning of a segment; the second
defines the end of the segment; the third defines the beginning of the segment; and so forth. In
3D simulations, mask segments are extended across the entire structure in the z-direction.

16

Sentaurus™ Process User Guide
H-2013.03

1: Getting Started
Example: 2D Simulation

Masks can be inverted using the negative option. For example, etch_mask prevents
processing from 2 to 4 µm :
mask clear
mask name=etch_mask segments = { -1.0 2.0 4.0 20.0 } negative

Commands that use masking include etch, photo, and deposit.

Polysilicon Reoxidation
To release stresses, a thin oxide layer is grown on the polysilicon before the spacer formation:
diffuse temperature=900 time=10.0 O2

In all diffusion steps, Sentaurus Process automatically deposits a thin native oxide layer before
starting oxidation. This layer is always present on silicon exposed to air and quickly forms on
newly created interfaces.

-0.15

-0.1

-0.05

0
0

Figure 4

0.05

0.1

0.15

Polysilicon reoxidation

During oxidation, mesh movement is controlled by the TSUPREM-4 mesh library in 2D. In 1D
and 3D, it is controlled by an internal moving-boundary mesh algorithm. Both of these movingboundary algorithms perform local atomic mesh operations (element removal, edge splitting,
edge flipping, and so on) which leave the rest of the mesh untouched. Mesh points are moved
with the material to maintain dopant dose conservation and the dopant segregation condition at
oxide–silicon and oxide–polysilicon interfaces. Figure 5 shows a close-up of the mesh after the

Sentaurus™ Process User Guide
H-2013.03

17

1: Getting Started
Example: 2D Simulation

polysilicon reoxidation step has been performed. Note that the mesh in the brown oxide layer
follows the growth contours.

-0.01

-0.005

0

0.005

0.08

Figure 5

0.085

0.09

0.095

Mesh in thin oxide layer and in adjacent polysilicon and silicon

Saving Snapshots
To save a snapshot of the current structure, the struct command is used. For example:
struct tdr= NMOS4

The keyword tdr specifies that the snapshot is saved in the TDR file format. The argument
specifies the stem used for the file name. Here, the file NMOS4_fps.tdr is created. The figures
in this section were generated from such snapshots.
For more information about the TDR format, refer to the Sentaurus™ Data Explorer User
Guide.

Remeshing for LDD and Halo Implants
Next, the LDD and halo implants are performed. Before that, however, the mesh must be
refined to properly capture the implant. The previously defined refinement boxes specified
vertical refinement with the xrefine parameter.
Now, lateral refinement is required to resolve the source and drain extensions (also known as
low-doped drain (LDD)) as well as the halo implants. This is accomplished by introducing a
new refinebox command that specifies:

18

■

Lateral refinement using the yrefine parameter.

■

Additional vertical refinement using the xrefine parameter.

Sentaurus™ Process User Guide
H-2013.03

1: Getting Started
Example: 2D Simulation

NOTE

When specifying multiple overlapping refinement, the most refined
specification (smallest edge length) wins.

refinebox silicon min= {0.0 0.045} max= {0.1 0.125} \
xrefine= 0.01 yrefine= 0.01
grid remesh

The min and max keywords take x-, y-, and z-coordinates. Not all coordinates must be
specified. For example, if only one number is given for minimum, it means that refinement
applies to all y- and z-coordinates less than the max coordinate.
NOTE

The refinebox command only specifies a refinement criterion, but the
mesh is not changed. The grid remesh command forces a remesh.

-0.06
-0.04
-0.02
0
0.02
0.04
0.06
0.08
0.05

Figure 6

0.1

0.15

A combination of overlapping refinement boxes is used to define a finer mesh for
LDD and halo; if multiple criteria overlap, the finest mesh specification wins

Implanting LDD and Halo
The LDD and halo implants are performed using:
#--- LDD implantation ------------------------------------------------implant Arsenic dose=4e14 energy=10 tilt=0 rotation=0
#--- Halo implantation: Quad HALO implants ---------------------------implant Boron dose=1.0e13 energy=20 tilt=30 \
rotation=0 mult.rot=4
diffuse temperature=1050 time=5.0

Sentaurus™ Process User Guide
H-2013.03

19

1: Getting Started
Example: 2D Simulation
14

–2

The LDD implant uses a high dose of 4 × 10 cm and a relatively low energy of 10 keV.
The halo is created by a quad implant using the mult.rot parameter, that is, the implant is
performed in four steps. Each step is separated in rotation by 360/4 = 90° starting with the
specified rotation of 0. This is performed to ensure that the boron penetrates well into the
channel at the tips of the source–drain extensions. Again, a relatively high total dose of
14
–2
1 × 10 cm is used.
The implants are activated with a short thermal cycle or rapid thermal anneal (RTA).

Forming Nitride Spacers
The nitride spacers are formed using:
#--- Nitride spacer --------------------------------------------------deposit Nitride type=isotropic
thickness=60
etch
Nitride type=anisotropic thickness=84 isotropic.overetch=0.01
etch
Oxide
type=anisotropic thickness=10

First, a uniform, 60-nm thick layer of nitride is deposited over the entire structure. The keyword
type=isotropic ensures that the growth rate of the layer is the same in all directions. Then,
the nitride is etched again; however, now an anisotropic etching is used. This means that the
nitride deposited on the vertical sides of the gate is not fully removed and can serve as masks
for the source/drain implants. For this step, an isotropic overetch is specified. Specifying a
fraction of the etch thickness, 0.01 implies a 1% isotropic component. This is needed because
the oxide formed during poly oxidation has a nonvertical sidewall. Without the small
isotropic.overetch, a small nitride residual would remain. Finally, the thin oxide layer
grown during the poly reoxidation step is removed.

Remeshing for Source/Drain Implants
Next the source/drain implants are performed. However, before that, the mesh is refined again.
refinebox Silicon min= {0.04 0.11} max= {0.18 0.4} \
xrefine= 0.01 yrefine= {0.02 0.05}
grid remesh

This refinement box ensures that the grid is fine enough in the vertical direction to resolve the
junction depth.

20

Sentaurus™ Process User Guide
H-2013.03

1: Getting Started
Example: 2D Simulation

Implanting Source/Drain
The source and drain regions are created using:
implant Arsenic dose=5e15 energy=40 tilt=7 \
rotation=-90
diffuse temperature=1050 time=10.0

To ensure a low resistivity of the source and drain regions, this implant step uses a very high
15
–2
dose of 5 × 10 cm . A tilt of 7° is used to reduce channeling and a rotation of – 90 °
ensures that the plane of incident is parallel to the gate stack, such that the 7° tilt angle does
not lead to asymmetry between the source and drain.

Transferring to Device Simulation
To transfer from process simulation to device simulation, the normal steps are:
■

The structure bottom is cropped.

■

The full transistor is created by reflecting about the symmetry plane.

■

A new mesh strategy is specified appropriate for device simulation.

■

Contacts are specified.

■

The struct command is called which remeshes and saves the structure.

Remeshing for Device Simulation
The following example shows the standard technique used to produce a structure and mesh
appropriate for device simulation. First, the structure bottom is truncated; then a new mesh
strategy is introduced:
#--Remove bottom of structure-----------------------------------------transform cut location= 1.00 down
#--Change refinement strategy and remesh------------------------------refinebox clear
line clear
pdbSet
pdbSet
pdbSet
pdbSet
pdbSet

Grid
Grid
Grid
Grid
Grid

Adaptive 1
AdaptiveField Refine.Abs.Error 1e37
AdaptiveField Refine.Rel.Error 1e10
AdaptiveField Refine.Target.Length 100.0
SnMesh DelaunayType boxmethod

refinebox name= Global refine.min.edge= {0.01 0.01} \

Sentaurus™ Process User Guide
H-2013.03

21

1: Getting Started
Example: 2D Simulation

refine.max.edge= {0.1 0.1} refine.fields= { NetActive } \
def.max.asinhdiff= 0.5 adaptive
refinebox name= SiGOX min.normal.size= 0.2 normal.growth.ratio= 1.4 \
max.lateral.size= 5.0 min= {-0.01 -0.1} max= {0.01 0.1} \
interface.materials= {Silicon}
refinebox name= GDpn1 min= {0.0 0.04} max= {0.06 0.1} xrefine= 0.005 \
yrefine= 0.005 silicon
refinebox name= TopActive min= {0.0 0.0} max= {0.3 0.4} \
refine.min.edge= {0.02 0.02} refine.max.edge= {0.05 0.05} \
refine.fields= { NetActive } def.max.asinhdiff= 0.5 \
adaptive silicon
grid remesh
#--Reflect --------------------------------------------------------transform reflect left

The new mesh strategy uses a combination of interface refinement, fixed boxwise refinement,
and adaptive refinement on dopants.

Contacts
Next, contacts are added to the structure using the contact command. These contacts are
added to structure files upon writing. They are not present in the internal Sentaurus Process
structure, but are added only as required when writing the structure. There are two types of
contact specification:
■

■

Box: For these contacts, you specify a box and a material, and all interfaces of that material
that are inside the box become the contact.
Point: For this contact, you specify a point inside a chosen region. The chosen region is
removed, and all interfaces between the chosen region and bulk materials become part of
the contact.

In the following example, only box-type contacts are used:
#--- Contacts --------------------------------------------------------contact name= "substrate" bottom Silicon
contact name= "source" box Silicon adjacent.material= Gas \
xlo= 0.0 xhi= 0.005 ylo= -0.4 yhi= -0.2
contact name= "drain" box Silicon adjacent.material= Gas \
xlo= 0.0 xhi= 0.005 ylo= 0.2 yhi= 0.4

22

Sentaurus™ Process User Guide
H-2013.03

1: Getting Started
Example: 2D Simulation

contact name= "gate" box PolySilicon xlo= -0.181 xhi= -0.05 \
ylo= -0.088 yhi= 0.088

Saving the Structure
To save the structure, use:
struct tdr=NMOS !Gas

The file NMOS_fps.tdr is created with contacts and can be loaded into Sentaurus Device to
obtain device electrical characteristics.
-0.2

-0.1

0

0.1

0.2
-0.4

Figure 7

-0.3

-0.2

-0.1

0

0.1

0.2

0.3

0.4

Final structure showing contacts and refinement appropriate for device simulation

Extracting 1D Profiles
You can save 1D profiles at any point in the process flow using:
SetPlxList {BTotal NetActive}
WritePlx NMOS_channel.plx y=0.0 silicon

as well as:
struct tdr=NMOS_channel.tdr y=0.0

For details, see Saving the As-Implanted Profile on page 10.

Sentaurus™ Process User Guide
H-2013.03

23

1: Getting Started
Adaptive Meshing: 2D npn Vertical BJT

Adaptive Meshing: 2D npn Vertical BJT
A simple 2D npn vertical bipolar transistor example is introduced to show how the adaptivemeshing capabilities in Sentaurus Process can be used to ease mesh setup and allow for mesh
evolution during dopant diffusion. For examples, see 2D npn Vertical Bipolar on page 38.
For all the applications involving long thermal diffusion steps or simulations of relatively large
structures (in which doping profiles may evolve greatly), using static mesh criteria is
impracticable because it requires using a fine mesh in many parts of the simulation domain.
Moreover, the placement of the refinement boxes is not straightforward because often the
location of gradients and junctions at the end of the thermal steps is not precisely known. For
such purposes, adaptive meshing could be used. Using this feature, you only have to define
some refinement criteria, more or less stringent depending on the level of accuracy required.
The meshing engine checks the mesh and decides automatically where, when, and if the mesh
needs to be refined.

Overview
Adaptive meshing can be switched on globally with:
pdbSet Grid Adaptive 1

which creates a default adaptive box covering the entire structure.
Adaptive refinement parameters can be set in the following ways:
■

Fieldwise in the PDB with the pdbSet command

■

Boxwise as parameters of the refinebox command

■

Materialwise, specifying a material in a box definition

■

Regionwise, specifying a region in a box definition

To prevent the number of mesh points from growing too large, switch off the keep.lines
option (which is switched on by default in silicon) when using adaptive meshing:
refinebox !keep.lines

Many different refinement criteria have been implemented in Sentaurus Process for flexibility
in handling different types of field and structure. For a complete list and detailed descriptions
of the refinement criteria, see Adaptive Refinement Criteria on page 700.

24

Sentaurus™ Process User Guide
H-2013.03

1: Getting Started
Adaptive Meshing: 2D npn Vertical BJT

The criteria in the following example are the most commonly used and are referred to as
relative difference and local dose error. Each computes the so-called desired edge length
(DEL), which is defined formally as:
DEL = min (l12 * MaxError/Error)

where l12 is the length of the edge between two mesh points 1 and 2. Error (computed
internally) is the error between points 1 and 2, and MaxError (set by users) is the maximum
allowable error. The right-hand side of the expression is computed over all the fields that can
be refined (by default, all the solution variables): the minimum value is the DEL for the
corresponding criterion. The expression for Error and the name and the meaning of
MaxError vary from criterion to criterion. For the relative difference criterion, these quantities
have the form:
Error = 2*|C1 - C2|/(C1 + C2 + alpha)
MaxError = Rf

where C 1 and C 2 are the concentration of the field in points 1 and 2, respectively, R f is the
relative error that sets the maximum-allowed change of the field across an edge, and alpha is
the absolute error, a type of cutoff threshold below which refinement is smoothed out. They can
be set in the PDB as follows:
pdbSet Grid Boron Refine.Abs.Error 1e15
pdbSet Grid Boron Refine.Rel.Error 0.5

or in the refinebox commands as:
refinebox name=Active refine.fields= {Boron Arsenic} \
rel.error= {Boron=0.5 Arsenic=0.5} abs.error= {Boron=2e15 Arsenic=1e16} \
Adaptive min= {-1.0 -0.1} max= {2.0 16.0}

For the definition of Error and MaxError for the local dose error criterion, see Local Dose
Error Criteria on page 703.
All the edges are compared to DEL to check the percentage of long edges by using the
following additional parameter:
pdbSetDoubleArray Grid Refine.Factor {X 2.0 Y 2.0}

These coefficients can be set directionwise and act in the following way: An edge is defined as
long when it is larger than Refine.Factor*DEL for at least one of the selected refinement
criteria. When the percentage of long edges is larger than certain values, adaptive refinement
is actually triggered. This value can be set as:
pdbSet Grid Refine.Percent 0.01

When adaptive meshing is switched on, it automatically affects refinement whenever a mesh is
generated (such as after geometry-changing operations). During the diffuse command, the

Sentaurus™ Process User Guide
H-2013.03

25

1: Getting Started
Adaptive Meshing: 2D npn Vertical BJT

mesh is checked after a certain number of steps that can be separately set depending on the
nature of the diffusion step:
pdbSet Diffuse Compute.Regrid.Steps 10 ;# during inert annealings
pdbSet Diffuse Growth.Regrid.Steps -1 ;# during oxidation and silicidation
pdbSet Diffuse Epi.Regrid.Steps -1
;# during epitaxy

When the number of long edges is larger than Refine.Percent, remeshing is performed. The
mesh quality check can be omitted by setting:
pdbSet Grid Refinement.Check 0

which can save some CPU time when performing simulations on large meshes, where the mesh
checking is time consuming.
NOTE

Formally, the adaptive-meshing feature consists of field-based and
implant-based adaptation. There is a small difference in the way
refinement criteria are applied. For details, see Adaptive Meshing
during Implantation on page 708 and Interval Refinement on page 704.
However, as the two modules use the same parameters, you do not need
to define them twice.

NOTE

Adaptive-meshing syntax to set up parameters is the same in any
dimension.

The relative error criterion is effective in refining doping profiles in steep gradient regions. In
the vicinity of maxima and minima, the profiles are almost flat and some loss of accuracy may
occur there. Further reduction of Rel.Error would increase significantly the number of
points in the steep slope with negligible improvements at the peaks. In that case, the max dose
loss criterion can be used more effectively. This explains why the combination of these two
criteria provides an optimum adaptive-remeshing strategy.

Defining Initial Structure
The command math coord.ucs is used to switch on the unified coordinate system (UCS).
Using the UCS is recommended because the default behavior is to rotate the structure when
saving and loading to the DF–ISE coordinate system. With the UCS, the structure is not
rotated. Therefore, the axes in Tecplot SV match the axes in the Sentaurus Process command
file. It is recommended to insert this as the first command in the command file.
The line commands are used to compartmentalize the structure according to the meshing
strategy described in the previous example:
line x loc= 2.0
line x loc= 4.0

26

tag=SubTop

Sentaurus™ Process User Guide
H-2013.03

1: Getting Started
Adaptive Meshing: 2D npn Vertical BJT

line
line
line
line
line
line
line
line
line
line

x
x
y
y
y
y
y
y
y
y

loc= 6.0
loc= 10.0
loc= 0.0
loc=1.5
loc=2.5
loc=8
loc=13
loc=22
loc=24
loc=30.0

tag=SubBottom
tag=SubLeft

tag=SubRight

Along the x-axis, few lines are specified: the two tagged ones are needed to define the initial
silicon substrate. The other two lines are defined to have uniform spacing within the box
defined to refine the buried layer. Along the y-axis, more lines are defined because a coarse
initial mesh would degrade the quality of the mesh resulting from adaptation during
implantation. These lines are set corresponding to the mask edges: This information is usually
known to users, especially if the simulation starts from a layout, and the process flow is set up
in Ligament.

Adaptive Meshing Settings
As previously mentioned, adaptive parameters can be set in different ways, which lead to
different refinement strategies:
pdbSet Grid Adaptive 1
pdbSet Grid AdaptiveField Refine.Abs.Error 1e25
pdbSet Grid AdaptiveField Refine.Rel.Error 2.0
pdbSet Grid Damage Refine.Min.Value 1e25
pdbSet Grid Damage Refine.Max.Value 1e25
pdbSet Grid Damage Refine.Target.Length 1

Here the following strategy is used:
■

■

■

The default relative difference–type refinement is switched off by setting high values for
absolute and relative errors and for the interval damage refinement.
When parameters are set for AdaptiveField, they are applied to all the existing fields
that can be refined.
Actual refinement will be then controlled in specific regions by using refineboxes.

Three refinement boxes are defined as the structure and the process flow clearly identifies three
main significant areas: buried layer, collector region, and base-emitter region:
refinebox name=BL refine.fields= {Antimony Phosphorus} \
rel.error= {Antimony=0.6 Phosphorus=0.6} \

Sentaurus™ Process User Guide
H-2013.03

27

1: Getting Started
Adaptive Meshing: 2D npn Vertical BJT

abs.error= {Antimony=1e16 Phosphorus=1e16} Adaptive min= {2.0 -0.1} \
max= {10.1 30.1} refine.min.edge= {0.2 0.4} max.dose.error= {Antimony=1e8} \

The min and max parameters set an xy pair of coordinates to define the extent of the box. The
keyword all means that refinement must be applied to all materials. When using a material
name, refinement is applied to the specified material only.
NOTE

More than one adaptive type can be specified in the same box. In the BL
box, the relative difference and local dose loss criteria are selected by
specifying the parameters rel.error or abs.error and
max.dose.error, respectively.

refinebox name=Sinker refine.fields= {Phosphorus Arsenic} \
rel.error= {Phosphorus=0.5 Arsenic=0.5} \
abs.error= {Phosphorus=5e15 Arsenic=1e16} Adaptive min= {-1.0 16} \
max= {2.0 30.1} refine.min.edge= {0.1 0.2}
refinebox name=Active refine.fields= {Boron Arsenic} \
rel.error= {Boron=0.5 Arsenic=0.5} abs.error= {Boron=2e15 Arsenic=1e16} \
Adaptive min= {-1.0 -0.1} max= {2.0 16.0} refine.min.edge= {0.025 0.05} \

The BL box is defined to refine the buried layer: a high level of accuracy is not required here
and the values are more relaxed than in the other boxes. The refine.min.edge parameter
adds the additional directionwise constraint not to refine edges below the specified values
(units in micrometers).
The Sinker box is defined to refine the n-doped collector region, which contacts the buried
layer. More restrictive values are used in it.
The Active box is used to refine the base–emitter region. Higher accuracy is required here to
properly catch the base length, which all the main electrical parameters of the device are a
function of:
pdbSet Diffuse Compute.Regrid.Steps 10
pdbSet Grid Refine.Percent 0.01

According to these last two commands, the mesh is checked every 10 diffusion steps in inert
annealings, and remeshing is performed if there are more than 0.01% of long edges.

Buried Layer
The buried layer is obtained with high-energy and high-dose antimony implantation:
deposit material= {Oxide} type=isotropic time=1 rate= {0.025}
implant Antimony dose=1.5e15 energy=100
etch material= {Oxide} type=anisotropic time=1 rate= {0.03}
28

Sentaurus™ Process User Guide
H-2013.03

1: Getting Started
Adaptive Meshing: 2D npn Vertical BJT

Before the implantation, 25 nm of a screening oxide is deposited. Here an alternate syntax is
used to specify the deposit material. The deposited oxide thickness is determined by the
product of rate and time. The implantation is performed with default angles (tilt of 7° and
rotation of 90° ). After the implantation, the oxide is etched to clean the surface and to prepare
it for the subsequent epi step.

Epi Layer
For speed and simplicity, an epitaxial regrowth step is not performed here. Instead, a simpler
–3
15
deposition of a silicon layer with 1 ×10 cm
arsenic concentration is followed by a
diffusion step:
deposit material= {Silicon} type=isotropic time=1 rate= {4.0} Arsenic \
concentration=1e15
diffuse temp=1100 time=60 maxstep=4

The maximum diffusion step is limited to 4 minutes to avoid having too much diffusion
between two subsequent adaptive remeshing steps. An alternative would be to reduce
Compute.Regrid.Steps, but this would lead to numerous remeshings at the beginning of
the annealing when the time step is small.
The following sections describe the process steps to create sinker, base, and emitter regions. At
the end of each group of steps, results are saved in TDR files.

Sinker Region
This is the beginning of the 2D simulation. A 50-nm screening oxide is deposited before the
phosphorus implantation to contact the buried layer. The Sinker mask protects the silicon area
where the base will be created. The Photo command is used to deposit the photoresist (mask
definition not shown here). The subsequent annealing is long (5 hours). For this reason, the
maximum time step is allowed to increase up to 8 minutes.
Figure 8 on page 30 shows the doping concentration distribution at this point of the simulation:
deposit material= {Oxide} type=isotropic time=1 rate= {0.05}
photo mask=Sinker thickness=1
implant Phosphorus dose=5e15 energy=200
strip Resist
diffuse temp=1100 time=5
maxstep=8 struct tdr=vert_npn2 Sentaurus™ Process User Guide H-2013.03 29 1: Getting Started Adaptive Meshing: 2D npn Vertical BJT 0 5 10 0 Figure 8 5 10 15 20 25 30 Doping concentration after phosphorus implantation and diffusion to contact antimony buried layer Base Region 14 The p-doped base region is created with a 1 ×10 a 35-minute inert annealing: cm –2 dose of implanted boron followed by photo mask=Base thickness=1 implant Boron dose=1e14 energy=50 strip Resist diffuse temp=1100 time=35 maxstep=4 struct tdr=vert_npn3 Emitter Region 15 –2 The highly n-doped emitter region is created with a 5 ×10 cm dose of implanted arsenic followed by a 25-minute inert annealing. Emitter mask is designed such that arsenic is implanted also in the sinker region to increase the doping concentration at the collector contact. In addition to a TDR file, 1D profiles are extracted. Figure 9 on page 31 shows the final doping distribution: photo mask=Emitter thickness=1 implant Arsenic dose=5e15 energy=55 tilt=7 rotation=0 strip Resist diffuse temp=1100 time=25 maxstep=4 struct tdr=vert_npn4 30 Sentaurus™ Process User Guide H-2013.03 1: Getting Started Adaptive Meshing: 2D npn Vertical BJT SetPlxList {BTotal SbTotal AsTotal PTotal} WritePlx Final.plx y=5.0 WritePlx Sinker.plx y=23.0 0 5 10 0 5 Figure 9 10 15 20 25 30 Final doping distribution Backend The real backend steps are not simulated here. A sequence of masked etching and deposition steps are used to define emitter, base, and collector contacts: etch material= {Oxide} type=anisotropic time=1 rate= {0.055} mask=Contact deposit material= {Aluminum} type=isotropic time=1 rate= {1.0} etch material= {Aluminum} type=anisotropic time=1 rate= {1.1} mask=Metal struct tdr=vert_npn5 Figure 10 shows some details of the final mesh. -1 -2 -0.5 0 0 0.5 2 1 4 1.5 6 2 0 Figure 10 1 2 3 18 20 22 24 26 28 Details of final mesh: (left) the emitter–base region and (right) the buried layer with collector contact Sentaurus™ Process User Guide H-2013.03 31 1: Getting Started Full-Text Versions of Examples The relative difference criterion refines the doping profiles, not the junctions. Obviously, if the profiles are reproduced correctly, the junctions also will be in the right place. To obtain a junction-like refinement with the relative difference criterion, set abs.error close to the doping level of the less-doped side of the junction. A more effective way is to select NetDoping as the field to be refined and apply to it the inverse hyperbolic sine (asinh) difference criterion (for details, see Inverse Hyperbolic Sine (asinh) Difference Criteria on page 702). Full-Text Versions of Examples The following full-text versions of the examples allow convenient electronic copying of text into Sentaurus Process command files. 1D NMOS # 1D Grid definition #------------------line line line line line line x x x x x x location=0.0 location= 10 location= 50 location=300 location=0.5 location=2.0 spacing= 1 tag=SiTop spacing= 2 spacing= 10 spacing= 20 spacing= 50 spacing=0.2 tag=SiBottom # Initial simulation domain #-------------------------region Silicon xlo=SiTop xhi=SiBottom # Initialize the simulation #-------------------------init concentration=1.0e15 field=Boron # Set of physical models and parameters # ---------------------------------------------AdvancedCalibration 2013.12 # Settings for automatic meshing in newly generated layers #--------------------------------------------------------pdbSet Grid SnMesh min.normal.size 0.003 32 Sentaurus™ Process User Guide H-2013.03 1: Getting Started Full-Text Versions of Examples pdbSet Grid SnMesh normal.growth.ratio.2d 1.4 ;# this is for 1D and 2D set SCREEN Grow if { $SCREEN == "Grow" } { # Growing screening oxide #-----------------------gas_flow name=O2_1_N2_1 pressure=1 flowO2=1.2 flowN2=1.0 diffuse temperature=900 time=40 gas_flow=O2_1_N2_1 # Measuring the oxide thickness #-----------------------------select z=1 layers } else { # Depositing screening oxide #--------------------------deposit material= {Oxide} type=isotropic time=1.0 rate= {0.01} diffuse temperature=900 time=40 } # Implanting Arsenic #------------------implant Arsenic energy=30 dose=1e14 tilt=7 \ rotation=0 # Plotting out the "as implanted" profile #---------------------------------------SetPlxList { BTotal Arsenic_Implant } WritePlx 1DasImpl.plx # Thermal annealing #-----------------diffuse temperature=1000 time=30 strip Oxide SetPlxList { BTotal BActive AsTotal AsActive } WritePlx 1Danneal.plx 2D NMOS #---------------------------------------------------------------------# 2D nMOSFET (0.18um technology) #---------------------------------------------------------------------math coord.ucs Sentaurus™ Process User Guide H-2013.03 33 1: Getting Started Full-Text Versions of Examples pdbSet Oxide Grid perp.add.dist 1e-7 #--- Specify lines for outer boundary and to separate moving boundaries # from the rest of the structure-----------------------------------line line line line line line x x x y y y location= location= location= location= location= location= 0.0 3.0 ;# just deeper than reox in silicon 10.0 0.0 85.0 ;# just deeper than reox in poly 0.4 #--- Silicon substrate definition ------------------------------------region silicon #--- Initialize the simulation ---------------------------------------init concentration=1.0e+15 field=Phosphorus # Set of physical models and parameters # -----------------------AdvancedCalibration 2013.12 #--- Refinement refinebox clear refinebox min = refinebox min = refinebox min = in vertical direction --------------------------------0 max = 50.0 xrefine = {2.0 10.0} 50.0 max = 2.0 xrefine = {10.0 0.1 0.2} 2.0 max = 10.0 xrefine = {0.2 2.0} #--- Interface refinement --------------------------------------------refinebox interface.materials = { PolySilicon Silicon } #--- Sentaurus Mesh settings for automatic meshing in newly generated layers pdbSet Grid SnMesh min.normal.size 1.0e-3 ;# in micrometers pdbSet Grid SnMesh normal.growth.ratio.2d 1.4 ;# used in 1D and 2D #--- Create starting mesh from lines and refinement grid remesh #--- p-well, anti-punchthrough & Vt adjustment implants --------------implant Boron dose=2.0e13 energy=200 tilt=0 rotation=0 implant Boron dose=1.0e13 energy= 80 tilt=0 rotation=0 implant Boron dose=2.0e12 energy= 25 tilt=0 rotation=0 #--- p-well: RTA of channel implants ---------------------------------diffuse temperature=1050 time=10.0 34 Sentaurus™ Process User Guide H-2013.03 1: Getting Started Full-Text Versions of Examples #--- Saving structure ------------------------------------------------struct tdr=NMOS1 FullD; # p-Well #--- Gate oxidation --------------------------------------------------diffuse temperature=850 time=10.0 O2 select z=Boron layers struct tdr=NMOS2 FullD; # GateOx #--- Poly gate deposition --------------------------------------------deposit poly type=isotropic thickness=0.18 #--- Poly gate pattern/etch ------------------------------------------# MGoals settings for etch/depo mgoals accuracy=2e-5 mask name=gate_mask segments = { -1 90 } etch poly type=anisotropic thickness=0.2 mask=gate_mask etch oxide type=anisotropic thickness=0.1 struct tdr=NMOS3 ; # PolyGate #--- For graphics, first run "tecplot_sv -s:ipc" and uncomment # the next line before running this file # graphics on #--- Poly reoxidation ------------------------------------------------diffuse temperature=900 time=10.0 O2 struct tdr=NMOS4 ; # Poly Reox #--- LDD implantation ------------------------------------------------refinebox silicon min= {0.0 0.045} max= {0.1 0.125} \ xrefine= 0.01 yrefine= 0.01 grid remesh implant Arsenic dose=4e14 energy=10 tilt=0 rotation=0 SetPlxList { BTotal Arsenic_Implant } WritePlx 1DasImpl.plx y= 0.25 diffuse temperature=1050 time=0.1 ; # Quick activation struct tdr=NMOS5 ; # LDD Implant #--- Halo implantation: Quad HALO implants ---------------------------implant Boron dose=1.0e13 energy=20 \ tilt=30 rotation=0 mult.rot=4 #--- RTA of LDD/HALO implants ----------------------------------------diffuse temperature=1050 time=5.0 Sentaurus™ Process User Guide H-2013.03 35 1: Getting Started Full-Text Versions of Examples struct tdr=NMOS6 ; # Halo RTA #--- Nitride spacer --------------------------------------------------deposit nitride type=isotropic thickness=60 etch nitride type=anisotropic thickness=84 isotropic.overetch=0.01 etch oxide type=anisotropic thickness=10 struct tdr=NMOS7 ; # Spacer #--- N+ implantation -------------------------------------------------refinebox silicon min= {0.04 0.11} max= {0.18 0.4} \ xrefine= 0.01 yrefine= {0.02 0.05} grid remesh implant Arsenic dose=5e15 energy=40 \ tilt=7 rotation=-90 SetPlxList { BTotal Arsenic_Implant } WritePlx 1DasImpl2.plx y= 0.25 #---- N+ implantation & final RTA ------------------------------------diffuse temperature=1050 time=10.0 struct tdr=NMOS8 ; # S/D implants # - 1D cross sections SetPlxList {BTotal NetActive} WritePlx NMOS_channel.plx y=0.0 silicon SetPlxList {AsTotal BTotal NetActive} WritePlx NMOS_ldd.plx y=0.1 silicon SetPlxList {AsTotal BTotal NetActive} WritePlx NMOS_sd.plx y=0.35 silicon #----------------------------------------------------------------------# #Transfer to device simulation #----------------------------------------------------------------------# #--Remove bottom of structure-----------------------------------------transform cut location= 1.00 down #--Change refinement strategy and remesh------------------------------refinebox clear line clear pdbSet Grid Adaptive 1 pdbSet Grid AdaptiveField Refine.Abs.Error pdbSet Grid AdaptiveField Refine.Rel.Error 36 1e37 1e10 Sentaurus™ Process User Guide H-2013.03 1: Getting Started Full-Text Versions of Examples pdbSet Grid AdaptiveField Refine.Target.Length 100.0 pdbSet Grid SnMesh DelaunayType boxmethod refinebox name= Global \ refine.min.edge= {0.01 0.01} refine.max.edge= {0.1 0.1} \ refine.fields= { NetActive } def.max.asinhdiff= 0.5 adaptive refinebox name= SiGOX \ min.normal.size= 0.2 normal.growth.ratio= 1.4 \ max.lateral.size= 5.0 min= {-0.01 -0.1} max= {0.01 0.1} \ interface.materials= {Silicon} refinebox name= GDpn1 \ min= {0.0 0.04} max= {0.06 0.1} xrefine= 0.005 yrefine= 0.005 \ silicon refinebox name= TopActive \ min= {0.0 0.0} max= {0.3 0.4} \ refine.min.edge= {0.02 0.02} refine.max.edge= {0.05 0.05} \ refine.fields= { NetActive } def.max.asinhdiff= 0.5 \ adaptive silicon grid remesh #--- Reflect --------------------------------------------------------transform reflect left #--- Contacts --------------------------------------------------------contact name= "substrate" bottom Silicon contact name= "source" box Silicon adjacent.material= Gas \ xlo= 0.0 xhi= 0.005 ylo= -0.4 yhi= -0.2 contact name= "drain" box Silicon adjacent.material= Gas \ xlo= 0.0 xhi= 0.005 ylo= 0.2 yhi= 0.4 contact name= "gate" box PolySilicon \ xlo= -0.181 xhi= -0.05 ylo= -0.088 yhi= 0.088 #--- Final --------------------------------------------------------struct tdr=NMOS !Gas Sentaurus™ Process User Guide H-2013.03 37 1: Getting Started Full-Text Versions of Examples 2D npn Vertical Bipolar # 2D NPN Vertical Bipolar Transistor #----------------------------------math coord.ucs line line line line line line line line line line line line x x x x y y y y y y y y loc= 2.0 loc= 4.0 tag=SubTop loc= 6.0 loc= 10.0 tag=SubBottom loc= 0.0 tag=SubLeft loc=1.5 loc=2.5 loc=8 loc=13 loc=22 loc=24 loc=30.0 tag=SubRight # Diffuse settings to speed up simulation #---------------------------------------pdbSet Diffuse IncreaseRatio 8.0 pdbSet Diffuse ReduceRatio 0.5 # Mesh settings #-------------mgoals normal.growth.ratio=2.0 accuracy=2e-5 min.normal.size=10 \ max.lateral.size=30.0 minedge=1e-5 pdbSet Grid Adaptive 1 pdbSet Grid AdaptiveField Refine.Abs.Error 1e25 pdbSet Grid AdaptiveField Refine.Rel.Error 2.0 pdbSet Grid Damage Refine.Min.Value 1e25 pdbSet Grid Damage Refine.Max.Value 1e25 pdbSet Grid Damage Refine.Target.Length 1 pdbSet Diffuse Compute.Regrid.Steps 10 pdbSet Grid Refine.Percent 0.01 refinebox interface.mat.pairs= {Silicon Oxide} refinebox name=BL refine.fields= {Antimony Phosphorus} \ rel.error={Antimony=0.6 Phosphorus=0.6} \ 38 Sentaurus™ Process User Guide H-2013.03 1: Getting Started Full-Text Versions of Examples abs.error= {Antimony=1e16 Phosphorus=1e16} \ Adaptive min= "2.0 -0.1" max= "10.1 30.1" \ refine.min.edge= {0.2 0.4} max.dose.error= {Antimony=1e8} refinebox name=Sinker refine.fields= {Phosphorus Arsenic} \ rel.error= {Phosphorus=0.5 Arsenic=0.5} \ abs.error= {Phosphorus=5e15 Arsenic=1e16} \ Adaptive min= {-1.0 16} max= {2.0 30.1} refine.min.edge= {0.1 0.2} refinebox name=Active refine.fields= {Boron Arsenic} \ rel.error= {Boron=0.5 Arsenic=0.5} \ abs.error= {Boron=2e15 Arsenic=1e16} \ Adaptive min= {-1.0 -0.1} max= {2.0 16.0} \ refine.min.edge= {0.025 0.05} # Masks definition #----------------mask mask mask mask mask name=Sinker name=Base name=Emitter name=Contact name=Metal segments= segments= segments= segments= segments= {-1 {-1 {-1 {-1 {-1 22 24 35} negative 1.5 13 35} negative 2.5 8 22 24 35} negative 3.5 7 10 12 22.5 23.5 35} 2 8 9 13 22 24 35} negative # Creating initial structure #--------------------------region Silicon xlo=SubTop xhi=SubBottom ylo=SubLeft yhi=SubRight init concentration=1e+15 field=Boron # Set of physical models and parameters # -----------------------AdvancedCalibration 2013.12 # Buried layer #------------deposit material= {Oxide} type=isotropic time=1 rate= {0.025} implant Antimony dose=1.5e15 energy=100 etch material= {Oxide} type=anisotropic time=1 rate= {0.03} # Epi layer #---------deposit material= {Silicon} type=isotropic time=1 rate= {4.0} \ Arsenic concentration=1e15 diffuse temp=1100 time=60 maxstep=4 Sentaurus™ Process User Guide H-2013.03 39 1: Getting Started Full-Text Versions of Examples struct tdr=vert_npn1 SetPlxList {BTotal SbTotal AsTotal PTotal} WritePlx Buried.plx # Sinker #------deposit material= {Oxide} type=isotropic time=1 rate= {0.05} photo mask=Sinker thickness=1 implant Phosphorus dose=5e15 energy=200 strip Resist diffuse temp=1100 time=5
maxstep=8 struct tdr=vert_npn2 # Base #----photo mask=Base thickness=1 implant Boron dose=1e14 energy=50 strip Resist diffuse temp=1100 time=35 maxstep=4 struct tdr=vert_npn3 # Emitter #-------photo mask=Emitter thickness=1 implant Arsenic dose=5e15 energy=55 tilt=7 rotation=0 strip Resist diffuse temp=1100 time=25 maxstep=4 struct tdr=vert_npn4 SetPlxList {BTotal SbTotal AsTotal PTotal} WritePlx Final.plx y=5.0 WritePlx Sinker.plx y=23.0 # Back end #--------etch material= {Oxide} type=anisotropic time=1 rate= {0.055} mask=Contact deposit material= {Aluminum} type=isotropic time=1 rate= {1.0} etch material= {Aluminum} type=anisotropic time=1 rate= {1.1} mask=Metal 40 Sentaurus™ Process User Guide H-2013.03 1: Getting Started Full-Text Versions of Examples struct tdr=vert_npn5 exit Sentaurus™ Process User Guide H-2013.03 41 1: Getting Started Full-Text Versions of Examples 42 Sentaurus™ Process User Guide H-2013.03 CHAPTER 2 The Simulator Sentaurus Process This chapter provides an overview of how Sentaurus Process operates. The syntax and features of the command file are described, followed by an overview of the Sentaurus Process parameter database, which contains all of the model parameters and technical details regarding the running of the tool. For new users, see Syntax for Creating Input Command Files on page 50, Creating and Loading Structures and Data on page 66, and Interactive Mode on page 46. For advanced users who need to adjust model parameters, see Parameter Database on page 55. For the TCAD Sentaurus Tutorial and examples, go to: $STROOT/tcad/$STRELEASE/Sentaurus_Training/index.html where STROOT is an environment variable that indicates where the Synopsys TCAD distribution has been installed, and STRELEASE indicates the Synopsys TCAD release number. Overview To familiarize users with the different formatting used in this documentation, input commands from either a command file or the command line are presented this way: sprocess -v An example of output from Sentaurus Process is: **************************************************************************** *** Sentaurus Process *** *** Version H-2013.03 *** *** (1.5, amd64, linux) *** *** *** *** Copyright (C) 1993-2002 *** *** The board of regents of the University of Florida *** *** Copyright (C) 1994-2013 *** *** Synopsys, Inc. *** *** *** *** This software and the associated documentation are confidential *** *** and proprietary to Synopsys, Inc. Your use or disclosure of this *** *** software is subject to the terms and conditions of a written *** *** license agreement between you, or your company, and Synopsys, Inc. *** Sentaurus™ Process User Guide H-2013.03 43 2: The Simulator Sentaurus Process Interactive Graphics **************************************************************************** Compiled Fri Jan 25 00:56:50 PDT 2013 on tcadamd12 Started at: User name: Host name: PID: Architecture: Operating system: 2007 Wed Jan 16 09:44:59 2013 (PDT) iavci tcadintel1 12010 x86_64 Linux rel. 2.6.9-55.ELsmp ver. #1 SMP Fri Apr 20 16:36:54 EDT Interactive Graphics There are two options for interactive graphics in Sentaurus Process: ■ An X-Windows-based graphical display (which will be phased out in future releases) ■ An interface to Tecplot SV (which will eventually replace the X-Windows display) The interface of Tecplot SV is available on all platforms and can be used in 1D, 2D, and 3D. The interface can be started with the simple command graphics on. The X-Windows-based viewer is launched with either the plot.1d or plot.2d command (see plot.1d on page 1063 and plot.2d on page 1066). When the graphics command is used, graphical updating is performed automatically. The Sentaurus Process–Tecplot SV interface is designed to minimize the effects of the start-up time of Tecplot SV. The usual mode of operation is to have one Tecplot SV window, which has interprocess communication (IPC) enabled, and to start and stop Sentaurus Process many times. Because of the variability in user environments, automated start-up of Tecplot SV from inside Sentaurus Process is not reliable. Therefore, to use the Sentaurus Process–Tecplot SV interface, you must first start an IPC-enabled Tecplot SV from the UNIX command line before starting the Sentaurus Process–Tecplot SV interface from within Sentaurus Process. To start an IPC-enabled Tecplot SV, issue the following from the UNIX command line: unix> tecplot_sv -s:ipc Each time Sentaurus Process is started, it connects to the Tecplot SV window opened by the above command and creates a new frame where the graphical output is sent. The name of the frame contains the process ID, the user name, and the name of the computer where Sentaurus Process is run. NOTE 44 It is not necessary that the computer where Tecplot SV is launched is the same as the computer where Sentaurus Process is run, but the home Sentaurus™ Process User Guide H-2013.03 2: The Simulator Sentaurus Process Command-Line Options directory of the user should be the same on both computers (using NFS or similar networking file-sharing). In addition, it is possible to have multiple Sentaurus Process jobs sending graphics output to a single Tecplot SV for comparing multiple simulations in real time. For more information, see Tecplot SV User Guide, Launching or Connecting to Tecplot SV on page 13). There is a convenient control mechanism built into Tecplot SV located in a dialog box, which is displayed by selecting View > Sentaurus Interface. In the dialog box, buttons allow you to pause and continue Sentaurus Process so that the graphics can be more closely examined when the structure or data in Sentaurus Process changes rapidly. NOTE It is sometimes convenient to use the fbreak command when using interactive graphics. This command pauses Sentaurus Process in the input command file where the fbreak command occurs, allowing adjustments to be made to the display settings such as mesh on or off, selection of field to view, and range of color scale. The fbreak command puts Sentaurus Process into interactive mode and the command prompt ‘sprocess>’ appears in the terminal window from which Sentaurus Process was run. After adjustments to the graphics have been made, the command fcontinue can be entered, which will resume Sentaurus Process execution. In Sentaurus Workbench or batch mode (that is, sprocess -u or sprocess -b), the commands fbreak and fcontinue have no effect. Therefore, these commands can be placed in a Sentaurus Workbench project. Command-Line Options Table 1 lists the command-line options that are available in Sentaurus Process. Table 1 Command-line options Option Short name Function --batchMode -b Switch off graphics. --diff NA Diff mode. To see differences in data and Sentaurus Process parameter settings between two TDR files. Interpolation is used to compare results from different meshes. Usage: sprocess --diff where and are TDR files. --FastMode -f Generate structure, no diffusion, no Monte Carlo implantation, no partial differential equation (PDE) solve, and so on. Sentaurus™ Process User Guide H-2013.03 45 2: The Simulator Sentaurus Process Command-Line Options Table 1 Command-line options Option Short name Function --GENESISeMode -u Switch off log file creation. --home -o Set SPHOME to . --noSyntaxCheck -n Switch off syntax check. --pdb -p Run Parameter Database Browser showing parameters as they are set during run-time. Include default parameters and parameters from the input command file if specified. Same as --pdb, but only shows parameters set in input command file; does not show default parameters. --ponly --quickSyntaxCheck -q Only check syntax of branches that are true. --syntaxCheckOnly -s Only check syntax, no execution. NA -v Print header with version number. NA -h Print use and command-line options. NA -x Test floating-point exception handling. NA -X Switch off floating-point exception catching. Interactive Mode Sentaurus Process runs in interactive mode if no command file is given. In this mode, commands can be entered (at the command prompt) line-by-line and are executed immediately. It is useful to run Sentaurus Process in the interactive mode for the following reasons: ■ ■ ■ ■ When debugging Tcl code, the program does not quit if a Tcl error is found. The error is displayed and you are prompted again for input. You can source a command file repeatedly if required. To easily obtain pdb parameter names and defaults with the pdbGet command. To print the list of built-in functions with the help command, and to print the list of Tcl procedures with the info procs command. To obtain command parameter names and defaults for any built-in command by using the params flag available in all built-in functions. Another use of the interactive mode is to pause the simulation using the fbreak command. When the simulation is paused in interactive mode, the state of the simulator can be queried using a number of commands including grid, mater, select, and so on. Pausing the simulation can also be useful when using interactive graphics as described in Interactive Graphics on page 44. 46 Sentaurus™ Process User Guide H-2013.03 2: The Simulator Sentaurus Process Environment Variables Fast Mode When working on a new process flow, it is particularly useful to run Sentaurus Process a few times using the fast mode (-f command-line option). Developing a new process flow can be complex, involving many etch, deposit, and photo steps, some with masks; sometimes adjustments are required. In the fast mode, all diffusion, Monte Carlo implantation, and 3D remeshing commands are ignored. Only process commands for structure generation and analysis are performed. In this mode, when in three dimensions, all struct commands will only write a boundary into the TDR file, since the simulation mesh is not synchronized with the modified structure. Terminating Execution You can terminate a running Sentaurus Process job in several ways. In some cases, the termination will take time or will fail for other reasons. The most fail-safe method is to use the UNIX command: kill -9 where is the process ID number of the running Sentaurus Process job which can be obtained with the UNIX ps command. This sends a signal SIGKILL to the corresponding Sentaurus Process job, which will cause the job to terminate immediately. If Sentaurus Process is run directly from a UNIX shell, usually you can terminate the run by using shortcut keys. The key sequence is interpreted by the shell command, which sends a signal to the job in the foreground. Usually, Ctrl+C sends a SIGINT signal and Ctrl+\ (backslash) sends a SIGQUIT signal. The running Sentaurus Process job catches all SIGINT signals and waits for three signals to be caught (in case it was typed accidentally) before terminating itself. However, Sentaurus Process does not catch the SIGQUIT signal, so this signal will typically cause Sentaurus Process to terminate immediately. Because the exact behavior may depend on your UNIX shell, the operating system, and the local configuration, refer to the manual for the UNIX shell you are running or contact your local systems administrator for more information. Environment Variables The Sentaurus Process binary relies on a number of supporting files found using the environment variables SPHOME and SCHOME. To change default models and parameters without modifying the installed Sentaurus Process files, copy the default SPHOME and SCHOME Sentaurus™ Process User Guide H-2013.03 47 2: The Simulator Sentaurus Process File Types Used in Sentaurus Process directories and set the environment variables (SPHOME and SCHOME) to the location of the modified directories. By default, SPHOME and SCHOME are set based on the Synopsys standard environment variables STROOT and STRELEASE, and by the version number of Sentaurus Process using: SPHOME = $STROOT/tcad/$STRELEASE/lib/sprocess- SCHOME = $STROOT/tcad/$STRELEASE/lib/score- The SPHOME directory has two major subdirectories, TclLib and ImpLib, where: ■ ■ ■ ■ The directory $SPHOME/TclLib contains all the default model selections in a file SPROCESS.models. The Tcl files are located in directory $SPHOME/TclLib and $SCHOME/TclLib. The subdirectory $SCHOME/Params contains the Sentaurus Process parameter database (see Parameter Database on page 55). The subdirectory $SPHOME/ImpLib contains all the implant tables. File Types Used in Sentaurus Process The main file types used in Sentaurus Process are: ■ Sentaurus Process command file (*.cmd) This file, which is the main input file type for Sentaurus Process, contains all the process steps and can be edited. It is referred to as the command file or input file. ■ Log file (*.log) This file is generated by Sentaurus Processs during a run. It contains information about each processing step, and the models and values of physical parameters used in it. The amount of information written to the log file can be controlled by the info parameter, which is available in nearly every command and the global default info level, 0, can be changed with pdbSet InfoDefault . The higher the info level, the more information is logged, but it is not recommended to use > 2 for normal use because many normally unnecessary operations are performed for higher info levels which can slow execution. ■ TDR boundary file (*_bnd.tdr) This format stores the boundaries of the structure without the bulk mesh or fields. This file can be used as the structure file for the meshing engine Sentaurus Mesh and can be loaded into Tecplot SV for viewing. The name of a TDR boundary file can be specified in the tdr parameter of the init command of Sentaurus Process, and then the loaded boundary will be meshed using the MGOALS meshing library. 48 Sentaurus™ Process User Guide H-2013.03 2: The Simulator Sentaurus Process File Types Used in Sentaurus Process ■ TDR grid and doping file (*_fps.tdr) TDR files can be used to split and restart a simulation. Such restart files are saved in the struct tdr=filename command because restarting requires interface data, parameter and command settings, mesh ordering information as well as bulk grid and data. If either !pdb or !interfaces is specified in the struct command, the TDR file will not be suitable for restarting. The TDR file can be loaded into Sentaurus Process in the init command, but the results of the subsequent simulation steps might differ in the simulation with the split and restart compared to a simulation of the entire flow in one attempt. TDR files store the following types of information: • Geometry of the device and the grid. • Distribution of doping and other datasets in the device. • The internal structure of the mesh in Sentaurus Process required to restore the simulation mesh to the same state in memory that is present at the time of saving the file. Restart files store coordinates and field values without scaling them to DF–ISE units; files that cannot be restarted store coordinates and field values scaled to DF–ISE units. • Finally, by default, Sentaurus Process stores all changes to the parameter database made after initial loading the database and all commands that create objects later referenced, such as refinement boxes and masks in the TDR file. A TDR file can be either reloaded into Sentaurus Process to continue the simulation or be loaded into Tecplot SV for visualization. The parameter settings stored in a TDR file can be viewed using pdbBrowser -nopdb -tdr (see Viewing Parameters Stored in TDR Files on page 65 for details). For more information about the TDR file format, refer to the Sentaurus™ Data Explorer User Guide. ■ DF–ISE doping and refinement file (*_msh.cmd) This file stores doping and mesh refinement commands and, along with the boundary file, it is used as input for the Synopsys meshing engines. This file is usually saved by the user at the end of a simulation. ■ DF–ISE file (*.plx) This DF–ISE file format is used for saving 1D distributions of the doping concentration or other fields in a specified 1D cross section. This file can be viewed by loading it into Inspect. Sentaurus™ Process User Guide H-2013.03 49 2: The Simulator Sentaurus Process Syntax for Creating Input Command Files Syntax for Creating Input Command Files This section is intended for users who want to create input command files manually, that is, outside the Ligament environment. It is important to remember that Sentaurus Process is written as an extension of the tool command language (Tcl). This means that the full capability and features of Tcl are available in the input command files as well as the interactive mode of Sentaurus Process. Standard Tcl syntax must be followed; for example, a hash symbol (#) at the beginning of a line denotes a comment and the dollar sign ($) is used to obtain the value of a variable. Major features of Tcl include for loops, while loops, and if then else structures, switch statements, file input and output, sourcing external files, and defining procedures (functions). Variables can be numbers, strings, lists, or arrays. Refer to the literature for more information [1]. Before execution of the command file takes place, the syntax of the file is checked. This is accomplished by first modifying the command file so that all branches of control structures such as if, then else, and switch commands are executed. In addition, a special flag is set so that no structure operations or operations that depend on the structure are performed. This allows the syntax check to run quickly, but thoroughly. Sometimes, the modifications made to the command file during syntax checking interfere with the definition or redefinition of Tcl variables, generating a false syntax error. In these cases, switch off syntax checking for part of a command file using the special CHECKOFF and CHECKON commands: # Skip syntax check for part of command file # The CHECKOFF/CHECKON commands must start at the beginning of the line # and be the only command on the line CHECKOFF if { $mode } { array set arr $list1 } else { set arr $list2 ;# error only if both branches are executed } CHECKON # further commands are syntax checked Tcl Input Sentaurus Process has been designed to optimize the use of the Tcl. Some examples of this interaction include: ■ 50 Command parameter values are evaluated with Tcl. For example, expr can appear in the value of an expression, that is, parameter=[expr $pp/10.0] is valid Sentaurus Process Sentaurus™ Process User Guide H-2013.03 2: The Simulator Sentaurus Process Syntax for Creating Input Command Files syntax. This particular expression sets the parameter parameter to the value of pp/10 if the Tcl variable pp was previously defined with the Tcl set command. ■ ■ ■ ■ Tcl expressions may appear in model parameter values in the parameter database. In some cases, Sentaurus Process parameters are set with Tcl commands to be a function of other parameters. Sentaurus Process contains many callback procedures, which can be redefined by users to provide flexibility. For example, a callback procedure is used to initialize defects after implantation. Many modular built-in functions are available for postprocessing, which can be combined into a Tcl script to create powerful analytic tools. There are special Sentaurus Process versions of set (fset) and proc (fproc), which are stored in TDR files. When simulations are restarted using a TDR file, the settings given by fset and fproc from the previous simulation will be available. Other syntax rules to consider when writing input command files are: ■ ■ One command is entered on one line only. There are two exceptions to this rule: • A backslash (\) is used to extend a command on to multiple lines if it appears as the last character on the line. • If there is an opening brace, Tcl will assume the command has not finished until the line containing the matching closing brace. Command parameters have the following form: • • Boolean parameters are true if the name appears on the line. They are false if they are preceded by an exclamation mark (!). Parameters that are of type integer or floating point must appear as parameter=value pairs. • String parameters are enclosed, in general, in double quotation marks (" "), for example, parameter="string value". • Lists can appear enclosed in double quotation marks or braces, for example, parameter= { item1 item2 ... } or parameter= " item1 item2 ...". It is necessary to have a space between the equal sign and the opening brace. NOTE It is important to separate the equal sign from the parameter value by a space because Tcl delimiters such as ‘"’ and ‘{’ are ignored if they appear in the middle of a string. Sentaurus Process can handle no space between an equal sign and a double quotation mark, but it cannot correct the case where there is no space between an equal sign and an opening brace. Sentaurus™ Process User Guide H-2013.03 51 2: The Simulator Sentaurus Process Syntax for Creating Input Command Files Material Specification Materials are specified the same way for all commands that require a material parameter. For a bulk material, specify only one material. For an interface material, specify two materials: one with a slash (/) and one without a slash. Some examples are: oxide silicon /oxide ;# This command applies to oxide. ;# This command applies to the Si-SiO2 interface The complete list of materials available can be found in the file: $STROOT/tcad/$STRELEASE/lib/score-/TclLib/tcl/Mater.tcl In that file, the lines that contain mater add create a material. For more information about creating new materials, see mater on page 1025. NOTE Materials present in the Mater.tcl file do not necessarily have parameters in the parameter database. Attention must be paid to initializing parameters for a new material. Aliases Sentaurus Process allows more control over the names of command parameters and abbreviations of parameter names. These aliases only apply to parameters of built-in Sentaurus Process commands, and the pdbSet and pdbGet family of commands. This permits clarity and uniformity to commonly used names. Another benefit is that it is easier to maintain backward compatibility for parameter names while not restricting future parameter names that could conflict with common abbreviations (that is, V could refer to either vacancy or void). An explicit list of allowed aliases is maintained in the $SCORE/TclLib directory (see Environment Variables on page 47 for information about how the location of the TclLib directory is determined). The alias command is used to view and extend the list of allowed aliases. To print the list of aliases: sprocess> alias -list 52 Sentaurus™ Process User Guide H-2013.03 2: The Simulator Sentaurus Process Default Simulator Settings: SPROCESS.models File To view the alias of a parameter name, for example, Vac: sprocess> alias Vac Vacancy If an alias does not exist, the same parameter name is returned: sprocess> alias NotAParam NotAParam To create a new alias for a parameter name, for example, the alias Vaca for the parameter Vacancy: sprocess> alias Vaca Vaca sprocess> alias Vaca Vacancy sprocess> alias Vaca Vacancy Default Simulator Settings: SPROCESS.models File Sentaurus Process starts a simulation by reading the SPROCESS.models file in the $SPHOME/ TclLib directory. This file defines various default parameters and directories used during the simulation such as setting: ■ The path for Tcl library files ■ The path for Advanced Calibration Tcl library files ■ The path for implant tables ■ Default material names ■ The math parameters for 1D, 2D, and 3D oxidation and diffusion simulations ■ Default solution names ■ Default diffusion callback procedures ■ Default oxidation or silicidation reactions ■ Default oxidation or silicidation solution callback procedures ■ Default epitaxial growth callback procedures The SPROCESS.models file is read once at the beginning of the simulation. You can override any of the default parameters after the file is read. Sentaurus™ Process User Guide H-2013.03 53 2: The Simulator Sentaurus Process Compatibility With Previous Releases Compatibility With Previous Releases Occasionally, the default parameter and model settings change in Sentaurus Process to ensure that the default behavior gives robust, accurate, and computationally efficient results on current production technologies. Usually, when new models and algorithms are developed, they are optional. After some experience is gained, the default can be changed to take advantage of the new model or algorithm. The old model and algorithm settings are collected into a file for each release and are available so that you can recover results from previous releases. Each file contains only those parameter changes that occurred for that particular release, so that if the release specified in the Compatibility command is older than the most recent release, the most recent release parameters are set first, followed by older releases in reverse chronological order. For example, the command Compatibility F-2011.09 issued for Version H-2013.03 will first apply parameters consistent with H-2013.03, then parameters consistent with G-2012.06, and finally parameters consistent with F-2011.09. Aliases are available for the release name so you do not need to know the release foundation letter. For example, 2012.06 can be used instead of G-2012.06. The files with the compatibility parameter settings are stored in $STROOT/tcad/ $STRELEASE/lib/sprocess/TclLib/Compatibility. These files are a useful list of all default parameter changes for each release. NOTE As a result of the repair of code flaws and because of numeric accuracy limitations, exact reproduction of results from previous releases is not always possible. NOTE If the Compatibility command is used, it must be the first command in an input file so that all subsequent commands that depend on the defaults take into account the compatibility setting. For example: # Apply defaults of the 2012.06 release (first line of input file) Compatibility 2012.06 NOTE 54 Default parameter and algorithm settings of the tools Sentaurus Mesh, Sentaurus Structure Editor, and the MGOALS library are not changed by the Compatibility command. For MGOALS library backwards compatibility, see Summary of MGOALS Etching and Deposition Algorithms on page 783. To obtain backwards compatible default parameters and settings for Sentaurus Mesh and Sentaurus Structure Sentaurus™ Process User Guide H-2013.03 2: The Simulator Sentaurus Process Parameter Database Editor, see the backwards compatibility mechanisms described for those tools in the corresponding manual sections. Parameter Database The Sentaurus Process parameter database stores all Sentaurus Process material and model parameters as well as global information needed for save and reload capabilities. There is a hierarchical directory tree inside the Params directory, which stores the default values. (To locate the Params directory, see Environment Variables on page 47.) Data is retrieved by using the pdbGet command and is set by using the pdbSet command. The pdbGet and pdbSet commands are checked for correctness of syntax and they print the allowed parameter names if a mistake is made. These commands are used to obtain and set all types of data stored in the database: Boolean, string, double, double array, and switch. The higher level pdbSet and pdbGet commands call lower-level type-specific commands (pdbGetSwitchString, pdbGetDoubleArray, pdbGetString, pdbGetDouble, pdbGetSwitch, pdbGetBoolean, pdbSetDoubleArray, pdbSetString, pdbSetBoolean, pdbSetDouble, and pdbSetSwitch) that are not checked for errors and, therefore, are not recommended for typical use. These commands have a slight performance advantage and are used internally. You can set some parameters in a region-specific manner. Regions can be named with the region and deposit commands and, if region-specific parameters exist, they will override the material-specific parameters if any. However, there are many circumstances where this will not give the desired behavior. In that case, you must create a new material that inherits its parameters from an existing material. Then, you must change the material properties of the new material as needed. For more information, see Like Materials: Material Parameter Inheritance on page 57. Inside the Params directory are subdirectories that define the highest level nodes in the database. Inside each subdirectory is a file Info, which contains parameters of that level. In addition, directories in the database have named files that contain parameters, which are under the node defined by the file name. For example, in the Params database, there is a directory called Silicon, which contains a file Info. The parameters inside Info are located under the Silicon node. As another example, inside the Silicon directory is another file Interstitial that contains parameters under the Interstitial node, which is under the Silicon node. Inside the files of the parameter database are commands that set database parameters. The commands have the form: array set $Base { { } } Sentaurus™ Process User Guide H-2013.03 55 2: The Simulator Sentaurus Process Parameter Database where: ■ is the parameter name. ■ is one of Boolean, String, Double, DoubleArray, or Switch. ■ is a Tcl expression that sets the default value. It is often necessary to enclose the expression in braces. Some Tcl procedures have been created to increase the usefulness of expressions. For example, in many places in the database, the built-in function Arrhenius is used to set the value of a parameter. Parameters that contain a Tcl function are evaluated at each diffusion time step so that temperature-dependent parameters will update correctly during a temperature ramp. It is important to remember that the Arrhenius function uses the global Tcl variable for temperature, which defaults to room temperature. If you start Sentaurus Process and call the pdbGet command of a parameter that contains an Arrhenius function, it will return the value of that parameter at room temperature. The temperature can be changed with the SetTemp function. Subsequent calls to the Arrhenius command through pdbGet return values based on the given temperature. In addition, the diffusion command changes the global temperature for each time step, and the temperature after diffusion will be same as the temperature in the last diffusion time step. Other functions that appear in the pdb parameters are DiffLimit, which calculates a diffusion-limited reaction rate given the diffusivity of the two reacting species, and pdbGet* functions, which allow parameters to be set as a function of other parameters. For the DoubleArray type, a Tcl list is set that is ordered pairwise: {key1 value1 key2 value2 ...} where the parameter setting for key1 is value1. Material parameters can be stored under the known region name. To set and obtain the parameter value, use the region name instead of the material name. If the parameter is not found under the region name, it is taken from the material of that region. Sentaurus Process writes directly to the parameter database in a number of ways. Mostly this is performed to save information for save and reload capabilities using the TDR format. Data written by the program into the parameter database is not available within the default Params directory or the Parameter Database Browser (PDB), but can be read using the pdbGet command. For information about the TDR format, refer to the Sentaurus™ Data Explorer User Guide. 56 Sentaurus™ Process User Guide H-2013.03 2: The Simulator Sentaurus Process Parameter Database Parameter Inheritance The parameter database has a parameter inheritance feature where parameters at a certain level or node can inherit the parameters from another node at the same level. The inherited parameters can be overwritten with new values. Inheritance is indicated by the presence of a special parameter named Like. In one of the parameter database files, the Like parameter is specified as follows: array set $Base {Like } which means that parameters at the level of the file inherit parameters from , which should be another node at the same level. For example, the file: Params/Silicon/Arsenic/Info contains the line array set array set $Base {Like Dopant}, which indicates that Arsenic in Silicon should inherit the common parameters of all Dopant species in Silicon. Other parameters specified in that file indicate parameter settings specific to Arsenic in Silicon. It is also possible to use inheritance to create new parameters from the command line using the pdbLike command (see pdbLike on page 1055 ). For example, assuming MyBoron is defined as solution: pdbLike Silicon MyBoron Boron inherits Boron parameters including user-defined and callback parameters for MyBoron in silicon. The new parameters are used to set up diffusion equations for MyBoron. Materials in Parameter Database Like Materials: Material Parameter Inheritance The parameters of a material can be inherited from the parameters of another material using the special Like parameter in the PDB. When this is the case, the two materials are referred to as like materials. This can be used to specify different settings in different regions. First, a new material is created and made to be like an existing material using: mater add name = new.like = where: ■ is the name of the material being created. ■ is the name of the material whose parameters will be inherited. Sentaurus™ Process User Guide H-2013.03 57 2: The Simulator Sentaurus Process Parameter Database NOTE It is important to use the mater command instead of directly creating the Like parameter because the mater command will make all interfaces to Like the appropriate interface to . NOTE Reaction specifications, such as oxidation, silicidation, and epitaxy, are not stored in the PDB. Therefore, for a new material to react, a new reaction command must be issued (see reaction on page 1099). Interface Parameters When using the PDB commands and the Alagator language, interfaces are specified as a pair of materials separated by an underscore (_), for example, Gas_Oxide and Oxide_Silicon. The official name follows alphabetic order, and the first letter is capitalized. However, aliases are provided that allow their order to be reversed; some shorter names are allowed; and all lowercase is generally available. As an example of setting an interface parameter, the following command sets the numeric tolerance Abs.Error at the gas–silicon interface to 1e3: pdbSet Gas_Silicon Vac Abs.Error 1e3 Regionwise Parameters and Region Name-handling Many parameters in the parameter database can be specified regionwise including parameters related to meshing, parameters for both analytic implantation and MC implantation, and mechanics parameters. Those parameters used by Alagator as part of equations and terms, however, cannot be specified regionwise: this includes all dopant diffusion parameters and all oxidation and silicidation parameters. For the rest of the parameters, internally, the program checks if there is a regionwise specification of the parameter; if not, the materialwise specification is used. The name of regions can be specified with the region command and deposit command; however, the name should not contain an underscore (_) or a period (.) because these characters have special meaning. During the course of the simulation, geometric operations such as etch and reflect can split regions in two. If this happens, the history of the region is maintained through its name. For example, if a region is originally named layer1 and it is etched into two pieces, they will be named layer1.1 and layer1.2 according to rules given below. These two regions will inherit the parameters of layer1. Furthermore, parameters for layer1.1 and layer1.2 also can be specified separately. If a subsequent step such as a deposit reunites layer1.1 and layer1.2, the region will be given the name layer1. Conversely, if layer1.1 is split into two regions, the regions will be named layer1.1.1 and 58 Sentaurus™ Process User Guide H-2013.03 2: The Simulator Sentaurus Process Parameter Database layer1.1.2, and so on. In this way, regionwise parameter specification is preserved for the life of the region or its parts. The numbering of split regions is performed according to the spatial location of the pieces. The lowest point of each piece to be renamed is found (in the coordinate system of Sentaurus Process, this would be the largest x-coordinate). To avoid numeric noise, the coordinates are compared with a specified epsilon given by pdbGet Grid RenameDelta (hereafter, referred to as RN). If the x-coordinates of the pieces to be renamed are not within RN of each other, the regions are ordered from lowest to highest, that is, from the highest x-coordinate to the lowest. If any piece has its lowest coordinate within RN, its y-coordinate is compared, that is, from the lowest coordinate to the highest. For example, in Figure 11, layer1 is split into two regions and the quantity deltax is less than RN, so the region on the left is given the name layer1.1 and the region on the right is given the name layer1.2. If deltax had been greater than RN, the region on the right would have been given the name layer1.1 because it would have been considered lower than the region on the left. Similarly, in three dimensions, first x and y are compared, and if they are both within RN, z is used for ordering, that is, from the lowest coordinate to the highest. layer1 deltax Figure 11 layer1.1 layer1.2 Illustration of region-naming rules You can apply the above operation to the whole structure with grid rename. In this case, all the regions are renamed similarly to the above rules but, instead of the root being chosen by the user, all regions of the same material have the root given by the names of the materials and the extension is _ where is the region number, for example Silicon_1, Silicon_2, and so on. This should only be used as a postprocessing step because all region-specific parameters no longer apply when the name of a region has changed. For example, if two oxide layers are grown, one with steam (if it is the first oxide region, its name would be Oxide_1) and one from pure O2 (which would be Oxide_2 if it were the second oxide region), they can have different densities. This can be considered in an MC implantation using: pdbSetDouble Oxide_1 MassDensity Sentaurus™ Process User Guide H-2013.03 59 2: The Simulator Sentaurus Process Viewing the Defaults: Parameter Database Browser pdbSetDouble Oxide_2 MassDensity where and would be replaced with values given in g/cm3. Viewing the Defaults: Parameter Database Browser The Parameter Database Browser (PDB) is a graphical representation of the Sentaurus Process parameter database that allows you to view and edit parameters. The PDB has three distinct areas (see Figure 12 on page 61): ■ Parameter hierarchy overview in a tree structure representation. ■ Parameter information in a spreadsheet representation. The columns are: ■ • Parameter • Type • Value • Unit • Evaluate • Comment • Tool • Info Level (hidden by default) Graphic window to plot parameter dependence on the temperature. The status bar has three indicators that show: 60 ■ The temperature used in temperature-dependent functions such as Arrhenius. ■ The temperature point set for the x-axis. ■ The x-coordinate and y-coordinate of the pointer in the graphic window. Sentaurus™ Process User Guide H-2013.03 2: The Simulator Sentaurus Process Viewing the Defaults: Parameter Database Browser Spreadsheet Representation Tree Structure Representation Graphic Window Figure 12 Status Bar Parameter Database Browser Sentaurus™ Process User Guide H-2013.03 61 2: The Simulator Sentaurus Process Viewing the Defaults: Parameter Database Browser Starting the Parameter Database Browser To start the PDB from the command line, enter: pdbBrowser This searches for the database in the same location as Sentaurus Process. You can set the environment variables SPHOME and SCHOME to change the location of the parameter database for the PDB and Sentaurus Process (see Environment Variables on page 47 for details). To view parameters in an input file merged with defaults, use: sprocess --pdb or to view only the parameters specified as input in a command file, use: sprocess --ponly Browser PDB Functions The following functions are available: Export Tree Saves the whole parameter database into a specified file in the tabdelimited format. The fields of the file are Parameter Name, Type, Value Evaluation, Original Value, and Comments. Find and Find Next Matches the pattern entered against parameter names according to the selected options. Patterns can include regular Tcl expressions. The match is highlighted when found (see Figure 13). Figure 13 Goto Line 62 Find dialog box Highlights a table row or tree node that corresponds to the number entered. Sentaurus™ Process User Guide H-2013.03 2: The Simulator Sentaurus Process Viewing the Defaults: Parameter Database Browser Plot (Applies only to parameters of type double and double array.) Plots the dependency of the selected parameter on the temperature in logarithmic coordinates versus 1/T. The default set of temperature values is {700.0 800.0 900.0 1000.0 1100.0}. The resulting graphs are displayed in the graphic window; otherwise, an error message is displayed. Plot Over The same as Plot but it does not clear the graphic window of previous graphs. NOTE You can zoom by dragging the mouse. To zoom out, use the middle mouse button, or click the Zoom Out and Zoom Off buttons. Evaluate Evaluates the value of the selected parameter and displays the result in the Evaluate column of the table. Values can contain Tcl expressions. Edit Opens the appropriate database file with an editor regardless of the user write-permissions, but the standard installation will switch off write permissions for the database. The default editor, SEdit, can be changed. The PDB Browser is updated upon file saving. Parameter Information Double-clicking a nonempty table row allows you to view the corresponding parameter information in a separate window. To close the window, click the Close button. NOTE To display a shortcut menu, right-click a parameter for plotting and evaluation in the tree and table areas. Arrhenius Fit Figure 14 Finds the best prefactor and energy for an Arrhenius fit of a given profile, taken from the list of temperature–value pairs. The results can be plotted in the graphic window. Arrhenius Fit dialog box Sentaurus™ Process User Guide H-2013.03 63 2: The Simulator Sentaurus Process Viewing the Defaults: Parameter Database Browser PDB Preferences The PDB allows you to reset the default settings for the following values by using the Preferences menu, shortcut keys, or shortcut menu of the graphic window: Preferences > Font > Family Changes the font family. Preferences > Font > Size Changes the font size. Preferences > Cursor Changes the style of the pointer. Preferences > Graph > Set Temperature The global temperature used in the temperature-dependent functions; the default is 1000.0. Preferences > Graph > Reset X Points The x-axis temperature point set; the default set is {700.0 800.0 900.0 1000.0 1100.0}. Figure 15 Reset Temperature Points dialog box Preferences > Graph > Data Point Symbol Node Tip: hide / show. Preferences > Info Level Shows or hides the Info Level column of the table. Preferences > Editor > Change Editor Resets the default editor. 64 Sentaurus™ Process User Guide H-2013.03 2: The Simulator Sentaurus Process Viewing the Defaults: Parameter Database Browser Preferences > Editor > Reset Update Time Resets the update interval. Preferences > Graph > X Scale Resets the scale to logarithmic or linear. Preferences > Graph > Y Scale Resets the scale to logarithmic or linear. Tools > Info Level Chooses which parameters to display ranging from basic parameters to all parameters. Viewing Parameters Stored in TDR Files Parameters stored in TDR files can be viewed using the pdbBrowser command run from the UNIX command line instead of through Sentaurus Process. By default, the PDB reads parameters from the Sentaurus Process database directory (which can be changed with the SPHOME and SCHOME environment variables). In addition, parameters stored in a TDR file can be read in using the -tdr option of the PDB. Parameters that appear in the database are overwritten by those contained in the TDR file, so the resultant parameter set will be the same as if Sentaurus Process had read in the file. On the other hand, it is also useful to know which parameters are only in the TDR file. To read only those parameters, the database reading can be switched off using the -nopdb command-line option. For example: > pdbBrowser -tdr n10_fps.tdr reads the Sentaurus Process PDB and then reads parameters from n10_fps.tdr file overwriting values contained in the database. For example: > pdbBrowser -nopdb -tdr n10_fps.tdr reads only the parameters in n10_fps.tdr file. Sentaurus™ Process User Guide H-2013.03 65 2: The Simulator Sentaurus Process Creating and Loading Structures and Data Creating and Loading Structures and Data The first step in most simulations is either to load an existing structure or to create a new one. New structures are created through a combination of the line, region, and init commands. The initial mesh is a tensor-product mesh where the density of lines is specified in the line command, and the regions are defined by specifying tags in the line commands and defined in the region command. The initial regions are always defined as axis-aligned rectangles in 2D and axis-aligned bricks in 3D. Understanding Coordinate Systems Sentaurus Process and related tools use different coordinate systems. The most commonly encountered coordinate systems include wafer coordinates, simulation coordinates, and visualization coordinates. Wafer Coordinate System The wafer coordinate system is fixed with respect to the wafer flat or notch, and is used to define the relationship of all other coordinate systems to the physical wafer. The wafer coordinate system is shown in Figure 16. The wafer x- and y-axes form a naturally oriented coordinate system when the wafer is drawn with the flat pointing down as shown in Figure 16. This coordinate system is used for layout information, such as mask locations, and for setting a cutline using the CutLine2D command. ZW YW YW ZW XW XW Figure 16 66 Wafer coordinate system Sentaurus™ Process User Guide H-2013.03 2: The Simulator Sentaurus Process Creating and Loading Structures and Data Simulation Coordinate System (Unified Coordinate System) The simulation coordinate system is used to define the mesh for the simulation. All coordinates that are specified with respect to the mesh are given in simulation coordinates. This includes all coordinates that are given in the Sentaurus Process command file. The simulation coordinate system has the x-axis pointing into the wafer and the y-axis rotated with respect to the wafer y-axis. The simulation coordinate system is shown in Figure 17. Simulations in 1D use only the x-axis. Simulations in 2D use only the x- and y-axes. ZW slice.angle YS YW ZS YS slice.angle YW XS XW ZS XS Figure 17 XW Simulation coordinate system (slice.angle = 45) The rotation of the simulation axes with respect to the wafer axes is given by the slice.angle parameter of the init command. The slice angle is measured from the wafer y-axis to the simulation y-axis with positive angles counterclockwise about the wafer z-axis. Sentaurus™ Process User Guide H-2013.03 67 2: The Simulator Sentaurus Process Creating and Loading Structures and Data The default value of slice.angle is set to – 90° . This causes the simulation y-axis to match the wafer x-axis, which is the usual cut direction through the layout for 2D simulations. The default simulation coordinate system is shown in Figure 18. ZW YW slice.angle YW XW XS YS ZS slice.angle XS Figure 18 YS XW ZS Default simulation coordinate system (slice.angle = –90) Visualization Coordinate Systems Two systems can be used for visualization: ■ The unified coordinate system (UCS) ■ The DF–ISE coordinate system Unified Coordinate System To use the UCS, specify: math coord.ucs This system of coordinates is explained in Simulation Coordinate System (Unified Coordinate System) on page 67. NOTE The UCS is the recommended way of visualization and may become the default in the future. DF–ISE Coordinate System The DF–ISE coordinate system is the default for visualizing TDR files. It can be set explicitly by: math coord.dfise 68 Sentaurus™ Process User Guide H-2013.03 2: The Simulator Sentaurus Process Creating and Loading Structures and Data The DF–ISE coordinate system is used by the DF–ISE and some TDR file formats as well as Tecplot SV. Unlike the simulation coordinate system in which the x-axis points into the wafer for 1D, 2D, and 3D, the visualization coordinate system has different axis conventions for 1D, 2D, and 3D. Figure 19 shows the relationship between simulation coordinates and DF–ISE coordinates. Simulation Coordinates DF-ISE Coordinates 1D x x 2D y x x y 3D z z Figure 19 x y x y Simulation and DF–ISE coordinate systems The only difference between UCS coordinates and DF–ISE coordinates is that different conventions are used to label the axes. Sentaurus Process automatically converts the axis labels when reading and writing DF–ISE or TDR files. The relationship between DF–ISE coordinates and UCS coordinates shown in Figure 19 applies to all values of slice.angle. In other words, the DF–ISE system is not fixed with respect to the wafer system. It always has the same rotation with respect to the wafer coordinate system as the simulation coordinate system. Figure 20 on page 70 shows the relationship between simulation coordinates and visualization coordinates. Sentaurus™ Process User Guide H-2013.03 69 2: The Simulator Sentaurus Process Creating and Loading Structures and Data Simulation Coordinates 1D Visualization Coordinates x x 2D y x x y 3D z z Figure 20 x y x y Simulation and visualization coordinate systems The tensor components, for example, mechanical stresses, in 2D and 3D are the same in UCS coordinates. For a conversion table for the stress components in 2D and 3D DF–ISE coordinate systems, see Chapter 9 on page 643. This also applies to other second-order symmetric tensors. Defining the Structure: The line and region Commands The line and region commands are used together to define the structure. In the init command, the structure is actually formed. Care must be taken when creating a structure because there are few checks for errors. These rules must be followed to obtain a valid structure: ■ ■ ■ ■ ■ ■ 70 If this is not the first structure being created in a command file, the command line clear must be issued to remove line commands and stored mesh ticks. Line locations must be given in increasing order. The region boundaries are defined by tagged lines. Tagged lines are created with the line command where the parameter tag has been set (as well as the location parameter). At least one region command must be given to define the substrate. Regions must have a material specification, except for the substrate case described below. Regions must have the same dimensionality as the line commands used (that is, if line y is given, a 2D region is expected with ylo and yhi set). Sentaurus™ Process User Guide H-2013.03 2: The Simulator Sentaurus Process Creating and Loading Structures and Data ■ ■ ■ The spacing parameter is used to create lines between user-defined lines, so that not every line must be specified in the command file. Sentaurus Process smoothly grades the line density between user-defined lines to match as closely as possible the spacing at each userdefined line. In addition, there will be lines at locations given by the location parameter of the line command. By default, the spacing parameter is extremely large, so that if it is not set, only lines given by the location parameter will be in the mesh. The *lo parameter refers to the lowest coordinate value, that is, the location of the line corresponding to the xlo tag must be less than the coordinate corresponding to the xhi tag. The region command can be used to tag a region as a substrate in two ways: • If the region is being defined with the material name and the parameters *hi and *lo, the Boolean keyword substrate will tag this region as the substrate. • If the structure is being loaded from a previously saved file, the command: region name= substrate will tag the region with region_name as the name of the substrate. This is the only occasion when the region command will be called after the init command. Considerations when creating structures are: ■ ■ ■ For 2D and 3D simulations, it is advantageous to create a coarse mesh in the lateral (that is, y- or z-directions) because lines created with the line command run all the way through the structure. Often, finer spacing in the y- or z-direction is needed near the surface; whereas, further in the bulk, a coarser spacing is required (to minimize the size of the problem). When MGOALS is used for etching and deposition, or meshing, it automatically creates a local refinement near interfaces that does not run the length of the structure. To specify refinement boxes, use the refinebox command. Control over the meshing parameters for MGOALS is explained in Chapter 11 on page 731. Creating the Structure and Initializing Data The init command is used to create the structure. If the line and region commands have been given to create a structure from the beginning, the init command does not require any options. It will take the structure definition and create a new structure. Many process steps such as etching, deposition, diffusion, and implantation require a gas mesh. By default, Sentaurus Process does not add a gas mesh during the init command, but delays creating the gas mesh until it is needed. To add the gas mesh immediately, use the command: pdbSet Grid AddGasMesh 1 Sentaurus™ Process User Guide H-2013.03 71 2: The Simulator Sentaurus Process Creating and Loading Structures and Data NOTE The parameter must be set before the init command to generate the gas mesh during the init command. There are several ways to initialize fields at the time the initial structure is created from line and region commands: ■ ■ To initialize data everywhere in the structure, a field specification can be given in the init command. To initialize data in one particular region only, a field specification is given in the region command. In both the init and region commands, the field parameter specifies the name of the data field that will be created and either the concentration parameter or the resistivity parameter is used to specify the value created. Although initialization was intended for dopants, a field with any name can be initialized with the concentration parameter. However, it will create a field with nodal values and, because stresses are computed on elements, it should not be used for initializing stress values (use the stressdata command or the select command for this). The resistivity parameter only works for fields that have the resistivity parameters set (which by default are only As, B, P, Sb, and In in silicon).The init command also is used to read a structure from a file. In this case, the parameter name serves as the type specification, and the value of the parameter is the file name or root name (in the case of DF–ISE), for example: init dfise=file init dfise=file.grd init tdr=file init bnd=file ;# # ;# # ;# # ;# Read 'file.grd' and 'file.dat'. If not available try 'file.grd.gz' and 'file.dat.gz' Only read a structure (no data) from 'file.grd' or 'file.grd.gz' Read a geometry, data, and pdb parameters from 'file.tdr'. Read a bnd file and mesh it. The TDR format is used to restart a simulation by default when creating ‘splits’ in Sentaurus Workbench. This format stores all pdb parameter settings as well as numerous other settings coming from commands (see Saving a Structure for Restarting the Simulation on page 76). The bnd parameter is used to load boundaries that are then meshed by MGOALS. In this case, the structure is meshed with the constrained Delaunay mesher, which makes use of lines coming from the line command. The init command is used to specify the principal wafer orientation (wafer.orient), the lateral crystal orientation of the wafer flat or notch (flat.orient), and the slice.angle for the implant command, that is angle: init wafer.orient= { } flat.orient= { } slice.angle= where , , and are the crystallographic (Miller) indices. For more information about the wafer orientation and the slice angle, see 2D Coordinate System on page 91. 72 Sentaurus™ Process User Guide H-2013.03 2: The Simulator Sentaurus Process Creating and Loading Structures and Data You also can set the slice.angle by using a 2D cutline, for example: init slice.angle= [CutLine2D ] The first two values define the start point, and the third and fourth values define the endpoint in the wafer plane. The two points are defined in the wafer coordinate system (see Understanding Coordinate Systems on page 66). Defining the Crystal Orientation The crystal orientation of the wafer is established by specifying the Miller indices of the wafer surface and the wafer flat. The wafer.orient and flat.orient parameters of the init command specify the Miller indices of the wafer z- and y-axes, respectively. The wafer surface orientation (z-axis) is set using wafer.orient= { } where , , and are the crystallographic (Miller) indices. The flat orientation (y-axis) can be set arbitrarily, but it must be orthogonal to the wafer surface orientation. The default surface orientation is 100 and the default flat orientation is a 110 direction for all values of wafer.orient. NOTE The wafer.orient and flat.orient parameters of the init command apply to orthorhombic crystal systems only (such as silicon). For information on how to change the crystal orientation in hexagonal systems, see MC Implantation into Silicon Carbide on page 165. Setting info=2 in the implant command confirms user-defined settings for each region in the log file only. Table 2 lists the crystallographic directions of the wafer axes for the most common crystallographic orientations of the wafer as shown in Figure 16 on page 66. Table 2 Miller indices of wafer axes for each value of wafer.orient (wafer axes are defined in Figure 16) Wafer orientation XW YW ZW 100 [ 110 ] [ 110 ] [ 001 ] 110 [ 001 ] [ 110 ] [ 110 ] 111 [ 112 ] [ 110 ] [ 111 ] Sentaurus Process also allows you to define different crystal orientations for different regions by using the commands: pdbSetDoubleArray crystal.orient pdbSetDoubleArray flat.orient Sentaurus™ Process User Guide H-2013.03 73 2: The Simulator Sentaurus Process Creating and Loading Structures and Data To facilitate simulations of hybrid orientation technology (HOT), Sentaurus Process predefines three materials (Silicon, Silicon110, and Silicon111) for crystalline silicon. These materials have exactly the same properties, except for the default crystal orientations that are <100>, <110>, and <111> for Silicon, Silicon110, and Silicon111, respectively. Automatic Dimension Control The maximum dimension of a simulation is determined by the specified line commands; line x commands define the extensions in the vertical direction and are required for 1D, 2D, and 3D simulations. If, in addition, line y commands are specified, the maximum dimension of the simulation will be at least 2D and, if also line z commands are specified, the maximum dimension of the simulation will be three dimensions. By default, Sentaurus Process delays the creation of a full-dimensional structure until it becomes necessary. This means that if you specify a 2D structure where all regions span the entire simulation domain in the y-direction, Sentaurus Process will create a 1D structure. When a 2D or 3D mask is used in an etch, a deposit, or a photo command, Sentaurus Process automatically extrudes the structure and the mesh into the appropriate dimension and copies the data. This delay of creating a full-dimensional structure can be switched off in the init command by using the option !DelayFullD. To increase the dimension manually, use the grid command. If a 2D structure is required, that is, both the line x and line y commands but no line z commands have been specified, grid 2D or grid FullD will cause a 2D structure to be created. Similarly, if line x, line y, and line z commands have been specified, grid 2D can be used to extrude a 1D structure to two dimensions, and a 1D or 2D structure is extruded to three dimensions using grid 3D or grid FullD. This functionality also can be used to increase the dimension of structures loaded from files. After the structure has been loaded, line commands can be issued and the dimension of the structure will increase automatically when necessary or manually using the grid command. Sentaurus Process does not provide a facility to reduce the dimension of a simulation. When structures are saved to DF–ISE files or TDR files (other than TDR restart files), the current maximum dimension as specified with line commands is used by default in the file. The dimension of the simulation itself is not affected. To save files in the current dimension, the !FullD parameter of the struct command can be used (see Saving and Visualizing Structures on page 75). TDR restart files are always saved in the dimension currently used in the simulation. 74 Sentaurus™ Process User Guide H-2013.03 2: The Simulator Sentaurus Process Creating and Loading Structures and Data Saving and Visualizing Structures Sentaurus Process supports two file formats for reading and writing structures: TDR and the older DF–ISE. Both the TDR and DF–ISE formats allow saving the structure geometry with and without the bulk mesh and data, and with contacts. These files contain simply connected regions to operate smoothly with other Synopsys TCAD tools. One important option available for saving TDR and DF–ISE files is to omit saving gas regions because this may cause problems for other tools. The TDR format allows for the saving and loading of geometry and data information along with pdb parameters. For more information about file types and standard file extensions, see File Types Used in Sentaurus Process on page 48. The TDR format is the preferred file format over the DF–ISE format. TDR files can be used to split a simulation, and restart and continue the simulation as if no file save or file load was performed. Besides the simulation grid and data, additional information is stored to facilitate such a restart. Only TDR files provide such restart capability; simulation results will differ if a simulation is performed in one contiguous run compared to saving and loading the intermediate state into _bnd.tdr or DF–ISE files. Setting the parameter math coord. configures whether the visualization coordinates will be identical to the simulation ones (when using coord.ucs) or will follow the DF–ISE criteria (when using coord.dfise). When using DF–ISE, it is important to understand the difference between the simulation coordinates used by Sentaurus Process and the coordinates seen in Tecplot SV. For Sentaurus Process, the positive x-direction always points into the substrate in 1D, 2D, and 3D. TDR and Tecplot SV have different axis directions in 1D, 2D, and 3D. With coord.dfise, Sentaurus Process rotates the structure into the DF–ISE coordinate system when saving the structure and rotates the structure back when reading it. Figure 19 on page 69 shows the relation between the UCS and DF–ISE coordinates. The exposed surface of the substrate is oriented upwards; that is, the ‘up’ direction is always in the negative x-direction in the UCS. To select the fields stored in TDR files, use the SetTDRList command. Each field name in the SetTDRList command is added to the list of fields, which are usually saved (if the field is present in the structure). This command also takes as arguments the macro parameters Dopants and Solutions, and their negative counterparts !Solutions and !Dopants. Solutions refers to variables of partial differential equations (PDEs). The solution variables must be stored in a TDR file if that file is to be used to continue a simulation. The parameter Dopants refers to the total and active dopant concentration fields. By default, TDR files are saved with both Solutions and Dopants names in SetTDRList. However, this requires Sentaurus™ Process User Guide H-2013.03 75 2: The Simulator Sentaurus Process Creating and Loading Structures and Data many fields to be stored in the TDR files and, sometimes, it is more convenient to have fewer fields. To do this, set !Solutions in SetTDRList, which unselects all fields. Then, specify the field names to be stored in the TDR file (see SetAtomistic on page 1121 for saving KMC fields). Saving a Structure for Restarting the Simulation When saving files using the TDR format, the current state of the parameter database is, by default, saved in the file. The parameter database contains all of the information necessary to restart a simulation including: ■ Model settings ■ Parameter settings ■ Mesh settings from the mgoals command ■ Refinement boxes from the refinebox command ■ Temperature ramps from the temp_ramp command ■ Gas flow specifications from the gas_flow command ■ Line specifications from the line command ■ Region specifications from the region command ■ Reaction specifications from the reaction command ■ Specifications for point, polygon, polyhedron ■ Doping specifications with the doping command ■ User materials created with the mater command ■ Contact definitions created with the contact command ■ Mask definitions created with the mask command ■ ■ Solution commands can be optionally stored using the store parameter of the solution command Term commands can be optionally stored using the store parameter of the term command ■ Global Tcl variables can be stored with fset ■ Tcl procedures can be stored using fproc By default, when loading a TDR file, the changes in the parameter database are read in from the TDR file and are applied. For information about the TDR format, refer to the Sentaurus™ Data Explorer User Guide. 76 Sentaurus™ Process User Guide H-2013.03 2: The Simulator Sentaurus Process Creating and Loading Structures and Data When saving a TDR file, the coordinate system used for visualization is also included in the file and is used by Tecplot SV when opening it. The visualization coordinate system can be changed using the math pdb command. For the UCS, use: math pdb coord.ucs For the DF–ISE coordinate system, use: math pdb coord.dfise Saving a Structure for Device Simulation In general, there are three main steps to saving a structure appropriate for device simulation: 1. Define contacts. 2. Remesh the structure with appropriate refinement for device simulation. 3. Save the structure with contacts and with Delaunay weights. Contacts are defined using the contact command. There are two main ways to define contacts, either: ■ ■ Using a box where the contact is created at the intersection of a material interface and a box. Using a point contact in which a region is specified by giving a point inside the region; then all boundaries of this region become a contact. The contact is given a name and, if the command is executed multiple times with the same contact and the add parameter, the contact will include all parts specified. There are also options for creating a contact on the outer boundaries and so on. For more information, see contact on page 889. Remeshing the structure is needed to create a mesh that is better suited to device simulation. Typically, this means discarding process-based refinements, creating a very fine mesh under the channel, and refining on the p-n junction. A typical sequence of steps is: ■ Clear the process mesh: refinebox clear line clear ■ Reset default settings for adaptive meshing: pdbSet Grid AdaptiveField Refine.Abs.Error 1.e37 pdbSet Grid AdaptiveField Refine.Rel.Error 1e10 pdbSet Grid AdaptiveField Refine.Target 100.0 Sentaurus™ Process User Guide H-2013.03 77 2: The Simulator Sentaurus Process Creating and Loading Structures and Data ■ Set high-quality Delaunay meshes: pdbSet Grid SnMesh DelaunayType boxmethod ■ Set mesh spacing near interfaces: mgoals min.normal.size= normal.growth.ratio= ■ Set which interfaces will have interface refinement: refinebox interface.materials= {Silicon} ■ Specify adaptive refinement: pdbSet Grid Adaptive 1 ■ Specify lines if necessary: line y loc= $Ymin+0.001 line z loc= $Zmin+0.001 ■ Specify refinement boxes, for example: refinebox min= { } max= { } \ xrefine= yrefine= zrefine= ;# gate refinement refinebox refine.fields=NetActive max.asinhdiff= {NetActive= 1.0} \ refine.min.edge= Silicon ;# adaptive refinement on NetActive ■ In If using the IC WorkBench EV Plus interface, it may be useful to consider using the icwb.refine.mask command (see Chapter 12 on page 817 and icwb.refine.mask on page 959 for more information). these steps, are coordinates normal.growth.ratio is a unitless ratio. or edge lengths in micrometers, and To save the structure, use the command struct tdr= !Gas. This command causes a remesh if necessary, stores any contacts that have been defined previously, and includes fields required for device simulation. Delaunay weights can be saved in the structure intended for device simulation by setting these parameters before generating the mesh: pdbSet Grid SnMesh StoreDelaunayWeight 1 pdbSet Grid Contact.In.Brep 1 The first parameter StoreDelaunayWeight creates the field variable Delaunay–Voronoï weight (DelVorWeight) that is used in the weighted box method in Sentaurus Device. The second parameter Contact.In.Brep switches on an experimental feature that creates contacts in the boundary representation (brep) and prevents changes to the mesh that can locally invalidate the Delaunay weight. 78 Sentaurus™ Process User Guide H-2013.03 2: The Simulator Sentaurus Process Creating and Loading Structures and Data Saving Doping Information in SiC and GaN for Device Simulations Basic process simulation capabilities such as etching, deposition, and implantation with Monte Carlo are available for multicomponent materials, for example, silicon carbide (SiC) and gallium nitride (GaN). However, there are no activation models for dopants in these materials. To create active doping concentration fields that are equal to their associated total fields, when saving a file for transfer to device simulation, use the diffuse command with zero time, for example: diffuse time=0 temperature=900 struct tdr= Saving 1D Profiles for Inspect To store .plx files, use the WritePlx command. The command SetPlxList selects the fields to be stored in the .plx file. The command SetPlxList is similar to the SetDFISEList command, except that no fields are selected by default. Only the field names specified in SetPlxList are stored in the .plx file (see SetPlxList on page 1128 and WritePlx on page 1191). Saving 1D TDR Files from 2D and 3D Simulations The command struct also saves a 1D TDR file if the proper cutting coordinates are specified. In 2D, only one cutting coordinate is needed (either x or y; coordinate z makes no sense here). In 3D, the command saves the intersection of the planes specified by two cutting coordinates (for example, specifying x and z will save the y line containing those x- and z-coordinates). In addition to storing the mesh and data, these files save any contacts that apply at the cut point, so that the file can be loaded into Sentaurus Device for electrical analysis. This file can be visualized with Tecplot SV. For example, in a 2D simulation, the following command: struct tdr=filename y=0.5 picks up all the x-coordinates with y=0.5 and saves them in a 1D TDR file. In addition, in a 3D simulation, the following command: struct tdr=filename x=0.2 z=0.1 saves the y-coordinates with x=0.2 and z=0.1 as a 1D TDR file. For more information, see struct on page 1158. Sentaurus™ Process User Guide H-2013.03 79 2: The Simulator Sentaurus Process References The select Command (More 1D Saving Options) The select command is a versatile command for many operations such as viewing results, postprocessing, and initializing or changing datasets. The basic command is: select z= where is an Alagator expression (see Chapter 6 on page 571). A simple example of an is the name of a data field such as Potential and VTotal. The value of the expression is stored in the selected field. This selected field can be viewed with print.data or print.1d, for example, or the integrated values can be obtained using the layers command. The select command can also be used to set an existing data field or create a new data field, for example: select z=1.0 name=MyDataField ;# create a new datafield named MyDataField # and set it to 1.0 (everywhere) select z= 0.1*Vacancy name=Void store ;# Set Void equal to 0.1*Vacancy Loading 1D Profiles: The profile Command The profile command is used to load a 1D profile into 1D, 2D, or 3D structures. The file to be read should contain one x-coordinate data pair per line. Both linear (using the linear parameter) and logarithmic interpolation (default) are available. Profiles are loaded by using: profile infile = file.dat name = Boron Sentaurus Process reads the file file.dat and sets the field Boron accordingly. References [1] 80 B. B. Welch, Practical Programming in Tcl & Tk, Upper Saddle River, New Jersey: Prentice Hall PTR, 3rd ed., 2000. Sentaurus™ Process User Guide H-2013.03 CHAPTER 3 Ion Implantation The chapter presents the ion implantation technique used in Sentaurus Process. Overview Ion implantation is one of the most widely used processing techniques to introduce impurity atoms into semiconductor materials. In Sentaurus Process, either analytic functions or the Monte Carlo (MC) method is used to compute the distribution of implanted ions and the implantation damage. Analytic implantation models use the simple Gaussian and Pearson as well as the advanced dual Pearson functions. The implantation damage with analytic models is calculated according to the Hobler model [1]. The MC method uses a statistical approach to the calculation of the penetration of implanted ions into the target and accumulation of crystal damage based on the binary collision approximation [2]. Analytic implantation simulates the spatial distribution of the implanted ions based on the selected distribution function, which is described by moments. The distribution moments depend on the ionic species, implantation energy, dose, and tilt and rotation angles. Sets of moments for a given range of implantation parameters are provided in the form of lookup tables. Sentaurus Process can use implantation tables in the Dios format, TSUPREM-4 formats, and the Taurus Process table format. The implantation data available includes the default tables [3], the Advanced Calibration tables [4], the Taurus table set [5], and the original Tasch tables [6]. Sentaurus Process handles 1D, 2D, and 3D geometries for both analytic implantation simulations and MC simulations. The algorithms for analytic implantation are an integral part of Sentaurus Process; whereas, MC simulations are performed with the binary collision code Sentaurus MC [7] or Crystal-TRIM [8]. Analytic ion implantation is performed using the implant command: implant [energy=] [dose=] [tilt=] [rotation=] Sentaurus Process simulates an analytic implantation step producing output such as: ---------------------------------------------------------------- implant ----implant energy=35.00 dose=1.00e.+14 tilt=7.00 rotation=-90.00 Boron -----------------------------------------------------------------------------Species = Boron Sentaurus™ Process User Guide H-2013.03 81 3: Ion Implantation Overview Dataset Energy Dose (WaferDose) BeamDose Tilt Tilt2D Rotation Slice angle Temperature = = = = = = = = = Boron 35keV 1e+14/cm2 1.0075e+14/cm2 7deg 7deg -90deg -90deg 300.00K For a description of the analytic implantation mode, see Analytic Implantation on page 92. To switch from analytic implantation to MC implantation with Sentaurus MC, use the logical switch sentaurus.mc (or its alias tmc): implant [energy=] [dose=] [tilt=] [rotation=] [sentaurus.mc] To switch from analytic implantation to MC implantation with Crystal-TRIM, use the logical switch crystaltrim (or its alias ctrim): implant [energy=] [dose=] [tilt=] [rotation=] [crystaltrim] If the cascades switch is used in addition to sentaurus.mc or crystaltrim, the MC implantation is run in the full-cascade mode. For a description of Sentaurus MC and the Crystal-TRIM mode, see Monte Carlo Implantation on page 133. An external profile can be loaded using the load.mc switch: implant [energy=] [dose=] [tilt=] [rotation=] [load.mc] [file=] A TDR file must be specified with the file selector. load.mc works with files created by either Sentaurus MC or Crystal-TRIM. For a full description of the file-loading mode, see Loading External Profiles on page 190. The implantation energy in the implant facility is given in keV by default. The implantation dose has two modes: ■ ■ The wafer dose (WaferDose), which refers to the expected dose in the structure after the 2 implantation is finished. This dose is measured in ions per cm . Alternatively, the implantation dose can mean the beam dose (BeamDose). In the wafer dose mode, the final implanted dose does not depend on the wafer orientation with respect to the ion beam. In the beam dose mode, the final implanted dose may change as tilt and rotation angles change. For a discussion of the meaning and implications of the tilt and rotation angles, see Coordinate System on page 89. All angles are measured in degrees. 82 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Overview The mode of the implant dose can be specified with the following pdb switches: pdbSet ImplantData DoseControl {Default WaferDose BeamDose} The default value of DoseControl switch is Default, in which case, the mode of implant dose is chosen automatically based on the implant table format. If the currently selected implant tables are in Taurus/TSUPREM-4 format, the beam dose mode is used automatically. Otherwise, the wafer dose mode is applied. If the DoseControl switch is set to WaferDose, the wafer dose mode is used for all implantations regardless of table formats, likewise for BeamDose. NOTE To obtain consistent results and prevent unexpected dose mode, it is strongly recommended to always set the DoseControl parameter at the start of command files to either WaferDose or BeamDose. To override these global settings, use the logical switch beam.dose in the implant command: implant [dose=] [beam.dose !beam.dose] NOTE The main parameters for the implant statements energy, dose, tilt, and rotation must always be specified. Otherwise, default values are chosen that may not reflect the assumed process conditions. In addition to energy, dose, tilt, and rotation, you can specify the implant temperature and the dose rate. Temperature and current are recognized as parameters by the format moment tables of Taurus Process. If the structure is completely covered by photoresist, you can omit an implantation step by using the following pdb command: pdbSet ImplantData ResistSkip 1 By default, it is not omitted. The amount of information printed to the log file and displayed is controlled by the parameter info in the implant command. The value of info must be set to an integer value between 0 and 2. The higher the value, the more detailed information is printed to the log file and displayed. Output messages with an information level less than 3 can be easily understood by typical users. NOTE Messages with info=3 or more are better understood by users with greater knowledge of the Sentaurus Process implantation code and is reserved for debugging. Sentaurus™ Process User Guide H-2013.03 83 3: Ion Implantation Overview Selecting Models The implanted species must be a previously initialized species. To initialize an implantation species, use the implant species= facility, that is: implant species= [imp.table=] [model] [damage] NOTE This command does not perform an implantation step. It is distinguished from the standard use of the implant command by the keyword species (or tables). Here, dopant can be any name, while material should be an initialized material (see Material Specification on page 52). To select the implantation table file, containing moments for the primary and lateral distributions, use the keyword imp.table. The switch selects the implant model. The available choices are discussed in Primary Distribution Functions on page 94. The following models are available: ■ Gaussian distribution: gaussian ■ Single Pearson distribution: pearson ■ Single Pearson distribution with linear exponential tail: pearson.s ■ Dual Pearson distribution: dualpearson ■ External distribution: point.response To switch on damage calculation, use the damage flag. The following command, for example, changes the default implantation table for boron in silicon to my_table.tab and the implant model to pearson. It also switches off the damage calculation for boron in silicon: implant species=Boron Silicon imp.table=my_table.tab pearson !damage At the beginning of a Sentaurus Process run, all species are initialized automatically using the implant species= facility. Table 3 lists the species that are supported and recognized in a Sentaurus Process run. Table 3 84 Overview of default species initialized by Sentaurus Process Atomic species Molecular Description Aluminum, Antimony, Arsenic, Boron, Carbon, Fluorine, Gallium, Germanium, Indium, Nitrogen, Phosphorus, Silicon AsH2,BF2, B10H14, B18H22, BCl2, C2B10H12, C2B10H14,PH2 Used in analytic and MC implantation. Implant tables are available for atomic species and molecular BF2. For other molecular species, implantation is performed based on the tables for primary dopant species (As, B, or P). Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Overview Table 3 Overview of default species initialized by Sentaurus Process Atomic species Molecular Argon, Beryllium, Bromine, Cadmium, Chlorine, Helium, Hydrogen, Iodine, Iron, Krypton, Lead, Magnesium, Neon, Oxygen, Selenium, Sulfur, Tellurium, Tin, Titanium, Xenon, Zinc Description No implantation tables are available. Analytic implantation will abort. Recommended for use in MC only. You can overwrite or extend these settings at any time during a Sentaurus Process run. There are three principal ways to change the initial settings. With the previously described command, you can change the settings for one pair of dopant species and material. To overwrite the settings for one particular dopant species in all materials, use: implant [species=] tables= The string selects a set of tables and model switches. Internally, Sentaurus Process executes a set of implant species= commands, which set the implant parameters for one pair of dopant species and material, respectively. NOTE The keyword tables= does not refer to a particular table or table name. It sets all tables and model switches for the species in all materials using a Tcl procedure. The possible choices for are discussed in Tables on page 104. The following settings are available: ■ Mixed dual Pearson and single Pearson tables: Default ■ Taurus Process table set: Taurus ■ University of Texas tables: Tasch ■ Single Pearson tables used in Dios: Dios ■ TSUPREM-4 native implant tables: TSuprem4 Dios or Default Tables For example, the following command changes all implant specifications for the species boron from the default to the Dios implantation tables and models: implant species=Boron tables=Dios If the above command is given without the keyword species, that is: implant tables= Sentaurus™ Process User Guide H-2013.03 85 3: Ion Implantation Overview the implant tables and model switches are overwritten for all species in all materials. The default setting for is Default and the command: implant tables=Default is equivalent to the (default) initialization of all species and models at the beginning of each Sentaurus Process run. Taurus Tables The command: implant tables=Taurus [data.suf=] [dam.suf=] switches to the Taurus mode. This means that Sentaurus Process uses the same moment tables as the Taurus Process implant library in TSUPREM-4. The file names for Taurus tables are conventionally named as _in__ and _damage_in__ for implant data and damage data, respectively. The default suffix is standard for both implant data and damage data. The optional parameters data.suf and dam.suf can be used to change the default suffix for implant data and damage data, respectively. By using different suffices, different tables for the same species/material combination can coexist in the same directory. In addition, if tables=Taurus was specified, several models are switched on that are not used by default. These models are: ■ Beam dose control: beam.dose (see Overview on page 81) ■ Proportional range scaling: range.sh (see Multilayer Implantations on page 111) ■ ■ ■ Effective channelling suppression: eff.channeling.suppress (see Screening (Cap) Layer-dependent Moments on page 98) Profile reshaping: profile.reshaping (see Profile Reshaping on page 125) Preamorphization implants (PAI): pai (see Preamorphization Implantation (PAI) Model on page 121) NOTE This does not give the same results as TSUPREM-4; however, the results are similar. TSUPREM-4 Native Implant Tables Sentaurus Process also can read implant tables in TSUPREM-4 native format. To select native TSUPREM-4 implant tables, use the command: implant [species=] tables=TSuprem4 [ts4.prefix=] 86 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Overview If species is specified, TSUPREM-4 implant tables are applied to this particular species only. If species is not specified, implant tables are applied to all TSUPREM-4 supported species, which include antimony, arsenic, BF2, boron, fluorine, indium, fluorine, and phosphorus. The name of the TSUPREM-4 native implant table conventionally uses the species name or the species name with a prefix. Eight different implant tables in silicon are distinguished by a prefix. For example, chboron (which means channeling boron) is one of the boron implant tables in silicon. The parameter ts4.prefix takes one of the followings values: default, none, le, ch, dual, ut, tr, or scr: ■ ■ ■ ■ The default value is ts4.prefix=default, which selects TSUPREM-4 default implant tables, that is, antimony, fluorine, chboron, dual.ars, dual.pho, dual.bf2, and tr.indium for antimony, fluorine, boron, arsenic, phosphorus, BF2, and indium, respectively. If ts4.prefix=none, no prefix is added, so the TSUPREM-4 implant tables (antimony, boron, and so on) are used for antimony, boron, and so on, respectively. If the corresponding table for a species in a material is not available, the default table is used. If ts4.prefix=le or ch, then le or ch tables are selected, for example, leboron or chboron for boron implantation. If the corresponding table for a species in a material is not available, the default table is used. If ts4.prefix=dual, ut, tr, or scr, then . tables are selected, for example, dual.boron, ut.boron, tr.boron, and scr.boron for boron implantation. If the corresponding table for a species in a material is not available, the default table is used. You also can use your own TSUPREM-4 native-formatted implant tables by using the following command: implant species= imp.table= ts4.species= ts4.material= imp.table specifies the file name (which should have the file-name extension .ts4) that contains implant moment tables in TSUPREM-4 format, such as mys4imp0.ts4. If the file is in the same directory where Sentaurus Process is being run, then only the name of the file is needed for imp.table; otherwise, the full path is required. ts4.species specifies the TSUPREM-4 table name for the dopant, which is one of the predefined impurity names in the implant data file. For example, in the standard s4imp0, the valid names for boron implant are boron, leboron, chboron, ut.boron, tr.boron, and scr.boron. ts4.material specifies the material name used in TSUPREM-4, which is one of the predefined material names in the implant data file. For example, in the standard s4imp0, the material names include silicon, polysilicon, oxide, nitride, and so on. Sentaurus™ Process User Guide H-2013.03 87 3: Ion Implantation Overview If not specified, ts4.species and ts4.material default to the species name (for example, Boron) and the material name (for example, Silicon) used in Sentaurus Process, respectively. NOTE Ensure that these names match exactly the names in the TSUPREM-4 implant data file. While these names are not case sensitive, they cannot be abbreviated. For example, while ts4.material=Polysilicon is acceptable; ts4.material=poly will result in an error. Multirotation Implantation The simulation of multirotation implantations for both the MC and analytic methods is controlled by the integer parameter mult.rot=. If mult.rot is set to a number higher than 1, an implantation with a revolving ion beam is simulated. Starting with the user-defined rotation angle, Sentaurus Process performs mult.rot implantations with the same energy and tilt in one implant command. The rotation angle is incremented by ( 360° )/mult.rot and, for each implantation step, the dose is the 1/mult.rot-th part of the user-specified dose. Energy Contamination Implantation Sentaurus Process has a built-in feature for implantation with energy contamination, in which a fraction of the nominal dose has a different energy than the specified energy. To perform an energy contamination implantation, you must specify the parameter contamination in the implant command. The syntax is: implant dose= energy= contamination= {energy= dose.fraction=} ... Then, Sentaurus Process treats the implantation as two separate implantations in the following order: implant dose= energy= ... implant dose= energy= ... 88 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Coordinate System Adaptive Meshing during Implantation Adaptive meshing during implantation is active whenever adaptive meshing is switched on, that is, pdbGet Grid Adaptive returns a 1. It also can be switched on by specifying the parameter Adaptive in the implant command. For details, see Adaptive Meshing during Implantation on page 708. Since generally analytic implantation and MC implantation produce similar results, MC implantation can take advantage of this similarity for adaptive meshing. When adaptive meshing is active, MC implantation will first call analytic implantation for mesh refinement. At the end of analytic implantation, the concentration generated by the analytic implantation is discarded, while the new mesh is used for MC implantation. If the analytic implantation fails for whatever reasons (such as no implant tables for certain materials), Sentaurus Process issues a warning, and the MC implantation proceeds with the original mesh. Coordinate System Coordinates for Implantation: Tilt and Rotation Angles Regardless of whether a simulation is 1D, 2D, or 3D, the direction of the ion beam is defined relative to the wafer coordinate system (see Figure 16 on page 66) by the values of the tilt and rotation parameters of the implant command. Figure 21 shows the tilt and rotation angles in the wafer coordinate system. ZW tilt YW ion beam rotation YW XW rotation XW Figure 21 Tilt and rotation angles for implantation; beam angle shown corresponds to tilt = 20 and rotation = 45 The tilt and rotation angles are measured from the ion beam to the wafer z-axis and wafer yaxis, respectively. In this definition, the tilt angle is always positive, and between 0° (inclusive) Sentaurus™ Process User Guide H-2013.03 89 3: Ion Implantation Coordinate System and 90° . However, for convenience, a negative tilt angle is allowed, and it is converted automatically to a positive tilt by adding 180° to the specified rotation angle. The rotation angle is positive when the beam is rotated in the clockwise direction about the wafer z-axis, and it is negative when it is counterclockwise. Since the tilt and rotation angles are measured with respect to the wafer axes, the direction of the beam in the simulation coordinate system depends on the slice angle. Figure 22 shows the relationship between wafer coordinates, simulation coordinates, and the beam direction. ZW tilt YS slice.angle ion beam slice.angle YW ZS rotation YS YW ZS XS XW rotation XS Figure 22 XW Tilt and rotation angles for implantation; angles shown correspond to tilt = 20, rotation = 45, and slice.angle = 60 The default values of tilt and rotation are 7° and – 90° , respectively; in other words, by default the incident ion beam is directed parallel to the wafer flat tilted away from the wafer xaxis. For the default slice angle of – 90° , this corresponds to an ion beam in the simulator xy plane, tilted away from the simulator y-axis. In a 2D simulation, the default ion beam comes from the left side. Figure 23 on page 91 shows the projection into the wafer plane of the direction from which the beam strikes the wafer for tilt > 0 and various rotation angles. The default simulation coordinate system (slice.angle = -90) is also shown. 90 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Coordinate System 0o –90o XS YS 90o ZS 180o Figure 23 Implant rotation directions for positive tilt Figure 24 shows clearly that the orientations shown in Figure 23 are consistent with the conventions defined in Figure 21 on page 89. A rotation of 90° corresponds to rotating the wafer a quarter turn counterclockwise. ion beam ion beam rotate wafer +90o 90o 90o Figure 24 Rotating wafer and fixed beam direction 2D Coordinate System In a 2D simulation, the orientation of the 2D simulation plane with respect to the wafer coordinate system must be defined. The angle between the 2D simulation plane and the y-axis is set by the slice.angle. The default value is – 90° , which orients the 2D simulation plane parallel to the wafer flat. The transformed y-axis (ys) is the y-axis in the 2D simulation plane. Sentaurus™ Process User Guide H-2013.03 91 3: Ion Implantation Analytic Implantation There are two ways to set slice.angle in the init command: ■ To set directly, use: init slice.angle= ■ To set by specifying a 2D cutline, use: init slice.angle=[CutLine2D ] The and define the start point, and and define the end point in the wafer plane. The two points are in the wafer coordinate system (for more information on coordinate systems, see Understanding Coordinate Systems on page 66). In general, the tilt projected to the 2D simulation plane is different from the tilt value. It is given by the geometric relation: cos ( tilt ) cos ( tilt2D ) = ----------------------------------------------------------------------------------------------------------------------------------------------------------cos 2 ( tilt ) + sin 2 ( tilt ) ⋅ cos 2 ( rotation+slice.angle ) (2) The angle tilt2D can be found in the output of Sentaurus Process and can be negative depending on the rotation angle and slice angle. The tilt value defines the relation between the wafer dose (dose), which is given at the command line by default and the dose, which would have to be specified in the beam-dose mode to obtain the same final implanted dose, that is: dose BeamDose = -------------------------cos ( tilt ) (3) BeamDose2D as it appears in the Sentaurus Process output is defined using tilt2D, that is: dose BeamDose2D = --------------------------cos ( tilt2D ) (4) Analytic Implantation Analytic implantation is performed using empirical point-response distributions. Pointresponse distributions are generated using the method of moments. The moments representing the primary and lateral point-response functions are taken from implantation tables. For the purposes of 2D simulations based on analytic functions, an ion beam incident at the point ( ξ, η ) is assumed to generate a distribution function F(x, y, ξ, η) . 92 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Analytic Implantation To calculate the concentration of the implanted species at a point ( x, y ) of the simulation domain, the superposition of all distribution functions of all possible points of incidence (ξ(s) ,η (s)) ∈ Γ gas must be computed: (5) F ( x, y, ξ ( s )η , ( s ) ) ds C(x,y) = N d Γ gas where N d is the total dose per exposed area and C ( x, y ) is the doping profile. y x (ξ,η) (x,y) Figure 25 Point-response distribution for a particle incident at the point ( ξ, η ) at the surface; intervals are used for lateral integration at the point (x, y); shaded regions to left and right mark the lateral extension elements The 2D distribution functions are always assumed to be given as a product of two 1D distribution functions orthogonal to each other: a primary distribution function f p ( x ) and a lateral distribution function f l ( x ) : F ( x, y, ξ,η ) = f p(x – ξ(s)) ⋅ f l ( y – η (s) ) (6) To perform the computation of the convolution integral in 2D, Sentaurus Process uses a set of lateral intervals perpendicular to the projected ion beam. A local 1D layer structure is computed in each interval. The spacing and width of these intervals depend on the complexity of the exposed gas surface. In 3D, Sentaurus Process uses a slightly different algorithm. The point-response function is a 3D function. The lateral function f l ( x ) is also used in the third direction: F ( x, y, z, ξ, η , Θ ) = f p(x – ξ(s)) ⋅ f l ( y – η (s) ) ⋅ f l ( z – Θ ( s ) ) (7) assuming an axially symmetric point-response function. The lateral integration is performed in the plane perpendicular to the ion beam. For each point in the lateral integration plane, again, a local 1D layer structure is computed. Sentaurus™ Process User Guide H-2013.03 93 3: Ion Implantation Analytic Implantation Primary Distribution Functions Primary distribution functions can be set for a dopant/material combination using: implant species= [{gaussian pearson pearson.s dualpearson point.response}] The previous model selection is used if no selection for is made. The primary distribution is used to represent the point-response function in 1D or the vertical point-response in 2D and 3D. Point-response functions are characterized by moments. The first moment, the projected range R p , is defined as: ∞ (8) x ⋅ f ( x ) ⋅ dx Rp = –∞ while the higher moments m i are defined as: ∞ i (9) ( x – R p ) ⋅ f ( x ) ⋅ dx mi = –∞ The standard deviation σ , the skewness γ , and the kurtosis β are defined as: σ = m2 (10) m3 γ = ------3 σ (11) m4 β = ------4 σ (12) Gaussian Distribution: gaussian 94 ( x – Rp )2 1 f p ( x ) = -------------- exp – --------------------2σ 2 2πσ (13) γ = 0 (14) β = 3 (15) Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Analytic Implantation Pearson Distribution: pearson The Pearson distributions are the solution to the following differential equations: d y–a f ( y ) = ---------------------------------------------2- ⋅ f ( y ) , dy b0 + b1 ⋅ y + b2 ⋅ y y = x – Rp (16) γ ⋅ σ ⋅ (β + 3) a = b 1 = – -------------------------------A 2 2 σ ⋅ ( 4β – 3γ ) b 0 = – -----------------------------------A (17) 2 2β – 3γ – 6 b 2 = – -----------------------------A A = 10β – 12γ 2 – 18 Different types of Pearson distribution are distinguished by different values of γ and β : 0 < γ < 32 48 + 39γ 2 + 6 ( γ 2 + 4 ) 3 ⁄ 2 β > -----------------------------------------------------------32 – γ 2 Type IV (18) Type V (19) 0 < γ < 32 48 + 39γ 2 + 6 ( γ 2 + 4 ) 3 ⁄ 2 β = -----------------------------------------------------------32 – γ 2 0 < γ < 32 48 + 39γ 2 + 6 ( γ 2 + 4 ) 3 ⁄ 2 3 + 1.5 ⋅ γ < β < -----------------------------------------------------------32 – γ 2 Type VI (20) The Pearson–IV distribution is given by: 2 f p(y) = K b 2 y + b 1 y + b 0 1 -------2b 2 b1 ----- + 2a b2 2b 2 y + b 1 ⋅ exp – ------------------------------ atan -----------------------------4b 2 b 0 – b 12 4b 2 b 0 – b 12 (21) Sentaurus Process automatically switches between the Pearson–IV, Pearson–V, and Pearson– VI distribution functions depending on the conditions for γ and β given in Eq. 18 to Eq. 20. The factor K is chosen to fulfill the normalization condition: ∞ f p ( x ) dx = 1 (22) –∞ Sentaurus™ Process User Guide H-2013.03 95 3: Ion Implantation Analytic Implantation Pearson Distribution with Linear Exponential Tail: pearson.s A linear exponential tail is added to the Pearson distribution. This is performed in an attempt to describe more accurately the profile tails for some implantations, that is: P p(x), 0 ≤ x < x max f p(x) = P v(x), x max < x ≤ x a (23) P l(x), x a ≤ x < ∞ where P p is the Pearson distribution, P v is a transition function, and P i is the exponential tail. The decay length of the exponential tail is give by the parameter l exp : x max : x a ≥ x max : P max := P p ( x max ) = maxP p ( x ) 1 P a := P p ( x a ) = --- P p ( x max ) 2 (24) P v ( x ) = P p ( x max ) ⋅ exp ( A 1 ( x – x max ) 2 + B ( x – x max ) 3 ) x – xmax x – x max P l ( x ) = P p ( x ) + -------------------- ⋅ P p ( x max ) ⋅ exp – -------------------l exp l exp The constants A 1 and B are computed from the continuity conditions: (25) Pl ( xa ) = Pv ( xa ) and: d P (x) dx l d P ( x ) x =: P′ a dx v a Pa 3 ln -----------P max P′ a A 1 = -----------------------------2- – ---------------------------------P ( x a a – x max ) ( x a – x max ) xa = (26) Pa – 2 ln ----------P max P′ a B = -----------------------------3- + -----------------------------------2( x a – x max ) P a ( x a – x max ) NOTE 96 Exponential tail distributions are available with the Dios tables. However, care is required when using the exponential tail for implantation with large tilt angles. The l exp -fit in these tables was performed for a standard 7° tilt in amorphous materials and does not apply to large tilt angles or strong channeling conditions. Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Analytic Implantation Dual Pearson Distribution: dualpearson The most advanced primary distributions are available with the dual Pearson function [9], which can be chosen with the switch dualpearson. The dual Pearson model includes a superposition of two Pearson functions: f p ( x ) = ratio ⋅ f head ( x ) + ( 1 – ratio ) ⋅ f tail ( x ) (27) The head and tail functions are two independent Pearson functions. The head function accounts for the profile of ions that do not channel (nonchanneling or amorphous part). The tail function accounts for the channeled ions that form the characteristic tail in the implantation profile. A dualpearson function is characterized by nine parameters: the two sets of four Pearson parameters and the ratio between the amorphous and channeling doses. These parameters are usually taken from moment table files. You can set the individual moments directly in the Sentaurus Process command line, for example: implant species= [rp=] [stdev=] [gamma=] [beta=] [rp2=] [stdev2=] [gamma2=] [beta2=] [ratio=] [lat.stdev=] [lat.stdev2=] This overwrites the parameters found in the specified implant table. Using this facility, it is also possible to force the Pearson distributions in the dualpearson and pearson models to behave like a Gaussian distribution, for example: implant species=Boron Silicon pearson gamma=0 beta=3 The first statement sets the implantation model to a Pearson distribution. The parameters are read from the default table. The skewness and kurtosis are set according to Eq. 14 and Eq. 15, overwriting the values found in the table. This results in a Gaussian distribution for the function characterizing the amorphous part of the profile. You can enable or disable individual moments using .isset pdb switches, where is the name of the moments such as rp, stdev, rp2, stdev2, and so on. For example: pdbSetBoolean Silicon Boron rp.isset 0 This command would disable a user set value for rp. Sentaurus™ Process User Guide H-2013.03 97 3: Ion Implantation Analytic Implantation NOTE All moments set at the command line are ignored after a new implant table is selected, or an implant table has been specified again using the implant species= imp.file= command. In this case, the moments from this new implant table will then be used, regardless of which moments have been set previously at the command line. Point-Response Distribution: point.response See Point-Response Interface on page 116. Screening (Cap) Layer-dependent Moments Cap layer–dependent implant tables are used to describe correctly the screening of the ion beam in the structure. The implant moments in a particular region generally depend on the combined thickness of all layers above this region. The moments are parameterized with cap respect to the effective thickness t i , which is defined as: j/ combination does not contain an explicit cap layer dependence, the effective channeling suppression model is used. This model suppresses the channeling tail by multiplying the channeling part in Eq. 27 by a factor r suppress calculated according to: 1 r suppress = ----------------------------------------------------------------------------------------------------------------------------------------------( ) C R MinRatio – Exponent 1 p, head --- ⋅ -------------------------------------------------------- + -------------------------------σ 2 C ( R p, head ) + C ( R p, tail ) 98 (29) Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Analytic Implantation where C ( R p, head ) and C ( R p, tail ) are the peak concentrations of the unscaled profile, and σ is defined as: σ = i ti ------R pi (30) using the values of R p for the amorphous (head) part of the profile for all layers i above the present layer. The amorphous part of the profile is multiplied by 1 – r suppress to conserve the total dose. MinRatio is the minimum value of the ratio σ . The parameters MinRatio and Exponent can be set in the parameter database, that is: pdbSet MinRatio pdbSet Exponent The model is applied only for values of σ greater than MinRatio and effective cap layer thickness greater than 2.1 nm. The effective channeling suppression model can be switched on using: implant species= [eff.channeling.suppress] The model remains inactive for explicitly cap layer–dependent implant tables. NOTE This model is switched off by default and is switched on in the Taurus/ TSUPREM-4 mode. Lateral Straggle The lateral straggling of the distribution of implanted ions is specified by defining a lateral distribution function, which is a Gaussian distribution with a lateral standard deviation σ l : 2 y 1 f l ( x, y ) = ------------------------ exp – ---------------2 2σ 2π σ l ( x ) l (x) (31) In general, the lateral standard deviation depends on the vertical depth of the profile. The depth dependence can be switched on or off for a particular combination of dopant species and material using the flag depth.dependent: implant species= [depth.dependent] Sentaurus™ Process User Guide H-2013.03 99 3: Ion Implantation Analytic Implantation The lateral standard deviation can also be set in the command line using the keyword lat.stdev: implant species= [lat.stdev=] [lat.stdev2=] where lat.stdev2 sets the lateral standard deviation for the tail function. If either lat.stdev or lat.stdev2 is set, Sentaurus Process switches to the depth-independent lateral straggling. All depth.dependent switches are ignored in this case. An additional scaling factor for both the depth-dependent and depth-independent lateral standard deviation can be used to vary the lateral straggling: implant species= [lat.scale=] [lat.scale2=] Depth-dependent Lateral Straggle: Sentaurus Process Formulation If a TSUPREM-3-compatible implantation table is used (.s3), the depth-dependent lateral standard deviation is calculated according to: x σ l ( x ) = lstdev ⋅ exp – -----------------------------lstdev ⋅ lv (32) where lstdev and lv are parameters taken from the implantation table. There are two independent sets of parameters for the two Pearson functions in the dualpearson model. This formulation also is used with the Tasch implantation tables. Depth-dependent Lateral Straggle: Dios Formulation If a Dios-compatible implantation table is used, the depth-dependent lateral standard deviation is calculated using a vector of five parameters p1, p2, ..., p5. The following formula is applied [10]: p2 ⋅ x p4 ⋅ x log exp p1 ⋅ ------------- + p3 + exp p1 ⋅ ------------- + p5 Rp Rp σ l ( x ) = stdev ⋅max 0.01,----------------------------------------------------------------------------------------------------------------------------------------p1 (33) There is only one set of these parameters in each table entry. In the case of the dualpearson implant model (see Eq. 33), the same set of parameters p1, p2, ... p5 together with the standard deviation of the first Pearson function described by stdev is applied to both the amorphous and the channeling part of the distribution. 100 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Analytic Implantation Depth-dependent Lateral Straggle: Taurus Formulation If a TSUPREM-4/Taurus-compatible implantation table is used, the depth-dependent lateral standard deviation is calculated using two parameters: x σ l ( x ) = σ 0 ⋅ 1 + ∆σ ------ – 1 Rp (34) The depth-independent standard deviation σ 0 and the depth-dependent slope ∆σ are read from the moment table. This formulation is compatible with the Dios formulation (see Depth-dependent Lateral Straggle: Dios Formulation) for the following conditions: p4 = 0 , p1 ⋅ p5 = – ∞ . The remaining parameters can be translated as follows: σ 0 = stdev ⋅ ( p2 + p3 ) (35) ∆σ = p2 ⁄ ( p2 + p3 ) Analytic Damage: Hobler Model The damage distribution is calculated using Eq. 33 and Eq. 6, p. 93. The primary and lateral distribution functions are taken from the literature [10]. The primary function consists of a Gaussian function and an exponential tail, joined continuously with continuous first derivatives. The distribution is normalized. The normalization factors are c 1 and c 2 , and N vac is the number of Frenkel defects per ion. Three types of primary function are distinguished: ■ Type 0 A simple Gaussian distribution with the primary range R p and the standard deviation σ : ( x – Rp ) 2 f p ( x ) = N vac c 1 exp – --------------------2σ 2 ■ (36) Type 1 For light ion species: fp ( x ) = Sentaurus™ Process User Guide H-2013.03 x N vac c 1 exp -- , l ( x – Rp )2 N vac c 2 exp – --------------------- , 2σ 2 x ≤ x0 (37) x > x0 101 3: Ion Implantation Analytic Implantation where l is the decay length of the exponential function. The joining point x 0 is calculated by: 2 σ x 0 = R p – -----l ■ (38) Type 2 For heavier ions, the exponential tail is directed towards the bulk: 2 ( x – Rp ) N vac c 2 exp – --------------------- , x ≤ x0 2 2σ fp ( x ) = x N vac c 1 exp -- , x > x0 l (39) In this model, four parameters R p , σ , l , and N vac are required. These parameters were obtained by MC simulations between 1 keV and 300 keV. If damage calculation is switched on, that is, if: implant species= damage has been set, Sentaurus Process generates these parameters using an internal lookup table, which contains the original data available for boron, BF2, phosphorus, arsenic, and antimony in silicon. NOTE For some other species, the parameters of these original species are used that are closest with respect to the atomic number in the periodic table of elements. Nitrogen uses the boron parameters. Silicon and aluminum use the phosphorus parameters. Germanium and gallium use the arsenic parameters, and indium uses the antimony parameters. Damage calculation is automatically switched off for any other species. Type 0 is used for boron at energies E < 20 keV, phosphorus at E < 55 keV, and arsenic at E > 170 keV. Type 1 is applied to boron and phosphorus elsewhere, and Type 2 is applied to arsenic at energies below 170 keV and antimony at all energies. The lateral distribution is modeled using Eq. 33. The five lateral parameters p1, p2, ..., p5 are provided in the internal lookup table. An alternative to the internal lookup table is to load a table file similar to the implant tables. The keyword for this is dam.table: implant species= [dam.table=] 102 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Analytic Implantation This overwrites the internal lookup table for the above mentioned species using the parameters from the table instead. In addition, it enables damage calculation for species other than the original ones. Datasets Several datasets are used to store the as-implanted profile and the implantation damage. Pointdefect profiles are created at the end of the implantation step. Datasets with the ending _Implant contain profiles generated during subsequent implant steps. These datasets are deleted at the beginning of the next diffuse step. Table 4 Datasets used in analytic implantation step Dataset Description Damage Accumulative damage (damage history). At the end of an implant step, the Damage_LastImp concentration is added using DFactor. This dataset is deleted by the diffuse command. Damage_LastImp Damage created during the last implant step. This dataset is deleted at the end of the implant step. Accumulative density of the dopant concentration. At the end of an implant step, the _LastImp concentration is added to . _Implant Accumulative density of the dopant concentration. At the end of an implant step, the _LastImp concentration is added to _Implant. This dataset is deleted by the diffuse command. _LastImp As-implanted dopant concentration that contains the profile generated during the last implant step. This dataset is deleted at the end of the implant step. Int_Implant Accumulative interstitial profile updated at the end of an implant step. Vac_Implant Accumulative vacancy profile updated at the end of an implant step. Int_Implant Accumulative interstitial profiles in multicomponent material with DistinctDefects set to true, where is the component of the composition of the material. For example, in SiC, interstitial profiles include IntSilicon_Implant and IntCarbon_Implant. Vac_Implant Accumulative vacancy profiles in multicomponent material with DistinctDefects set to true, where is the component of the composition of the material. For example, in SiC, interstitial profiles include VacSilicon_Implant and VacCarbon_Implant. Sentaurus™ Process User Guide H-2013.03 103 3: Ion Implantation Analytic Implantation Tables Implantation Table Library The implantation table library is located at $SPHOME/ImpLib/. Dios Tables The subdirectory Dios/ contains the tables used by default in Dios. This tables can be made the default tables for all species in Sentaurus Process by using: implant tables=Dios For arsenic, antimony, phosphorus, indium, germanium, gallium, nitrogen, and aluminum, the data in these tables are taken from the literature [3]. The values for boron are obtained from simulations with the 1D process simulator TESIM [11]. The values for energies ≥ 1 MeV are taken from the literature [2]. These tables provide moments that can be used with the Gaussian and Pearson implantation models. Taurus Tables The directory Taurus/ contains the Taurus Process implant tables for boron, BF2, phosphorus, germanium, indium, antimony, and arsenic. To select these tables as the default, use the keyword Taurus: implant tables=Taurus The tables contain calibrated data from sub-keV to above 10 MeV. The calibration was performed using both SIMS data and Taurus MC calculations [5]. Default Tables The directory Default/ contains tables extracted from MC simulations with CrystalTRIM [4], which are tabulated in DIOS format. The data are available for arsenic, antimony, BF2, boron, phosphorus, indium, and germanium in silicon, polysilicon, oxide, and nitride. These tables provide moments that can be used with all implantation models including the dualpearson model. For silicon, dual Pearson moments are available that depend on energy, tilt, dose, and cap-layer thickness. For polysilicon, oxide, and nitride, single Pearson moments are available that depend on energy and tilt only. The tables cover different energy ranges. The tilt angles range from 0° to 60° , and the oxide thickness ranges from 0 nm to 100 nm. There are tables for low, medium, and high doses for all species except germanium where only one table for a medium to high dose is available. 104 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Analytic Implantation Sentaurus Process selects the correct table depending on the implant dose. These tables constitute most of the default tables used in Sentaurus Process. The default tables used in Sentaurus Process are selected by using the command: implant tables=Default This implant command not only selects the tables from the Default/ directory for arsenic, antimony, BF2, boron, phosphorus, indium, and germanium in silicon, polysilicon, oxide, and nitride, but also selects the tables from the Taurus/ directory for carbon, fluorine, and germanium in silicon, polysilicon, oxide, and nitride (see Table 5). For all other species and materials, the respective Dios tables are used. Table 5 Default tables Species Table file Energy range [keV] Arsenic As_1e12-5e13.tab As_1e13-8e14.tab As_2e14-6e15.tab 0.5–400 Antimony Sb_1e12-5e13.tab Sb_1e13-5e14.tab Sb_2e14-1e16.tab 1.5–600 BF2 BF2_1e12-5e13.tab BF2_1e13-8e14.tab BF2_2e14-6e15.tab 0.5–400 Boron B_1e12-4e13.tab B_1e13-6e14.tab B_16e13-8e15.tab 0.2–517 (silicon) 0.2–480 (other materials) Carbon carbon_in__standard 0.2–400 Fluorine fluorine_in__standard 0.2–400 Germanium germanium_in__2007 0.6–800 Indium In_1e12-4e13.tab In_1e13-6e14.tab In_16e13-8e15.tab 1–400 Phosphorus P_1e12-4e13.tab P_1e13-6e14.tab P_16e13-8e15.tab 0.3–400 0.12–3000 (10.0 upgrade) NOTE Outside the specified range, the Default implant tables may fall back to the Dios tables. Therefore, near the boundaries of the Default tables, inconsistent results may occur. Sentaurus™ Process User Guide H-2013.03 105 3: Ion Implantation Analytic Implantation Tasch Tables The directory Tasch/ contains the University of Texas (UT) implant tables for boron, BF2, phosphorus, and arsenic in silicon [12]. For all other materials and species, single Pearson tables are available. The tables can be selected to be the default by using the keyword Tasch: implant tables=Tasch The tables cover different energy ranges. The boron table ibout1.s3 contains cap layer– dependent implantation moments valid for thicknesses between 1.5–40 nm. The moments in all other tables are cap-layer independent. Table 6 Tasch tables Species Table file Energy range [keV] Arsenic iasut0.s3 7–180 BF2 ibfut0.s3 0.5–65 Boron ibout0.s3 ibout1.s3 0.5–80 15–80 Phosphorus iphut0.s3 15–180 The valid range for the tilt is 0° to 10° and, for the rotation, the range is 0° to 45° . These tables provide data to be used with all implant models. The single Pearson tables provide only energy-dependent data covering the range between 10 keV and 1000 keV. TSuprem4 Tables The directory TSuprem4/ contains the TSUPREM-4 native implant tables, s4imp0.ts4, for boron, BF2, phosphorus, indium, antimony, and arsenic. To select these tables as the default, use the keyword TSuprem4 with an optional prefix: implant tables=TSuprem4 [ts4.prefix=] These tables contain the original implant moments of TSUPREM-4. File Formats Sentaurus Process handles a variety of table formats. The table format of the implantation table is automatically recognized by Sentaurus Process from the file extension. 106 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Analytic Implantation Single-Pearson Table File Format: .sp This format provides the simplest table format that can be used with Sentaurus Process. It contains energy-dependent entries for the moments to be used with the (single) pearson or gaussian model. NOTE These tables cannot be used with the dualpearson model. The format of the table entries is: * energy rp stdev gamma beta lat.stdev There is no dependence of the moments on dose, tilt, rotation, or cap layer thickness. Lines with an asterisk in the first column are treated as comment lines. Missing or incomplete blocks are not properly read when the file is parsed. SUPREM-III Table File Format: .s3 This format allows the handling of energy, dose, tilt, rotation, and cap-layer thickness– dependent dual Pearson moments. A SUPREM-III implant table file consists of two sections: one for the primary moments and one for lateral moments. Both sections start with a header, which contains the parameter range covered by the table. The header is organized as follows: *Energies: NumberOfEnergies energy1 energy2 ... *Tilts: NumberOfTilts tilt1 tilt2 ... *Rotations: NumberOfRotations rotation1 rotation2 ... *Doses: NumberOfDoses dose1 dose2 ... *Thickness: NumberOfThickness thickness1 thickness2 ... NOTE The order of these entries must not be changed. Lines with an asterisk in the first column are treated as comment lines. A table entry for a particular combination of lookup parameters has the format: * rp stdev rp stdev ... rp stdev gamma gamma beta beta rp2 rp2 stdev2 stdev2 gamma2 gamma2 beta2 beta2 ratio1 ratio2 gamma beta rp2 stdev2 gamma2 beta2 ratio Sentaurus™ Process User Guide H-2013.03 107 3: Ion Implantation Analytic Implantation Each line contains eight dualpearson moments and the ratio as defined in Eq. 27, p. 97. The entries are ordered increasingly with respect to cap layer thickness, energy, tilt, and rotation. NOTE There is no automatic check of the ordering of the table entries. The tables are for one species/material combination only. The cap-layer thickness, rp, rp2, stdev, and stdev2 should be given in micrometers and the angles, in degrees. The energy values must be specified in keV. No units must be specified in the tables. The lateral part is organized in the same manner. Corresponding to the header information, the entries are ordered in the same manner as in the primary part. Each entry has the format: * lstdev lv lstdev2 lv2 The first item is void and can be used for information purposes. The parameters are used in Eq. 32, p. 100 to calculate the depth-dependent lateral standard deviation. The units for lstdev and lstdev2 are micrometers, whereas lv and lv2 are unitless. Dios Table File Format: .tab The Dios table file format for implantation data files allows for dependencies on energy, dose, tilt, rotation, and the cap-layer thickness. It provides the primary moments for all implantation models including the dualpearson model. Parameters for depth-dependent lateral straggling are available as well. The format of the table entries is: # Look up parameters material species thickness rotation tilt energy NumberOfFunctions NumberOfDoses # Primary moments rp stdev gamma beta lexp rp2 stdev2 gamma2 beta2 lexp2 # Channeling table dose ChannelingDose dose ChannelingDose ... # Lateral straggling p1 p2 p3 p4 p5 Lines with a # character in the first column are treated as comment lines. Missing or incomplete blocks are not read properly when the file is parsed. The first block contains entries for the material and species names, cap-layer thickness, rotation angle, tilt angle, and energy. The NumberOfFunctions defines the number of components of the primary distribution function. A maximum of two functions are allowed. NumberOfDoses defines the number of entries in the channeling table. Each entry consists of a dose and the corresponding channeling dose. All doses are expected to be positive. 108 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Analytic Implantation Dose Nonchanneling Dose 1014 Channeling Dose 1013 1013 Figure 26 1014 1015 Total Dose Piecewise linear nonchanneling and channeling dose for a dual Pearson profile as represented in default channeling table The ratio between the amorphous part and channeling part in Eq. 27, p. 97 is calculated from the channeling table using: ChannelingDose ratio = 1 – -------------------------------------------dose (40) where the value for ChannelingDose is interpolated linearly using the value of the implant Dose. The second block contains the moments for all the components of the primary distribution function. Parameters, which by definition do not exist for the function the set describes, are ignored. NOTE Some entries are always ignored since they are not used in the implant models of Sentaurus Process. For example, the last moment entry () is always disregarded. The third block contains the channeling table ordered with increasing dose, and the fourth block contains parameters for the depth-dependent lateral straggling. NOTE The entries must be increasingly ordered with respect to the cap-layer thickness, rotation, tilt, and energy, so that the values for various energies (but the same other three parameters) follow each other. All data entries for the same material–dopant combination should follow each other with no interruption by entries for another material–dopant combination. The cap-layer thickness, rp, rp2, stdev, and stdev2 should be given in micrometers and the angles, in degrees. The energy values must be specified in keV. No units must be specified in the tables. Sentaurus™ Process User Guide H-2013.03 109 3: Ion Implantation Analytic Implantation Damage Table File Format: .dam The damage tables for the Hobler damage model are similar to the Dios table file format, which allows for dependencies on energy, tilt, and rotation. The Hobler damage model table provides the primary moments for the damage model. Moments for depth-dependent lateral straggling are available as well. The format of the table entries is: # Look up parameters material species rotation tilt energy # Primary moments rp stdev decay nvac type # Lateral straggling p1 p2 p3 p4 p5 The syntax is the same as for the Dios table format. The item decay refers to the parameter l , and the item nvac refers to the parameter N vac in Analytic Damage: Hobler Model on page 101. The item type refers to the type of Hobler model. Taurus Table Format: The Taurus table format, which is the most general table format used in Sentaurus Process, handles data for all implant and damage models. Implant table files in the Taurus format have no file extension; that is, an implant table file without a file extension is considered to be in the Taurus format. It contains a file header and a block of numeric data. The file header consists of a list of names of the implant conditions. The names should be lowercase only. The following names are recognized: energy tilt rotation dose screen temperature current The sequence of these names can be arbitrary. Some names from this list can be omitted. The following units should be used for the implant conditions: energy,[keV] tilt,[degrees] rotation,[degrees] dose,[cm-2] screen,[um] temperature,[K] current,[mA/cm2] The numeric data consists of an arbitrary number of lines that form the lookup tables for implant conditions and implant moments. Each line should contain a list of numeric values for the implant conditions followed by the implant moments. The numeric values should be separated by space. 110 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Analytic Implantation The number of the numeric values should be the same on each line. There should be at least n+4 values per line for a gaussian profile, n+6 values per line for a pearson profile, and n+13 values per line for a dualpearson profile, where n is the number of the implant conditions specified in the file header. The sequence of implant conditions should correspond exactly to the sequence of implant condition names in the file header. The sequence of the implant moments in one line is fixed as follows: Gaussian: rp Pearson: gamma Dual Pearson: rp2 stdev lat.stdev lat.slope beta stdev2 lat.stdev2 lat.slope2 gamma2 beta2 ratio Any line that starts with a double slash // is considered a comment and is omitted. Always put the double slash at the first position in the line. If the requested set of implant conditions does not have an exact match in the lookup table, a multidimensional linear interpolation is used. If a requested implant condition extends beyond the range of the lookup table, the closest value from the lookup table is used. If the lookup table contains several lines with identical sets of the implant conditions, only the last set is used, and all the previous lines are discarded. If a table contains data for the Hobler damage model, the following sequence of moments is used: rp stdev lat.stdev lat.slope gamma beta decay nvac Multilayer Implantations Point-response functions are valid only for a single material layer. For multiple layers of different materials, the point-response functions must be combined in a way that corrects the effect of the different stopping power in the covering layers. This must be performed for each lateral interval taking into account the local layer sequence parallel to the ion beam. Two algorithms are available in Sentaurus Process: numerical range scaling (NRS) [13] and dosematching [12]. Both algorithms calculate a shift δ i applied to the primary point-response function. Sentaurus Process also provides an option no, which switches off the matching. In this case, δ i is set to zero in all layers. The matching algorithm can be selected globally by using the command: pdbSet ImplantData MatchControl { no | range | dose } Sentaurus™ Process User Guide H-2013.03 111 3: Ion Implantation Analytic Implantation The default value of MatchControl is range. In addition, you can select locally the matching algorithm with the keyword match in each implant command: implant [match={no range dose}] The locally selected algorithm overwrites the one globally set in the PDB. The NRS algorithm accounts for the different stopping power in different materials using the ratio of the projected ranges of the materials. C(x) C(x) Rp2+δ2 Rp2 δ2 x x Figure 27 NRS algorithm: the point-response function in the second material is shifted and rescaled (left) due to existence of a layer with different stopping power (shaded region); the new profile is combined from the point response in the first layer and shifted point response in the second layer (right). The shift in the i -th layer is calculated according to: j [range.sh] The default setting for this model is off. In the Taurus/TSUPREM-4 mode, the switch is set to on. The dose-matching algorithm can be selected with the option dose. The shift δ i is calculated according to the dose accumulated in the above layers: δ i = d i – d eff d eff f p ( x ) dx D sofar = (44) 0 where d i is the position of the top of the i -th layer. The dose D sofar is the integral over the primary point-response function. Lateral Integration Local Layer Structure in 2D Local 1D layer structures are defined for a set of lateral intervals. These lateral intervals are chosen perpendicular to the projection of the ion beam into the simulation plane as shown in Figure 28 on page 115. The width of the lateral intervals is controlled by several parameters set in the parameter database. The default values can be changed by using: pdbSet ImplantData LateralGridSpacing pdbSet ImplantData VerticalGridSpacing Starting from an initial grid, the intervals are bisected until a certain limit is reached. This limit is set by LateralGridSpacing, which has the default value of 0.01 µm . Then, the intervals are bisected again until a certain vertical limit is reached. This limit is set by VerticalGridSpacing with the default value of 0.01 µm . The lateral integration is limited to a certain range of intervals to the left and right of a mesh node. This integration range depends on the maximum lateral standard deviation applied to the structure. Sentaurus™ Process User Guide H-2013.03 113 3: Ion Implantation Analytic Implantation Control over the lateral integration is possible by setting the number of lateral standard deviations used to set the integration range: y + Nσ l, max C ( x, y ) = (45) f p ( x )f l ( x, y' ) dy' y – Nσ l, max The value of N can be set by using: pdbSet ImplantData NumLateralStdev The default value is 5, which means that the total lateral integration width is 10 σ l, max . In 3D, the integration is performed over a square grid in the plane perpendicular to the ion beam. The grid is centered about a mesh node. It has a fixed size and resolution. The size is controlled by the parameter: pdbSet ImplantData NumLateralStdev3D having the same meaning as the corresponding 2D parameter. The default value is 3.5. Each interval is subdivided by a certain number of grid points. The subdivision can be set by using: pdbSet ImplantData NumGridPoints3D so that the total number of grid points is: ( 2 ⋅ NumLateralStdev3D ⋅ NumGridPoints3D ) 2 (46) The default value for NumGridPoints3D is 4. Therefore, the total number of grid points is 784. The size of the integration grid is the parameter that limits the time performance of analytic implantation in 3D. The lateral intervals are expanded by a certain amount over the left and right boundaries of the 2D device to ensure flat profiles on the left and right sides. This extension depends on the implantation tilt and the maximum lateral standard deviation. The maximum extension can be controlled from the parameter database. The value can be changed by using: pdbSet ImplantData MaxLateralExtension The default is set to 1.5 µm . A similar extension is applied in three dimensions. 114 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Analytic Implantation Primary Direction and Scaling The interpretation of the range and lateral range parameters depends on the value of the implantation parameter primary. This can be set by using: implant [primary={beam wafer}] The option beam switches to the beam projection mode. In this case, the primary moments are applied along the projection of the ion beam onto the simulation plane, and the lateral integration is performed perpendicular to the projection of the ion beam. This is the default mode in Sentaurus Process. The option wafer switches to the wafer normal mode. Here, the primary distribution function and the moments are interpreted orthogonally to the wafer surface. fp(x) fl(y) fp(x) fl(y) Figure 28 Beam projection mode (left) and wafer normal mode (right) for analytic implantation An implant table can be declared angle-dependent or angle-independent by using: implant species= [angle.dependent] Tilt-dependent and rotation-dependent data values extracted from SIMS measurements, or user-specified range parameters are assumed to be angle dependent. On the other hand, theoretical range parameters, such as those calculated by LSS theory, are assumed to be angle independent. For the same pair of tilt and rotation parameters, different projected tilt angles can be observed in the 2D simulation plane. This angle is called tilt2D and depends on both rotation and slice.angle. Profiles in quasi-1D parts of the structure away from mask edges depend on the choice of slice.angle. Exactly the same 1D profiles can be observed only for symmetric primary distribution functions like the ones used in the Gaussian model and only if the primary and lateral standard deviations have the same value. To ensure, at least approximately, that the same depth profiles are obtained for different rotation angles, and for different dimensions, the range parameters for the primary distribution function Sentaurus™ Process User Guide H-2013.03 115 3: Ion Implantation Analytic Implantation are scaled depending on tilt (for 1D and 3D), or tilt2D (for 2D). For example, the projected range R p is scaled as follows: (47) R p′ = R p ⋅ s r For tilt implants, the integrated dopant profile depends on not only the primary range parameters ( R p , σ p , and so on), but also the lateral straggling ( σ l ). To ensure that the same depth profiles are obtained approximately for different rotation angles, and for different dimensions, the primary standard deviation is scaled as follows: σp ′ = 2 2 2 2 (48) sr ⋅ σp + ( 1 – sr ) ⋅ σl Note that if the ratio σ l ⁄ σ p is too large, the scaling of the primary standard deviation may not be possible. In such a case, Sentaurus Process issues a warning message and continues by assuming σ' p = σ p . The scaling factor s r , which is used to scale R p and σ p , is selected with respect to the values of primary and angle.dependent, as shown in Table 7. Table 7 Scaling factor for the primary range Dimension primary !angle.dependent angle.dependent 1D wafer s r = cos ( tilt ) sr = 1 beam s r = cos ( tilt ) sr = 1 wafer s r = cos ( tilt ) sr = 1 beam cos ( tilt ) s r = --------------------------cos ( tilt2D ) 1 s r = --------------------------cos ( tilt2D ) wafer s r = cos ( tilt ) sr = 1 beam sr = 1 1 s r = --------------------------cos ( tilt ) 2D 3D Point-Response Interface This feature allows the use of externally generated point responses in analytic implantation. As an alternative to using implant tables, it replaces the moment-based point-response distributions. Only 1D primary distributions can be loaded with Sentaurus Process. To use the point-response interface, the implant model must be changed to point.response, that is: implant spec= point.response file= y.position= 116 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Analytic Implantation To revert to the moment-based point-response distribution, switch to one of the analytic implantation functions, that is, to switch to the dual Pearson model for boron in silicon: implant spec=Boron Silicon dualpearson The default table setting can be used again since it has not been overwritten by the point.response flag. The external primary distribution function and the damage are read from a plx file. A separate file can be selected for each dopant–material combination with the file selector and the above command. The 1D MC implantation run is started to generate the data if the file is not found. This run is fully automated. The MC implantation model can be chosen by using the following command: pdbSet MCImplant model {sentaurus.mc | crystaltrim} The default MC model is sentaurus.mc. The 1D layer structure for this run consists of an oxide layer on top and a layer of the specified material. The thickness of the oxide layer is chosen as the total effective overlayer thickness at some point of reference at the gas surface of the structure. The position of this point at the y-axis is specified with the y.position parameter. The integration routine treats the data as a continuous set to be used in the material as specified. In the convolution integral computation, the zero of the x-axis is locally matched to the surface of the structure. The initial damage for the MC implantation simulation is taken from the damage already present in the device along a line starting from the surface at the y.position normal to the wafer surface. The external profiles are interpreted as taken normal to the wafer surface. Therefore, the direction of the primary distribution should be switched from beam to wafer (see Primary Direction and Scaling on page 115). In addition, the multilayer matching method should be set to dose-matching (see Multilayer Implantations on page 111), that is: implant primary=wafer match=dose The value for the primary range R p is taken from the implant table if match=range is set. Analytic Damage and Point-Defect Calculation The analytic implantation facility can generate damage profiles that are stored in the dataset Damage and interstitial and vacancy profiles that are stored in the datasets Int_Implant and Vac_Implant, respectively. Sentaurus™ Process User Guide H-2013.03 117 3: Ion Implantation Analytic Implantation Implantation Damage The damage to the crystal is calculated on the basis of analytic damage models. Sentaurus Process calculates the damage using the model by Hobler and Selberherr [1]. Damage calculation for a species in a material can be switched on using the logical switch damage: implant species= [damage] A damage profile is calculated if the damage switch is set and the moments are found in the internal lookup table. Sentaurus Process can use the moments provided by Hobler [1] as described in Analytic Damage: Hobler Model on page 101. At the end of an implantation step, the damage for this step (damage_LastImp) is added to the Damage profile (damage history) using: (49) Damage += DFactor ⋅ Damage_LastImp where + indicates the total damage as the sum of new damage and existing damage. The default value for the DFactor is 1, which can be changed in at the implant command line or in the parameter database: implant [dfactor=] pdbSetDouble DFactor Point-Defect Calculation Elemental Materials The interstitial and vacancy profiles are calculated in a postprocessing step at the end of the implant command. The model used to calculate point defects is selected with the defect.model selector: implant [defect.model= {plus.one | effective.plus.n | frenkel.pair | user.defined}] The plus.one switch selects the ‘+1’ model to calculate the interstitial and vacancy profiles from the as-implanted profile at a particular implantation step _LastImp: Int_Implant += IFactor ⋅ _LastImp Vac_Implant += VFactor ⋅ _LastImp (50) where IFactor and VFactor are material-dependent factors that can be set in the parameter database. For example, for boron in silicon, this is performed by using: pdbSet Silicon Boron IFactor 118 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Analytic Implantation The internal default values are 1 for IFactor and zero for VFactor. This is motivated by a simple lattice site balance argument: for each dopant atom that is assumed after implantation on a lattice site, one free interstitial is produced. The global values for IFactor and VFactor can be overwritten at the implant command line: implant [ifactor=] [vfactor=] The effective.plus.n model dynamically calculates an NFactor using an energydependent and a dose-dependent fitting formula after Hobler [14]. The NFactor replaces the IFactor in Eq. 50. This ‘+n’ model provides an improved way to calculate the interstitial profile for heavy ions and low implant doses. Under these implant conditions, the NFactor can significantly deviate from one [14]. A third model can be chosen with the selector frenkel.pair. Here, the interstitial and vacancy profiles are calculated from the damage and dopant profiles resulting from the last implantation step: Int_Implant += FPIFactor ⋅ Damage_LastImp + IFactor ⋅ _LastImp Vac_Implant += FPVFactor ⋅ Damage_LastImp (51) where _LastImp term accounts for the extra interstitials coming from substituted dopants. FPIFactor and FPVFactor can be set in the parameter database, and can be overwritten by parameters fp.ifactor and fp.vfactor at the implant command line. If crit.dose is defined, the given value of IFactor in the plus.one and damage models for point defects is taken from: crit.dose IFactor = IFactor ⋅ min 1, ---------------------------dose (52) The user.defined switch allows you to define your own algorithms to calculate interstitial and vacancy profiles. It is expected that users will define the algorithm in the UserPointDefectModel procedure. For example: proc UserPointDefectModel { Species Name Energy Dose Model IFactor \ VFactor CDose } { ... } where Species is the name of the implanted species; Name is the name of the dopant; Energy is the implant energy; Dose is the implant dose; Model is the implant model (for example, tables or sentaurus.mc or crystaltrim); IFactor and VFactor are the interstitial and vacancy factors; and CDose is the critical dose. Sentaurus™ Process User Guide H-2013.03 119 3: Ion Implantation Analytic Implantation Multicomponent Materials In multicomponent materials, such as silicon carbide (SiC), the material is composed of different types of atom. When an impurity is implanted into SiC, both silicon and carbon lattice atoms can be displaced, thereby forming silicon interstitials or carbon interstitials, and leaving behind silicon-site or carbon-site vacancies. Instead of classifying them together as interstitials or vacancies, as in silicon, Sentaurus Process provides a mechanism to distinguish different types of interstitial or vacancy. To generate distinct types of point defect in multiple-component materials, you must switch on the DistinctDefects flag, for example: pdbSetBoolean SiliconCarbide DistinctDefects 1 By default, this flag is true for SiC but false for other materials. As a result, instead of Int_Implant and Vac_Implant, the generated point-defect datasets in SiC are IntSilicon_Implant, IntCarbon_Implant, VacSilicon_Implant, and VacCarbon_Implant. In this model, the total point-defect concentration is computed in the same way as the elemental material. The implantation parameters defect.model, ifactor, vfactor, fp.ifactor, and fp.vfactor in the implant command still work. ifactor and vfactor are scaling factors for interstitial profiles and vacancy profiles, respectively, in the plus.one defect model; while fp.ifactor and fp.vfactor are scaling factors for interstitial profiles and vacancy profiles, respectively, in the frenkel.pair defect model. The same Tcl procedure CalcPlusNFactor calculates automatically the plus factors for the effective.plus.n defect model. Then, the individual point-defect concentration is computed by multiplying the total pointdefect concentration by the fraction of each component. The fraction of each component is, by default, their stoichiometric weight, but it can be changed in the parameter database with the parameters IFactor.Fraction and VFactor.Fraction. For example, in SiC: pdbSet pdbSet pdbSet pdbSet SiC SiC SiC SiC Composition Composition Composition Composition Component0 Component1 Component0 Component1 IFactor.Fraction IFactor.Fraction VFactor.Fraction VFactor.Fraction Backscattering Algorithm During the implantation, some particles may be backscattered and lost to the ambient. Analytic implantation accounts for this effect by assuming that the portion of the distribution which sticks out of the structure is backscattered from the surface, resulting in less dose implanted in the structure. This backscattering model – the TS4 backscattering model – is switched off by 120 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Analytic Implantation default. To switch on the model, either specify the logical switch ts4.backscattering in the implant command or use the global switch: pdbSet ImplantData TS4Backscattering 1 In addition to the TS4 backscattering model, Sentaurus Process uses an advanced integration algorithm that accounts for particles backscattered from the surface. The lateral integration for a mesh node also is performed over 1D intervals above the surface. The point response is taken from the surface layer. The contributions from backscattered ions make a difference in the profile of vertical mask edges. The mask example in Figure 29 illustrates the difference. The backscattering algorithm is switched on by default. To switched off the algorithm, use either the logical switch !backscattering in the implant command, or use the global switch: pdbSet ImplantData Backscattering 0 -0.5 Y Y -0.5 0 0 -0.2 0 0.2 0.4 X Figure 29 2.8e+18 1.7e+15 2.8e+18 1.0e+12 1.0e+12 1.7e+15 -0.2 0 0.2 0.4 X Boron implantation at a vertical mask edge (left) with backscattering and (right) without backscattering; tilt angle is 0o and energy is 35 keV Multiple Implantation Steps Preamorphization Implantation (PAI) Model A structure already has implantation-related damage by the time an implantation is performed. This damage contributes to the suppression of the channeling tail. This applies to a series of Sentaurus™ Process User Guide H-2013.03 121 3: Ion Implantation Analytic Implantation implantations performed without intermediate anneals. In this case, an equivalent amorphous thickness is extracted as: j≤ i 1 t i, eqv = ------------------------------------------PAIThreshold (53) Damage dx j xi where Damage denotes the preexisting implant damage in terms of Frenkel pairs and PAIThreshold is a normalization parameter that can be specified in the parameter database: pdbSet PAIThreshold The extracted equivalent amorphous thickness is added to the total amorphous layer thickness. If the implant table contains screen (cap) layer-dependent data, the total amorphous thickness is used as a parameter to select the implant moments as described in Screening (Cap) Layerdependent Moments on page 98. Otherwise, the profile reshaping model and the effective channeling suppression model are used. The integral over the preamorphizing damage assumes periodic boundary conditions for the structure in 2D. The PAI model can be switched on using: implant energy= dose= pai NOTE The switch is off by default. The model is switched on for the Taurus implant tables. CoImplant Model The fraction of the ions described by the second Pearson function is taken from implantation tables, which have been created for single ion implantation steps. This treatment is acceptable only for low-dose implantations, which create little crystal damage, but leads to a severe overestimation of the ion channeling in successive implantations with medium and high doses. Without a thermal annealing step in between several ion implantations, the crystal damage of the first implantations remains present and reduces the ion channeling of the subsequent implantations. The channeling tail is lowered. Besides the PAI model as previously mentioned, analytic implantation provides the CoImplant (CI) model, which also takes this effect into account. In contrast to the PAI model in which the implant moments are modified locally for each cutline during the integration, the CI model modifies the channeling ratio globally for each implant. The CI model is switched on using the command: pdbSetBoolean ImplantData UseCoImplant 1 122 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Analytic Implantation The CI model is switched on by default. NOTE The CI model is active only for Default implant tables, and does not affect any other implant tables. The CI model considers damage produced by analytic or MC implantation steps. The damage information is used in subsequent analytic implantation steps to estimate the channeling ratio. eq Using a least-square fit, an equivalent dose D is calculated. This dose is chosen as the dose that would give the same amount of damage in one implant step (using the present species and implant conditions) as the preexisting damage, that is: 2 (54) new from the total channeling dose and the eq ( damage [ D ( x ) ] – damage preexist ) dx = min This is used to calculate the channeling dose D c equivalent channeling dose, that is: new Dc total = Dc eq – Dc (55) Channeling Dose The channeling dose is calculated from the total dose using the differential channeling dose total technique (see Figure 30). D c is the channeling dose corresponding to an implantation of eq new D c + D c into undamaged silicon. Dcnew Dceq Deq Figure 30 Dnew Dose Channeling dose as a function of dose In Figure 30, due to the creation of damage during implantation, the number of channeling ions increases sublinearly with the total ion dose, and eventually saturates at very high total doses. eq The damage from previous implantations is set equivalent to a dose D . The dose of the new new additional implantation is shifted and, consequently, the gradient D c / D and, therefore, the dual Pearson ratio are reduced. Sentaurus™ Process User Guide H-2013.03 123 3: Ion Implantation Analytic Implantation eq total new Both D c and D c are stored in lookup tables. The channeling dose D c is used to calculate the new ratio for the dualpearson model (see Primary Distribution Functions on page 94). This simple model is very accurate for mixed species implantations and works best in cases of subsequent implantations with similar energies. The model is only available for the Default implant mode. The simplest way to calibrate the strength of de-channeling is given by using the effective damage factor that scales the calculated equivalent dose: pdbSetDouble ImplantData EffDamFac The default value is 1. Increased values lead to less channeling; lower values increase the channeling of the species specified. To calibrate the effective damage factor depending on parameters of the implantation, the following procedure must be overwritten: proc CI::coimp_dosesofar_calib { species energy dose tilt rotation } { switch $species { Boron { set cuc } default { set cuc 1.0 } }; # end of switch return $cuc } Sometimes, it will be necessary to reset the accumulated damage field internally used by the CI model. This can be achieved by using: CI::Reset To save and load the accumulated damage field, use: CI::Save CI::Load The loaded damage is added to existing accumulated damage. The accumulated damage produced by any implantation history can be checked with: CI::Get_Damage_pdb Accumulated_Damage This returns a list containing the vector describing the damage in the wafer on a logarithmic depth scale. A zero vector means no damage history is seen by any follow-up table implantation. For more details about the CI model, see [15]. 124 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Analytic Implantation To choose the PAI or CI model, use the global switch DamageControl: pdbSet ImplantData DamageControl {Default PAI CoImplant} The default value of DamageControl is Default in which the PAI model is automatically switched on when Taurus tables are used; whereas, the CI model is chosen when default tables are used. If DamageControl is set to PAI, the PAI model is active for all subsequent implantations regardless of which tables are used. If this switch is set to CoImplant, the CI model is used for all subsequent implantations (note that the CI model only supports default tables), and PAI is disabled even for Taurus tables. NOTE Both the PAI and CI models are designed to take into account the preexisting damage. However, the PAI model modifies the implant moments locally, while the CI model modifies the channeling ratio globally. Generally, for a complex structure, the PAI model is more accurate at the expense of longer computation time. NOTE The CI model was designed to work on the same structure among a sequence of implant steps. If the structure changes between implants, erroneous results could occur. NOTE To avoid double-counting the damage effect, when the PAI model is active, the CI model is disabled automatically. Profile Reshaping Traditionally, it is believed that the first peak of the implanted profile in monocrystalline silicon is due to random scattering and is described by the first Pearson distribution in the dual-Pearson analytic model. The second peak (or hump) of the implanted distribution is attributed to ion channeling and is described by the second Pearson distribution in the dual Pearson model. This approach works well for implantations with tilt angles above approximately 4° , where the position and width of the first Pearson distribution do not change as a function of the screen oxide thickness. However, for low tilt implantations (below 4° ), the position and width of the first Pearson distribution changes considerably (up to 50%) with the thickness of the screen oxide. Typically, for a low tilt implantation performed into bare silicon, the first Pearson distribution shifts deeper into the substrate and is much wider than for a similar high tilt implantation. As the screen oxide thickness increases, the projected range and the standard deviation of the first Pearson distribution relax to their respective values at high tilt angles due to reduced channeling. Physically, this means that, for a low tilt implantation, even the first peak contains a considerable number of channeled ions. To model this effect, it is necessary to reshape both Sentaurus™ Process User Guide H-2013.03 125 3: Ion Implantation Analytic Implantation Pearsons in the dual Pearson model. This profile reshaping complements the reduction in channeling fraction provided by the effective channeling suppression model. For ions with explicit dependency on the screen oxide thickness in the implant tables, this change in shape is addressed automatically. Otherwise, a shift is added to the projected range, the standard deviation, and the lateral standard deviation of both Pearson distributions. The shift is given by: ∆i = 1 – e –αi t ---------σ (56) ( MH i – ML i ) where: ■ ∆ i is the shift for moment i . ■ α i is the shift factor for moment i . ■ t is the cap layer thickness. ■ MH i is the value of moment i at high tilt value ( 7° ). ■ ML i is the value of moment i at a given low tilt angle. ■ For historical reasons, σ is the standard deviation of the first Pearson distribution. However, this normalization quantity can be switched to the projected range by using the command: pdbSet ImplantData ProfileReshaping.Rp 1 The shift factor parameters of the profile reshaping model can be set in the parameter database, that is: pdbSet pdbSet pdbSet pdbSet RangeFactor SigmaFactor ChannelingRangeFactor ChannelingSigmaFactor The value of RangeFactor is used when calculating the shift of the projected range; the value of SigmaFactor is used for both the standard deviation and the lateral standard deviation. Setting a shift factor to zero effectively switches off this model for the respective moments. Higher values of the shift factor lead to a faster transition from a low tilt profile to a high tilt profile, with increasing amorphous layer thickness. By default, the shift factors are zero in all materials except silicon. The profile reshaping model can be switched on using: implant [profile.reshaping] The model remains inactive for explicitly cap layer–dependent implant tables. 126 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Analytic Implantation NOTE This model is switched off by default and is switched on in the Taurus/ TSUPREM-4 mode. Ge-dependent Analytic Implantation SiGe material technology is used widely in stress engineering to improve device performance (such as mobility). In addition, the depth of the source/drain junctions in Si(1–x)Ge(x) can be remarkably reduced with an increase of the Ge content, which results from, not only the reduced boron diffusion for PMOS source/drain, but also the reduced projected range and channeling in as-implant itself. Since the average mass of the atomic nucleus of the target is heavier in SiGe than in pure silicon, a scattering angle from a nuclear collision is larger. In addition, SiGe has a larger electronic stopping power than silicon due to the higher electron density. Therefore, similar to the PAI model, the Ge effect on implantation can be modeled by using similar models for profile reshaping and effective channeling suppression. In this model, the equivalent germanium thickness is first extracted: 1 t Ge, eqv = -------------------------------------------- C Ge dx GeThreshold (57) where C Ge denotes the germanium concentration and GeThreshold is a normalization parameter that can be specified in the parameter database: pdbSet GeThreshold The following formulas are then used for the projected range reduction and the standard deviation shift: t Ge, eqv ∆R P, Ge = – Ge.RangePreFactor ⋅ R P, 0 ⋅ 1 – exp – Ge.RangeFactor ⋅ ---------------R P, 0 (58) t Ge, eqv ∆σ Ge = – Ge.SigmaPreFactor ⋅ σ Ge, 0 ⋅ 1 – exp – Ge.SigmaFactor ⋅ ---------------R P, 0 (59) where: Ge.RangePreFactor Ge.RangeFactor Ge.SigmaPreFactor Ge.SigmaFactor Sentaurus™ Process User Guide H-2013.03 127 3: Ion Implantation Analytic Implantation can be specified respectively in the parameter database as: pdbSet pdbSet pdbSet pdbSet Ge.RangePreFactor Ge.RangeFactor Ge.SigmaPreFactor Ge.SigmaFactor Similar formulas also exist for the channeling projected range and channeling standard deviation shifts with the parameter names: Ge.ChannelingRangePreFactor Ge.ChannelingRangeFactor Ge.ChannelingSigmaPreFactor Ge.ChannelingSigmaFactor Finally, the following formula is used for effective channeling suppression: Ge.Sup.Ratio Ge.Sup.Exponent (60) r Ge = r Ge, 0 ⋅ ----------------------------------------------------------------------t Ge, eqv Ge.Sup.Ratio + --------------R P, 0 where Ge.Sup.Ratio and Ge.Sup.Exponent can be specified in the parameter database: pdbSet Ge.Sup.Ratio pdbSet Ge.Sup.Exponent Analytic Molecular Implantation Sentaurus Process allows implanting arbitrary molecular species (such as BF2 and B10H14). The implantation can proceed with or without the implant tables for the molecular species. If implant tables are not available for the molecular species, an approximate calculation of the dopant distribution is performed based on the tables for primary dopant species. Therefore, the only requirement for molecular implantation is that the implant data tables are available for the primary dopant species (such as B, As, or P). The primary dopant species, for which the profile is calculated, is specified with the dataset parameter in the implant command: implant species= dataset= To switch on the damage calculation in silicon for the molecular implant, use: implant species= Silicon damage 128 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Analytic Implantation In a molecule, the implant energy is shared by several atoms according to: Mi E i = energy ⋅ ------------------wj Mj (61) j where E i is the energy of the i -th species, M i is the atomic mass, and w j is the statistical weight according to the stoichiometry of the molecule. The constituent and stoichiometry of the molecule are defined in the PDB. You can define new molecular species with pdb commands. For example, you can define carborane as follows: pdbSetString pdbSetDouble pdbSetString pdbSetDouble ImplantData ImplantData ImplantData ImplantData Carborane Carborane Carborane Carborane Atom0 Atom0 Atom1 Atom1 Name Boron StWeight 10 Name Hydrogen StWeight 14 Then to initialize the species, use the command: implant species=Carborane dataset=Boron After the above two steps are performed, you can use carborane like any other predefined implant species. For example, use the following command to perform analytic implantation for carborane: implant Carborane energy=10 dose=1e14 NOTE The dose specified for molecular implantation is the dose for the molecular species. In the above example, the implanted dose for –2 –2 14 15 carborane is 1 ×10 cm . Therefore, the boron dose is 1 ×10 cm , 15 –2 and the hydrogen dose is 1.4 ×10 cm . For convenience, Sentaurus Process predefines the following molecular species: BF2 (BF2), BCl2 (BCl2), B10H14 (B10H14), B18H22 (B18H22), C2B10H14 (C2B10H14), AsH2 (AsH2), and PH2 (PH2). Depending on whether the implant tables are supplied for the molecular species, analytic molecular implantation will proceed in two different ways: ■ With supplied implant tables ■ Without supplied implant tables Sentaurus™ Process User Guide H-2013.03 129 3: Ion Implantation Analytic Implantation Molecular Implantation with Supplied Implant Tables If the implant tables are available for the molecular species (for example, BF2), the implantation proceeds in the same way as the atomic species; in other words, the specified energy and dose are used to look up the moments in the implant tables. No scaling is applied to energy, dose, or the resulting profiles. The implant tables can be specified for a molecular species with the command: implant species= imp.table= dam.table= The implant data files should be placed in the current working directory or the full path to the file should be specified in imp.table. Molecular Implantation without Supplied Implant Tables If the implant tables are not available for the molecular species, Sentaurus Process performs an approximate calculation of the dopant distribution using the implant tables for the primary dopant species. The energy E i for the i -th species (which is assumed to be the primary dopant species) is calculated using Eq. 61. Assuming that there are N i dopant atoms in a molecule, the molecular implantation is equivalent to a single atomic species implantation with the energy and dose equal to E i and N i × dose (where dose is the molecular dose), respectively. E i and N i × dose are used for implant moments lookup. Then, the dopant distribution is calculated in the same way as atomic implant. BF2 Implant BF2 is a special molecular species in analytic implant because both boron and fluorine distributions are calculated in Sentaurus Process. By default, the fluorine profile is simply a boron profile multiplied by two. However, you can turn on the following switch to enable the separate calculation of fluorine distribution by using the fluorine implant tables: pdbSet ImplantData TS4FluorineMode 1 When TS4FluorineMode is true, the fluorine profile will be computed using the fluorine tables in the same way as in TSUPREM-4. 130 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Analytic Implantation Damage Calculation If damage tables are not supplied for the molecular species, the damage also can be calculated using the internal damage tables for the primary dopant species. The Boolean parameter FullDamage can be used to control the amount of damage for the molecular species: pdbSetBoolean ImplantData FullDamage If FullDamage is true, the calculated damage is multiplied by a scaling factor: wj Mj j S i = ------------------Mi (62) This damage scaling factor roughly takes into account the damage produced by all atomic species (including the primary dopant species) and is consistent with the damage calculation used in TSUPREM-4 for BF2 implantation. Performing 1D or 2D Analytic Implantation in 3D Mode Because analytic implantation performs lateral integration differently for one, two, and three dimensions, it may result in slightly different profiles from vertical 1D cuts, even though the same implant moments are used. In addition, for a 2D structure, the vertical 1D profiles also may be different depending on the beam direction on the simulation plane or not, in other words, depending on the rotation angles. To obtain the same results in one, two, or three dimensions, or with different rotation angles, Sentaurus Process provides an option to perform 1D or 2D implantation in 3D mode, in which case, a 1D or 2D structure will first be extruded into a pseudo-3D structure. In other words, only the surfaces and interfaces (not the bulk) will be extended in the y- or z-direction or both directions, with the boundary conditions being taken into account. In the case of PAI, damage integration is performed in a real 1D or 2D structure. Then, the lateral integration proceeds in exactly the same way as in a 3D analytic implantation. This ensures consistent results for 1D, 2D, and 3D implantation. To switch on this option, use either the Boolean parameter extrude in the implant command or the global pdb switch: pdbSet ImplantData Extrude 1 Sentaurus™ Process User Guide H-2013.03 131 3: Ion Implantation Analytic Implantation Implantation on (110)/(111) Wafers Using (100) Implant Tables The Sentaurus Process software distribution typically includes a large set of implant tables for Si(100) wafers, but it does not include any implant tables for Si(110) or Si(111) wafers. However, you sometimes need to perform process simulations on (110) or (111) wafers. Since the Si(100) implant moments cannot be used directly for Si(110) or Si(111) wafers, certain transformations of implant moments are required to use these tables for Si(110) or Si(111) wafers. Since SIMS depth profiles are measured along the wafer normal direction, the extracted implant moments also are obtained with respect to the wafer normal direction. On the other hand, analytic implantation is usually calculated by using the beam direction as its primary direction. If the beam direction is coincidental with the normal direction, the implant moments can be used directly without modification. However, for tilt implantations, the beam direction does not coincide with the wafer normal direction. In this case, implant moments to be applied to the primary beam direction must be scaled, or transformed, to reproduce the 1D profiles in the wafer normal direction. See Primary Direction and Scaling on page 115 for more details. Essentially, the projected range R p is scaled as follows: (63) R p′ = R p ⋅ s r where s r is the scaling factor, and the primary standard deviation σ p is scaled as follows: σp ′ = 2 2 2 2 sr ⋅ σp + ( 1 – sr ) ⋅ σl (64) To use Si(110) implant tables for Si(110) or Si(111) implantations, you must calculate the corresponding angles on the (100) wafers from the specified implantation angles on the (110) or (111) wafers. For typical implantations (for example, tilt= 7° ), these angles are very large. When you know the corresponding angle on the (100) wafer, you can use Eq. 63 and Eq. 64 to transform R p and σ p . Eq. 63 works by simple geometry consideration. Eq. 64 works reasonably well for small tilt implantations and, in theory, is accurate for isotropic amorphous material. However, due to ion channeling, Eq. 64 may not be good under all situations. 132 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Monte Carlo Implantation Therefore, the following options for σ p scaling are provided: ■ Case 0 (constant): σ p ′ = σ p ■ Case 1 (linear): σ p′ = σ p ⋅ s r ■ Case 2 (standard): σ p ′ = 2 2 2 2 sr ⋅ σp + ( 1 – sr ) ⋅ σl The default σ p scaling is the same as the R p scaling (linear scaling). These cases can be selected by using the command: pdbSet ImplantData StdevScalingMode {0 | 1 | 2} Monte Carlo Implantation Running Sentaurus MC or Crystal-TRIM Sentaurus Process is capable of the atomistic simulation of ion implantation using either the Monte Carlo (MC) simulator Sentaurus MC, which is an improved multithreaded version of Taurus MC [7], or Crystal-TRIM [8], which originated from the Transport of Ions in Matter (TRIM) code [2]. MC implantation simulates ion implantation into single-crystalline materials or into amorphous materials of arbitrary composition. In Sentaurus Process, to select MC implantation at the command line, use: implant [crystaltrim | sentaurus.mc] Alternatively, to select MC implantation as the default implantation model, use a global switch: pdbSet ImplantData MonteCarlo 1 pdbSet MCImplant model [crystaltrim | sentaurus.mc] When MonteCarlo is set to 1, Sentaurus Process performs all the implantations using one of the selected MC models (crystaltrim or sentaurus.mc). NOTE For simplicity, you could use the alias tmc instead of sentaurus.mc or ctrim instead of crystaltrim. For example, you may initiate Sentaurus MC implant with the following command: implant energy= tmc Fundamental implantation parameters, such as the implantation energy and dose, and the orientation of the ion beam with respect to the substrate must be specified using energy, dose, tilt, and rotation in the same way as for analytic implantation. Sentaurus™ Process User Guide H-2013.03 133 3: Ion Implantation Monte Carlo Implantation To run MC implantation in a full-cascade mode or improved BCA (iBCA) damage model, use the cascades or iBCA switch: implant [ctrim | tmc] [cascades | iBCA] or using a global switch: pdbSet MCImplant cascades 1 pdbSet MCImplant iBCA 1 In the KMC mode, to specify the dose rate of the implantation, use the dose.rate parameter: implant [ctrim | tmc] [cascades | iBCA] [dose.rate=] If dose.rate is specified, it is assumed to be a uniform dose rate in units of cm–2/s. If it is not specified, a Tcl procedure will be called: proc DoseRate {dose} { ... } which returns an implantation time as a function of implantation dose. By default, it is a uniform dose rate; that is, DoseRate is a linear function of dose. However, you can specify any monotonic function to take into account the particular implantation equipment setup or scanning patterns. During the implantation, pseudoparticles representing a part of the whole dose are started from the start surface, which is constructed above the target, parallel to the wafer surface. For 2D and 3D target geometries, the start surface is subdivided into segments of equal size for which the required implantation dose is accumulated. The size of these segments can be controlled by setting: pdbSet MCImplant Intervals dy pdbSet MCImplant Intervals dz For 1D structures, no subdivision is performed. The number of pseudoparticles that will be started per segment can be set in the parameter database or at the command line: pdbSet MCImplant Particles 134 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Monte Carlo Implantation or: implant [crystaltrim | sentaurus.mc] [particles=] The default value is 1000. Increasing this number leads to better accuracy and an increase in simulation time. Together with the sizes of the segments, this parameter determines the statistical weight of each pseudoparticle. Launching particles are assumed to be traveling along the direction as specified by the tilt and rotation angles. However, there is usually a small angular divergence of the ion beam so that the particles form a right circular cone in which particles are assumed to be uniformly distributed. To specify BeamDivergence angle (the angle between the cone axis and the cone surface), use: pdbSet MCImplant BeamDivergence Parameters controlling the electronic and nuclear stopping as well as the damage accumulation are available in the parameter database (see Parameter Database on page 55). You can set these parameters in there. If the information level is set to 1 or above, a progress report similar to the following will be shown during the progress of implant: implanted orig equiv active repl traject CPU time particles traject classes segm OK fail step total ............................................................................. 1300( 5%) 84 4 26 1216 6 0.22 0.22 2600( 10%) 170 4 26 2430 9 0.21 0.43 3900( 15%) 262 4 26 3638 16 0.21 0.64 5200( 20%) 349 4 26 4851 24 0.30 0.94 6500( 25%) 437 4 26 6063 29 0.47 1.41 7800( 30%) 523 4 26 7277 33 0.28 1.69 ...... ...... ...... 22100( 85%) 1894 4 5 20206 131 3.15 7.27 23400( 90%) 2875 4 5 20525 272 5.20 12.47 24700( 95%) 3837 4 5 20863 394 4.75 17.22 24960( 96%) 4029 4 5 20931 419 0.99 18.21 25220( 97%) 4231 4 5 20989 453 1.13 19.34 25480( 98%) 4422 4 5 21058 472 0.90 20.24 25740( 99%) 4628 4 1 21112 483 0.93 21.17 26000(100%) 4888 4 0 21112 483 0.45 21.62 ............................................................................. Pseudo particles: implanted : 26000 lost : 0 (0%) Trajectories : 4888 Equivalence classes: 4 Sentaurus™ Process User Guide H-2013.03 135 3: Ion Implantation Monte Carlo Implantation where: ■ implanted particles: The total number of pseudoparticles implanted, which is, at the end of the simulation, equal to the product of the specified number of particles and the total number of segments of the implant surface. This number includes both the calculated number of particles and the successfully replicated number of particles. The percentage of already finished particles is also indicated in parentheses. NOTE ■ In a multithreaded implant, the thread ID is also shown before the percentage. For example, 6500 (2: 25%) means that thread #2 has implanted 6500 particles and finished 25%. orig traject: The original number of trajectories that are based on the physical calculations. ■ equiv classes: The number of equivalent classes in the current structure as detected by probing ions. For more details on equivalent classes and probing ions, see Trajectory Replication on page 178. ■ active segm: The number of currently active segments of the implant surface. At the beginning of the implant, this number is equal to the total number of start segments. This number should decrease as the implant progresses. At the end of the implantation, the number becomes zero as all segments have the required implant dose and become deactivated. ■ ■ repl traject (OK and fail): The number of replicated trajectories. The number of successfully replicated trajectories is shown in the OK column; whereas, the number of unsuccessful trajectories is shown in the fail column. CPU time (step and total): This CPU time includes the time spent for the current step and the total CPU time for the current implant. For 2D structures, the progress of an ion implantation step can be graphically viewed using the switch ion.movie, for example: implant [ctrim | tmc] [ion.movie] Structure of Target Material MC implantation simulates the motion of energetic particles in amorphous materials and single-crystalline materials. Composition For each material, the composition is set in the parameter database. The composition can be found in the -> Composition entry. For each -component of the material, 136 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Monte Carlo Implantation the entry Component gives the name and the stoichiometric weight, for example, for GaAs: GaAs -> Composition -> Component0 -> Name = Gallium GaAs -> Composition -> Component0 -> StWeight = 1 GaAs -> Composition -> Component1 -> Name = Arsenic GaAs -> Composition -> Component1 -> StWeight = 1 or for silicon nitride: Nitride -> Composition -> Component0 -> Name = Silicon Nitride -> Composition -> Component0 -> StWeight = 3 Nitride -> Composition -> Component1 -> Name = Nitrogen Nitride -> Composition -> Component1 -> StWeight = 4 The composition for both single-crystalline and amorphous materials is set this way. Single-Crystalline Materials Lattice Structure In the case of a single-crystalline material, the positions of target atoms are calculated based on the lattice type. Crystal-TRIM supports zinc-blende (Zincblende) lattice only, while Sentaurus MC supports several lattice types that include simple cubic (Sc), body-center cubic (Bcc), face-center cubic (Fcc), zinc-blende (Zincblende), and hexagonal (Hexagonal) lattices. To set the lattice type, use: pdbSet LatticeType [Sc | Bcc | Fcc | Zincblende | Hexagonal] Lattice Constants To change the lattice constant defined in the parameter database, use: pdbSet LatticeConstant For all lattice types, Sentaurus MC defines different lattice constants for three different axes. To define the other two lattice constants, use: pdbSet LatticeConstant_b pdbSet LatticeConstant_c If LatticeConstant_b and LatticeConstant_c are not defined, LatticeConstant is used for all three axes. For a hexagonal lattice, LatticeConstant_b should be equal to LatticeConstant. Sentaurus™ Process User Guide H-2013.03 137 3: Ion Implantation Monte Carlo Implantation Polytypes For hexagonal systems, there may exist many different crystal structures due to the different stacking sequence along the c -axis, which is perpendicular to the plane formed by three a axes ( a1 , a2 , and a3 ). This is called polytypism. Four different polytypes are supported in Sentaurus MC, and you can select them using the following command: pdbSet Polytype {2H 3C 4H 6H} The default polytype for silicon carbide (SiC) is 4H. For more details, see MC Implantation into Silicon Carbide on page 165. Atomic Basis The crystal structure consists of an atomic basis attached to the lattice points. A basis can be a single atom or a group of atoms attached to each lattice point. In Sentaurus MC, for simple crystals (such as a single-atom basis with simple cubic, face-centered cubic, or body-centered cubic lattice and binary compounds with zinc-blende and hexagonal lattice), the undisturbed positions of the lattice sites are constructed automatically using the information of the lattice type, the polytype (if hexagonal lattice), and the lattice constants. For more complex crystal structures, the positions of basis atoms should be specified with the pdb parameter BasisVector. The units of basis vectors are lattice constants in three crystallographic axes. For example, for zinc-blende silicon, the positions of two basis silicon atoms can be specified as follows: Silicon -> Composition -> Component0 -> Name = Silicon Silicon -> Composition -> Component0 -> StWeight = 1 Silicon -> Composition -> Component0 -> BasisVector = {0 0 0 0.25 0.25 0.25} For another example, NaCl has a face-centered cubic (Fcc) lattice with an atomic basis of two atoms. The positions of Na and Cl can be specified as follows: NaCl -> Composition -> Component0 -> Name = Sodium NaCl -> Composition -> Component0 -> StWeight = 1 NaCl -> Composition -> Component0 -> BasisVector = {0 0 0} NaCl -> Composition -> Component1 -> Name = Chloride NaCl -> Composition -> Component1 -> StWeight = 1 NaCl -> Composition -> Component1 -> BasisVector = {0.5 0.5 0.5} In Crystal-TRIM, the positions of lattice sites of the basic cell are set in the parameter database in MCImplant -> Lattice -> Zincblende -> Cell0 in the natural coordinate system of crystal. The unit is one-half of the lattice constant. The undisturbed positions of all lattice sites of an ideal zinc blende–type crystal can be obtained from the basic cell by shifting the atomic positions in the directions of the crystallographic axes. Therefore, for any given position of the projectile, only the immediate crystalline environment is generated and rebuilt every time the projectile moves out of the current crystalline cell. 138 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Monte Carlo Implantation The entry MCImplant -> Lattice -> Zincblende -> Cell1 is a complementary basic cell and gives the configuration that is obtained by shifting Cell0 by one-half of the lattice constant. Thermal Vibrations The thermal vibrations of the target atoms are important for the treatment of the motion of a projectile in single-crystalline material. In MC implantation, only instantaneous thermal displacements of target atoms from their ideal lattice sites are considered. The displacements are assumed to obey a 3D Gaussian distribution with a root-mean-square obtained by the Debye model. The Debye temperature is set in the parameter database and can be changed with: pdbSet DebyeTemperature The default Debye temperature is 519 K for silicon. The substrate temperature for the Debye model can be set by: pdbSetDouble MCImplant Temperature The default substrate temperature is 300 K. Amorphous Materials The structure of an amorphous material is described in a simplified manner by assuming an average interatomic distance in the target material. Variable Mass Density It is possible to use a dataset MassDensity as the mass density of an amorphous material: pdbSetBoolean VariableMassDensity 1 Using molar fractions (see Molar Fractions on page 140) is disabled in these materials. Polycrystalline Materials A polycrystalline material is characterized by its crystal orientation and grain size. Crystal orientation (one of 100, 110, and 111) can be specified by using a material-specific command: pdbSet CrystalOrient Sentaurus™ Process User Guide H-2013.03 139 3: Ion Implantation Monte Carlo Implantation There are two different ways to change the crystallinity (Amorphous, Crystalline, and Polycrystalline) of a material. If parameter Crystallinity is available (which is true for polysilicon) in the PDB, use this switch to set the crystallinity, for example: pdbSet PolySilicon Crystallinity Polycrystalline This command makes MC implantation models consider both crystal orientation and grain size for polysilicon. If Crystallinity does not exist for a material, use parameters Amorphous and Granular: pdbSet Amorphous 0 pdbSet PolySilicon Granular 1 The first command switches off the amorphous treatment, and the second command makes MC implantation models consider the grain size. For more details on ion implantation into polysilicon, see MC Implantation into Polysilicon on page 162. Molar Fractions It is possible to define a compound material with a spatially-dependent molar fraction. For example, for single-crystalline silicon, the following PDB entry: array set $Base {BinaryCompounds {String { { SiliconGermanium GeTotal "GeTotal/[pdbGetDouble Si LatticeDensity]" } }}} specifies a binary compound Si1–xGex with the mole fraction of Ge calculated from the germanium concentration (GeTotal) divided by the silicon lattice density. Due to more computational demands, a minimum Ge concentration is required to trigger MC implantation models to treat this material in a more sophisticated way. To specify this minimum concentration, use the command: pdbSet Silicon SiliconGermanium.MCmin 1e20 If the concentration of Ge in any of the mesh elements of silicon regions exceeds 20 –3 1 × 10 cm , MC models treat silicon as a compound material. In this case, the average charge and mass of the material is calculated individually for each mesh element. The lattice constant, the nonlocal electron stopping power, and the Debye temperature are linearly interpolated based on the mole fractions. For more details, see MC Implantation into Compound Materials with Molar Fractions on page 163. 140 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Monte Carlo Implantation Sentaurus MC Physical Models The Sentaurus MC implantation model, which is an improved, multithreaded version of Taurus MC, was designed to be generally accurate and predictive with minimum user calibrations for most implant conditions. It has been calibrated from sub-keV to above 10 MeV, and for different implant conditions including random implant direction, <100>, <111>, and <110> channeling directions, with the same set of parameters for boron, phosphorus, and arsenic implants [7]. It also is accurate for other implant species such as BF2, F, Al, Ge, In, and Sb [7][16]. For a detailed discussion of the physical models in Sentaurus MC and an extensive comparison with experimental SIMS profiles from sub-keV to above 10 MeV and with other MC simulators, refer to the literature [7][16]. This section briefly outlines the pertinent theory and models. The calculation used in the Sentaurus MC model assumes that ions lose energy through two processes: ■ ■ Nuclear scattering, where the nucleus of the ion elastically scatters off the nucleus of an atom in the target. This interaction is based on the binary collision theory and is described in the following section. Interaction of the ion with the electrons of the target atoms. This mechanism is inelastic and does not alter the direction of the motion of the ion. Therefore, the total change in energy of the ion after the i -th collision is the sum of the nuclear energy loss ∆E n and the electronic energy loss ∆E e : E i = E i – 1 – ∆E n – ∆E e (65) Binary Collision Theory Sentaurus MC implantation models the energy loss of nuclear collision according to the classical binary scattering theory. The basic assumption of the mechanism for the energy loss of nuclear collision is that the ion interacts with only one target atom at a time. This assumption enables the use of the binary scattering theory from classical mechanics [17]. Consider a particle of mass M 1 and kinetic energy E 0 approaching a stationary particle with mass M 2 . The impact parameter, b , is the distance of closest approach if the particle is not deflected and gives a convenient measure of how close the collision is. After collision, the first particle deviates from its original course by an angle θ . Sentaurus™ Process User Guide H-2013.03 141 3: Ion Implantation Monte Carlo Implantation Energy Loss It can be shown that the first particle loses kinetic energy: ∆E n 4M 1 M 2 2 ---------- = ----------------------------cos ( bI ) 2 E0 ( M1 + M2 ) (66) where: ■ ∆E n is the energy lost by particle 1. ■ E 0 is its energy before collision. ■ I is the integral. I = s max 0 ds ----------------------------------------V( s) 2 2 1 – ----------- – b s Er (67) where s = 1 ⁄ r is the inverse separation between the two particles. V ( s ) is the potential between the two particles (assumed to be repulsive), and: E0 E r = ---------------------------1 + M1 ⁄ M2 (68) is the reduced energy in the center of mass coordinates. The upper limit of the integral, s max , is the inverse distance of closest approach of the two particles and is given by the solution to the equation: V ( s max ) 2 2 1 – ------------------- – b s max = 0 Er (69) Scattering Angle The angle θ by which particle 1 is deflected is given by: M2 1 – 0.5 1 + ------- ∆E n ⁄ E 0 M1 cosθ = -----------------------------------------------------------1 – ∆E n ⁄ E 0 NOTE 142 (70) For ∆E n ⁄ E 0 « 1 , θ approaches zero. Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Monte Carlo Implantation Dimensionless Form Eq. 66 to Eq. 70 are the basic equations for classical two-body scattering. The scattering integral, Eq. 67, can be cast into a dimensionless form by assuming the potential has the form: V ( s ) = Z 1 Z 2 k 1 sg ( a u s ) (71) where: ■ Z 1 is the charge on particle 1. ■ Z 2 is the charge on particle 2. ■ k 1 is the constant. 2 –7 q k 1 = ------------ = 14.39495 × 10 keVµm 4πε 0 (72) g ( a u s ) is an arbitrary function of a u s , to be defined later, and a u is a unit of length. Taurus MC uses the so-called universal screening length [18]: –4 0.529 -µm a u = 0.8854 × 10 --------------------------------0.23 0.23 ( Z1 + Z2 ) (73) and a dimensionless impact parameter: bn = b ⁄ au (74) au Er ε = ----------------Z1 Z2 k1 (75) and a dimensionless energy: Using Eq. 71, Eq. 74, and Eq. 75 in the scattering integral Eq. 67 and making the substitution s′ = a u s gives: 1 I = ----au s′ max 0 ds′ ------------------------------------------------------2 2 1 – s′g ( s′ ) ⁄ ε – b n s′ (76) 2 From Eq. 66, the quantity of interest is cos ( bI ) , which becomes: 2 2 cos ( bI ) = cos b n s′ max 0 ds′ ------------------------------------------------------2 2 1 – s′g ( s′ ) ⁄ ε – b n s′ (77) 2 Therefore, using Eq. 77, cos ( bl ) can be evaluated in terms of the dimensionless variables b n and ε , without reference to the charge or mass of a particular particle. Sentaurus™ Process User Guide H-2013.03 143 3: Ion Implantation Monte Carlo Implantation Coulomb Potential As an example of the above procedure, consider the Coulomb potential between two particles: Z1 Z2 k1 V ( r ) = ----------------r (78) V ( s ) = Z1 Z2 k1 s (79) or: In this case, g ( a u s ) = 1 . Then, from Eq. 77: 2 2 cos ( bI ) = cos b n s′ max 0 ds′ -----------------------------------------2 2 1 – s′ ⁄ ε – b n s′ (80) with: 2 2 1 + 4b n ε – 1 s′ max = ----------------------------------------2 2εb n (81) from a solution of Eq. 69. Then, the integral can be evaluated exactly, giving: 2 1 cos ( bI ) = ----------------------2 2 1 + 4b n ε (82) For a given impact parameter b and incident energy E 0 , the dimensionless b n and ε can be 2 obtained from Eq. 74 and Eq. 75, giving cos ( bI ) from Eq. 82. Then, the energy loss due to the collision is given by Eq. 66, and the angle at which particle 1 leaves the collision is given by Eq. 70. Universal Potential For the simple form of the Coulomb potential used in the previous example, the scattering integral can be solved analytically. For more realistic interatomic potentials, however, the scattering integral cannot be evaluated analytically. 144 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Monte Carlo Implantation For example, the universal potential [18] that is used in Sentaurus MC is: Z1 Z2 k – 3.1998r ⁄ a u – 0.94229r ⁄ a u + 0.50986e V ( r ) = --------------- 0.18175e r + 0.28022e – 0.4029r ⁄ a u + 0.028171e (83) – 0.20162r ⁄ a u An analytic solution does not exist since the upper limit of the integral in Eq. 67 is given by Eq. 69, which becomes a transcendental equation with this potential. In Taurus MC, the 2 quantity cos ( bI ) , in its dimensionless form (Eq. 77), is numerically integrated for a wide range of its parameters b n and ε . These results are stored in tables. Then, at each collision, 2 cos ( bI ) is obtained from these tables. This scheme eliminates the need to find s max for each collision, minimizing the amount of arithmetic operations performed during the calculation of the trajectory of an ion, while retaining accuracy. Tables for the universal potential over a wide range of energies and impact parameters are provided for immediate use in Taurus MC. These tables span the normalized energy range of –5 10 ≤ ε ≤ 100 and the normalized impact parameter range 0 ≤ b n ≤ 30 . For ε > 100 , the –5 Coulombic form (Eq. 83) is used. Values of ε < 10 are not encountered for ion–atom combinations of interest at energies above the energy at which the ion is assumed to have stopped (5 eV). For values of b n > 30 , the ion is assumed to be undeflected. Implantation into Amorphous Materials This section describes how the binary scattering theory of the previous section is used to calculate ion trajectories in an amorphous solid. Assume an ion with kinetic energy E 0 hits a target with an angle θ 0 with respect to the target normal. The surface of the target is assumed to be at y = 0 , with y increasing vertically into the target. To set the incident energy E 0 in the implant command, use the Energy parameter. To specify the incident angle θ 0 in the implant command, use the tilt parameter. Given the atomic density N dens for the target material, the mean atomic separation between 1⁄3 atoms in the target is 1 ⁄ ( N dens ) . Between scattering events, the ion is assumed to travel a distance: L = 1 ⁄ ( N dens ) 1⁄3 (84) As the ion enters the target material, it approaches the first target atom with impact parameter b , defined in the previous section. The probability of finding a target atom between b and b + δb is given by: 2⁄3 w ( b )δb = 2πN dens b δb (85) 2⁄3 for b < 1 ⁄ πN dens . Sentaurus™ Process User Guide H-2013.03 145 3: Ion Implantation Monte Carlo Implantation If R rand is a uniformly distributed random number between 0 and 1, the probability distribution gives: b = R rand --------------2⁄3 πN dens (86) Given the above definitions, the algorithm for calculating the energy loss through nuclear collisions experienced by the ion proceeds as follows: ■ A random number between 0 and 1 is chosen. ■ The normalized impact parameter for this collision is calculated from Eq. 74 and Eq. 86: 1 R rand b = ----- --------------a u πN 2 ⁄ 3 dens ■ (87) The ion energy, E 0 , is normalized to: au E0 ε = --------------------------------------------------( 1 + M 1 ⁄ M 2 )Z 1 Z 2 k 1 (88) from Eq. 68, p. 142 and Eq. 75, p. 143. 2 ■ Now, the value of cos ( bI ) can be obtained from the tables, and Eq. 66, p. 142 gives the energy loss due to nuclear scattering: 4M 1 M 2 2 ∆E 0 = nucl.cor ⋅ E 0 ----------------------------cos ( bI ) 2 ( M1 + M2 ) (89) where nucl.cor is an empirical nuclear-scattering correction factor with a default value of 1.0, which can be changed in the parameter database by using: pdbSetDouble nucl.cor This procedure is repeated for each collision event. Implantation into Crystalline Materials The binary collision calculation for crystalline materials proceeds in the same way as in the amorphous case, except that the selection of the collision partners of the projectile with target atoms is conducted in a more sophisticated manner. Instead of using the density of the target material and a random number, Sentaurus MC determines the collision partners based on the position of the projectile relative to the sites on an idealized lattice. The algorithm for selecting the collision partners is based on 146 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Monte Carlo Implantation MARLOWE [19]. Sentaurus MC implantation uses a sophisticated multibody collision algorithm to simulate the collisions of well-channeled particles, as shown in Figure 31. Lattice Atoms 1 3 d.sim Incident Particle 2 Figure 31 Illustration of “simultaneous” collision, and definition of the d.sim parameter If the distance of two or more projected target atoms to the undeflected trajectory of the incident particle is less than d.sim (simultaneous collision distance), then the multibody collision algorithm is invoked. For example, in Figure 31, 1 and 2 are considered to be simultaneous collisions, but 3 is not. To change the default value of d.sim, use the command: pdbSetDouble d.sim The scattering events are computed for each target individually, and the final moment and energy of the incident particle are computed by applying momentum and energy conservation principles. The simultaneous collisions are handled in the same way as for MARLOWE, except for the location of the turning point. In MARLOWE, the turning point is assumed to be the average of those of the simultaneous collision partners. In Sentaurus MC, the turning point is placed at a point determined by the collision with the minimum impact parameter. Simulations have indicated that such a scheme obtains better results for ultralow energy implantations, while it has little impact on implantation energies above 5 keV. This allows Sentaurus MC to treat the entire implantation energy range, including ultralow energy and very high energy, with the same model, in exactly the same way. Ion channeling, which is the preferential penetration of implanted ions along crystal axes or planes, occurs naturally due to the inclusion of the crystal structure of the lattice. Both axial and planar channeling show enhanced penetrations. The effect of the tilt and rotation parameters is much more pronounced for implants into crystalline silicon than into amorphous silicon. Sentaurus™ Process User Guide H-2013.03 147 3: Ion Implantation Monte Carlo Implantation Electronic Stopping Model A moving ion loses energy by inelastic electronic processes, which include both nonlocal and local stopping power. Sentaurus MC uses the same electronic stopping model for both amorphous and crystalline materials. For each collision, the energy loss due to electronic stopping is: nl nl ∆E e = x ⋅ ∆E e + x nl x +x x nl loc loc ⋅ ∆E loc (90) (91) = 1 (92) = min ( nloc.pre ⋅ ε nloc.exp, 1 ) where ε is the scaled dimensionless energy. nloc.pre and nloc.exp are specified in the material parameter database and can be changed by using: pdbSet nloc.pre pdbSet nloc.exp Nonlocal Electronic Stopping Nonlocal electronic stopping acts as the dragging (frictive) force on moving ions, which is proportional to the ion velocity and is independent of the impact parameter: nl ∆Ee (93) = L ⋅ N dens ⋅ S e –1 (94) S e = LSS.pre ⋅ S es ⋅ E m ⋅ f es where L is the free flight path between collisions and E m is the ion energy at the stopping power maximum. The quantities S es and f es are given by [7][20]: 7⁄6 1.212 Z 1 Z 2 S es = ------------------------------------------------------3⁄2 2⁄3 Z1 f es = 148 + 2⁄3 Z2 E0 ⁄ Em --------------------------------------------------------------------ln ( E 0 ⁄ E m + E m ⁄ E 0 + e – 2 ) (95) 1⁄2 M1 δ⁄2 Em + ------E0 δ⁄2 1⁄δ (96) Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Monte Carlo Implantation where: ■ Z 1 is the ion atomic number. ■ Z 2 is the composite target atomic number. ■ e is the base of natural logarithm. ■ δ = 1.425 is a fitting parameter. LSS.pre is specified in the material parameter database; to change it, use: pdbSet LSS.pre Local Electronic Stopping Local electronic loss is a result of the electron exchange between the moving ion and the target atom, which is based on the Oen–Robinson model [21] and is dependent on the impact parameter: Se b ∆E eloc = -----------2- ⋅ exp – --a 2πa (97) au a = f ⋅ ------0.3 (98) 1.45 f = scr.par ⋅ ------------2⁄5 Z1 (99) where scr.par is an adjustable screening length parameter that you can change by using: pdbSet scr.par Damage Accumulation and Dynamic Annealing As the ions travel through a crystalline target, they collide with the target atoms and displace many of them from their lattice sites. In the binary collision approximation (BCA) code, it is assumed that, if the transferred energy exceeds a certain threshold, the target atom is displaced and, at this lattice site, a vacancy is generated. When the displaced atom comes to rest, it is identified as an interstitial. This defect production rate can be evaluated either by the modified Kinchin–Pease formula [22] or by simulating the full cascade. Sentaurus MC provides both types of damage calculation. Sentaurus™ Process User Guide H-2013.03 149 3: Ion Implantation Monte Carlo Implantation Damage Accumulation Models The default damage model calculates the deposit energy E D ( x ) for each collision, which is then converted to the number of point defects (Frenkel pairs) using the modified Kinchin– Pease formula [22]: n ( x ) = κ ( E D ⁄ ( 2 ⋅ disp.thr ) ) (100) where κ = 0.8 and disp.thr = 15 eV for silicon by default. If the cascades switch is specified in the implant command, Sentaurus MC traces all of the generated secondary recoils. After each collision, a calculation is performed to determine the trajectories of the silicon lattice atoms that are knocked from their sites in the lattice by collisions with implanted ions. A silicon atom is assumed to be knocked from its site when it absorbs an energy greater than a damage threshold casc.dis from a collision. The silicon atoms freed from the lattice can, in turn, knock other atoms from their sites so that cascades of damage result. Sentaurus MC calculates the trajectories of these knock-ions with the same detail as the implanted ions. A vacancy is assumed to have formed whenever a lattice atom is knocked from its site. An interstitial is assumed to have formed whenever a silicon lattice atom that has been knocked from its site comes to rest. This damage model can be used to calculate the different profiles of interstitials and vacancies, that is, I–V separations. disp.thr and casc.dis are specified in the material PDB and you can change them using: pdbSet disp.thr pdbSet casc.dis Dynamic Annealing Not all of the defects as calculated above will survive; some of the generated defects will recombine within the cascade as well as with the preexisting defects. To achieve computational efficiency, Sentaurus MC uses a statistical approach to account for the I–V recombination in both intracascades and intercascades. The encounter probability of the projectile with interstitials also is accounted for statistically. The net increase of the defects in a local region with defect concentration C ( x ) is: sat.par ⋅ C ( x ) ∆n ( x ) = surv.rat ⋅ n ( x ) ⋅ 1 – -------------------------------------N dens (101) In the cascade damage model, surv.rat and sat.par are replaced with casc.sur and casc.sat. To conserve particle numbers, interstitials and vacancies are recombined in pairs, and the model distinguishes between recoiled interstitials and recoiled lattice atoms. When an interstitial is recoiled, the local interstitial number decreases by one and no vacancy is produced. On the other hand, when a lattice atom is recoiled, a vacancy is created. However, defect recombination must be considered. 150 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Monte Carlo Implantation The intracascade recombination is accounted for by a factor casc.sur, while intercascade recombination is accounted for by a probability 1 – ( N I ⁄ N dens ) , which describes that the vacancy is not located within the capture radius of an interstitial. When a recoil comes to rest, it is only allowed to recombine with vacancies from previous cascades, which is described by a factor 1 – ( N v ⁄ N dens ) , but not with those of the same cascade since this recombination has already been accounted for by vacancy intracascade recombination in the previous step. surv.rat and casc.sur are specified in the material PDB and you can change them using: pdbSet surv.rat pdbSet casc.sur For light implant species, damage could saturate at certain concentrations due to the balance between defect production and dynamic annealing. Damage saturation is controlled by the parameters sat.par and casc.sat for the default damage model and cascade damage model, respectively. The default value is 1 for all implant species. Therefore, with the default parameter, the maximum damage is equal to the lattice density. If, for example, sat.par is set to 4.35, damage saturates at 23% of the lattice density and cannot exceed the amorphization threshold (1.15e22 cm–3 by default). Therefore, the crystal will never be amorphized in this case. To change these parameters, use: pdbSetDouble sat.par pdbSetDouble casc.sat NOTE For heavy species, a single cascade may amorphize the crystal. Therefore, the intracascade parameter sat.par may not prevent the amorphization even if it is set to a very large value. Damage De-Channeling The accumulated damage has a significant effect on the destination of the subsequent ions, thereby altering the shape of the impurity profiles. This effect is known as damage dechanneling. Sentaurus MC handles this problem by switching from the crystalline model to the amorphous model based on the damage that has accumulated in the substrate. If the local defect concentration C ( x ) is greater than the amorphization threshold, this local region is assumed to be amorphized, and the amorphous collision model is used for this local region. For the local regions with defect concentrations below the amorphization threshold, the probability of selecting the amorphous model is proportional to the local defect concentration C ( x ) and a random number call. The amorphous collision model is selected when: C( x ) R rand < amor.par ⋅ -----------N dens Sentaurus™ Process User Guide H-2013.03 (102) 151 3: Ion Implantation Monte Carlo Implantation Increasing amor.par makes the profiles more like those implants into amorphous materials. For the cascade damage model, amor.par is replaced with casc.amo. The parameters amor.par and casc.amo are specified in the material PDB, and you can change them using: pdbSet amor.par pdbSet casc.amo NOTE For low energy implants, due to very shallow projected ranges, the mesh near the surface should be refined to account fully for the damage dechanneling effect. NOTE The amorphization process is not explicitly simulated by Sentaurus MC. However, for the MC model, by common practice, when a critical amount of damage is accumulated in a certain region, a crystal/ amorphous phase transition is assumed to occur in this region. For a silicon target, this critical amorphization threshold is approximately 25% of the lattice density. Therefore, if the defect concentration reaches 22 –3 more than 1.15 × 10 cm for silicon, this region is considered to be amorphized. Using this criterion, Sentaurus MC predicts the onset of amorphization and the thicknesses of the amorphous layers for highdose implantations. Improved Binary Collision Approximation Damage Model During implantation, energetic ions penetrate into the target and lose their energy through collisions with atoms and electrons. It is traditionally assumed that only energy deposited in the form of nuclear collisions contributes to damage generation; whereas, energy transfers to the electronic system are taken as inelastic losses. While energetic atoms are in the ballistic regime (that is, they have energies well above the displacement threshold casc.dis), they can be well simulated using binary collision approximation (BCA) algorithms. However, as their energy decreases to the thermal regime (around and below the displacement threshold), multiple interactions with target atoms become important. Molecular dynamics (MD) simulations demonstrate that energy transfers among atoms at this low-energy regime can generate amorphous pockets, thereby generating more damage than BCA models. The improved BCA (iBCA) damage model is an attempt to simulate MD simulation results within the framework of BCA. The iBCA damage model implemented in Sentaurus MC implant is largely based on an the published article [23] (for the detailed physical basis of the model, refer to this article). This section briefly describes the model, its usage, and the parameters that are accessible to users. 152 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Monte Carlo Implantation The procedure followed in the iBCA model is: 1. The collision phase of the cascade is simulated with the conventional BCA model. 2. The BCA simulation provides the position of Frenkel pairs generated during the cascade, the remaining energy of the recoils at the end of their trajectories, and the position and energy of all the atoms that receive any amount of energy above the minimum energy (MinHotEnergy). These particles are called hot particles. 3. At the end of the collision phase, there is a set of vacancies, interstitials, and hot particles. Within BCA, the energy conservation principle applied to elastic binary collisions implies that the energy of the incident particle must be equal to its energy after the collision plus the recoil energy plus the energy required to take the recoil away from its lattice site. A moving atom stops when its energy is insufficient to generate more subcascades. However, the remaining energy of the generated interstitial at the end of its trajectory can still contribute to generate more damage if low-energy interactions were modeled. To consider this effect within the iBCA model, the residual energy of each generated interstitial is equally shared with its neighboring atoms. Ballistic collision only considers the impinging atom and the closest target atom (two-body interactions); however, as energy decreases, collisions with several target atoms occur more often, and groups of energetic atoms are created as the cascade develops (many body interactions). After this energy rearrangement, you evaluate which atoms are disordered taking into account their efficiencies: ρ – ET eff = --------------DC (103) where ρ is the energy density, and E T and D C are the threshold energy density and damage generation cost, respectively. If the calculated efficiency of a given atom is below zero, it is not disordered. If eff is between 0 and 1, the atom is disordered with a random probability given by its efficiency. If eff is 1 or greater, the atom is disordered and a random neighbor is disordered with the probability given by the remaining efficiency ( eff – 1 ) and so on. To simulate the energy diffusion process: First, evaluate the efficiency of those atoms with the highest amount of energy in their environment. Second, repeat the process until no further energy remains to create more disordered atoms. This scheme for damage generation can be regarded as a combination of the two traditional BCA approaches for damage description. As in the full-cascade BCA, ion and recoil trajectories are followed to generate damage at the atomic level and to provide the individual positions of Frenkel pairs, but you also must consider the energy deposited in atoms not Sentaurus™ Process User Guide H-2013.03 153 3: Ion Implantation Monte Carlo Implantation displaced by ballistic collisions. This energy is used to generate thermally disordered atoms following a scheme similar to the modified Kinchin–Pease approach. Nevertheless, since the residual deposited energies that are being considered to determine efficiencies are always at the low-energy regime, the local character of damage generation is guaranteed. In addition, the damage efficiency expression accounts for phase transformation (melting) and heat dissipation through the dependency of the parameters E T and D C on the number of energetic neighbors. This feature captures the nonlinear effects on damage generation due to the proximity of several energetic atoms as it occurs in molecular implants. To activate the iBCA damage model, specify iBCA in the implant command or switch on the global switch: pdbSet MCImplant iBCA 1 You can calibrate the iBCA damage model by changing the minimum energy for hot particles (MinHotEnergy) and the maximum distance for the local neighbors (DistLocalNeighbors): pdbSet Silicon MinHotEnergy pdbSet Silicon DistLocalNeighbors By default, MinHotEnergy is 1 eV, and DistLocalNeighbors is 3.84e-4 µm . In addition, you can calibrate the model by changing the formulas for the threshold energy density (Et_iBCA) and the damage generation cost (Dc_iBCA) by modifying Tcl procedures. As noted in the article [23], the default Tcl procedures for these quantities are defined as: proc Et_iBCA { ln } { set et [expr 11.348 * pow($ln+1, -0.837) + 0.931] return $et; } proc Dc_iBCA { ln } { set dc [expr 11.211*exp(-0.146*$ln + 0.00158*$ln*$ln)]; return $dc; } where ln is the number of local neighbors. 154 NOTE To avoid nonphysical results, only fine-tuning of these formulas is recommended. NOTE Because the iBCA damage model is substantially more CPU intensive than the cascade damage model, only low-energy implant is practical for this damage model. Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Monte Carlo Implantation Crystal-TRIM Physical Models Crystal-TRIM simulation is based on the binary collision approximation (BCA), which represents the motion of ions in the target material as a set of binary collisions with the target atoms [2]. BCA is valid in a wide range of projectile energies, from approximately 100 eV to many MeV. It can, therefore, be employed over the whole range of energies of interest for ion implantation. For energies below approximately 100 eV, collective interactions may play an increasingly important role and BCA may become invalid. Nevertheless, the applications of Crystal-TRIM to ultra low-energy implants lead to results that are still sufficiently good compared with experimental data. At each collision, the projectile loses a part of its energy due to elastic nuclear scattering at target atoms and inelastic electronic iterations. The particles are assumed to come to rest if their energy is in the order of 15 eV. Single-Crystalline Materials Nuclear Collisions and Collision Cascades Nuclear scattering is treated by classical mechanics using a Coulomb-screened pair potential (ZBL potential [18]). If the energy transfer to the target atoms exceeds the so-called displacement threshold (approximately 15 eV for silicon), the target atom can leave its site and become displaced (primary recoil). By default, only the trajectories of implanted ions are simulated. The number of vacancies and displaced target atoms produced at each collision is calculated approximately using the modified Kinchin–Pease formula. A full cascade–type of simulation is performed if the keyword cascades is used. The trajectories of energetic recoils are calculated in the same way as for the original ions. A primary recoil with sufficiently high initial energy can generate more recoils (collision cascade). While both methods yield correct range profiles, only the full-cascade simulation produces physically correct profiles of vacancies and displaced atoms. However, a full-cascade simulation requires more computational time. In single-crystalline silicon, vacancies and recoils are often identified with the vacancies and interstitials responsible for transient-enhanced diffusion (TED) of dopants. The choice of a diffusion model determines whether the full-cascade mode of Crystal-TRIM must be applied. Sentaurus™ Process User Guide H-2013.03 155 3: Ion Implantation Monte Carlo Implantation Electronic Stopping Electronic energy loss of the projectile is treated using semiempirical models. For crystalline target materials, the loss depends on the local electronic density in the environment of the projectile. Therefore, the use of a local approach is particularly important for investigations of channeling effects in single-crystalline substrates. A simplified local approach, the so-called modified Oen–Robinson formula [21], is used. The parameter CEX1 describes the variation of the electron density for a projectile moving in the <110> direction of the crystal, while CEX2 does the same for any other direction. The value for CEX1 and CEX2 are set in the parameter database and can be changed by using: pdbSet CEX1 The value for CEX1 should be close to 1 or at least within the range of 0.5 and 3. The default value for CEX2 is 2. The automatic calibration of these parameters can be switched off individually using AutoCEX1, AutoCEX2. Amorphous Materials Nuclear Collisions In amorphous materials, nuclear collisions are described by assuming that consecutive binary collisions are completely uncorrelated. The only structural parameter that influences nuclear scattering is the average interatomic distance in the target material, which determines the maximum free flight-path length to the next collision and the maximum impact parameter. By default, the impact parameter is assumed to be distributed uniformly between zero and its maximum value. The free flight-path length is constant and equal to the average interatomic distance. Alternatively, a slightly different description of the structure of the amorphous material is possible using the switch AdvancedAmorph: pdbSet AdvancedAmorph 1 In this case, the free flight-path length is assumed to have a half-Gaussian distribution above the interatomic distance scaled with a value of the parameter AMAV. The standard deviation is controlled by the parameter AMDEV. 156 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Monte Carlo Implantation The default values are AMAV=1 and AMDEV=0, which correspond to setting AdvancedAmorph 0. The values of AMAV and AMDEV can be set in the parameter database: pdbSet AMAV { } pdbSet AMDEV { } The set of parameters is given as an array. A pair of entries always specifies the number and the value of the parameter, that is: pdbSet Silicon Boron AMAV {0 -1.25e-4 1 0.93} sets the two parameters for the calculation of AMAV to –1.25 and 0.93, respectively. AMAV and AMDEV are made dependent on the atomic number of an implanted ion and its energy. For arsenic, boron, and phosphorus in silicon, calibrated values are available in the parameter database, and the AdvancedAmorph flag is set to 1. The calibration can overwrite external settings of these parameters performed with pdbSet. To switch off the calibration, use: pdbSet Silicon Boron AutoAMAV 0 A similar parameter is available for AMDEV. This model should not be applied to implantation energies below 10– 20 keV. For low-energy implants, especially of boron, the default values lead to wrong results. You should select AMAV and AMDEV manually, where AMAV should be close to 1 and AMDEV should be a positive number. NOTE Table 8 Values for AMAV and AMDEV used in Crystal-TRIM AdvancedAmorph mode Energy [keV] B/BF2 P As AMAV AMDEV AMAV AMDEV AMAV AMDEV 10 0.9287 0.0275 0.8888 0.0700 0.9490 0.0400 30 0.9262 0.0141 0.8963 0.0700 0.9490 0.0400 50 0.9237 0.0113 0.9038 0.0700 0.9490 0.0400 100 0.9175 0.0088 0.9225 0.0700 0.9490 0.0400 200 0.9050 0.0000 0.9600 0.0700 0.9490 0.0400 400 0.8800 0.0000 1.0350 0.0700 0.9490 0.0400 A single-crystalline material can also be treated as amorphous by setting: pdbSet Amorphous 1 Sentaurus™ Process User Guide H-2013.03 157 3: Ion Implantation Monte Carlo Implantation Electronic Stopping A nonlocal approach based on the ZBL formula [18] is used for amorphous materials. This formula uses an average density of electrons and has only one fitting parameter, Lambda. This factor is used for the scaling of the ion-screening length in the ZBL electronic-stopping cross section. Default values of Lambda are also set in the parameter database. Values of Lambda close to 1 (between 0.7 and 1.5) are recommended. The automatic calibration of this parameter can be switched off by using AutoLambda. Damage Buildup and Crystalline–Amorphous Transition The damage accumulation leading to de-channeling of ions and recoils, and the subsequent crystalline–amorphous transition is described by a phenomenological model [22]. It can be completely switched off by using: pdbSet Ctrim DamageAccumulation No This switches off both the damage accumulation and de-channeling. During the current implant step, no additional damage will be produced and the existing predamage will have no effect. Two other model options are available. Full Amorphization Above a Critical Value This model leads to full amorphization in mesh elements if the damage probability reaches a critical limit and is chosen by setting: pdbSet Ctrim DamageAccumulation Full Below a certain threshold described by the parameter DCrit, the damage probability PD is assumed to depend linearly on the nuclear energy deposition per atom ( E n ). The proportionality factor is DAcc. If PD is greater than this value, the volume element is completely amorphized and PD = 1, that is: PD = DAcc ⋅ E n , 1 , DAcc ⋅ E n ≤ DCrit DAcc ⋅ E n > DCrit (104) In most cases, DCrit should be less than DAcc to allow amorphization for high implantation doses. 158 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Monte Carlo Implantation Damage Probability PD 1.0 DCrit 0.5 DAcc=0.8 DAcc=0.5 0.0 Figure 32 Vacancy Concentration Onset of amorphization after reaching DCrit for two values of DAcc Saturation at Critical Value pdbSet Ctrim DamageAccumulation Saturation According to this model, below a threshold value DCrit, the damage probability PD has the same linear behavior as in the ‘full’ model, but cannot grow above DCrit: PD = DAcc ⋅ E n , DCrit DAcc ⋅ E n ≤ DCrit , DAcc ⋅ E n > DCrit (105) For both models, the values of the parameters DAcc and DCrit depend mainly on the atomic number of the implanted ion. Table 9 lists values for some species. The automatic calibration for these values can be switched off using AutoDAcc and AutoDCrit. Table 9 Values for DAcc and DCrit for most important species BF2 As, Ga, Ge In, Sb, Sn B, C, N Al, P, Si DAcc 0.15 0.3 0.3 0.1 0.2 DCrit 0.02 0.05 0.05 0.99 0.1 Internal Storage Grid for Implantation Damage By default, Crystal-TRIM stores the accumulated damage at the mesh. This makes the damage accumulation dependent on the mesh and can lead to errors if the mesh is too coarse. Typically, the amorphous boundary depends nonlocally on the mesh size closer to the surface of the structure. Sentaurus™ Process User Guide H-2013.03 159 3: Ion Implantation Monte Carlo Implantation An internal grid can be used to accumulate and store as-implanted damage. This can be switched on by using: pdbSet Ctrim UseInternalGrid 1 This allows for a mesh-independent storage of the damage information. You can control the grid spacing by using: pdbSet Ctrim InternalGridSpacing In each grid cell, the accumulated as-implanted damage and the amorphization flag are stored. During postprocessing, the accumulated as-implanted damage is transferred (interpolated) from the internal grid to Sentaurus Process elements and then to Sentaurus Process nodes. If two or more Crystal-TRIM steps directly follow each other, you can choose to leave the asimplanted damage stored on the internal grid instead of transferring it to the mesh. The switch keepdamage.igrid must be used within the implant command: implant As crystaltrim keepdamage.igrid The default is !keepdamage.igrid. NOTE If keepdamage.igrid is used, the Sentaurus Process Damage dataset will not be incremented in the postprocessing. If the subsequent processing step is not a Crystal-TRIM implantation, the damage information will be lost. To access the damage information, which was stored on the internal grid during the previous Crystal-TRIM implantation, the switch predamage.igrid (default is !predamage.igrid) must be used within the implant command: An example is: # first step # no damage post-processing, keep damage on internal grid for the following # steps implant As crystaltrim keepdamage.igrid # second step # use pre-damage on igrid from the previous step # no damage post-processing: one more step follows implant B crystaltrim predamage.igrid keepdamage.igrid # third step # use pre-damage on igrid implant P crystaltrim predamage.igrid NOTE 160 It is not possible to save the information from the internal grid to a file using the struct command after the current Crystal-TRIM step. Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Monte Carlo Implantation Molecular Implantations The MC method allows for the implantation of molecular ions or atomic cluster species such as BF2. The assumption is that the molecule immediately breaks up into its constituents upon impact on a solid surface. BF2 + Target Surface F (w=2) B (w=1) Figure 33 Schematic of molecular implantation of BF2; one trajectory is calculated for each atomic species, w is the weight assigned to the species This is a valid approximation if the binding energy of the molecule is considerably smaller than the implant energy (for example, for BF2: EB ~ 9 eV). The implant energy is shared by several atoms according to: Mi E i = energy ⋅ ------------------wj Mj (106) j where E i is the energy of the i -th species, M i is the atomic mass, and w j is the statistical weight according to the stoichiometry of the molecule. The constituents move as separate particles. However, particles of different species are not completely independent because of the interaction through the implantation damage. Sentaurus Process supports several molecular species: BF2 (BF2), BCl2 (BCl2), B18H22 (B18H22), AsH2 (AsH2), and PH2 (PH2). An implantation of B18H22, for example, can be performed with: implant B18H22 energy=100 [ctrim | tmc] Sentaurus™ Process User Guide H-2013.03 161 3: Ion Implantation Monte Carlo Implantation The atomic masses, statistical weights, and the molecular composition are available in the parameter database. A full molecular implantation is performed if the keyword full.molecular is explicitly set, that is: implant [ctrim | tmc] full.molecular In this case, the trajectories for all atomic species are calculated. At the end of the simulation, datasets for each ballistic constituent of the original molecule are generated. This is the default. Only the trajectory of the significant species (B in the case of BF2) is calculated if !full.molecular is chosen. NOTE This feature is available to both Sentaurus MC and Crystal-TRIM. MC Implantation into Polysilicon Polysilicon has three states of crystallinity: ■ Amorphous ■ Crystalline ■ Polycrystalline By default polysilicon is considered amorphous and can be treated as a single-crystalline or polycrystalline material by using: pdbSet PolySilicon Crystallinity Crystalline pdbSet PolySilicon Crystallinity Polycrystalline Crystal orientation (one of 100, 110, and 111) can be specified using the material-specific pdb command: pdbSet PolySilicon CrystalOrient 110 This command sets the crystal orientation for all polysilicon regions to <110>. If the crystallinity is set to Polycrystalline, MC implantation checks for the existence of the GSize dataset or GrainSize parameter. If neither is found, the grain model is disabled, –5 and the material is assumed to be single crystalline. The default grain size is 5 × 10 [cm], and can be changed with the command: pdbSet PolySilicon GrainSize or by initializing the GSize dataset: select z= name=GSize The units for both GrainSize and GSize are centimeters. 162 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Monte Carlo Implantation The grain size also can be scaled with the GrainFactor parameter: pdbSet PolySilicon GrainFactor The default GrainFactor is 1. The polycrystalline model works by frequently switching between the crystal algorithm and the amorphous algorithm. The probability of switching from the crystal model to the amorphous model is determined by the accumulative path length in crystal (pathlength) and polycrystalline grain size (GrainSize). It switches from the crystal model to the amorphous model if: pathlength > R rand ⋅ ( GrainFactor ⋅ GrainSize ) (107) where R rand is a random number between 0 and 1. The polycrystalline model shares the same random number sequence with other modules in MC implantation. Therefore, if the random seed is reset, the random numbers used in the polycrystalline model are changed as well. After an amorphous collision is processed, the pathlength is reset to zero, and the crystal model is selected. The pathlength is accumulated again. The model used for the next collision is again determined by the same rules. This process is repeated until the particle exits the polycrystalline region. NOTE This feature is available to both Sentaurus MC and Crystal-TRIM. MC Implantation into Compound Materials with Molar Fractions A compound material with a spatially dependent molar fraction can be defined in the PDB. For example, for single-crystalline silicon, the following PDB entry: array set $Base {BinaryCompounds {String { { SiliconGermanium GeTotal "GeTotal/[pdbGetDouble Si LatticeDensity]" } }}} specifies a binary compound Si1–xGex with the mole fraction of Ge calculated from the germanium concentration (GeTotal) divided by the silicon lattice density. This is the default setting for SiliconGermanium in the PDB. MC models support implants into these compound materials (binary, ternary, and quaternary). Compound materials are detected automatically by using CompoundNumber, BinaryCompound, TernaryCompound, QuaternaryCoumpound in the PDB. Due to more computational demands, a minimum concentration is required to trigger MC implantation Sentaurus™ Process User Guide H-2013.03 163 3: Ion Implantation Monte Carlo Implantation models to treat this material in a more sophisticated way. To specify this minimum concentration, for example, use: pdbSet Silicon SiliconGermanium.MCmin 1e20 If the concentration of GeTotal in any mesh node of silicon regions is greater than or equal to 20 –3 1 × 10 cm , MC implantation model treats this material as a binary compound SiliconGermanium. In this case, the average charge and mass of the material are calculated individually for each mesh element. Lattice constant, nonlocal electron stopping power, and Debye temperatures are interpolated linearly based on the mole fractions. The lattice is constructed with the primary material, and each lattice site is assigned to a type of atom with probability proportional to their mole fractions. Sentaurus MC considers the fact that each specific lattice site will be occupied with certain types of atoms only. Therefore, the substitution of the lattice atoms occurs only for those with the same Group number. The default group number for each type of atom is the same as that in the periodic table. To change the group number, for example, use: pdbSet ImplantData Carbon Group 4 For example, in compound material Si1–x–yGexCy, Ge and C have the same group number (IV) as Si, so both of them can substitute silicon atoms in its lattice sites. Another example is InxGa1–xAs1-yPy; In and Ga belong to the same group (III), and As and P belong to the same group (V). Suppose Ga occupies site 0, and As site 1 in zinc-blende structures, then In can only occupy site 0, and P site can only occupy 1 with the occupation probabilities proportional to their mole fractions. Generally MC implant parameters, LatticeConstant, DebyeTemperature, and LSS.pre vary with the mole fraction. In previous releases, the mole–fraction dependence of MC implant parameters in compound material is assumed to be linear between base materials. In Version H-2013.03, there are more options for II-V compounds: ■ ■ ■ If a physical parameter is not specified in a ternary (or quaternary) material, the parameter value is extracted by the linear interpolation with the parameter values of their base binary materials. This is the default behavior. If the parameter is defined for the compound material, the given parameter value is used. If .XTable is defined for compound material, the piecewise linear interpolation specified with this table is used. For example: pdbSetDoubleArray InGaAs LatticeConstant.XTable { ... } ■ If .XTable is undefined for compound material, .X2 can be used to define a quadratic interpolation. For example: pdbSetDouble InGaAs 164 LatticeConstant.X2 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Monte Carlo Implantation To use these new parameter interpolation options, turn on the following switch: pdbSet MCImplant Compound.Interpolation 1 By default, linear interpolation of physical parameters is used. NOTE Although this feature is available to both Sentaurus MC and CrystalTRIM, you are strongly encouraged to use Sentaurus MC implant for better results. MC Implantation into Silicon Carbide Sentaurus MC supports ion implantation into crystalline silicon carbide (SiliconCarbide) with hexagonal lattice. The hexagonal system has four crystallographic axes: three a -axes ( a1 , a2 , a3 ) forming a plane, and a c -axis that is normal to the plane. The crystallographic planes and directions normally are described with four Miller indices (hkil). For the hexagonal system, since the sum of the first three indices is zero, the third index sometimes can be omitted. Silicon carbide exists in many different crystal structures, called polytypes. All polytypes have a hexagonal frame with a carbon atom situated above the center of a triangle of Si atoms and underneath a Si atom belonging to the next layer. The difference among the polytypes is the stacking sequence between the succeeding double layers of carbon and silicon atoms. For example, 2H-SiC, 4H-SiC, and 6H-SiC have the AB, ABCB, and ABCACB stacking sequences, respectively. 3C-SiC has an ABC stacking sequence and is the only form of SiC with a zinc-blende crystal lattice structure. The default polytype for SiC is 4H. To change to a different polytype, use the following command: pdbSet SiliconCarbide Polytype {2H 3C 4H 6H} NOTE The lattice constants may be different for different polytypes. For convenience, Tcl procedures (set2H-SiC, set3C-SiC, set4H-SiC, and set6H-SiC) are provided to set to different SiC polytypes. Two silicon carbide wafer orientations (<0001> and <11-20>) are supported. To specify these wafer orientations, use the pdb command: pdbSet SiliconCarbide CrystalOrient {0001 1120} The default wafer orientation is <0001>. For (0001) SiC wafer; the primary flat orientation is <10-10>. For (11-20) SiC wafer, the primary flat orientation is <0001>. For details of the model and comparison with experimental data for various implant conditions, see [24]. Sentaurus™ Process User Guide H-2013.03 165 3: Ion Implantation Monte Carlo Implantation A miscut of 3.5° – 8.5° typically exists in SiC (1000) wafers. Sentaurus MC implantation takes into account this wafer miscut by specifying caxis.tilt and caxis.rotation in the init command. caxis.tilt is the angle by which the wafer normal is tilted with respect to the a-axis in the crystal coordinate system. caxis.rotation is the angle that specifies the direction into which the wafer normal is tilted. The default value of caxis.rotation is 0, that is, the projection of the wafer normal to the crystal plane, formed by the b-axis and c-axis, is coincidental to the <110> direction in silicon. If caxis.rotation=90, the wafer normal is tilted by caxis.tilt towards the right with respect to the crystal coordinate system. (Or, in terms of the simulation coordinate system, if caxis.rotation=90, the crystal coordinate system is tilted towards the left with respect to the wafer normal.) By default, there is no wafer miscut, that is, caxis.tilt=0. Here is a simple example illustrating how to perform an MC implantation in SiC: # Set up the structure line x loc=0.0 tag=oxtop spac=0.001 line x loc=0.0015 tag=top spac=0.001 line x loc=0.5 spac=0.0025 line x loc=2.0 tag=bot spac=0.01 region Oxide xlo=oxtop xhi=top region SiliconCarbide xlo=top xhi=bot # Specify wafer miscut init caxis.tilt=4 caxis.rotation=0 # Choose different polytype (default is 4H-SiC) set6H-SiC # Do the implantation implant Aluminum energy=60 dose=1e13 tilt=0 rot=0 sentaurus.mc \ particles=10000 info=2 # Save the result struct tdr=sic NOTE This feature is available to Sentaurus MC only. Recoil Implantation Sentaurus MC implantation provides a general model for recoil implant, such as an oxygen knock-on effect. Generally, recoil species are handled the same way as cascade atoms, except that no vacancies are created at the displaced sites and the recoil species are not recorded as interstitials when they stop. Instead, a separate dataset is created for each recoil species. 166 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Monte Carlo Implantation The recoil species is specified in the material composition. For example, to simulate the oxygen knock-on effect, the following is defined in the parameter database: Oxide -> Composition -> Component0 -> Name = Silicon Oxide -> Composition -> Component0 -> StWeight = 1 Oxide -> Composition -> Component1 -> Name = Oxygen Oxide -> Composition -> Component1 -> StWeight = 2 Oxide -> Composition -> Component1 -> Recoil = 1 To initiate oxygen recoil implant simulation, you must specify the keyword recoils in the implant command: implant energy= dose= recoils The datasets Oxygen_Implant and Oxygen are created, which contain displaced oxygen distributions that can be used to analyze the oxygen knock-on effect. NOTE This feature is available to Sentaurus MC implantation only. Plasma Implantation Three-dimensional tri-gate devices (FinFETs) have been employed at the 22 nm node and are expected to continue at and beyond the 16 nm node. Doping of FinFETs must be 3D, and conformal doping with plasma implantation (PLAD) is a promising approach. Likewise, doping of planar devices is challenging, and PLAD offers capabilities not available in beamline implantations. To offer this simulation capability, Sentaurus Process provides a PLAD doping module that accurately reflects both the hardware and process signatures as well as the physical properties of the associated deposition, etching, sputtering, implantation, knock-on, defect creation, and annihilation processes. This MC implantation module includes the following features: ■ ■ ■ Perform alternating steps of deposition and MC implantation. The number of steps can be specified by users. Deposition of material on the surface is performed isotropically (that is, constant growth rate over the surface). The thickness is specified by users. A minimum thickness is imposed by the program, which reduces the number of steps if necessary to prevent the deposition of a layer that is too thin. The deposit material should be defined as usual, and material composition of the layer must be specified by users. The MC implantation module allows the specification of multiple ions incidents on the wafer. The ion species should be defined before implantation as usual, and some typical ion species used in plasma implantation will be predefined. You can specify the dose, energy distribution, and angular distribution of each ion species. The dose for each ion is applied evenly for each step. Sentaurus™ Process User Guide H-2013.03 167 3: Ion Implantation Monte Carlo Implantation ■ ■ An empirical model for conformal doping, in which the level of conformity can be specified by users. In addition to computing the concentration of ions that penetrate through the deposited overlayer, the MC implantation module allow for atoms to be knocked out of the overlayer and into the wafer and tracks damage and amorphization as usual. You must define the plasma source before implantation can be performed. To avoid overly complex syntax in the implant command, Sentaurus Process provides two ways to specify the plasma source: simple source and complex source. Simple Source Assuming that the multiple ion species in plasma have the same energy and angle distributions, simply specify the multiple species as a list in the implant command (other parameters such as dose, energy, tilt, and so on can be specified like a regular implantation): plasma.source = {= = = ...} where: ■ ■ ■ plasma.source specifies a list of ion species to be implanted. These species must be predefined in ImplantData as usual. The number after each species is the fraction of the total dose (as specified by the dose parameter) for the given species. All these species will have the same energy, tilt, en.stdev, and tilt.stdev as specified. Complex Source In more complex cases, different species may have different energy and angle distributions. In this case, each species can be specified with their own implantation parameters (energy, tilt, en.stdev, and tilt.stdev). So for each species, define it with an implant command: implant species= energy= tilt= en.stdev= tilt.stdev= implant species= energy= tilt= en.stdev= tilt.stdev= implant species= energy= tilt= en.stdev= tilt.stdev= ... where , , must be predefined in ImplantData as usual. Only parameters that are different from the default values must be specified. Then, you can perform the real implantation in the same way as in the simple source case: implant plasma.source= {= = ...} dose= energy= 168 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Monte Carlo Implantation tilt= en.stdev= tilt.stdev= ... where: ■ ■ plasma.source specifies a list of ion species to be implanted. These species must be the same as those in previous implant commands. The regular implantation parameters (dose, energy, and so on) will be the default for those species that are not specified. Essentially, this syntax is consistent with that for the simple source and reduces to the simple source if no implantation parameters are specified for each individual species. Deposition of Material To specify the deposition of the material during implantation, use the plasma.deposit parameter in the implant command: implant plasma.source= {= = ...} plasma.deposit= {material= thickness= steps=} dose= energy= tilt= ... where: ■ material is the name of the material to be deposited, which must be specified before the implantation. ■ thickness is the total thickness of the deposit material. ■ steps is the number of steps of deposition. The deposition and implantation are performed alternatively. If plasma.deposit is not specified, or material is not specified, or thickness is not specified (or is equal to zero), no deposition is performed. Knock-on and Knock-off Effect The MC implantation module simulates the dopant knock-on and knock-off effect by specifying the recoils parameters in the implant command. In addition, you must specify the recoil species to be simulated in material composition. For example, assuming the deposit material is BHx, and Atom0 is Boron, the following commands specify Boron as a recoil species: pdbSetString BHx Composition Atom0 Name Boron pdbSetDouble BHx Composition Atom0 StWeight 1 pdbSetBoolean BHx Composition Atom0 Recoil 1 pdbSetString BHx Composition Atom1 Name Hydrogen pdbSetDouble BHx Composition Atom1 StWeight Sentaurus™ Process User Guide H-2013.03 169 3: Ion Implantation Monte Carlo Implantation Conformal Doping Conformal doping is an important characteristic in plasma implantation. However, due to the complexity of plasma dynamics that involves manybody long-range interactions, the exact mechanism for conformal doping in plasma implantation is still not well understood. Physically, this may be possible if one of the following mechanisms or their combination occurs, for example, in a trench: ■ ■ The ions become ionized anywhere in the ambient (including inside the trenches) and start their acceleration towards the silicon surface. The ions scatter off other particles in the plasma/ambient (including inside the trenches) and change their direction. To account for such effects, an empirical model has been developed that is compatible with the current plasma implantation. In this model, instead of launching all ions from above the device, as in standard implantation, some ions are launched along the device surface (that is, the solid– ambient interface). A fraction of ions launched along the surface can be specified by the parameter conformity in the implant command: implant energy= dose= conformity= sentaurus.mc where conformity is a number between 0 and 1.0. For example, if conformity=0, you will obtain standard plasma implantation results, and if conformity=1, you will obtain fully conformal doping. Other Plasma Implantation–related Parameters and Procedures Sentaurus Process provides a simple model for taking into account the energy and tilt angle distributions of the plasma source. Given the mean and standard deviation of the implantation energy and tilt angles, Sentaurus MC implantation samples the given energy and tilt distributions for each implantation particle. After the implantation energy and tilt angle are determined, the particle tracing is computed using a standard procedure. In addition to the normal implantation parameters, such as energy and tilt, you can specify the standard deviation of implantation energy (en.stdev) or the standard deviation of the tilt angle (tilt.stdev) or both. For example: implant plasma dose= energy= en.stdev= tilt= tilt.stdev= sentaurus.mc where the implantation parameters energy and tilt are the mean energy and mean tilt, respectively. You also can specify the minimum energy that is allowed for implantation using the command: pdbSet MCImplant MinEnergyCutoff 170 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Monte Carlo Implantation Energy below the minimum energy will be truncated. The default minimum energy is zero. In addition, you can specify the maximum energy that is allowed by using the command: pdbSet MCImplant MaxNumStdevCutoff MaxNumStdevCutoff must be an integer (default is 5). The highest energy for a given implant should not exceed the mean energy by the amount of en.stdev x MaxNumStdevCutoff. Energy higher than this number will be truncated. Energy Distributions The energetic distribution of different molecular and atomic ions, after extraction from the plasma, is known to cover the range from zero to the maximum energy E max , which is equal to the product of the ion charge multiplied by the extraction voltage. Sentaurus Process allows easy selection and addition of various energy distribution models. In addition to the default Gaussian distribution, Sentaurus Process implements an alternative Burenkov model [25]. In this model, the energy distribution, as presented by Tian et al. [26] as an integral number of particles having their energy in a given interval, can be written in a differential form as follows [25]: 5 E f ( E ) = ------------------- ⋅ ----------6 ⋅ E max E max –1 / 6 (108) The energy distribution f ( E ) presented in Eq. 108 is normalized, that is, the integral over all possible energies of the extracted ions, ranging from 0 to E max , is equal to one. Burenkov et al. have shown that by using the energy distribution given by Eq. 108, excellent agreement can be obtained between simulations and experiments for BF3 plasma implantation [25]. Tilt Angle Distributions Three different tilt angle distributions are offered in plasma implant. You can select a different tilt angle distribution model by using: pdbSet MCImplant PlasmaEnergyDistributionModel\ {Gaussian | Gaussian.Solid.Angle | Gaussian.3D} The default Gaussian model: 1 1 tilt f ( tilt ) = -------------- exp – --- -----2 σ 2πσ 2 (109) This is a first order approximation in which the number of particles per solid angle has a sharp maximum at tilt=0. This may not be ideal in certain situations. Sentaurus™ Process User Guide H-2013.03 171 3: Ion Implantation Monte Carlo Implantation The Gaussian.Solid.Angle is: tilt 1 tilt f ( tilt ) = -------------- exp – --- -----2 σ 2πσ 2 (110) Here, the additional factor tilt considers that the solid angle which corresponds to a tilt angle is proportional to the tilt angle. Note that the standard deviation ( σ ) has a slightly different meaning from regular Gaussian distribution. In other words, only 1-1/e = 63.2% of ions will be in the interval [0, σ ]. For Gaussian.3D (suggested by Burenkov et al.), you must add a random 3D vector, in which each component has a random Gaussian distribution to the vertical unit vector, and calculate the corresponding tilt angle. In this case, the knock-on process in the plasma may lead to additional ion energy which has Gaussian distribution. Here again, σ has a different meaning from standard Gaussian distribution; in other words, only ~40% of ions are within the interval [0, σ ]. To select a different energy distribution model, use: pdbSet MCImplant PlasmaEnergyDistributionModel {Gaussian | Burenkov} To provide maximum flexibility for energy and tilt angle distributions, you also can provide your own distributions by modifying the following Tcl procedures in Plasma.tcl: Plasma::Energy_Distribution { energy stdev } Plasma::Tilt_Distribution { mean stdev } NOTE Sentaurus Process does not check the validity of these user-defined distributions. If you change these distributions, you must provide the correct distributions to ensure the correct implantation results. NOTE This feature is available to Sentaurus MC implantation only. MC Implantation Damage and Point-Defect Calculation Sentaurus MC Damage Calculation The damage in a Sentaurus MC implantation is computed either using the Kinchin–Pease formula [22] (default) or with full cascades if the cascades parameter is specified in the implant command. For details on damage calculations, see Damage Accumulation and Dynamic Annealing on page 149. The calculation of the damage datasets for Sentaurus MC is 172 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Monte Carlo Implantation consistent with the analytic implantation model. At the end of an implantation step, the damage for this step (Damage_LastImp) is added to the Damage profile using: Damage += MCDFactor ⋅ Damage_LastImp (111) where + indicates the total damage as the sum of new damage and existing damage. The default value for MCDFactor is 1 and can be changed at the implant command line or in the parameter database: implant [tmc] [mc.dfactor=] pdbSetDouble MCDFactor The accumulated damage is taken into account automatically for subsequent MC implantations, unless the Damage dataset is reset by the diffuse command. Crystal-TRIM: Damage Probability Defect accumulation in single-crystalline material and de-channeling due to the implantation damage are treated dynamically using a phenomenological model [22]. The model is based on the assumption of the formation of complex defects, such as amorphous pockets (APs) during ion implantation. The damage information calculated during Crystal-TRIM simulations is stored in the damage probability dataset PD. This information is used in the model of APs to treat the nuclear collisions in the partially damaged crystalline region. Within a certain volume element, PD gives the probability that the collision can be treated as if the material were amorphous. The material is locally considered to be completely amorphized if PD = 1. The PD dataset is not deleted after an implant step and, consequently, can be reused in subsequent Crystal-TRIM runs (damage history). If the PD dataset has been deleted or has not yet been created, the Damage dataset is used to initialize the damage history in all crystalline materials: PD = Damage / Threshold, max(PD) = 1 (112) where Threshold is the amorphous threshold, which is the minimum of the lattice density and amorphous density. Both parameters can be set in the parameter database: pdbSet LatticeDensity pdbSet AmorpDensity At the end of the simulation, the Damage dataset is increased according to: Damage = Damage + PD_LastImp ⋅ Threshold Sentaurus™ Process User Guide H-2013.03 (113) 173 3: Ion Implantation Monte Carlo Implantation Point Defects Elemental Material Point-defect profiles after a MC run can be generated from the ballistic dopant profile using the plus.one or effective.plus.n model, or from the ballistic vacancy (Frenkel pair) and recoil profiles using the frenkel.pair model. The effective.plus.n model is the default for all MC simulations. In this case, the ballistic dopant profile _LastImp is used according to Eq. 50, p. 118. Interstitial and vacancy profiles can also be calculated using the ballistic vacancy dataset Vac_LastImp generated during a MC run. The switch defect.model must be set to frenkel.pair: implant [crystaltrim | sentaurus.mc] [defect.model=frenkel.pair] Using the MC-specific factors MCIFactor and MCVFactor, the profiles are calculated according to: Int_Implant += MCIFactor ⋅ Vac_LastImp + IFactor ⋅ _LastImp Vac_Implant += MCVFactor ⋅ Vac_LastImp (114) The default values for MCIFactor, MCVFactor, and IFactor are 1. These factors can be changed in the parameter database: pdbSet IFactor pdbSet MCIFactor pdbSet MCVFactor or on the command line: implant [crystaltrim | sentaurus.mc] [ifactor=] [mc.ifactor=] [mc.vfactor=] Setting ifactor, mc.ifactor, and mc.vfactor in the implant command overwrites the parameter database entries. If cascades is enabled in MC implantation and the point-defect model is set to frenkel.pair, the interstitial and vacancy profile is calculated using the concentration of the recoil and vacancy as calculated based on the physics model: implant [crystaltrim | sentaurus.mc] [cascades] \ [defect.model=frenkel.pair] 174 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Monte Carlo Implantation In this case, the interstitial and vacancy densities increase according to the following: Int_Implant += _LastImp + _LastImp Vac_Implant += _LastImp (115) Multicomponent Materials In multicomponent materials, such as silicon carbide (SiC), the material is composed of different types of atom. When an impurity is implanted into SiC, both silicon and carbon lattice atoms can be displaced, thereby forming silicon interstitials or carbon interstitials, and leaving behind silicon-site or carbon-site vacancies. Instead of classifying them together as interstitials or vacancies, as in silicon, Sentaurus Process provides a mechanism to distinguish different types of interstitial or vacancy. To generate distinct types of point defect in multiple-component materials, you must switch on the DistinctDefects flag, for example: pdbSetBoolean SiliconCarbide DistinctDefects 1 By default, this flag is true for SiC but false for other materials. As a result, instead of Int_Implant and Vac_Implant, the generated point-defect datasets in SiC are IntSilicon_Implant, IntCarbon_Implant, VacSilicon_Implant, and VacCarbon_Implant. In this model, the total point-defect concentration is computed the same way as the elemental material. The implantation parameters defect.model, ifactor, vfactor, mc.ifactor, and mc.vfactor in the implant command still work. ifactor and vfactor are scaling factors for interstitial profiles and vacancy profiles, respectively, in the plus.one defect model; while mc.ifactor and mc.vfactor are scaling factors for interstitial profiles and vacancy profiles, respectively, in the frenkel.pair defect model. The same Tcl procedure CalcPlusNFactor calculates automatically the plus factors for the effective.plus.n defect model. Then, the individual point-defect concentration is computed by multiplying the total pointdefect concentration by the fraction of each component. The fraction of each component is, by default, their stoichiometric weight, but it can be changed in the parameter database with the parameters IFractor.Fraction and VFactor.Fraction. For example, in SiC: pdbSet pdbSet pdbSet pdbSet SiC SiC SiC SiC Composition Composition Composition Composition Sentaurus™ Process User Guide H-2013.03 Component0 Component1 Component0 Component1 IFactor.Fraction IFactor.Fraction VFactor.Fraction VFactor.Fraction 175 3: Ion Implantation Monte Carlo Implantation If cascades is enabled in MC implantation and the point-defect model is set to frenkel.pair, the interstitial and vacancy profile is calculated using the concentration of the recoils and vacancies as calculated based on the physical model. In this case, the interstitial and vacancy densities increase according to the following: Int_Implant += _LastImp + IFactor.Fraction ⋅ _LastImp Vac_Implant += _LastImp (116) Statistical Enhancement The energetic pseudoparticles in a MC simulation are statistical objects representing several actual particles or only a fraction of an actual particle. Pseudoparticles start their motion at a plane above the target parallel to the wafer surface. The starting surface is subdivided into segments of equal size. The size of these segments can be controlled by setting dy and dz in the MCImplant -> Intervals entry in the parameter database. The number of pseudoparticles can be set by using: pdbSet MCImplant Particles or: implant [crystaltrim | sentaurus.mc] [particles=] The default value for particles is 1000. The random number generator can be started with a specified random seed. The integer value used can be set with the parameter RandomSeed: pdbSet MCImplant RandomSeed The default is 1. Random seeds also can be chosen randomly by using the internal clock, thereby giving different results for different runs. This feature is useful for statistical analysis for MC implantations. To use this feature, use the following command: pdbSet MCImplant Randomize 1 Trajectory Splitting Trajectory splitting artificially increases the number of trajectories calculated in regions with low trajectory density. It can be switched on or off by using: pdbSet MCImplant TrajectorySplitting 1 176 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Monte Carlo Implantation If a projectile reaches an element with a small trajectory density, a split point is set, that is, the particle is replaced by two daughter particles having half the statistical weight of the mother projectile. Then, the trajectories of both daughter particles are simulated in the same manner as for the original particle. Further splitting may occur that leads to a splitting tree related to the mother projectile. At a split point, the two daughter projectiles start under identical conditions. However, the consideration of thermal vibrations of target atoms leads to a deviation of the trajectories of the daughter projectiles after a few collisions. In this manner, a high number of different particle trajectories with low statistical weight is obtained, which leads to an important decrease of the statistical noise in the tail parts of the dopant distribution. In Sentaurus MC, the maximum depth of the splitting tree is defined by a global parameter MaxSplitLevels: pdbSet MCImplant MaxSplitLevels In Crystal-TRIM, a similar parameter is defined for each species. For a given ion species, the maximum depth of the splitting tree is defined by the parameter MaxSplits: pdbSet MaxSplits pdbSet MaxSplitsPerElement The parameter MaxSplitsPerElement defines the maximum number of split events within one element. NOTE The trajectory splitting model is available to both Sentaurus MC and Crystal-TRIM. Dose Split In the conventional pseudoparticle Monte Carlo approach, all particles have the same weighting. In contrast, dose split algorithm uses smart particle weighting with first-coming ions weighing less than later ions. This prevents crystalline from amorphizing too quickly, thereby allowing more ions to enter the channeling regions. This model can drastically reduce the noises of the channeling tails. By default, dose split is switched off. To activate the model, use the command: pdbSet MCImplant DoseSplit 1 The dose split model is especially effective for high-dose amorphizing implants, such as 15 –2 arsenic implant with a dose of 8 ×10 cm . For a typical run, the CPU time is about 2 to 3 times slower than that without dose split for the same number of particles. However, dose split improves the statistics in the channeling tails by at least two orders of magnitude. Sentaurus™ Process User Guide H-2013.03 177 3: Ion Implantation Monte Carlo Implantation To achieve the same statistical significance, the conventional approach requires at least 100 times more particles; this means that the effective speedup is about 30 to 50 times. NOTE The dose split model is available to Sentaurus MC only. Trajectory Replication The trajectory replication algorithm uses the fact that in almost all 2D or 3D target structures, several regions with 1D topology can be found. A particle trajectory going through such a part can be copied many times by shifting its origin. Within the 1D region, each shifted trajectory is a valid particle trajectory. Its reproduction by copying is much faster than its physical calculation. The subdivision into 1D parts or equivalence classes is performed automatically during the implantation. A subdivision is performed using the segments of the start surface. At the beginning, all the start segments are in the same equivalence class. First, the whole trajectory tree is calculated including splits and recoils. The increments of all concentration-type values between entering and leaving a grid element are stored for each trajectory point (at least one per grid element). A start segment is chosen from the same equivalence class. The starting point of the copy trajectory is set randomly within this start segment. The point where the copied trajectory enters the material is found in the same way as for the original trajectory. The vector between the first material point of the master and the copied trajectory serves as a shift vector. All the increments of the master trajectory are transferred point by point into grid elements that correspond to the shifted points. –4 If the materials are not identical within geometry tolerance ( 1.5 ×10 µm ), or the initial damage is different by more than 1% at the master and the replica points, replication fails and the start segment is taken out of the present equivalence class and placed into a new equivalence class. The generation of new equivalence classes stops after a certain number of particles has been implanted. These initial particles are called probing ions. Due to the random nature of ion trajectories, for the same structure, the equivalent classes as discovered by the probing ions could be slightly different depending on the random seeds, implanted species, or the number of probing ions. The number of probing ions is empirically set to the total number of start segments. However, depending on the situation, this number may be too small for 2D simulations; whereas, it may be too large for 3D simulations. You can control this number by using the command: pdbSet MCImplant ReplicationLearningFactor After this command, the new number of probing ions will be equal to the original number of probing ions multiplied by ReplicationLearningFactor. Generally, the more probing ions, the more equivalent classes will be created for a given structure. More equivalent classes 178 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Monte Carlo Implantation will reduce the ratio of the replicated trajectories to the calculated trajectories, thereby providing more accurate results at the expense of more CPU time. The total number of implanted particles is given as the number of start segments multiplied by the number of particles per segment, which can be set by using the parameter particles. Due to the replication, the number of physically calculated trajectories is usually much smaller and is given rather by the number of equivalence classes multiplied by particles. The trajectory replication algorithm is based on the heuristic argument that the 1D part of the structure should be equivalent. However, some parts of the 1D region may be close to the sidewalls. Therefore, the dopant concentration is contributed to not only from the direct exposure to the ion beam, but also from the particles scattered from the sidewalls and reentering the 1D region. In such situations (such as high-energy implant into a photoresist mask or pocket implants), trajectory replication may not give accurate results near the sidewalls. In addition, for high tilt pocket implants, saving CPU time by trajectory replication is limited. Therefore, under such circumstances, you should switch off the trajectory replication. NOTE Trajectory replication is switched on by default. To switch off trajectory replication, use the global switch: pdbSet MCImplant TrajectoryReplication 0 NOTE This feature is available in both Sentaurus MC and Crystal-TRIM. Datasets The datasets used in a MC run follow the same naming conventions as those used in analytic implantation. Datasets unique to the MC implantation method are: ■ ■ ■ The ballistic vacancy density Vac_LastImp. The damage probability PD, which is used to store and initialize damage history in Crystal-TRIM. The nuclear energy deposition EnergyDeposition, which is created in Sentaurus MC implantation. Table 10 Datasets used in MC implantations Dataset Description EnergyDeposition Accumulated energy deposition (in units of eV/cm ) from nuclear collisions. This dataset is created in Sentaurus MC implant only. Sentaurus™ Process User Guide H-2013.03 3 179 3: Ion Implantation Boundary Conditions and Domain Extension Table 10 Datasets used in MC implantations Dataset Description Damage Accumulative damage (damage history). This dataset is deleted by the diffuse command. For Sentaurus MC, at the end of an implant step, the Damage_LastImp concentration is added to Damage, similar to analytic implantation. For Crystal-TRIM, damage is generated using the PD dataset after an implant step. Damage_LastImp Damage created during the last implant step. This dataset is used by Sentaurus MC only. Accumulative density of the dopant concentration. At the end of an implant step, the _LastImp concentration is added to . _Implant Accumulative density of the dopant concentration. At the end of an implant step, the _LastImp concentration is added to _Implant. This dataset is deleted by the diffuse command. _LastImp Ballistic dopant concentration generated during the last implant step. It is reset at the beginning of each implant step. Int_Implant Accumulative interstitial profile updated at the end of an implant step. Int_Implant Accumulative interstitial profiles in multicomponent material with DistinctDefects set to true, where is the component of the composition of the material. For example, in SiC, interstitial profiles include IntSilicon_Implant and IntCarbon_Implant. PD Damage probability. This dataset is used by Crystal-TRIM only. Vac_Implant Accumulative vacancy profile updated at the end of an implant step. Vac_LastImp Ballistic vacancy density generated during the last implant step. Vac_Implant Accumulative vacancy profiles in multicomponent material with DistinctDefects set to true, where is the component of the composition of the material. For example, in SiC, interstitial profiles include VacSilicon_Implant and VacCarbon_Implant. Boundary Conditions and Domain Extension Boundary conditions are needed in ion implantation simulations to account for the geometry effects (such as shadowing) and lateral scattering of the implied structure. Both of these effects require knowledge of the materials and damage concentration outside the simulation domain. The required information is synthesized by the definition of the boundary conditions. The following subsections describe how to specify these boundary conditions. 180 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Boundary Conditions and Domain Extension Unified Implant Boundary Conditions NOTE This is the preferred method for specifying implant boundary conditions. Sentaurus Process has two different sets of implant boundary conditions: one for analytic implant and one for MC implant. To ensure consistent results between analytic and MC implant, Sentaurus Process provides a unified method for specifying implant boundary conditions. This method uses the implant command to specify the boundary conditions with the following syntax: implant boundary.conditions = {left= right= front= back=} where the valid keywords are Periodic, Reflect, or Extend. In contrast to the boundary conditions specified by PDB commands, if Periodic or Reflect is specified in the implant command, TruePeriodic and TrueReflect will be used for MC implant since these ensure the most consistent results between analytic and MC implants. You do not need to specify boundary conditions for all four sides. Extend boundary condition is assumed for unspecified sides, except for periodic boundary conditions. Since periodic boundary conditions must be paired, left and right or front and back, they must have the same periodic boundary conditions. For simplicity, you need only specify periodic boundary conditions on one side; the other side is automatically assumed to have the same periodic boundary condition. If the other side is specified for a different type of boundary condition, Sentaurus Process issues a warning and uses a periodic boundary condition. Implant Boundary Conditions using PDB Commands Although not recommended, these boundary conditions can be directly specified using PDB commands. Advanced users can use these commands to adjust the implant boundary conditions under certain circumstances. NOTE Because this method for specifying implant boundary conditions is obsoleted, you should use it only if it is absolutely necessary when creating new input files. Sentaurus™ Process User Guide H-2013.03 181 3: Ion Implantation Boundary Conditions and Domain Extension Monte Carlo Implant Boundary conditions determine how particles leaving the simulation domain at its outer boundaries will be processed. It is assumed that the simulation domain is rectangular if viewed from the top and is contained between: LeftBoundary and RightBoundary (y-direction) and: BackBoundary and FrontBoundary (z-direction) Legacy Periodic Boundary Conditions In this boundary condition, boundaries exist only in solid regions. In solid regions, when a particle reaches one side of the boundary, it is moved to the other side of the boundary. However, in ambient (or gas), particles are free to enter or exit. Therefore, Periodic boundary condition in MC implant only means translating the position of particles from one boundary to the opposite boundary in solid regions. It takes the structure as it is, and does not extend to form a true periodic structure. To select these boundary conditions, use the following commands: pdbSet MCImplant BoundaryPeriodicY 1 pdbSet MCImplant BoundaryPeriodicZ 1 This switches on Periodic boundary conditions in the left-right and front-back, respectively. NOTE Because this boundary condition is obsoleted, you should not use it when creating new input files. TruePeriodic Boundary Conditions This boundary condition considers the device as a true periodic structure. To select TruePeriodic boundary conditions, use: pdbSet MCImplant TruePeriodicY 1 pdbSet MCImplant TruePeriodicZ 1 TruePeriodicY and TruePeriodicZ are applied to left and right, and front and back, respectively. NOTE 182 TruePeriodic has precedence over legacy Periodic boundary condition and other types of boundary conditions. When Periodic is specified in the implant command for unified boundary conditions, Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Boundary Conditions and Domain Extension TruePeriodic boundary condition is selected automatically in MC implant. Legacy Reflect Boundary Conditions pdbSet pdbSet pdbSet pdbSet MCImplant MCImplant MCImplant MCImplant LeftBoundary Reflect RightBoundary Reflect FrontBoundary Reflect BackBoundary Reflect A particle hitting the boundary will have its position and direction of motion reflected with respect to the boundary plane. TrueReflect Boundary Conditions Instead of reflecting the direction of the moving particles at the boundary, Sentaurus Process provides a new boundary condition TrueReflect, which automatically reflects the structure, performs the implantation, and then cuts the structure to its original domain. To specify the TrueReflect boundary condition, use: pdbSet pdbSet pdbSet pdbSet MCImplant MCImplant MCImplant MCImplant LeftBoundary TrueReflect RightBoundary TrueReflect FrontBoundary TrueReflect BackBoundary TrueReflect NOTE When Reflect is specified in the implant command for unified boundary conditions, TrueReflect boundary condition is automatically selected in MC implant. NOTE Generally, reflective boundary conditions (including both Reflect and TrueReflect) can be used only if there are the same reflect-symmetric ion beams, such as tilt=0 (or projected tilt2D=0 in 2D), or approximately, multiple rotation implantations. To improve performance, averaging of the simulation results (including dopant and damage fields) over the original and reflected domains can be performed. If tilt (or tilt2D) < 2° , Sentaurus Process automatically averages the simulation results. In the case of mult.rot implantations (or a sequence of multiple implant commands consisting of mult.rot implantation), no automatically averaging is performed. However, you can specify average in the implant command to do the averaging. To overwrite such default behaviors, specify average or !average in the implant command. NOTE In cases where average is applied successfully, TrueReflect generally achieves more accurate results than Reflect without the significant performance penalty. Sentaurus™ Process User Guide H-2013.03 183 3: Ion Implantation Boundary Conditions and Domain Extension Extending the Simulation Domain pdbSet pdbSet pdbSet pdbSet MCImplant MCImplant MCImplant MCImplant LeftBoundary Extend RightBoundary Extend BackBoundary Extend FrontBoundary Extend The simulation domain is artificially extended in the corresponding direction. This compensates for the decay of the profile near the boundaries of the simulation domain. Sentaurus Process determines these extension lengths automatically by using tilt, rotation, and slice.angle, and the user-defined parameters MinExtension and ExtensionLength: Ext Length = MinExtension + ExtensionLength ⋅ f ( tilt, rotation, slice.angle ) (117) where the function f is between 0 and 1. If tilt equals 0, f equals 0. Therefore, for tilt equals 0, extension length equals MinExtension. The default value for MinExtension is 0.1 µm , which is usually sufficient for low-energy implants. For high-energy implants, you may need to increase MinExtension to avoid decaying concentration near the boundaries. To control the size of the extension, use: pdbSet MCImplant ExtensionLength pdbSet MCImplant MinExtension Transparent Boundary pdbSet pdbSet pdbSet pdbSet MCImplant MCImplant MCImplant MCImplant LeftBoundary Transparent RightBoundary Transparent BackBoundary Transparent FrontBoundary Transparent All particles crossing the boundary leave the simulation domain and are lost. NOTE 184 For given boundary conditions other than Transparent, it is important that the boundaries, which are lines in 2D and planes in 3D, are continuous, that is, they should show no holes. The crossing of a particle can only be registered if it happens within the material region. The particle will finally leave the structure if it crosses the side while in a gas region. Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Boundary Conditions and Domain Extension Analytic Implant Analytic implantation uses the same syntax as MC implantation for specifying boundary conditions. It is assumed that the simulation domain is rectangular if viewed from the top and is contained between: LeftBoundary and RightBoundary (y-direction) and: BackBoundary and FrontBoundary (z-direction) Extended Boundary Condition pdbSet pdbSet pdbSet pdbSet ImplantData ImplantData ImplantData ImplantData LeftBoundary Extend RightBoundary Extend FrontBoundary Extend BackBoundary Extend The simulation domain is extended artificially in the corresponding direction. This compensates for the decay of the profile near the boundaries of the simulation domain. The extended structure is removed after the implant is completed. Extend is the default boundary condition for ion implantation. To control the size of the lateral extension, use: pdbSet ImplantData MaxLateralExtension Reflective Boundary Condition pdbSet pdbSet pdbSet pdbSet MCImplant MCImplant MCImplant MCImplant LeftBoundary Reflect RightBoundary Reflect BackBoundary Reflect FrontBoundary Reflect In reflective boundary condition, a reflected image with respect to the domain boundary is first constructed. Depending on the boundary condition specified on the other side, the composite structure is either extended (if the other side is extended) or repeated (if the other side is also reflective). The added structure including the reflected image is removed after the implant is completed. NOTE This boundary condition is equivalent to the TrueReflect boundary condition in MC implant. Periodic Boundary Condition pdbSet ImplantData BoundaryPeriodicY 1 pdbSet ImplantData BoundaryPeriodicZ 1 Sentaurus™ Process User Guide H-2013.03 185 3: Ion Implantation Smoothing Implantation Profiles These commands switch the periodicity in the left–right or front–back direction, respectively. An array of periodic images is constructed outside the simulation domain before the implant is performed. These added periodic images are removed after the implant is finished. NOTE This boundary condition is equivalent to the TruePeriodic boundary condition in MC implant. Smoothing Implantation Profiles The implantation profiles as produced by MC simulations are typically noisy, especially in low concentration regions. This may sometimes cause converging problems or may require a very small time step in diffusion. To overcome this problem, Sentaurus Process provides a facility for smoothing the implant profiles. The smoothing is enabled by using the following simple diffusion equation: ∂C – ( D ⋅ ∇C ) = 0 ∂t (118) where: ■ C is the concentration. ■ D is the diffusion coefficient. ■ D ⋅ t is the characteristic diffusing distance. Smoothing All As-Implanted Profiles To smooth all as-implanted profiles, specify the Boolean parameter smooth in the implant command or, alternatively, use the global switch Smoothing, which can be specified as follows: pdbSet MCImplant Smoothing 1 In this case, all as-implanted fields are smoothed including dopant, damage, and point-defect profiles. Smoothing Dopant and Damage Fields For flexibility, Sentaurus Process also provides facilities for smoothing selected fields by using parameter smooth.field=. If this parameter is specified, only the specified fields are smoothed. The valid fields are or Damage. For example, for BF2 implantation, the valid fields are Boron, Fluorine, or Damage. Note that point defects (interstitial and vacancy) are generally not independent and cannot be specified in 186 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Automatic Extraction of Implant Moments smooth.field. In addition, depending on the point-defect model used, the smoothing of dopant or damage fields also may cause the point defects being smoothed. You can control smoothing behavior by specifying the parameter smooth.distance=. This list specifies the smoothing distances (diffusing distance) for each of the fields as specified in smooth.field. If this list is missing, the smoothing distances are retrieved from the PDB: pdbSetDouble MCImplant Smooth Smooth.Distance If no pdb parameter is available for a given species, the global default (2 nm) is used. Smoothing Point Defects If only point defects are smoothed, you must use the smooth command after the implant command (instead of specifying smooth or smooth.field in the implant command). The syntax is as follows: smooth smooth.field= smooth.distance= This is a general command that can be used to smooth any field. For example, to smooth point defects after implantation, use the command: smooth smooth.field= {Int_Implant Vac_Implant} smooth.distance= {1 5} NOTE If using the smooth command to smooth a field, the pdb parameter for smooth distance will not be read. Therefore, smooth.distance must be specified in the smooth command if it is different from the default 2 nm. Automatic Extraction of Implant Moments Implant moments are one of the most critical elements in analytic implantation. By default, Sentaurus Process provides a large set of implant tables that cover many species and materials, and a wide range of implantation conditions. However, occasionally, users want to explore new species, new materials, or the implantation parameter space, which is outside of the supplied implant tables. In this case, you need to do the experiments or to run the MC implantation simulations to obtain accurate implantation profiles. Automatically extracting implant moments bridges the gap of converting these raw profiles into the moments that can be used in analytic implantation. The critical part of automatic extraction of implant moments is the optimization (or least square fit) algorithm, that is, given a profile or a set of m pairs of data points (x i,y i) , optimize the Sentaurus™ Process User Guide H-2013.03 187 3: Ion Implantation Automatic Extraction of Implant Moments parameter set β of the model function f ( x, β ) , so that the sum of the squares of the errors at each point becomes minimal: m S( β ) = [ y i – f ( x i, β ) ] 2 (119) i=1 Sentaurus Process uses the same optimizer as TSUPREM-4, which implements the popular Levenberg–Marquardt algorithm, also known as the damped least-squares method. Since this algorithm only finds the local minimum, the initial parameter values may affect the extracted results. Required Parameters To extract the moments, you must specify the parameters extract.moments and data.file in the implant command. The parameter extract.moments simply indicates that instead of performing an implantation or setting implantation parameters, the implant command is used to extract implant moments. The parameter data.file specifies the ASCII data file from where implant moments will be extracted. Optional Parameters To better control the extraction process, the following optional parameters are available in the implant command: ■ dualpearson (default), gaussian, and pearson specify the type of moments to be extracted. ■ ■ ■ rp, stdev, gamma, beta, rp2, stdev2, gamma2, beta2, and ratio specify the initial values for optimization. If not specified, initial values will be guessed from the profile data. data.units, data.xco, data.col, data.xlo, data.xhi, data.min, data.max specify how the data in data.file will be interpreted and retrieved. and max.iter specifies the maximum number of iterations allowed in the optimization loop. Default is 500. ■ 188 tolerance specifies the tolerance of target errors. Default is 0.1. Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Automatic Extraction of Implant Moments Output Format Extracting implant moments provides two types of output, at the same time, to facilitate further manipulation of the moments: ■ Command line. This is useful for copying and inserting the output into the implant command. The extracted moments are printed on the screen and in the log file in the format: rp= stdev= gamma= beta= ... ■ Tcl list. The output list of moments has the format: {model dualpearson rp stdev gamma beta ...} The output Tcl list can be converted into a Tcl array by using array set, which then can be used to access the moments conveniently. For example: set moms [implant extract.moments data.file=myfile] array set m $moms LogFile ''model = $m(model)'' LogFile ''rp = $m(rp)'' LogFile ''stdev = $m(stdev)'' Utilities The Tcl script ImplantTableMaker can be used to guide users through selecting implantation conditions to automatically create a Taurus format implant table from MC implantations. This script must be run in interactive mode, and you must input various implant parameters that are necessary to create an implant table. The resulting table is named _in__mystandard. NOTE Since each implant profile is extracted independently, and the Levenberg–Marquardt optimization algorithm can only find the local minima, slightly different profiles may result in totally different implant moments. Interpolation between these moments may not give optimal results. Therefore, when using the Tcl script ImplantTableMaker, the quality of implant tables cannot be guaranteed. Sentaurus™ Process User Guide H-2013.03 189 3: Ion Implantation Loading External Profiles Loading External Profiles Loading Files Using load.mc Precomputed profiles can be loaded to a given structure using the load.mc facility in Sentaurus Process, that is: implant load.mc file= If the load.mc switch is set in the implant command, Sentaurus Process takes the TDR file specified with the file selector and loads the datasets into the present structure. Interpolation of the datasets is performed if the structure in the TDR file is different from the present structure. Sentaurus Process attempts to find the doping profiles required from the implant species and the damage probability (for Crystal-TRIM) or damage dataset (for Sentaurus MC). For example, in the following statement: implant Boron load.mc file=my_data energy=10 dose=1e14 Sentaurus Process opens the files my_data{_fps}.tdr, and checks for the datasets Boron_LastImp and PD_LastImp (for Crystal-TRIM) or Damage_LastImp (for Sentaurus MC). If successful, these datasets are restored. If one or more of the required datasets is missing, the respective fields remain empty. Then, during implantation postprocessing, Boron_LastImp and Damage_LastImp are added to the Boron_Implant and Damage datasets. The following options are available in 2D structures: ■ shift=: Shifts the dataset along the y-axis. ■ flip: Flips the dataset; the default is a flip to the left. ■ left, right: Specifies the flipping direction. ■ multiply=: Multiplies the dopant data in the dataset by a factor; the damage remains untouched. NOTE 190 The switch load.mc restores the datasets from the files without checking the implant conditions specified in the implant command. Therefore, load.mc by itself does not require the implant parameters such as energy and dose be specified. However, Advanced Calibration and CoImplant models may use these parameters (energy and dose) for their calculations. Therefore, it is recommended that energy and dose always be specified along with load.mc. Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation Multithreaded Parallelization of 3D Analytic Implantation Automated Monte Carlo Run If no TDR file with the specified name is found or the file selector is empty, a separate run of Crystal-TRIM or Sentaurus MC is started to generate these files and the required datasets, depending on the setting of the MC implantation model in the PDB (default is sentaurus.mc): pdbSet MCImplant model {crystaltrim | sentaurus.mc} Sentaurus Process internally switches from the load.mc to crystaltrim or sentaurus.mc mode. All implant parameters related to MC implantation (particles, cascades, full.molecular) are used in this run. NOTE The load.mc feature is designed to reuse precomputed MC results. However, the profiles to load do not necessarily need to be generated using the MC method. Example implant BF2 dose=1e14 energy=40 tilt=20 rotation=-90 load.mc \ file=bf2_1e14_40 particles=500 cascades In the first run of this command, Sentaurus Process checks for the TDR file with the name bf2_1e14_40. Since there is no file with this name, a full-cascade Crystal-TRIM or Sentaurus MC run is started using the process parameters specified. At the end, the TDR file bf2_1e14_40_fps.tdr is saved. The following are stored as well: ■ All datasets related to the BF2 impurity profile (Boron_LastImp, Fluorine_LastImp). ■ The damage probability (PD_LastImp) or damage (Damage_LastImp). ■ The recoil profile (Silicon_LastImp) and vacancy profile (Vac_LastImp) because the command is run in the full-cascade mode. In a subsequent run of the same command, Sentaurus Process loads and restores these datasets in a preprocessing step. The postprocessing is the same as after a MC run. Multithreaded Parallelization of 3D Analytic Implantation Parallel processing has become ubiquitous with the advent of multicore processors. The performance of 3D analytic implantation can be improved dramatically by exploiting the parallel processing power of multicore processors. In multithreaded mode, each thread works on separate nodes, sharing the workload, thereby reducing the computation time. Sentaurus™ Process User Guide H-2013.03 191 3: Ion Implantation Multithreaded Parallelization of Sentaurus MC Implantation To engage the multithreaded parallelization of 3D analytic implantation, use the math command: math [ numThreads = | numThreadsImp3d = ] where numThreads is a general keyword for MC implantation, 3D analytic implantation, KMC, matrix assembly, and linear solver. However, numThreadsImp3d has a higher priority over numThreads for 3D analytic implants and can be used to create the number of threads specifically for 3D analytic implantation, which is different from that for other multithreaded operations. The value of numThreads or numThreadsImp3d must be equal to the number of cores in multicore processors. You also can modify the stack size for each thread using the command: math [ threadStackSize = ] The default stack size ( 2 18 = 262144 bytes) is usually sufficient for 3D analytic implantation. Multithreaded Parallelization of Sentaurus MC Implantation NOTE This feature is available to Sentaurus MC only. The performance of MC implantation (Sentaurus MC) also can be significantly improved by using multithreaded parallelization. In this approach, a large job with many particles ( N ) is divided into multiple ( m ) separate jobs with a smaller number of particles ( N ⁄ m ). Sentaurus Process then creates multiple threads and launches m instances of Sentaurus MC implant. Each instance of Sentaurus MC implant runs independently on its own thread. After these threads are finished, the results are averaged, thereby improving the effective execution speed for a large job. To engage the multithreaded parallelization of Sentaurus MC implantation, use the math command: math [ numThreads = | numThreadsMC = ] where numThreads is a general keyword for MC implantation, 3D analytic implantation, KMC, matrix assembly, and linear solver. However, numThreadsMC has higher priority over numThreads for MC implants and can be used to create the number of threads specifically for Sentaurus MC implant, which is different from that for other multithreaded operations. The value of numThreads or numThreadsMC should be equal to the number of cores in multicore processors. 192 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation References You also can modify the stack size for each thread using the command: math [ threadStackSize = ] The default stack size ( 2 18 = 262144 bytes) is usually sufficient for MC implantation. References [1] G. Hobler and S. Selberherr, “Two-Dimensional Modeling of Ion Implantation Induced Point Defects,” IEEE Transactions on Computer-Aided Design, vol. 7, no. 2, pp. 174– 180, 1988. [2] J. P. Biersack, “Basic Physical Aspects of High Energy Implantation,” Nuclear Instruments and Methods in Physics Research, vol. B35, no. 2, pp. 205–214, 1988. [3] J. F. Gibbons, W. S. Johnson, and S. W. Mylroie, Projected Range Statistics: Semiconductors and Related Materials, Pennsylvania: Dowden, Hutchinson & Ross, 2nd ed., 1975. [4] C. Zechner et al., “New Implantation Tables for B, BF2, P, As, In and Sb,” in 14th International Conference on Ion Implantation Technology (IIT), Taos, NM, USA, pp. 567–570, September 2002. [5] S. Tian, V. Moroz, and N. Strecker, “Accurate Monte Carlo Simulation of Ion Implantation into Arbitrary 1D/2D/3D Structures for Silicon Technology,” in MRS Symposium Proceedings, Silicon Front-End Junction Formation—Physics and Technology, vol. 810, San Francisco, CA, USA, pp. 287–292, April 2004. [6] S. J. Morris et al., “An Accurate and Efficient Model for Boron Implants Through Thin Oxide Layers into Single-Crystal Silicon,” IEEE Transactions on Semiconductor Manufacturing, vol. 8, no. 4, pp. 408–413, 1995. [7] S. Tian, “Predictive Monte Carlo ion implantation simulator from sub-keV to above 10 MeV,” Journal of Applied Physics, vol. 93, no. 10, pp. 5893–5904, 2003. [8] M. Posselt, “Crystal-TRIM and Its Application to Investigations on Channeling Effects During Ion Implantation,” Radiation Effects and Defects in Solids, vol. 130–131, pp. 87–119, 1994. Sentaurus™ Process User Guide H-2013.03 193 3: Ion Implantation References [9] A. F. Tasch et al., “An Improved Approach to Accurately Model Shallow B and BF2 Implants in Silicon,” Journal of the Electrochemical Society, vol. 136, no. 3, pp. 810– 814, 1989. [10] G. Hobler, E. Langer, and S. Selberherr, “Two-Dimensional Modeling of Ion Implantation with Spatial Moments,” Solid-State Electronics, vol. 30, no. 4, pp. 445– 455, 1987. [11] A. Stolmeijer et al., “General Expressions for the Impurity Distributions of B and P Implanted in SiO2,” Journal of the Electrochemical Society, vol. 135, no. 9, pp. 2309– 2311, 1988. [12] FLOOPS process and device simulator: http://www.flooxs.tec.ufl.edu/, October 2013. [13] H. Ryssel, W. Krüger, and J. Lorenz, “Comparison of Monte Carlo Simulations and Analytical Models for the Calculation of Implantation Profiles in Multilayer Targets,” Nuclear Instruments and Methods in Physics Research, vol. B19/20, no. 20, pp. 40–44, 1987. [14] G. Hobler and V. Moroz, “Initial Conditions for Transient Enhanced Diffusion: Beyond the Plus-Factor Approach,” in International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Athens, Greece, pp. 34–37, September 2001. [15] S. Strauss et al., “Analytic model for ion channeling in successive implantations in crystalline silicon,” Materials Science and Engineering B, vol. 124–125, pp. 376–378, December 2005. [16] S. Tian, “Accurate Monte Carlo simulation of fluorine and BF2 ion implantation into crystalline silicon,” Nuclear Instruments and Methods in Physics Research B, vol. 215, no. 3-4, pp. 403–412, 2004. [17] H. Goldstein, Classical Mechanics, Cambridge, Massachusetts: Addison-Wesley Press, 1950. [18] J. F. Ziegler, J. P. Biersack, and U. Littmark, “The Stopping and Range of Ions in Solids,” The Stopping and Ranges of Ions in Matter, vol. 1, New York: Pergamon Press, 1985. [19] M. T. Robinson and I. M. Torrens, “Computer simulation of atomic-displacement cascades in solids in the binary-collision approximation,” Physical Review B, vol. 9, no. 12, pp. 5008–5024, 1974. [20] J. Lindhard and M. Scharff, “Energy Dissipation by Ions in the kev Region,” Physical Review, vol. 124, no. 1, pp. 128–130, 1961. [21] O. S. Oen and M. T. Robinson, “Computer Studies of the Reflection of Light Ions from Solids,” Nuclear Instruments and Methods, vol. 132, pp. 647–653, 1976. [22] M. Posselt et al., “Modeling of Damage Accumulation during Ion Implantation into Single-Crystalline Silicon,” Journal of the Electrochemical Society, vol. 144, no. 4, pp. 1495–1504, 1997. 194 Sentaurus™ Process User Guide H-2013.03 3: Ion Implantation References [23] I. Santos et al., “Improved atomistic damage generation model for binary collision simulations,” Journal of Applied Physics, vol. 105, p. 083530, April 2009. [24] S. Tian, “Monte Carlo Simulation of Ion Implantation in Crystalline SiC With Arbitrary Polytypes,” IEEE Transactions on Electron Devices, vol. 55, no. 8, pp. 1991–1996, 2008. [25] A. Burenkov et al., “Simulation of BF3 Plasma Immersion Ion Implantation into Silicon,” in 19th International Conference on Ion Implantation Technology (IIT), Valladolid, Spain, pp. 233–236, June 2012. [26] X. B. Tian, D. T. K. Kwok, and P. K. Chu, “Modeling of incident particle energy distribution in plasma immersion ion implantation,” Journal of Applied Physics, vol. 88, no. 9, pp. 4961–4966, 2000. Sentaurus™ Process User Guide H-2013.03 195 3: Ion Implantation References 196 Sentaurus™ Process User Guide H-2013.03 CHAPTER 4 Diffusion This chapter provides information on the continuum models for dopant and defect diffusion models and parameters. (For an atomistic approach, see Chapter 5.) Overview During the fabrication process, dopants are introduced into the substrate with different concentration profiles. As processing proceeds through various thermal annealing cycles, the dopants diffuse and redistribute through the structure. The following effects contribute to dopant redistribution and can be modeled by Sentaurus Process: ■ Dopant (de)activation ■ Dopant–defect interaction ■ Chemical reactions at interfaces and in bulk materials ■ Material flow ■ Moving material interfaces ■ Internal electric fields Sentaurus Process is designed to address the challenges of integrated-circuit process modeling. As technology development continues, the need for new process models increases. The Alagator language is a versatile way to add and modify diffusion models quickly. This chapter describes the diffusion models in Sentaurus Process. To modify or add new diffusion models, see Modifying Diffusion Models on page 608. The diffuse command represents the main simulation capabilities of Sentaurus Process. It simulates: ■ ■ ■ Thermal annealing of impurities. Material growth processes during annealing, for example, oxidation, silicidation, and epitaxy (see Epitaxy on page 282 and Oxidation on page 615). Process-induced stress (see Chapter 9 on page 643). Sentaurus™ Process User Guide I-2013.12 197 4: Diffusion Basic Diffusion Basic Diffusion The diffuse command is used to model the diffusion of impurities under oxidizing and nonoxidizing conditions. The options of the diffuse command set diffusion conditions as well as time-stepping options. (See diffuse on page 908 for all options.) For example, a command for a simple non-oxidizing annealing at a temperature of 900°C for 10 s is: diffuse temperature=900 time=10 If you want to perform the same anneal with a wet (H2O) oxidizing ambient, execute the following command: diffuse temperature=900 time=10 H2O A simple temperature ramp can be specified directly in the diffuse command by the keyword ramprate. This keyword sets the change in the temperature over time: diffuse temperature=900 time=10 O2 ramprate=10 This example describes a dry oxidation of 10 minutes, starting at 900°C and ending at 1000°C . The same example can be repeated using the temp_ramp command as follows: temp_ramp name=MyTempRamp temperature=900 time=10 O2 ramprate=10 diffuse temp.ramp=MyTempRamp The first line creates a temperature ramp with given conditions, and the second line specifies a diffusion referring to this temperature ramp. To describe more complex temperature cycles within one diffuse command, multiple instances of the temp_ramp command can be used. A temperature ramp can consist of several segments and, for each segment, one temp_ramp command is required. In addition, segments can be grouped by using the same name for each segment. For example, a ramp-up, plateau, and ramp-down can be specified as: temp_ramp name=MyCycle temperature=500 time=5 H2O ramprate=100 temp_ramp name=MyCycle temperature=1000 time=10 O2 temp_ramp name=MyCycle temperature=1000 time=10 ramprate=-50 \ last diffuse temp.ramp=MyCycle The keyword last in the third temp_ramp command declares the last segment of the temperature ramp. Sentaurus Process allows for thermal oxidation from O2 and H2O. The gas_flow command is used to specify a mixed gas flow by specifying directly either the partial pressures of the gas components or the flow [volume/time]. If the flows are defined, they are converted to partial 198 Sentaurus™ Process User Guide I-2013.12 4: Diffusion Basic Diffusion pressures by taking ratios. The use of the gas_flow command is similar to the temp_ramp command; however, multiple gas flows using the same name must not be specified. When a gas_flow is specified, it can be referred to from both the temp_ramp and diffuse commands: gas_flow name=MyGasFlow pH2O=0.5 pO2=0.5 pH2=0.1 To invoke the gas flow specification as given above, use: temp_ramp name=MyTempRamp temperature=1000 time=10 gas.flow=MyGasFlow diffuse temp.ramp=MyTempRamp or: diffuse temperature=1000 time=10 gas.flow=MyGasFlow Sentaurus Process also allows you to select various diffusion models for point defects and dopants (see Transport Models on page 205). Diffusion model setting and parameter setting are performed with the pdbSet command. The basic settings are: pdbSet Dopant DiffModel where can be any of Constant, Fermi, Pair, React, ChargedFermi, ChargedPair, or ChargedReact. Epitaxy can be simulated if either the Epi (also known as epi) or LTE ambient is specified in either the temp_ramp or diffuse command. If Epi is specified, Silicon will grow on Silicon and PolySilicon will grow on PolySilicon. If the LTE ambient is specified, Silicon will again grow on Silicon, but PolySilicon will grow on Oxide, Nitride, and PolySilicon. pdbSet Silicon Dopant DiffModel Pair diffuse temperature=800 time=60 Epi thick=0.01 \ epi.doping = {Germanium = 8e21} This example sets the dopant diffusion model for all dopants in silicon to the Pair model and 21 grows a 0.01 µm thick epi layer with a Germanium concentration of 8 × 10 . It is also possible to set the initial diffusion time-step and the minimum annealing temperature with the diffuse command. diffuse temp.ramp=MyCycle minT=600 init=0.01 This example uses the temp_ramp created in the earlier example. The initial time step is set to 0.01 s and the minimum annealing temperature is set to 600°C . The diffusion and reaction equations will be switched off below 600°C but the mechanics will be solved. Sentaurus™ Process User Guide I-2013.12 199 4: Diffusion Basic Diffusion If you want to set the minimum annealing temperature and initial time-step globally for all diffusion commands, the following commands can be used: pdbSet Diffuse minT {} pdbSet Diffuse InitTimeStep {} It is also possible to set minimum and maximum temperature limits for the annealing process using the following commands: pdbSet Diffuse minAnnealT pdbSet Diffuse maxAnnealT If the annealing temperature goes above or below these limits, Sentaurus Process will quit with an error message. See Viewing the Defaults: Parameter Database Browser on page 60 for other parameters related to Diffuse. Obtaining Active and Total Dopant Concentrations By default, active and total dopant concentrations are only updated during diffusion steps. For example, after an implant, the active concentrations (BoronActiveConcentration, ArsenicActiveConcentration, and so on) and the total concentrations (BoronConcentration, ArsenicConcentration, and so on) are not modified, which makes them out of date. Similarly for other commands that can change the dopant concentrations, the active and total concentrations are not updated. These commands include, but are not limited to, select, load, init, and profile. After one of these commands is issued, the active and total dopant concentrations may not be current. To update the active and total dopant concentrations use the diffuse time=0 ... command. NOTE To update the active and total concentrations of the dopants without dopant redistribution, the diffuse command with zero time can be used. For example, to calculate the active dopant concentration at 850°C for the chosen diffusion model, use: diffuse time=0.0 temperature=850 NOTE 200 Since the diffuse command performs the recrystallization and the initialization of clusters even with zero time, it must not be added between consecutive implantation steps. Sentaurus™ Process User Guide I-2013.12 4: Diffusion Basic Diffusion See diffuse on page 908 for all options of the diffuse command. Transport Models Sentaurus Process has several basic transport models with varying levels of complexity for computing flux, J . This diversity of models is needed to balance accuracy with simulation times, which vary widely depending on the model selection: ■ ■ ■ ■ The React (see React Diffusion Model on page 212) and ChargedReact (see ChargedReact Diffusion Model on page 206) diffusion models, also known as five-stream diffusion models, are the most advanced dopant diffusion models in Sentaurus Process. They solve up to three separate equations per dopant – a substitutional dopant – and up to two dopant–defect pairs and two defect equations. The ChargedReact model is the most accurate model available in Sentaurus Process. but because of the large number of equations required, it also is the most computationally expensive. The React model, which is an uncharged version of the ChargedReact model, is provided for backward compatibility. The Pair (see Pair Diffusion Model on page 216) and ChargedPair (see ChargedPair Diffusion Model on page 214) diffusion models, also known as three-stream diffusion models, assume that dopant–defect pairs are in local equilibrium but still solve for separate point-defect equations. These models solve one equation per dopant and two defect equations. The ChargedPair diffusion model allows the pairing coefficients to vary with charge state. These models are the most commonly used for advanced CMOS processes as they represent a balance between accuracy and computational expense. For extremely fast ramp rates or for customized initial conditions, the ChargedReact model or React model is a better choice. The Pair model, which is an uncharged version of the ChargedPair model, is provided for backward compatibility. The Fermi (see Fermi Diffusion Model on page 219) and ChargedFermi (see ChargedFermi Diffusion Model on page 217) diffusion models both assume that point defects as well as dopant–defect pairs are in equilibrium. The ChargedFermi diffusion model allows the diffusivity of each charge state to be set separately. An uncharged version of the model is provided for backward compatibility. These models can be used for longterm high-temperature anneals where the transient effect of annealing implant damage is minimal. The Constant diffusion model (see Constant Diffusion Model on page 220), unlike all other transport models, assumes a constant diffusivity and no electric-field effect, and is used mainly for dopant diffusion in oxide. The selection of transport model is specified as follows: pdbSet Dopant DiffModel Sentaurus™ Process User Guide I-2013.12 201 4: Diffusion Basic Diffusion where must have one of the valid diffusion model names – Constant, Fermi, Pair, React, ChargedFermi, ChargedPair, or ChargedReact. It is also possible to select a different diffusion model for each dopant in the same material. In this case, use the command: pdbSet DiffModel where is a valid dopant name (for example, Boron). The ChargedFermi, ChargedPair, and ChargedReact diffusion models take into account each charged point defect individually. Otherwise, they are very similar to the Fermi and Pair diffusion models. Summary of dopant diffusion models and parameters ChargedPair Pair pdbSet D Diffusivity X X X X pdbSet Dstar Diffusivity pdbSet Dstar Diffusivity pdbSet D Diffusivity pdbSet ChargePair Pairing Coeff. 202 X X Constant Type React Diffusion model ChargedReact pdb command Fermi Table 11 Even though you can select any diffusion model individually for each dopant, it is not recommended to mix the ChargedFermi, ChargedPair, or ChargedReact models with the uncharged versions. ChargedFermi NOTE X X X X X X X X X X pdbSet Binding Pairing Coeff. pdbSet kfFTM Rate X pdbSet kfKickOut Rate X pdbSet ChargeStates Charging Coeff. X X X X X pdbSet ChargeStatesScale Charging Coeff. X X X X X pdbSet Cstar Concentration X X X X X pdbSet KbulkChargeStates Charging Coeff. X X X X X X X Sentaurus™ Process User Guide I-2013.12 4: Diffusion Basic Diffusion In Table 11, is a valid material name, is a valid dopant name, and is either Int or Vac. Recombination and Reaction Models Many reactions and recombination models are available in Sentaurus Process. Different diffusing species such as dopants, defects, and impurities will all have different recombination and reaction terms. These terms come from the following models: ■ ■ ■ Dopant clusters–solid solubility, transient, and dopant–defect cluster models (see Dopant Activation and Clustering on page 292). Defect clusters–Equilibrium, {311}, Loop, LoopEvolution, 1Moment, 2Moment, Full, and FRENDTECH models (see Defect Clusters on page 319). Impurity species: • Carbon model, Nitrogen model (see NeutralReact Diffusion Model on page 220). • Fluorine model (see Dopant Active Model: FVCluster on page 304). Boundary Conditions Sentaurus Process can simulate various boundary conditions for dopants and defects. You can select eight different boundary conditions: ■ HomNeumann can be applied to any boundary (see HomNeumann on page 357). ■ Natural is for point defects (see Natural on page 358). ■ Segregation is for dopants (see Segregation on page 361). ■ Dirichlet is for dopants and defects (see Dirichlet on page 364). ■ ThreePhaseSegregation is for dopants (see ThreePhaseSegregation on page 365). ■ GrainBoundarySegregation is for dopants in polycrystalline materials (see Boundary Conditions on page 258). ■ GrainGrainBoundarySegregation is for dopants in polycrystalline materials (see Boundary Conditions on page 258). ■ Trap is for dopants such as fluorine and nitrogen in trap-dependent oxidation. ■ TrapGen is for dopants such as nitrogen in N2O oxidation. ■ Continuous is for dopants used only during epi growth (see Continuous on page 369). The Natural and Dirichlet boundary conditions consider interstitial injection during oxidation for oxidation-enhanced diffusion (OED) of dopants. Sentaurus™ Process User Guide I-2013.12 203 4: Diffusion General Formulation Other Materials and Effects In addition to generic transport and recombination and reaction models, other effects can be simulated: ■ Polysilicon model (see Diffusion in Polysilicon on page 242). ■ SiGe diffusion model (see Dopant Diffusion in SiGe on page 260). ■ Epitaxy (see Epitaxy on page 282). General Formulation The general expression for the particle current of a diffusing species A of charge c is given by: J A c = –d A c n ---ni –c c n ∇ A ---ni c (120) c where A is the concentration, d Ac is the diffusivity, n is the electron concentration, and n i is the intrinsic electron concentration. The continuity equation for species A of charge c is given by: c ∂A trans clus = –∇•J c+R c –R c A A A ∂t (121) trans where the recombination/reaction term is split into two parts: R Ac is a possible contribution clus coming from the transport model selection (see Transport Models on page 205) and R Ac can contain terms from other reactions, which are most often clustering reactions but could include c any type of reaction. Reactions that transform species A into another species will introduce clus positive terms into the expression for R Ac . Total dopant concentration of dopant A will be equal to the sum of all dopants, dopant–defect pairs, and any related clusters (for example, c c c c A Total = A + A pair + A clus ). For the models that do not consider different charge states, computation of the electron concentration by default is given by the charge neutrality condition – n + p + ∆N = 0 , where ∆N is given by the active dopant concentrations (for example, ∆N = N d – N a where N d is the active donor concentration and N a is the active acceptor concentration). For the charged models, the charge states of the defects or defect pairs are considered individually. 204 Sentaurus™ Process User Guide I-2013.12 4: Diffusion Transport Models It is expected that the charge reactions are in equilibrium, so that the ratio in the various charged states is set by the Fermi level: A c+r = k cC A A r n ---ni –( c ) (122) where r is a reference charge state, which is chosen as 0 for interstitials and vacancies, and is chosen as the dopant charge for dopant–defect pairs. The k Ac are parameters that are set by default to an Arrhenius expression. In addition, for the charged models, it is necessary to solve a coupled equation for the electron concentration. The default equation is the same as for the uncharged case, that is, the charge neutrality equation – n + p + ∆N = 0 but, in this case, ∆N is a function of n because it contains contributions from charged defects or charged defect pairs as well as dopants. It is also possible for both the charged and uncharged models to solve the Poisson equation (see Electron Concentration on page 276). Transport Models Transport models compute the particle flux of dopants and are the core diffusion models solved by Sentaurus Process. In addition to particle flux, pairing reactions can be computed depending on the transport model selection. Transport models are usually used with one or more clustering or activation models available. The reaction or clustering models will not modify the c dopant flux, but will compute terms to be added to R clus from Eq. 121. The models are described in detail here. The selection of the transport model is made with the command: pdbSet DiffModel where is the material name; can be either "Dopant" to apply to all dopants or a named dopant such as boron, arsenic, phosphorus, antimony, and indium; and is one of the models ChargedReact, React, ChargedPair, Pair, ChargedFermi, Fermi, or Constant. Table 12 Solution names Symbol Boron Arsenic Phosphorus Antimony Indium CA Boron Arsenic Phosphorus Antimony Indium C AI BoronInt ArsenicInt PhosphorusInt AntimonyInt IndiumInt C AV BoronVac ArsenicVac PhosphorusVac AntimonyVac IndiumVac + CA BActive AsActive PActive InActive Sentaurus™ Process User Guide I-2013.12 SbActive 205 4: Diffusion Transport Models Table 13 Point-defect names Symbol Interstitial Vacancy CX Int Vac * CX EqInt EqVac * C 0 X IntNeutralStar VacNeutralStar C IntNeutral X 0 VacNeutral The transport for point defects is computed when Compute.Point.Defect is set to 1: pdbSet Compute.Point.Defect <0 | 1> ChargedReact Diffusion Model The ChargedReact diffusion model is the most general transport model in Sentaurus Process. The model has an immobile substitutional dopant and up to two mobile charged dopant–defect pair species. Mobile charged point defects are also included in the model. The following reactions are considered: z i A + I ↔ AI z i (z + j) A + V ↔ AV i – ( i – j )e (z + j) – ( i – j )e j I + V ↔ – ( i + j )e AI (z + i) AV (124) (125) j z (126) j z (127) + V ↔ A – ( i + j )e (z + i) (123) + I ↔ A – ( i + j )e The differential equations that are solved in this model are: 206 ∂C A clus = – R AI – R AV + R AI, V + R AV, I – R A ∂t (128) ∂C AI clus = – ∇ • J AI + R AI – R AI, V – R AI ∂t (129) Sentaurus™ Process User Guide I-2013.12 4: Diffusion Transport Models ∂C AV clus = – ∇ • J AV + R AV – R AV, I – R AV ∂t (130) ∂C I clus = – ∇•J I – R IV – R AI – R AV, I – R I ∂t (131) ∂C V clus = – ∇•J V – R IV – R AV – R AI, V – R V ∂t (132) where C A is the concentration of substitutional (and assumed to be immobile) dopants, C X is the concentration of ‘free’ defects of type X (either interstitials or vacancies), in other words, those defects not in clusters or pairs. The reaction rates of the different species ( R ) are defined later in this section. Next, the flux of the mobile defect pair is considered. Working with Eq. 120, p. 204 for the charged pairs, the equation will be written in terms of the total concentration of pairs. It is expected that the dopant-defect pairing reaction is in equilibrium, therefore, a set of constants for this pairing is defined: C AX z+c = k AX c C zC A X c (133) where X is either I or V, z is the charge of the dopant A , and k AXc is the pairing coefficient for the pair AX , and is given by: E k AX c = 0 k c exp AX –k c AX ------------kB T (134) To set k AXc , use: pdbSet ChargePair {} where is a material name (see), is one of the existing Sentaurus Process dopants, is either Interstitial or Vacancy, is the charge state, and is a Tcl expression that returns a number – it can be simply a number. One commonly used Tcl procedure for setting parameters is Arrhenius. This procedure takes – energy a prefactor and an energy as arguments and returns prefactor ⋅ exp -------------------- . kB T Sentaurus™ Process User Guide I-2013.12 207 4: Diffusion Transport Models The flux of the pairs is computed from Eq. 120, p. 204: J AX = – J AX c c = – D AX C AX n ---∇ -------------------------------------------------* n –q ni C 0 k q k q ---X AX X n i –c –z n ---ni c c z (135) q where C AX is the total concentration of pairs that is the sum of the concentrations of pairs at every charge state and D AXc is an effective diffusivity of dopant point-defect pairs at charge state c and is related to the self diffusivity d AXc by: D * AX = C 0k c X AX c k cd X AX c E 0 D c exp AX = –D c AX --------------kB T (136) * where C X0 is the equilibrium concentration of the neutral defects and is related to the total equilibrium intrinsic concentration of defect X by: * C * 0 X C X ( intrinsic ) = ----------------------------k c (137) X c * * The quantities C I ( intrinsic ) and C V ( intrinsic ) , which by default follow an Arrhenius law, can be changed by using the command: pdbSet Cstar {} To set D AXc , use: pdbSet D {} A set of equilibrium-charging constants, k Xc , for defect X is defined: C X c = k cC X X 0 n ---ni –c (138) where X is either I or V, and k Xc is the charging coefficient for the defect X and is given by: E k 208 X c = 0 k c exp X –k c X ---------kB T (139) Sentaurus™ Process User Guide I-2013.12 4: Diffusion Transport Models To set k Xc , use: pdbSet ChargeStates {} NOTE The neutral charge state must always be 1.0. Similar to the pairs, the defect fluxes are computed from Eq. 120, p. 204: JX = – J X c c n –c * ---- C X X ni CX c = – ---------------------------------------------∇ ------*– q n CX k q ---X n i k cD (140) c X q where C X is the total concentration of defects that is the sum of the concentrations of defect X at every charge state and D Xc is the diffusivity of the defect X of charge state c and is given by: D X c = d X c (141) E = 0 D c exp X –D c X -----------kB T To set D Xc , use: pdbSet D {[Arrhenius ]} Now, the reaction rates can be written by considering Eq. 123, p. 206 to Eq. 127, p. 206 and the general formula for the rate of all combinations of charge states: a b c A + B ↔ AB + ( c – a – b )e R a b A ,B ,c = k f a b A ,B ,c C aC A B b –k r a b C c A , B , c AB n ---ni (c – a – b) (142) Therefore, summing all possible charge states gives: C AX f R AX ≡ – K AX C A C X – --------r K AX f r * * (144) r * * (145) R AI, V ≡ K AI, V ( C AI C V – K AX C I C V C A ) f R AV, I ≡ K AV, I ( C AV C I – K AX C I C V C A ) Sentaurus™ Process User Guide I-2013.12 (143) 209 4: Diffusion Transport Models where: n –i ---ni f i K AX ≡ -------------------------------------------n –c k c ---X n i (146) n –i ---ni r i K AX ≡ -------------------------------------n –c k c ---X n i (147) n –( i + j ) ---AI V n i f i j K AI, V ≡ --------------------------------------------------------------------------n –c n –z k c ---k z ---AI n i V ni (148) n –( i + j ) ---ni f i j K AV, I ≡ --------------------------------------------------------------------------n –c n –z k c ---k z ---AV n i I ni (149) Kf X KOi k X i c k i k AX X i c Kf i j FT AI , V k i c k j z Kf i j FT AV , I k i k AV I c j z f where K AX is the forward reaction rate for the kick-out mechanism, and X is either interstitial f f or vacancy, K AI, V and K AV, I are forward reaction rates for the Frank–Turnbull mechanism and r K AX is the equilibrium constant. The forward ( K fX KOi, j ) kick-out reaction rates can be set by using the following commands: pdbSet kfKickOut {} where c is the charge state. By default, kfKickOut values for each charge state are given as: D Kf X KOi ≡ * C i AX X k i X i -------------------------------2 k 0λ X (150) where λ is the hopping length, which can be set using the command: pdbSet lambdaK f f Similarly, the forward ( K AI, V , K AV, I ) Frank–Turnbull reaction rates can be defined using the commands: pdbSet kfFTM {} 210 Sentaurus™ Process User Guide I-2013.12 4: Diffusion Transport Models NOTE The indices of the forward recombination rates have the form of i, j . Both i and j are integers and are separated by a comma; no space is allowed between the indices. The I–V recombination reaction is given as: * * (151) R IV = K IV ( C I C V – C I C V ) where: * * C I ( intrinsic ) C V ( intrinsic ) K IV = ---------------------------------------------------------* * CI CV k z k z I z V KIi Vj k i k I V i j j n ---ni –( i + j ) (152) z The superscript ‘*’ refers to the equilibrium concentration, and the subscripts I and V are for the interstitials and vacancies, respectively. The subscripts z, i, j are the charge states of the defects. K Ii Vj is the bulk recombination rate for interstitials and vacancies at the charge stated i and j , respectively. The bulk recombination rate K Ii Vj for each charged point defect can be set using the command: pdbSet KbulkChargeStates {} The equilibrium concentration of the unpaired point defect can be calculated by: n –c ---ni * c = C X ( intrinsic ) ----------------------------s k Xc k * CX X c (153) c where k s X c is the scaled charging coefficient for the defect X and can be set by using: pdbSet ChargeStatesScale {} k s X c is set to k Xc as a default. NOTE The indices for the parameter KbulkChargeStates have the form of i, j . Both i and j are integers and are separated by a comma; no space is allowed between the indices. Sentaurus™ Process User Guide I-2013.12 211 4: Diffusion Transport Models React Diffusion Model The React model is similar to the ChargedReact model, except that the reaction rates are not charge state–dependent and the electron concentration is computed directly from the net doping concentration. In addition, the Frank–Turnbull mechanism is not considered. The reactions considered are: A + I ↔ AI (154) A + V ↔ AV (155) where A is the dopant, I is the interstitial, and V is the vacancy. The following set of differential equations represents the model: ∂C A clus = – R AI – R AV – R A ∂t (156) ∂C AX clus = – ∇•J AX + R AX – R AX ∂t (157) ∂C X clus = – ∇•J X – R IV – R AX – R X ∂t (158) where C A is the concentration of substitutional (and assumed to be immobile) dopant and C X is the concentration of ‘free’ defects of type X (either interstitials or vacancies), that is, those defects not in clusters or pairs. Next, the flux of the mobile–defect pair is considered. In this model, the reaction rates are assumed to be independent of the charge state, so the pair charging constants are only needed for the flux of the pairs and are absorbed into the diffusivity of the pairs in this way: n –c –z ---AX n i C AX n c = ----------------------------------------------- ∇--------- ---* B AX CX ni – J AX 212 D c z (159) Sentaurus™ Process User Guide I-2013.12 4: Diffusion Transport Models where z is the charge state of dopant A , X is either interstitial or vacancy, and D AXc is the effective diffusivity of dopant point-defect pair at charge state c and is related to the bare diffusivity, d AXc by: D AX c * X = C 0 B AX k c d X AX c E = D 0 AX c –D c AX exp --------------kB T (160) where k Xc is a set of equilibrium charging constants for defect X defined by Eq. 138, p. 208 and Eq. 139, p. 208, and C*X0 is the equilibrium concentration of the neutral defects defined by Eq. 137, p. 208. To set D AXc , use: pdbSet D {} where: ■ is a material name (see Material Specification on page 52). ■ is one of the existing Sentaurus Process dopants. ■ is either Interstitial or Vacancy. ■ is the charge state. ■ is a Tcl expression that returns a number; it can be simply a number. One commonly used Tcl procedure for setting parameters is Arrhenius. This procedure takes – energy a prefactor and an energy as arguments and returns prefactor ⋅ exp -------------------- . kB T You can modify the entire array with the command (for example, arsenic–vacancy pairs): pdbSet Si Arsenic Vac D { 0 {[Arrhenius 0.0 3.45]} -1 {[Arrhenius 12.8 4.05]} } The defect flux J X is the same as the ChargedReact model and is given by Eq. 140, p. 209. The reaction can be written as: C AX + R AX ≡ K AXr C A C X – ---------B AX (161) where X is either interstitial or vacancy, B AX is the binding coefficient of defect X and dopant + A , K AXr is the rate constant for the chemical reaction, and C A is the active portion of C A . Sentaurus™ Process User Guide I-2013.12 213 4: Diffusion Transport Models The binding term between the defect and dopant also follows the Arrhenius law: – B AXE B AX = B AX0 exp ---------------kT (162) The term can be changed with the command: pdbSet Binding {} The chemical reaction term is expressed with: – K rE K r = K r0 exp -----------kT (163) and can be modified by using the command: pdbSet Krate {} The defect recombination rate R IV is the same as in the ChargedReact model and is given by Eq. 151, p. 211. ChargedPair Diffusion Model The ChargedPair diffusion model assumes that the dopant–defect pairs are in local equilibrium with the dopant and defect concentration. Point defects themselves are not assumed to be in equilibrium. The kick-out mechanism that describes the dopant–defect pairing is given by: z c A + I ↔ AI z c (z + c) A + V ↔ AV (z + c) (164) (165) and is assumed to be in equilibrium. In these two equations, A is the dopant, I is the interstitial, V is the vacancy, and c is the charge state. The differential equations solved with this model are: 214 ∂C A clus = – ∇•J A – R A ∂t (166) clus ∂ (C ) = – ∇•J X – ∇•J A – R IV – R X ∂ t X total (167) Sentaurus™ Process User Guide I-2013.12 4: Diffusion Transport Models where C Xtotal ≡ C X + C AX is the total interstitial concentration including dopant–defect X pairs but excluding clusters, J A is the sum of AI and AV pair fluxes, J X is the defect flux, and C A is the total dopant concentration excluding clusters. To write an expression for the pair fluxes, it is necessary to first define the equilibrium constants, k AXc , for the pairing reactions: + C AX ≡ C A C X k 0 AX c k X c c n ---ni –c (168) + where X is either interstitial or vacancy, c is the charge state of the point defect, C A is the active portion of C A , and C X0 is the concentration of the neutral point defect X . The ionization equilibrium constant k Xc is given by Eq. 138, p. 208 and Eq. 139, p. 208. The pairing coefficients for the dopant–defect pairs with different charge states, k AXc , can be modified with the command: pdbSet ChargePair {} where is a material name (see Material Specification on page 52), is one of the existing Sentaurus Process dopants, is either Interstitial or Vacancy, is the charge state, and is a Tcl expression that returns a number; it can be simply a number. The flux for the impurity is given by: JA = – D c, X AX c n ---ni –c –z C + X0 n ---∇ C A -------* C 0 ni z (169) X where D AXc is the effective diffusivity of dopant point-defect pair at charge state c , z is the + charge state of dopant A , C A is the active portion of C A , C X0 is the concentration of the neutral * point defect X , and C X0 is the equilibrium concentration of the same defect and is given by Eq. 137, p. 208. The effective diffusivity is related to the bare dopant–defect diffusivity, d AXc , by: D AX c * X = C 0k ck X AX c d AX c E = 0 D c exp AX –D c AX --------------kB T (170) You can set D AXc by using: pdbSet D {} Sentaurus™ Process User Guide I-2013.12 215 4: Diffusion Transport Models NOTE Diffusion coefficients D for the ChargedPair model and Dstar for the ChargedFermi model include the interstitial efficiency factors. Both the flux for the defects ( J X ) and the defect recombination rate ( R IV ) are the same as the ChargedReact model and are given by Eq. 140, p. 209 and Eq. 151, p. 211, respectively. Pair Diffusion Model The Pair diffusion model is similar to the ChargedPair model except that the reaction rates are not charge state–dependent, and the electron concentration is computed directly from the net doping concentration. In addition, the Frank–Turnbull mechanism is not considered. The kick-out mechanism, which describes the dopant–defect pairing, is given by: A + I ↔ AI (171) A + V ↔ AV (172) and is assumed to be in equilibrium. In these two equations, A is the dopant, I is the interstitial, and V is the vacancy. As in the ChargedPair model, the following set of differential equations is solved: ∂C A clus = – ∇•J A – R A ∂t (173) ∂ clus (C ) = – ∇•J X – ∇•J A – R IV – R X ∂ t X total (174) where C Xtotal ≡ C X + C AX is the total interstitial concentration including dopant–defect X pairs but excluding clusters, J A is the sum of AI and AV pair fluxes, J X is the defect flux, and C A is the total dopant concentration excluding clusters. An equilibrium constant for the pairing reactions is defined and given by: + (175) C AX ≡ B AX C A C X where X is either interstitial or vacancy, B AX is the binding coefficient of defect X and dopant + A , and C A is the active portion of C A . The binding term between the defect and dopant also follows the Arrhenius law: – B AXE B AX = B AX0 exp ---------------kT 216 (176) Sentaurus™ Process User Guide I-2013.12 4: Diffusion Transport Models The term can be changed with the command: pdbSet Binding {} The flux for the impurity is given by: JA = – D c, X AX c n ---ni –c –z + CX n ∇ C A ------*- ---CX ni z (177) where D AXc represents the diffusivity of dopant point-defect pairs at charge state c , z is the + charge state of dopant A , C A is the active portion of C A , and X is either interstitial or vacancy. The effective diffusivity is related to the bare dopant–defect diffusivity, d AXc , by: D AX c * X = C 0k cB X d AX AX c E = 0 D c exp AX –D c AX --------------kB T (178) * where C X0 is the equilibrium concentration of the neutral point defect X and the ionization equilibrium constant, k Xc , is defined by Eq. 138, p. 208 and Eq. 139, p. 208. To modify diffusivity terms, use the command: pdbSet D {} Both the flux for the defects ( J X ) and the defect recombination rate ( R IV ) are the same as the ChargedReact model and are given by Eq. 140, p. 209 and Eq. 151, p. 211, respectively. ChargedFermi Diffusion Model The ChargedFermi diffusion model is similar to the ChargedPair diffusion model, except that charged point defects are considered to be in equilibrium; no point-defect equations are solved for inert diffusion conditions if the point defect clusters are not turned on. If the point defect clusters are turned on or the oxidation is on, the point defect equations will be turned on automatically. The substitutional dopants are immobile and the total dopant flux is due to the dopant–defect pairs. The following set of differential equations is solved along with the potential equation Eq. 354, p. 276: ∂C A = – ∇•J A ∂t Sentaurus™ Process User Guide I-2013.12 (179) 217 4: Diffusion Transport Models As in the ChargedPair model, a set of pairing constants ( k AXc ) that define the pair concentration is defined: + C AX ≡ C A C X k 0 AX c k X c c n ---ni –c (180) where: ■ X is either interstitial or vacancy. ■ c is the charge state of the point defect. ■ z is the charge state of dopant A . ■ C A is the active portion of C A . + The ionization equilibrium constant k Xc is given by Eq. 138, p. 208 and Eq. 139, p. 208. The dopant flux J A is given by: JA = – D c, X AX c n ---ni –c –z C + X0 n ∇ C A ----------* C 0 ni z (181) X where: ■ D AXC is the effective diffusivity of dopant point–defect pairs at charge state c . ■ C X0 is the concentration of the neutral point defect X . ■ C X0 is the equilibrium concentration of the same defect and is given by Eq. 137, p. 208. ■ C X0 will be equal to C X0 if the point-defect equations are switched off. ■ D AXC is related to the bare diffusivity d AXc by: * * D AX c * X = C 0k AX c k cd X AX c (182) E = D 0 c exp AX –D c AX --------------kB T To set D AXc use: pdbSet Dstar {} The pairing coefficients for the dopant–defect pairs with different charge states, k AXc , can be defined with the command: pdbSet ChargePair {} NOTE 218 If the point-defect clusters are switched off and oxidation is switched on, only the interstitial point-defect equation will be switched on. Sentaurus™ Process User Guide I-2013.12 4: Diffusion Transport Models Fermi Diffusion Model The Fermi diffusion model is more complex than the Constant diffusion model. It assumes that point defects are in equilibrium and it includes electric-field effects. Therefore, the pointdefect equations are not solved. As in the ChargedPair model, the following set of differential equations is solved: ∂C A = – ∇•J A ∂t (183) where J A is the sum of AI and AV pair fluxes, and C A is the total dopant concentration including clusters. An equilibrium constant for the pairing reactions is defined and given by: + (184) C AX ≡ B AX C A C X where X is either interstitial or vacancy, B AX is the binding coefficient of defect X and dopant + A , and C A is the active portion of C A . The dopant flux is given by: JA = – D X, c AX c n ---ni –c –z + n ∇ C A ---ni z (185) where: ■ c is the charge state of the point defect. ■ z is the charge state of dopant A . ■ C A is the active portion of C A . ■ X is either interstitial or vacancy. ■ + D AXC is the effective diffusivity of dopant point-defect pairs at charge state c and is related to the bare diffusivity d AXc by: D AX c * X = C 0 B AX k c d X AX c E = D 0 c exp AX –D c AX --------------kB T (186) The pairing ratio B AX only appears in the formula for D and cannot be modified independently in the Fermi model. You can set D AXc by using: pdbSet Dstar {} Sentaurus™ Process User Guide I-2013.12 219 4: Diffusion Transport Models Constant Diffusion Model The Constant diffusion model is the simplest diffusion model used in Sentaurus Process and is mainly for dopant diffusion in oxide. It assumes that there is no interaction between dopants and point defects, and that there are no electric-field effects on dopant diffusion. The pointdefect equations are also switched off. The impurity diffusion is given by: ∂C A + = ∇•( D star ∇C A ) ∂t (187) + where D star is the intrinsic diffusivity of the impurity A and C A is the active portion of C A . The diffusivity follows the Arrhenius law: – D starE D star = D star0 exp ------------------kT (188) For example, the command: pdbSet Silicon Arsenic Dstar {[Arrhenius 6.66e-2 3.44]}) sets the D star0 to 6.66 × 10 is: –2 2 cm /s and D starE to 3.44 eV. The general format of the command pdbSet Dstar {} NOTE Unlike the ChargedFermi model, Dstar is not defined as an array for the Constant model. NeutralReact Diffusion Model NeutralReact diffusion in silicon is close to the React model (see React Diffusion Model on page 212) except that there are no charged atoms. The model can be switched on using the command: pdbSet DiffModel NeutralReact NeutralReact diffusion in silicon is described by a kick-out mechanism [1]. Other mechanisms such as dissociation and clustering can also be taken into account. In the integration in Sentaurus Process, each of these mechanisms is described by one or more terms: 220 A + I ↔ AI (189) AI + V ↔ A (190) Sentaurus™ Process User Guide I-2013.12 4: Diffusion Transport Models where A is the substitutional dopant, AI is the mobile dopant–interstitial pair, I is interstitial, and V is vacancy. The first reaction is the kick-out reaction and the second one is the dissociation reaction. These reaction can be written as: (191) R KickOut ≡ K fI ( C A C I – B AI C AI ) * * R Dissociation ≡ K fV ( C AI C V – C I C V B AV C A ) (192) where: ■ C A is the concentration of substitutional dopant atoms. ■ C AI is the concentration of mobile dopant atoms. ■ Kf I and Kf V are the forward reaction rates. ■ B AI and B AV are the binding coefficients. To set, use: pdbSet pdbSet pdbSet pdbSet Interstitial Vacancy Interstitial Vacancy Kf Kf Bind Bind {} {} {} {} The differential equations that describe the model are: ∂C A = R Dissociation – R KickOut ∂t (193) ∂C AI = ∇•( D 0 ∇C AI ) + R KickOut – R Dissociation AI ∂t (194) where D AI0 is the diffusivity of mobile dopant–interstitial pairs and can be set using the command: pdbSet Interstitial D 0 {} Carbon Diffusion Model Carbon diffusion is a typical example for the NeutralReact diffusion model. The kick-out reaction rate is defined by: pdbSet Silicon Carbon Interstitial Kf {\ [expr ([pdbGetElement Si Carbon D 0]/([pdbDelayDouble Si Carbon\ MigrationLength]* [pdbDelayDouble Si Carbon\ MigrationLength]*[pdbDelayDouble Si Int Cstar]))]\ } Sentaurus™ Process User Guide I-2013.12 221 4: Diffusion Transport Models D 0 C will set K fI to ---------------------------------------. 2 * λ C I ( intrinsic ) λ is the migration length (cm) of carbon atoms and D C0 is the diffusivity of carbon, and these parameters can be set using the commands: pdbSet Carbon MigrationLength {} pdbSet Carbon D 0 {} For the details of the carbon-clustering model, see Carbon Cluster on page 303. Nitrogen Diffusion Model Nitrogen diffusion is defined according to the Constant diffusion model by default. However, instead of the Constant model, the NeutralReact diffusion model can be used for nitrogen diffusion. If the NeutralReact model is specified for nitrogen diffusion, the nitrogen dimer forms and diffuses. The dimer is formed by the following reaction: NI + NI ↔ N2 (195) In the above reaction, NI is the monomer, in other words, nitrogen interstitial N i , and N2 denotes the dimer ( N i ) 2 , which has the solution name NDimer. The nitrogen monomer and dimer equations are formulated by: ∂C N = R Dissociation – R KickOut – R NV ∂t (196) ∂C NI = ∇•( D 0 ∇C NI ) + R KickOut – R Dissociation – R N2 NI ∂t (197) ∂C N2 = ∇•( D N2 ∇C N2 ) + R N2 – R N2V ∂t (198) ∂C N2V = R N2V – R N2V2 ∂t (199) ∂C N2V2 = R N2V2 ∂t (200) The reaction R N2 for dimer formation is given by: R N2 ≡ K fN2 ( C NI C NI – B N2 C N2 ) 222 (201) Sentaurus™ Process User Guide I-2013.12 4: Diffusion Transport Models where: ■ C NI is the concentration of nitrogen monomers. ■ C N2 is the concentration of nitrogen dimers. ■ K fN2 is the forward reaction rate. ■ B N2 is the binding coefficient. To set, use: pdbSet NDimer Kf {} pdbSet NDimer Bind {} NOTE NDimer is a cluster of nitrogen which can be diffused by the NeutralReact diffusion model and initialized by nitrogen cluster initialization. For details on the nitrogen clusters NV, N2V, and N2V2, see Nitrogen Cluster on page 304. Mobile Impurities and Ion-Pairing The ion-pairing model includes the pairing of positively and negatively charged dopant ions [2][3][4]. Ion-pairing reduces the diffusivity of dopants where the concentration of dopants of the opposite type is large. The ion-pairing model assumes that positively charged donors can bind with negatively charged acceptors to form neutral pairs. The ion-pairing model is significant because it allows the dependency of the impurity diffusivity to be modeled in both n-type and p-type materials. In particular, it reduces the effective diffusivity of boron in n-type materials without affecting its diffusivity at high p-type concentrations. The model reduces the mobile concentration of dopant species by the following factors: Np f pd = 1 – -----Nd Np f pa = 1 – -----Na for donor species (202) for acceptor species (203) where: ■ N d and N a are the total concentrations of electrically active donors and acceptors, respectively. ■ N p is the concentration of ion pairs. ■ f pd and f pa are the ion-pairing factors for donors and acceptors, respectively. Sentaurus™ Process User Guide I-2013.12 223 4: Diffusion Solid Phase Epitaxial Regrowth Model The concentration of ion pairs N p is given by: 1 2 N p = --- ( ( N d + N a + Ω ) – ( N d + N a + Ω ) – 4N d N a ) 2 (204) The parameter Ω is given by: (205) Ω = Ion.Pair.Omega ⋅ n i where Ion.Pair.Omega is a parameter for material; the default value for silicon and polysilicon is 6.0 [3]. The ion-pairing model is enabled or disabled for each material by the Ion.Pair parameter. By default, it is disabled for all materials. Solid Phase Epitaxial Regrowth Model The solid phase epitaxial regrowth (SPER) model simulates the movement of amorphous and crystalline boundaries due to the recrystallization of the amorphous silicon and the dopant dynamics during such process. The SPER model is switched on by: pdbSet Diffuse SPER 1 The boundary movement is described with the specific solution fields, either the distance field by the level-set method or the phase field by the phase-field method. You can select one of the models by: pdbSet Diffuse SPER.Model {LevelSet | PhaseField} ;# default=LevelSet Level-Set Method The level-set method solves the equation for the distance field ϕ , which is named with AmorpDistance: ∂ϕ ------ + v ∇ϕ = 0 ∂t (206) where: ■ ■ 224 v is the recrystallization velocity perpendicular to a boundary surface. ϕ is positive in an amorphous region, negative in a crystalline region, and zero at an amorphous–crystalline boundary. Sentaurus™ Process User Guide I-2013.12 4: Diffusion Solid Phase Epitaxial Regrowth Model The velocity v is defined by: d v = f v ⋅ v ori ( V100, V110, V111 ) ⋅ 1 – ( 1 – s v ) exp – ------L vr (207) where: ■ f v is the scaling factor. ■ v ori is the orientation-dependent velocity. ■ s v is the scaling factor of velocity near surfaces. ■ d is the shortest distance from the surface. ■ L vr is the characteristic length for velocity reduction near surfaces. pdbSet pdbSet pdbSet pdbSet pdbSet pdbSet Silicon Silicon Silicon Silicon Silicon Silicon SPER SPER SPER SPER SPER SPER V.Factor {} V100 {} V110 {} V111 {} VsurfScale {} VsurfScaleLength {} ;# ;# ;# ;# ;# ;# f_v (unitless) cm/sec cm/sec cm/sec s_v (unitless) L_vr (um) The tensor mesh structure to solve the level-set equation is defined by: pdbSet Grid SPER TensorMeshSpacing {X Y Z } ;# (um) The level-set algorithm used is the general time-stepping initial-value formulation as described in MGOALS Interface on page 778. It is assumed that all dopant atoms are mobile in an amorphous region. The diffusion coefficient of the mobile species in the amorphous region is specified by: pdbSet Silicon DAmor {} ;# cm2/sec It has been experimentally observed that during regrowth of an amorphous layer, dopants can be swept along by the amorphous–crystalline boundary. The physical mechanism for this sweeping behavior is not well understood. To model this effect, a phenomenological model has been introduced as follows: d ∂X = ∇ ⋅ f D αv D L d 1 – ( 1 – P ) exp – -------- X∇α S L dr ∂t (208) where: ■ f D is the user-defined multiplication factor. ■ v D is the local speed of distance variation. ■ L d is the characteristic length of dopant drift. ■ P is the drift probability near material interfaces. Sentaurus™ Process User Guide I-2013.12 225 4: Diffusion Solid Phase Epitaxial Regrowth Model ■ d is the shortest distance from material interfaces. ■ L dr is the characteristic length for drift reduction near material interfaces. ■ α is the amorphous state calculated by: 1 ϕ α = --- ⋅ erfc(– ------) 2 wT (209) where w T is the phase transition width. α is 1.0 and 0.0 in a completely amorphous and crystalline region, respectively. ■ α S is the shifted amorphous state given by: ϕ – dD 1 α S = --- ⋅ erfc(– ----------------) 2 wD pdbSet Silicon SPER PhaseTransWidth {} pdbSet Silicon SPER DriftWidth {} pdbSet Silicon SPER DriftFactor {} pdbSet Silicon SPER DriftDistance {} pdbSet Silicon SPER SurfaceDriftProbability {} pdbSet Silicon SPER DriftReductionLength pdbSetDouble Silicon SPER.DriftLength {} (210) ;# ;# ;# ;# ;# ;# ;# w_T (um) w_D (um) f_D (unitless) d_D (um) P (unitless) L_dr (um) L_d (um) The parameters SurfaceDriftProbability and DriftReductionLength can be specified for a specific dopant by: pdbSetDouble Silicon SPER.SurfaceDriftProbability {} pdbSetDouble Silicon SPER.DriftReductionLength {} To control the clustering rate in the region between amorphous and crystalline regions, an additional term can be defined by: pdbSetString Si SPERBoundaryTerm {} pdbSetString Si SPERBoundaryTerm {} NOTE The term added by SPERBoundaryTerm of must be correctly subtracted by SPERBoundaryTerm of so that the total dose conservation is kept, for example: pdbSetString Si As3 SPERBoundaryTerm "-1e1*(0.99*AsTotal-3.0*As3)" pdbSetString Si Arsenic SPERBoundaryTerm "3e1*(0.99*AsTotal-3.0*As3)" 226 Sentaurus™ Process User Guide I-2013.12 4: Diffusion Solid Phase Epitaxial Regrowth Model The full equation of a dopant is described by: ∂X ∂X = (1 – α) ∂t ∂t (211) crystal 2 9ϕ d + α∇ ⋅ D amor ∇X + ∇ ⋅ f D αv D L d 1 – ( 1 – P ) exp – -------- X∇α S – R ⋅ exp – --------2L dr WT where R is defined by SPERBoundaryTerm. The maximum time step during SPER is set by: WT ∆t max = min(SPER.TimeStepScale ⋅ -----------------, SPER.MaxTimeStep) max(v) (212) Phase Field Method Since the level-set method requires Cartesian grids to calculate the distance field, it may cause instability from the difficult time-step control as well as the interpolation error due to the decoupled method with the different mesh structure. The phase field method uses a consistent mesh structure, so that the phase and the other solutions are coupled seamlessly into the hydrodynamic Scharfetter–Gummel discretization scheme, which improves the convergence if there is high drift due to an abrupt phase change. The phase field method solves the equation for the phase field ϕ , which is named with SPERPhase: ∂ϕ 2 2 2 2 τ ------ = w ∇ ϕ – ( ϕ – 1 ) ( ϕ – λ ( ϕ – 1 ) ) ∂t (213) where: ■ ■ τ , w , and ϕ are the relaxation time, the phase transition width, and the phase (–1 for completely amorphous, 1 for completely crystalline), respectively. w and λ are given by the parameters PhaseTransWidth and Lambda.Fac, respectively. The inverse of the relaxation time τ is calculated by: τ –1 E aniso + E Sv + E Ss = f iso f aniso R exp – --------------------------------------------kT (214) where: ■ f iso and f aniso are the isotropic and anisotropic multiplication factors, respectively. ■ R is the relaxation rate. Sentaurus™ Process User Guide I-2013.12 227 4: Diffusion Solid Phase Epitaxial Regrowth Model ■ E aniso , E Sv and E Ss are the orientation-dependent, the hydrostatic stress–dependent, and shear stress–dependent activation energies, respectively. E aniso = 100, 110, 111 pdbSet pdbSet pdbSet pdbSet pdbSet pdbSet Silicon Silicon Silicon Silicon Silicon Silicon ∇ϕ E.Aniso ⋅ -----------∇ϕ (215) E Sv = P ⋅ VFrecrys (216) E Ss = Shear.Coupling ⋅ ( ε xy + ε yz + ε zx ) (217) SPER SPER SPER SPER SPER SPER Relax.Rate {} R.Fac {} R.Fac.Aniso {100 110 111 } E.Aniso {100 110 111 } VFrecrys {} Shear.Coupling {} ;# ;# ;# ;# ;# ;# R(1/sec) f_iso (unitless) f_aniso (unitless) E_aniso (eV) (cm-3) (eV) The phase field method assumes no diffusion in a crystalline region during SPER, so that the diffusion equation of a dopant is formulated by: E seg 1–ϕ ∂X ------ = ------------ ∇ ⋅ D amor ∇X + D amor X ----------∇ϕ ∂t kT 2 (218) where E seg is the chemical potential energy difference to cause the dopant segregation at an amorphous–crystalline boundary. E seg is given by the parameter SPER.Energy that you can define with a string expression: pdbSet Silicon SPER.Energy {} ;# E_seg (eV) The maximum time step during SPER is set by: τ min ∆t max = min(SPER.TimeStepScale ⋅ ----------, SPER.MaxTimeStep) 4 (219) As soon as regrowth is completed, the dopant activation in the regrowth region is performed with the pdb parameter AmInit or the term ${Sol}AmInit. NOTE 228 Since the dopant active concentration is initialized after regrowth is completed, the evaluated values of some terms, such as ${Sol}Total and ${Sol}Active can be incorrect during SPER. Sentaurus™ Process User Guide I-2013.12 4: Diffusion Flash or Laser Anneal Model Flash or Laser Anneal Model The flash or laser anneal model becomes necessary for an advanced process that requires diffusionless, but high activation. The model can simulate the inhomogeneous thermal distribution, which results in better accuracy for stress calculation as well as heat transfer delay to the region in which the devices form. The flash or laser model is not available for 3D simulation yet. The solution name of the local temperature T (in kelvin) is Temperature. The model is switched on by specifying the Boolean parameter laser in a diffusion statement. For example: diffuse temperature=500 time=1 laser For a melting laser anneal, the phase field variable ϕ is introduced to describe whether the material is liquid or solid. The solution name of the phase ϕ is HeatPhase. The melting laser anneal model is invoked by switching on the Boolean parameter Use.Melting.Laser: pdbSet Heat Use.Melting.Laser 1 The heat transfer equation is: ∂T 2 2 ∂ϕ ρc P ------ = ∇•( κ ∇T ) + G + 30ρLϕ ( 1 – ϕ ) ∂t ∂t (220) where: ■ ■ κ , ρ , and c P are the conductivity, the mass density, and the specific heat capacity, respectively. κ and c P can depend on temperature. L is the unit mass latent heat (Eq. 232). The phase-dependent term takes the heat consumption (or generation) due to the solid-to-liquid (or liquid-to-solid) phase change into account. κ and c P vary with the liquid or solid phase as follows: pdbSet pdbSet pdbSet pdbSet Sentaurus™ Process User Guide I-2013.12 c P = ϕc Ps + ( 1 – ϕ )c Pl (221) κ = ϕκ s + ( 1 – ϕ )κ l (222) SpecificHeatCapacity {} Liquid.SpecificHeatCapacity {} ThermalConductivity {} Liquid.ThermalConductivity {} ;# ;# ;# ;# Cps Cpl ks kl (J/kg/K) (J/kg/K) (W/cm/K) (W/cm/K) 229 4: Diffusion Flash or Laser Anneal Model The heat generation rate G is calculated by: G = I ⋅ α exp – l=d l=0 (223) α dl where I , α , and d represent the intensity, absorptivity, and depth, respectively. The absorptivity is given by the user-defined expression: pdbSet Absorptivity {} ;# cm-1 See Intensity Models for Flash Anneal on page 236 and Intensity Model for Scanning Laser on page 238 for the intensity models. The governing equation of the phase field ϕ is given by: v int 2 ∂ϕ µγ 2 ------ = µγ ∇2ϕ – -----2- ϕ ( 1 – ϕ ) ( 1 – 2ϕ ) + 5 -------ϕ ( 1 – ϕ ) + s ( T, ϕ ) δ ∂t δ (224) where: ■ ■ ■ µ , γ , and δ are the melting interface mobility, the surface tension, and the interface thickness, respectively. µ and γ depend on material crystallinity. s ( T, ϕ ) is the seed function to start melting. ν int is the interface response function to describe the front moving velocity of the flat melting interface: µ = αµ a + ( 1 – α )µ c (225) γ = αγ a + ( 1 – α )γ c (226) where the subscripts a and c of µ and γ indicate the amorphous and crystalline materials, respectively. The α is the degree of the structural disorder in a material that is calculated by: D FP 1 α = --- 1 + tanh 10 ln -----------2 D max (227) where D FP is the Frenkel pair concentration by implantation damage, and D max is the amorphous threshold to determine the amorphous and crystal transition. pdbSet pdbSet pdbSet pdbSet pdbSet 230 Melting.Interface.Mobility {} ;# uc (cm4/J/sec) Amorphous.Melting.Interface.Mobility {} ;# ua (cm4/J/sec) Surface.Tension {} ;# gammac (J/cm2) Amorphous.Surface.Tension {} ;# gammaa (J/cm2) AmorpDensity {} ;# Dmax (cm-3) Sentaurus™ Process User Guide I-2013.12 4: Diffusion Flash or Laser Anneal Model The seed function is modeled by: 2 T – Tm δ 1 s ( T, ϕ ) = – f s ------ ϕ 1 – --- erfc(----------------) µγ Ts 2 (228) where T m is the melting point. f s and T s are the multiplier and the temperature to control initial melting. The seed term in Eq. 224 is switched off when ϕ is reduced to less than the SeedOffPhase value: pdbSet Heat Seed.Factor {} pdbSet Heat Seed.Temperature {} pdbSet Heat SeedOffPhase {} ;# fs (unitless) ;# Ts (K) ;# unitless It is known that the melting point varies with the dopant concentration, such as for germanium, as well as material crystallinity. The melting point is calculated by: Cx Cx T m = α T ma0 + ( T ma1 – T ma0 ) ------------ + ( 1 – α ) T mc0 + ( T mc1 – T ma0 ) -----------C max C max (229) where α , T ma0 , and T mc0 are the degree of structural disorder, and the melting point of amorphous material and crystalline material, respectively. C x is the concentration of the dopant that affects the melting point. For example: pdbSet Silicon Melting.Point 1690 pdbSet Silicon Amorphous.Melting.Point 1420 pdbSet Silicon Dop.Dep.Melting.Point { Ge {960 1211} } ;# Tmc0 ;# Tma0 ;# Tma1 and Tmc1 The interface response function V int is modeled by the Frenkel–Wilson law [5]: ρL ⁄ C max V int = v 1 – exp ----------------------- ( T – T m ) kTT m (230) where C max is the lattice density. The liquid-to-solid interface transfer rate ν is given by: v = H v 0 exp – -----kT B v 0f exp – ----------------------k ( T – Tg ) for Arrhenius model (231) for Vogel–Fulcher model where the model can be selected by: pdbSet Melting.Velocity.Model {Arrhenius | FulcherVogel} The latent heat and the liquid-to-solid interface transfer rate depend on crystallinity as follows: L = αL a + ( 1 – α )L c Sentaurus™ Process User Guide I-2013.12 (232) 231 4: Diffusion Flash or Laser Anneal Model v 0 = αv 0a + ( 1 – α )v 0c (233) H = αH a + ( 1 – α )H c (234) v 0f = αv 0fa + ( 1 – α )v 0fc (235) B = αB a + ( 1 – α )B c (236) T g0 = αT ga + ( 1 – α )T gc (237) where the subscripts a and c indicate the parameter for the amorphous and crystalline materials, respectively. pdbSet pdbSet pdbSet pdbSet pdbSet pdbSet pdbSet pdbSet pdbSet pdbSet pdbSet pdbSet Latent.Heat {} Amorphous.Latent.Heat {} Melting.Velocity.0 {} Melting.Velocity.E {} Amorphous.Melting.Velocity.0 {} Amorphous.Melting.Velocity.E {} FV.Melting.Velocity.0 {} FV.Melting.Velocity.E {} FV.Melting.Velocity.T {} FV.Amorphous.Melting.Velocity.0 {} FV.Amorphous.Melting.Velocity.E {} FV.Amorphous.Melting.Velocity.T {} ;# ;# ;# ;# ;# ;# ;# ;# ;# ;# ;# ;# Lc La v0c Hc v0a Ha v0c Hc Tgc v0a Ha Tga (J/kg) (J/kg) (cm/sec) (eV) (cm/sec) (eV) (cm/sec) (eV) (K) (cm/sec) (eV) (K) Dopant Diffusion in Melting Laser Anneal Since a melting or solidification process occurs too quickly to observe the dopant diffusion in a solid region, it is assumed that the dopant atoms diffuse only by entropic force and temperature gradient. The different chemical potentials of dopants at liquid, solid, and boundary regions induce the segregation. During melting laser anneal, the temperature varies greatly depending on the location. Therefore, the dopant diffusion equation must be solved by coupling it to the heat equation (Eq. 220) and the phase equation (Eq. 224): C eq C eq0 ∂C = ∇• D ----------- ∇ C ----------∂t C eq0 C eq 232 (238) Sentaurus™ Process User Guide I-2013.12 4: Diffusion Flash or Laser Anneal Model ϕ D liquid + ( D ils – D liquid ) -----ϕL D = D ils for ( ϕ ≤ ϕ L ) for ( ϕ L < ϕ ≤ ϕ S ) 1–ϕ D solid + ( D ils – D solid ) --------------1 – ϕS for ( ϕ S ≤ ϕ ) ϕD solid + ( 1 – ϕ )D liquid if ( ϕ L > ϕ S ) 2 (239) 2 C eq ϕE seg + 16ϕ ( 1 – ϕ ) E intf ----------- = exp – ---------------------------------------------------------------C eq0 kT (240) where: ■ ■ D liquid , D ils , and D solid are the dopant diffusivities in a liquid, a liquid–solid interface, and solid regions, respectively. D solid is calculated by an Arrhenius formula with global temperature. E seg and E intf are the chemical potential energies in a solid state and an interface state relative to that in a liquid state, respectively. pdbSet pdbSet pdbSet pdbSet pdbSet pdbSet pdbSet pdbSet pdbSet Heat Max.Liquid.Phase {} Heat Min.Solid.Phase {} Dliquid.0 {} Dliquid.E {} Dils.0 {} Dils.E {} Dstar {} Melting.Seg.E {} Melting.Intf.Seg.E {} ;# ;# ;# ;# ;# ;# ;# ;# ;# phi_L (unitless) phi_S (unitless) cm2/sec eV cm2/sec eV cm2/sec (Dsolid) eV eV To solve the dopant diffusion equation by coupling it with the heat and phase equations, use: solution name= Heat By default, it is applied to boron, phosphorus, arsenic, antimony, and indium impurities. The instant recrystallization of an amorphous region, that is, the initialization of cluster solutions, is performed before diffusion. The cluster solutions are reset to zero in a melted region during diffusion by multiplying ϕ by the cluster solutions, which implies that all dopants in a liquid region are activated fully. Like the cluster solutions, the point-defect and defect-cluster solutions are reset to zero in liquid regions. Guideline for Parameter Setting –7 The default value ( 1 ×10 cm) of the variable Heat.Phase.Width (interface thickness δ ) is typically good for simulation of melting depths ~100 nm. For the larger melting depths (such Sentaurus™ Process User Guide I-2013.12 233 4: Diffusion Flash or Laser Anneal Model –7 as 1 µm ), a value of ~2 ×10 reasonable accuracy: cm can reduce the simulation time and still maintain pdbSet Si Heat.Phase.Width 1e-7 ;# (cm) Mesh spacing in the molten region must always be smaller than Heat.Phase.Width ( δ ) to obtain proper convergence. A larger mesh spacing results in a faster simulation and less noise. Larger values of δ can speed up convergence even for a fixed mesh spacing. The simulated dopant distribution depends on both mesh spacing and δ . For an equidistant 1D mesh, the simulation results are almost the same for all mesh spacing < δ . For an inhomogeneous 1D mesh, the simulated melting front speed changes when the solid–liquid interface reaches the region of mesh inhomogeneity, unless the maximum mesh spacing is smaller than δ ⁄ 8 . A mesh finer than δ ⁄ 8 may result in a larger CPU time. This basically means that mesh refinements are allowed only if the background mesh is finer than δ ⁄ 8 . Therefore, an equidistant mesh should be used in the melting region whenever possible. If mesh spacing is not smaller than the inverse of absorptivity ( α ), a numerical error appears in the expression for heat generation. The total integrated dose of scaled HeatRate is a good indicator for the presence of such an error which can be checked in the output file. For example, 6 for a 0.5-nm mesh and an α = 1.46 ×10 cm-1, the scaled HeatRate dose is equal to 14 14 1.0004 ×10 . The analytic total integrated HeatRate dose is equal to 1.0 ×10 . A difference –3 of more than 1.0 ×10 may cause pronounced increase of the melting depth. Two solutions are possible: ■ A finer mesh at the outer silicon interface. It may be limited by the increase of CPU time related to the mesh inhomogeneity constraint previously described. 14 ■ The laser fluence can be multiplied by the factor 1.0 ×10 TotalHeatRateDose. This is performed automatically when pdbSet Heat Correct.Energy.Dose 1 is applied. This option obtains good results at mesheswhen mesh spacing does not satisfy the criteria mesh spacing << 1 ⁄ α . Saving a Thermal Profile To save the thermal profile computed during this step to a file, use the write.temp.file parameter of the diffuse command. In a subsequent simulation, you can use this file to create a temperature ramp using the read.temp.file parameter of the temp_ramp command. For more information, see diffuse on page 908 and term on page 1173. 234 Sentaurus™ Process User Guide I-2013.12 4: Diffusion Flash or Laser Anneal Model Boundary Conditions At the top surface, that is, the gas interface, the heat emission flux from the top material is given by: F = – 5.6703 ⋅ 10 – 12 4 4 ⋅ Emissivity ⋅ ( T – T 0 ) (241) where T 0 is the environment temperature specified by temperature in the diffuse command. At the bottom, the boundary condition depends on whether the thermal resistor is attached. If AttachThermalResistor is switched on, the emission flux at bottom is calculated by: κ F = – -------------------- ( T – T 0 ) t w – x bot (242) where t w and x bot are the wafer thickness and the bottom coordinate of a simulation structure, respectively. Otherwise: F = – HeatSinkTransfer ( T – T 0 ) (243) The wafer thickness in micrometers is specified by: pdbSet Heat WaferThickness At the sides, the flux is calculated by: F = – SideHeatTransfer ( T – T 0 ) (244) By default, SideHeatTransfer is set to zero for all materials. Structure Extension The heat transfer is much faster in comparison with an impurity or a point-defect diffusion. For example, in silicon, the diffusion length of the heat temperature is 20–30 times longer than that of interstitials at 800°C . Therefore, solving the heat equation requires a much larger structure size than for diffusion equations. The model provides the method to temporarily extend the current structure for solving the heat equation, and then recovers the original structure after finishing the laser or flash anneal. The downward extension is controlled by the Boolean parameter ExtendBottom. Sentaurus™ Process User Guide I-2013.12 235 4: Diffusion Flash or Laser Anneal Model The location of the extended bottom is specified by WaferThickness, for example: pdbSet Heat ExtendBottom 1 pdbSet Heat WaferThickness 700 which are defined by default. Since the flash light source transfers heat to the whole wafer surface at the same time, no heat flux is assumed at the structure sides so that you do not have to extend the structure along the side directions. However, since the laser anneal scans a wafer by beaming a laser on a localized spot, the structure must be extended to the side directions to correctly take into account the heat transfer from the beamed spot. The extended distance in micrometers to the sides is defined with: pdbSet Heat SideExtension To reduce the computation time for the extension, one side among the left and right sides is extended first, and then the extended structure is reflected on the side that is defined by: pdbSet Heat ReflectSide For example, the following statements specify an extension of 200 µm in the right direction and a reflection of the extended structure on the left side: pdbSet Heat SideExtension 200 pdbSet Heat ReflectSide Left When ReflectSide is set to None, each side (that is, both the left and right sides) is extended. The material of all the extended regions is set to HeatSubstrate. The thermal properties of the HeatSubstrate material are defined internally to the same as the BulkMaterial material (default value: Si). In the region of HeatSubstrate, only the heat equation is solved. Intensity Models for Flash Anneal Intensity can be specified by a Gaussian model, or a table lookup method, or a user-specified model: pdbSet Heat Intensity.Model { Gaussian | Table | User } Gaussian Model 236 Sentaurus™ Process User Guide I-2013.12 4: Diffusion Flash or Laser Anneal Model The intensity I can be given by the Gaussian profile as follows: 2 ( t – t0 ) Fluence I = ------------------------- exp – -----------------2 2πt s 2t s (245) Pulse t s = ------------------2 2 ln 2 (246) where Pulse is the full width at half maximum (FWHM) time interval. The parameter 2 Fluence is the energy dose in J/cm . I 2 ln 2 ⁄ π ⋅ Fluence/Pulse ln 2 ⁄ π ⋅ Fluence/Pulse PULSE Gaussian 0 3 ts Figure 34 6 ts Time Heat Intensity for flash anneal with respect to time Table Lookup Method The table of time versus intensity can be given by: pdbSet Heat Intensity.Table { ... } The intensity values in the table can be scaled by: pdbSet Heat Intensity.Table.Factor {} Sentaurus™ Process User Guide I-2013.12 237 4: Diffusion Flash or Laser Anneal Model User-specified Model 2 You can define the heat intensity profile by using IntensityProfile (unit is J/cm /s ). The heating time, that is, the light-sourcing time for the user-specified intensity is given by the parameter HeatingTime. For example, for the sum of two different Gaussian intensities: set set set set set set ttime "\[simGetDouble Heat time\]" rt2pi [expr sqrt(2*3.141592)] tp1 3e-3 #from 3*sigma = 3*1e-3 tp2 6e-3 #from 3*sigma = 3*2e-3 ts1 2e-6 #from 2*sigma*sigma = 2*1e-3*1e-3 ts2 8e-6 #from 2*sigma*sigma = 2*2e-3*2e-3 pdbSet Heat HeatingTime 12e-3 pdbSet Heat IntensityProfile "1e4/$rt2pi*exp(-((($ttime-$tp1)^2)/$ts1)) \ +2.5e3/$rt2pi*exp(-((($ttime-$tp2)^2)/$ts2))" Here, [simGetDouble Heat time] returns the current time that is used to solve the heat equation. Intensity Model for Scanning Laser The scanning laser beam is characterized with the scanning speed (cm/s), the beam width ( µm ), and the beam fading distance ( µm ) by diffraction: pdbSet Heat ScanSpeed pdbSet Heat BeamWidth pdbSet Heat BeamFadeDistance The intensity specification for laser beam precedents that of a flash light source. When a positive ScanSpeed is specified, the laser scanning model is assumed and the heat intensity is calculated with the laser beam parameters. 238 Sentaurus™ Process User Guide I-2013.12 4: Diffusion Flash or Laser Anneal Model Two complementary error functions are multiplied to generate the laser beam intensity as shown in Figure 35. I/BeamIntensity 1/4 erfc(-y/BeamFadeDistance) erfc((y-BeamWidth)/BeamFadeDistance) 1.0 BeamWidth 0.5 0.0786 BeamFadeDistance 0 Figure 35 Distance Heat intensity profile for laser beam The laser beam moves by the distance (BeamWidth-BeamOverlap) after (BeamWidthBeamOverlap)/ScanSpeed anneal time step. As BeamOverlap approaches BeamWidth, the simulation accuracy is improved. (BeamWidth-BeamOverlap)(um) Beam Location reality model (BeamWidth-BeamOverlap)/ScanSpeed (10-4s) 0 Figure 36 Time Beam location along time Sentaurus™ Process User Guide I-2013.12 239 4: Diffusion Flash or Laser Anneal Model I/BeamIntensity t=0s t=(BeamWidth-BeamOverlap)/ScanSpeed (10-4s) 1.0 BeamOverlap 0.5 0 Distance Figure 37 Laser beam displacement at each time step Control Parameters Table 14 lists the control parameters. These parameters must be used with: pdbSet Heat For example: pdbSet Heat HeatingTime 12e-3 pdbSet Heat MaxTimeStep 500 Table 14 240 Control parameters Parameter (with available options) Default value Description Unit (if applicable) AttachThermalResistor <0|1> 0 Used to attach the thermal resistor to the bottom. Options are 0 | 1. BulkMaterial Si Indicates that the global temperature for solving diffusion equations will be calculated by averaging the local temperatures at interfaces of the specified material. In addition, the material HeatSubstrate of the extended region will have the same thermal properties as BulkMaterial ones. Sentaurus™ Process User Guide I-2013.12 4: Diffusion Flash or Laser Anneal Model Table 14 Control parameters Parameter (with available options) Default value Description Unit (if applicable) ExtendBottom <0|1> 1 Extends bottom of the simulation structure to the WaferThickness thickness. Options are 0|1. HeatingTime 0.0 ms Defines the time the heating source is switched on. Not applicable to the scanning laser model. HeatSinkTransfer 1e5 W/(cm K) Defines the heat transfer rate coefficient at the extended structure bottom. Only applicable when AttachThermalResistor is set to 0. MaxTimeStep 600.0 s Defines the maximum time step for solving the heat equation. ReflectSide Left Specifies the side at which the structure will be reflected after extending the structure to the other side by SideExtension. Only applicable for positive SideExtension. Options are Left | Right | None. SideExtension 0.0 µm Defines the extended distance to side. The extended region is set to the HeatSubstrate material, which has the same thermal properties as the BulkMaterial ones. Note that only the heat equation is solved in the region of HeatSubstrate. TempAverageBox "" – Defines the box area to average the local temperatures for calculating the global temperature. It must be satisfied that x1<=x2 and y1<=y2 and z1<=z2. 2 pdbSet Heat TempAverageBox "-0.1 0.1 0.0 1.0 2.0 0.0" TimeSampleSize 20.0 Specifies the number of time steps during the sourcing of the heat energy. Not applicable to the scanning laser model. The maximum time step is given by the minimum time step among MaxTimeStep and 3 ⋅ Pulse ----------------------------------------------------------------------- (ms) TimeSampleSize ⋅ 2 ln 2 for HeatingTime <= 0.0, or HeatingTime/TimeSampleSize. UpdateHeatRate <0|1> Sentaurus™ Process User Guide I-2013.12 0 Updates heat rate at each time step. Options are 0 | 1. 241 4: Diffusion Diffusion in Polysilicon Table 14 Control parameters Parameter (with available options) Default value Description Unit (if applicable) WaferThickness 700.0 µm Defines the wafer thickness to which the simulation structure is to be extended if ExtendBottom is set to 1. Notes ■ ■ ■ ■ It takes three times the standard deviation time to reach the peak intensity. After six times the standard deviation time, the heat source is switched off. The global temperature, which is calculated by averaging the local temperature distribution, is used for solving the diffusion equations. When the Boolean parameter UseTemperatureField in mechanics is on, the local temperature is used for solving the mechanics equations. The global temperature is calculated by: n Vi Ti i=1 T global = ------------------n (247) Vi i=1 where V i and T i are the volume and the local temperature at a node in BulkMaterial material. By default, the nodes on the nonreflecting surfaces of BulkMaterial are taken. When you set TempAverageBox, the nodes within the specified box are taken. Diffusion in Polysilicon Polysilicon has a microstructure composed of small monocrystalline grains of different crystalline orientation. The grains are separated by 2D surfaces – the grain boundaries. Sentaurus Process uses a two-stream model to simulate polycrystalline or granular materials. Granular diffusion can be switched on with: pdbSet PolySilicon Arsenic DiffModel Granular 242 Sentaurus™ Process User Guide I-2013.12 4: Diffusion Diffusion in Polysilicon Isotropic Diffusion Model The dopant concentration for species A is split into a fraction of dopants in the grain and a fraction of dopants in the grain boundary, that is: g gb (248) C A = f g ⋅ c A + f gb ⋅ c A g gb Here, c A denotes the total concentration inside the grain per grain volume and c A denotes the concentration inside the grain boundaries per grain boundary volume. Both quantities are defined in the entire polysilicon region representing average concentrations. The ratio of grain volume to the polysilicon volume is known as the volume share. The volume share of the grain regions f g depends on the shape and size of the grain. The volume share of the grain boundary is defined as: (249) f gb = 1 – f g The grain volume share and grain boundary share are defined by the terms GVolShare and GbVolShare, respectively. The concentration of the grain boundary is assumed to be electrically inactive. The grain + density is identified with the active portion of the total concentration C A . The active concentration is stored in the dataset Active. The grain boundary concentration gb gb C A = f gb ⋅ c A is stored in the dataset Gbc and is initialized with the portion of the total dopant concentration in the grain boundary f gb ⋅ C A . In the absence of clusters, the total dopant concentration is given as: + gb CA = fg ⋅ CA + CA (250) Grain Shape and the Grain Growth Equation The microscopic shape and size of the grains is not described in the model. Instead the size, orientation, and type of a prototype grain is used to compute all parameters that depend on the grain size. The growth model can be switched on by: pdbSet PolySilicon Dopant DiffModel Granular Sentaurus™ Process User Guide I-2013.12 243 4: Diffusion Diffusion in Polysilicon In Sentaurus Process, a columnar grain structure is assumed by default. The grains are assumed to be columns that are oriented along the vertical axis, extending through the entire polycrystalline layer. δ δ L L d Figure 38 Columnar (left) and cubic (right) grains: L is the grain size, δ is the grain boundary thickness, and d is the layer thickness The grain size L defines the average edge length of the square cross section of the columns. The grain size is stored in the dataset GSize. The volume share of the grain region is given as: L f g = -----------L+δ 2 (251) For cubic grains, the volume share is: L f g = -----------L+δ 3 (252) The grain shape and the initial values for the grain size L (cm) and grain boundary thickness δ (cm) can be set in the parameter database, that is: pdbSet PolySilicon GrainShape pdbSet PolySilicon GrainSize 5.0e-6 pdbSet PolySilicon GrainBoundaryThickness 5.0e-8 where is either Columnar or Cubic. It is assumed that the layer thickness d is a constant value set as: pdbSet PolySilicon LayerThickness 1.0e-6 The grains grow during thermal processes. During the grain growth, the volume share of the grains increases and the volume share of the grain boundary decreases. The grain growth is modeled by: 2 c gb τ a 0 b 02 Dλ dL 1 L = ------------------------- ⋅ -------------- ⋅ 1 – --------------dt C Si kT 1 1 + ----ar 244 (253) Sentaurus™ Process User Guide I-2013.12 4: Diffusion Diffusion in Polysilicon where a r denotes the ratio between the grain boundary volume inside the polycrystalline layer and the grain boundary volume at the material interfaces of the polycrystalline layer bounding other materials. The grain size, L , is represented with the solution name GSize and can be monitored like other solution fields. The grain growth parameters can be specified in the parameter database in the material entry. The following names are used: τ Tau, λ Lambda, and a 0 A0. The parameter b 0 is twice the lattice spacing of silicon. The Arrhenius values for the various contributions to the silicon selfdiffusivity D can be specified with the parameter Dself. For columnar grains: ( 2L + δ )d a r = -----------------------22( L + δ ) (254) 2 2 c gb τ a 0 b 02 Dλ dL 2( L + δ) L 1 + ------------------------ = ------------------------- ⋅ 1 – --------------kT dt ( 2L + δ )d C Si (255) For cubic grains, this is: 2 2 ( 3L + 3Lδ + δ )d 1 a r = --------------------------------------------– --3 2 2(L + δ) (256) 2 2 2 3 c gb τ a 0 b 02 Dλ dL d ( 3L + 3Lδ + δ ) + ( L + δ ) L ----------------------------------------------------------------------= ------------------------ ⋅ 1 – --------------2 2 3 kT d t d ( 3L + 3Lδ + δ ) – ( L + δ ) C Si (257) The grain shape switches from Columnar to Cubic when the grain size L reaches the layer thickness d and the grain shape is set to Columnar, that is: pdbSet PolySilicon GrainShape Columnar This is the default. No switching is performed if the grain shape is set to Cubic. The grain growth equation is solved with the dopant diffusion equations. Note that the GSize and the dopant distribution in the grain boundary Gbc are not reset automatically at the beginning of a new diffusion step. The pdb switch GbcNew can be used to reset the grain size dataset GSize to the current value of GrainSize, that is: pdbSet PolySilicon GrainSize 1e-6 pdbSet PolySilicon GbcNew 1 will reset the grain size in polysilicon to 10 nm. It also resets the Gbc dataset to the value calculated using the grain size and the grain-boundary volume share. Sentaurus™ Process User Guide I-2013.12 245 4: Diffusion Diffusion in Polysilicon The GSize and the initial Gbc distribution in a newly deposited layer can be specified in the fields and in the values list in the deposit command, that is: deposit PolySilicon type=isotropic rate=1.0 time=0.1 fields= {GSize Arsenic} \ values= {4e-6 1e19} This will initialize the GSize to 40 nm and the arsenic concentration to a constant value of 19 –3 10 cm . The ArsenicGbc solution will be created and the value of the corresponding dataset will be set automatically. Diffusion Equations The diffusion in polycrystalline materials is modeled with two separate diffusion fluxes for the + gb diffusion of C A inside the grains and the diffusion of c A along the grain boundaries. The diffusion inside the grain regions is modeled as for crystalline silicon with the ChargedFermi diffusion model. The diffusion fluxes are scaled with the ratio of the grain boundary volume to the polysilicon volume, that is: JA = –fg D X, c AX c n ---ni –c –z + n ∇ C A ---ni z (258) ∂C A = – ∇•J A – R ∂t (259) For details on the ChargedFermi model parameters, see ChargedFermi Diffusion Model on page 217. The diffusivity D AXc for the grain interior is set as usual for the ChargedFermi model, for example: pdbSet PolySilicon Boron Int Dstar \ { 0 {[Arr 0.743e2 3.56]} 1 {[Arr 0.617e2 3.56]} } For the fluxes along the grain boundaries, the gradient of the concentration in the grain boundary is multiplied by a constant diffusivity and the grain boundary volume share f gb : gb ∂C A gb = – ∇•J A + R ∂t (260) gb cA gb gb gb J A = – f gb D A 1 – -------- ∇( c A ) C Si (261) gb The grain boundary diffusivity D A can be set in the parameter database using: pdbSet PolySilicon Arsenic Dgb {[Arrhenius 1100.0 3.53]} 246 Sentaurus™ Process User Guide I-2013.12 4: Diffusion Diffusion in Polysilicon In polycrystalline materials, a segregation reaction is assumed to occur at the surface of the grains. The reaction describes the exchange of dopants between grain and grain boundary regions. The reaction term R is given as: gb gb + cA CA cA + R = a ( L ) ⋅ K ⋅ C A ⋅ 1 – -------- – ------- ⋅ 1 – -------C Si sg C Si (262) The segregation term depends on the transport coefficient K , multiplied by the grain surface area per unit volume of polysilicon a ( L ) . The transport coefficient K can be specified in the parameter database using: pdbSet PolySilicon Arsenic Ksgb {[Arrhenius 1.630e4 3.586]} The dopant segregation coefficient s g for the segregation between the grain and grain boundary can be specified by using: pdbSet PolySilicon Arsenic Sgb {[Arrhenius 2.75 -0.44]} The grain surface area per unit volume a ( L ) depends on the grain structure. For columnar grain structures, this is: 4⋅L a ( L ) = -------------------2(L + δ) (263) and, for cubic grains, this is: 2 6⋅L a ( L ) = -------------------3(L + δ) Table 15 (264) Solution names Symbol Boron Arsenic Phosphorus Antimony Indium CA Boron Arsenic Phosphorus Antimony Indium gb cA BoronGbc ArsenicGbc PhosphorusGbc AntimonyGbc IndiumGbc + CA BActive AsActive PActive InActive Table 16 SbActive Solution names for granular model Symbol Solution name L GSize Sentaurus™ Process User Guide I-2013.12 247 4: Diffusion Diffusion in Polysilicon Anisotropic Diffusion Model The behavior of dopants in polycrystalline materials is strongly influenced by the boundaries between crystalline grains. Dopant atoms tend to segregate from the interior of a grain to the boundaries, which provide paths for rapid diffusion. The rate of segregation depends on the rate of grain growth, while the number of diffusion paths along the boundaries depends on the grain size. In addition, the boundaries of the polycrystalline material act like grain boundaries, providing sites for electrically inactive dopant atoms and paths for diffusion. The diffusion equations for the grain-interior and grain-boundary components of the doping profile are solved separately. The equations are coupled by terms describing the segregation between the grain interiors and grain boundaries. To determine the rate of segregation and the density of grain-boundary diffusion paths, you also solve for the growth in grain size during hightemperature processing. The boundaries of the polycrystalline region are included as explicit grain boundaries in the diffusion and segregation equations. The model has been implemented in Sentaurus Process [6][7][8][9]. The model is invoked by specifying the polycrystalline material, for example: pdbSet PolySilicon PolyCrystalline 1 To set the model to the TSUPREM-4 compatible mode, use the command: SetTS4PolyMode Diffusion in Grain Interiors Redistribution of dopants in polycrystalline materials occurs by the parallel diffusion of dopants through the interiors of grains and along grain boundaries. In the grain interiors, diffusion of the active dopant is given by: ∂c g qE g -------- = – ∇ ⋅ – D g ∇c g – z s c g -------kT ∂t –G (265) where c g is the active concentration in the grain interior. The diffusivity D g and electric field E g in the grain interior are calculated from the electron concentration n g , which is in turn calculated from the doping concentrations c g . G accounts for the segregation of dopant to grain boundaries as described in Segregation Between Grain Interior and Boundaries on page 251. The parameter Grain.Crystallinity specifies the initial crystallinity of the grain interiors. If Grain.Crystallinity is set to Crystalline, the initial active concentration is determined by the pdb parameter AcInit or the term ${Sol}AcInit. If 248 Sentaurus™ Process User Guide I-2013.12 4: Diffusion Diffusion in Polysilicon Grain.Crystallinity is set to Amorphous, the initial active concentration is determined by the pdb parameter AmInit or the term ${Sol}AmInit. The initialization is performed for the remainder after some implantation atoms go to a grain boundary according to Eq. 274, p. 251. Grain Boundary Structure Diffusion along grain boundaries is described in terms of the dopant concentration per unit area of grain boundary c gb , and the average area of grain boundaries per unit volume: (266) ρ′ = ρ + δ if where ρ is the average area of grain boundaries per unit volume in the bulk of the poly layer and δ if accounts for the dopant at interfaces between poly and other materials (or ambient). ρ is inversely proportional to the average grain size L g : GBGeomFactor (267) ρ = -----------------------------------------------Lg where GBGeomFactor is a geometric factor specified for the polycrystalline material, for example: pdbSet PolySilicon GBGeomFactor 2.0 δ if is a function of position defined by the fact that its integral over any volume is equal to the area A if of the polysilicon interface passing through that volume: (268) δ if dV = A if The concentration of dopants in the grain boundaries per unit volume of material is then given by: gb (269) c A = ρ′c gb Diffusion along Grain Boundaries The diffusion of dopant in the grain boundaries is given by: gb ∂c A q E gb ----------- = – ∇ ⋅ – FD gb ∇c gb – z s c gb ----------kT ∂t +G (270) The diffusivity D gb and electric field E gb along the grain boundaries are calculated from the electron concentration n gb ; n gb is calculated by assuming that the net donor and acceptor Sentaurus™ Process User Guide I-2013.12 249 4: Diffusion Diffusion in Polysilicon concentrations are calculated from c gb ⁄ K , the equilibrium dopant concentrations in the grain interior near the grain boundary, where K is the segregation coefficient given by Eq. 276, p. 251. G accounts for the segregation of dopant to grain boundaries as described in Segregation Between Grain Interior and Boundaries on page 251. Diffusivity D gb is given by: D gb = Dgb ⋅ n ni gb Dgb.Fermi ⋅ ------- –c (271) Dgb and Dgb.Fermi are defined by: pdbSet Dgb pdbSet Dgb.Fermi F is a tensor that describes the diffusion paths available to dopant in the grain boundaries. It is composed of two parts: F = F b + ( 1 – F bu )F if . F b describes the available paths within the bulk of the poly layer. For a horizontal poly layer, it is given by: Dgb.F22 Dgb.F11 Dgb.F11 (272) F b = diag ----------------------------, ----------------------------, ---------------------------Lg Lg Lg Because of the columnar grain structure, Dgb.F22 is larger than Dgb.F11, which implies that diffusion through the layer is faster than diffusion parallel to the layer. Dgb.F11 and Dgb.F22 are defined for the polycrystalline material, for example: pdbSet PolySilicon Dgb.F11 1.0 pdbSet PolySilicon Dgb.F22 2.0 F if describes the available paths for diffusion along material interfaces. In the vicinity of a horizontal interface, it has the value: F if = diag ( 0, δ if, δ if ) (273) For the interface between polysilicon and silicon, the phenomenon of interfacial breakup accompanied by epitaxial realignment can occur, as described in Interface Oxide Breakup and Epitaxial Regrowth on page 255. F bu is the fraction of the polysilicon–silicon interface that has broken up. For layers or interfaces that are not horizontal, F b and F if are rotated by the angle of the layer or interface, respectively, with respect to the horizontal axis. 250 Sentaurus™ Process User Guide I-2013.12 4: Diffusion Diffusion in Polysilicon Segregation Between Grain Interior and Boundaries When dopant is initially introduced into a polycrystalline material, some of the dopant occupies sites in the interior of a grain and some occupies sites on a grain boundary. The initial segregation of dopant is given by: GBMaxDensity GMaxConc c gb = ------------------------------------------------ GSegInit cg (274) GBMaxDensity, GMaxConc, and GSegInit represent the density of available sites on grain boundaries, and in the grain interiors and the initial segregation entropy, respectively. In the case of ion implantation, c g and cgb describe the additional dopant introduced by the implantation; dopant that is present before the implantation is not redistributed. Dopant atoms are free to move between sites in the interior of a grain and sites on the grain boundary during high-temperature processing. The rate of segregation is given by: c gb G = ( ρq b + ( 1 – F bu )δ if q if ) fgb c g – fg ------K (275) The segregation coefficient K is given by [10]: GBMaxDensity GMaxConc K = ------------------------------------------------ Sgb (276) GBMaxDensity, GMaxConc, GSegInit, and Sgb are defined for dopants, for example: pdbSet pdbSet pdbSet pdbSet PolySilicon PolySilicon PolySilicon PolySilicon Dopant GBMaxDensity 2.5e15 Dopant GMaxConc 5e22 Dopant GSegInit 1.0 Boron Sgb {[Arrhenius 0.2 -0.38]} The segregation velocities associated with the bulk of the poly region and the material interfaces are given by: Dg ∂L g 1 q b = ------------------------------------ --------- + KsgbFactor -----Lg GBVFactor ∂t (277) GBVFactor is the parameter for the material. KsgbFactor and q if , which is defined by Vsgb, are specified for dopants. For example: pdbSet PolySilicon GBVFactor 1.33 pdbSet PolySilicon Dopant KsgbFactor {[Arr 4.0 0.0]} pdbSet PolySilicon Dopant Vsgb {[Arr 1e7 3.0]} Sentaurus™ Process User Guide I-2013.12 251 4: Diffusion Diffusion in Polysilicon f g and f gb are the fractions of unfilled interior and boundary sites: cg fg = 1 – -------------------------------- (278) GMaxConc fgb = 1 – c gb ------------------------------------------------ (279) GBMaxDensity where the sum is taken over all the dopant species present in the structure. F bu is the fraction of the polysilicon–silicon interface that has broken up, as described in Interface Oxide Breakup and Epitaxial Regrowth on page 255. Grain Size Model The grains in the polycrystalline material are assumed to be oriented as columns that extend through the wafer. The structure is characterized by L g , the average grain size in the lateral direction (in other words, in the plane of the layer), and a vector describing the orientation of the columnar grains. The initial grain size is determined by the temperature of the poly deposition process: Lg = max ( Frac.TA × t a, GrainSize ) T c ≤ GrainSizeTempC GrainSize + 2 ⋅ GrainSizeFactor ⋅ z T c > GrainSizeTempC (280) where: ■ T c is the deposition temperature (specified on the deposit command) in degree Celsius. ■ t a is the thickness of the amorphous silicon layer produced by low-temperature deposition. ■ z is the distance from the bottom of the layer. For high-temperature depositions, grain size depends on the thickness specified in the deposit command. Dividing a deposition into multiple smaller depositions produces different results for the grain size. For low-temperature depositions, the material is assumed to be amorphous (a negative grain size is reported in printing or plotting). The initial grain size is calculated from the thickness t a of the amorphous layer at the beginning of the next diffusion step. Frac.TA, GrainSize, GrainSizeFactor, and GrainSizeTempC are the material parameters, for example: pdbSet pdbSet pdbSet pdbSet 252 PolySilicon PolySilicon PolySilicon PolySilicon Frac.TA 0.5 GrainSize 5e-6 ;# cm GrainSizeFactor {[Arr 0.1 0.0]} GrainSizeTempC 600.0 Sentaurus™ Process User Guide I-2013.12 4: Diffusion Diffusion in Polysilicon Surface Nucleation Model An alternative model for the standard grain size model (see Grain Size Model on page 252) is the surface nucleation model. In this model, grains are assumed to grow from small clusters formed at the early stages of deposition. The kinetics of nucleation, under this assumption, determines the average distance between clusters, thereby, the average starting grain size near the surface upon which deposition occurs. The model for atomistic nucleation is derived from rate equations for growth, surface diffusion, and desorption [11]. Several different regimes are considered: ■ ■ ■ Large deposition rates (compared to surface diffusion or evaporation) are labeled “complete condensation”. Conditions where the deposition rate between surface diffusion and evaporation are labeled “incomplete condensation”. Low deposition rates are labeled “extremely incomplete condensation”. Besides the deposition rates, two types of nuclei are considered: 2D or 3D islands. The following formulas are used to compute the nucleation density: E n = n 0 R p exp -----kT (281) where p and E are given by Table 17 on page 254. The choice of regime is set with: pdbSet SNG.Model where is one of Complete, Initially.Incomplete, Extreme.Incomplete, or None (default) meaning the model is switched off. Sentaurus™ Process User Guide I-2013.12 253 4: Diffusion Diffusion in Polysilicon Table 17 Formulas for surface nucleation model Regime 3D islands 2D islands Extremely incomplete 2 p = --- i 3 2 E = --- ( E i + ( i + 1 )E a – E d ) ) ) 3 2 p = --- i 5 2 E = --- ( E i + iE a ) 5 p = i ⁄ ( i + 2.5 ) p = i E = ( E i + iE d ) ⁄ ( i + 2.5 ) E = ( E i + iE d ) ⁄ ( i + 2 ) Incomplete Complete NOTE Table 18 E = E i + ( i + 1 )E a – E d ) ) i p = --2 E = E i + iE a p = i ⁄ (i + 2) Default values for parameters of the surface nucleation model have not been calibrated for any process. They have simply been set to give approximately the same values as the grain size model in polysilicon diffusion. This model is in an experimental state. The default values of the model may change in the future if reasonable values can be found for typical technology conditions. Parameters of surface nucleation model set with pdbSet PolySilicon Symbol Parameter Name Island dimension SNG.Island.Dim R SNG.Growth.Flux n0 SNG.Prefactor i SNG.Critical.Island.Size Ea SNG.Adsorption.Energy Ed SNG.Diffusion.Energy Ei SNG.Critical.Island.Energy Grain Growth The growth of the grains during high-temperature processing is given by [12]: ∂L g E gb 1 --------- = ----- A0 × DselfFactor × Dself × -------- × F seg + G EA ∂t Lg kT 254 (282) Sentaurus™ Process User Guide I-2013.12 4: Diffusion Diffusion in Polysilicon A0, DselfFactor, and Dself represent the empirical geometric factor, the enhancement factor of silicon self-diffusivity at the grain boundary, and the silicon self-diffusivity in the vicinity of a grain boundary, respectively: pdbSet PolySilicon A0 6.0 pdbSet PolySilicon DselfFactor {[Arrhenius 5.6e-6 -1.73]} pdbSet PolySilicon Dself { -2 {[Arrhenius 5.6e-6 2.86]} -1 0.0 0 {[Arrhenius 4.29e-7 2.18]} 1 0.0 2 0.0 } E gb is the surface energy per atom associated with the grain boundary [12][13][14]; F seg models the segregation drag effect; and G EA models epitaxial regrowth of the poly layer (see Interface Oxide Breakup and Epitaxial Regrowth on page 255). Lg 2 1 E gb = ( 2 ⋅ LatticeSpacing ⋅ Tu ) ⋅ Lambda ⋅ ---------------------------------------------- + Lambda.1 ----------t 1 + Lambda.h f n poly fn = Lg -------------------------2t poly – L g L g < t poly Lg ----------t poly L g ≥ t poly (283) (284) t poly is the thickness of the polycrystalline layer. The segregation drag effect reduces the grain growth rate [15]: F seg = 1 + – SegDragExponent c gb ------------------------------------------------ GBMaxDensity (285) SegDragExponent is defined for the material, for example: pdbSet PolySilicon SegDragExponent 2.0 Interface Oxide Breakup and Epitaxial Regrowth A thin interfacial oxide layer is typically present between a deposited polysilicon layer and any underlying single-crystal silicon. This interfacial oxide presents a barrier to epitaxial realignment of the poly layer. With sufficient high-temperature processing, the oxide layer breaks up into a discrete set of small spheres, allowing epitaxial regrowth of the poly to proceed. Sentaurus™ Process User Guide I-2013.12 255 4: Diffusion Diffusion in Polysilicon The oxide breakup is modelled by the formation of voids in the interfacial oxide layer [16]–[19]. The radius of the voids R void increases as: E bu dR void β - × exp – ----------------------- = ----3 dt kT t ox (286) where: ■ β is a constant. ■ t ox is the initial oxide thickness. ■ E bu is the activation energy of the breakup process. ■ R void is initialized to zero whenever poly is deposited on exposed silicon. The fraction of the interface that is broken up is given by: 2 (287) F bu = 1 – exp ( – πN EA R void ) where N EA is the areal density of the voids. The parameters for the model are specified in terms of a characteristic breakup time for the thinnest (5 Å) interfacial oxide layers: 3 E bu 5A· t bu ≡ --------------------- × exp -------kT πN β (288) EA t bu is defined with the parameter PolyOxBreakTime, for example: pdbSet PolySilicon PolyOxBreakTime {[Arrhenius 1.0 -5.0]} ;# seconds dR void 1 5A· ---------------- = ------ ------t bu t ox dt 3 1 -----------------πN EA (289) In the present implementation, assume that all polycrystalline or single-crystalline interfaces share a common oxide thickness given by: t ox = PolyOxThickness (290) PolyOxThickness is defined in the material: pdbSet PolySilicon PolyOxThickness 5e-8 ;# cm 256 Sentaurus™ Process User Guide I-2013.12 4: Diffusion Diffusion in Polysilicon Epitaxial regrowth is modeled by increasing the poly grain size to a value larger than the thickness of the poly layer. This grain growth is described by G EA in Eq. 273, p. 250 for the grain size: G EA = F bu v EA δ if (291) It serves as a driving force for epitaxial regrowth from the interface at the silicon–polysilicon interface. Parameters for this model are given by: v EA = EpiGrowthVelocity (292) pdbSet PolySilicon EpiGrowthVelocity {[Arrhenius 100.0 3.0]} ;# cm2/sec Dependence of Polysilicon Oxidation Rate on Grain Size It has been observed experimentally that the oxidation rate for fine-grained polysilicon is faster than for coarser-grained polycrystalline or single-crystalline silicon, presumably because of enhanced oxidation at the grain boundaries. This enhancement can be modeled by assuming a faster surface reaction rate where grain boundaries intersect the oxide–poly interface: k s = ( 1 – f )k g + fk gb (293) B C ox k g = --- -------A C* (294) where: is the surface reaction rate in the absence of grain boundaries, k gb is the reaction rate at a grain boundary, and: δT f = min ------, 1 Lg (295) is the fraction of the surface within a distance δT ⁄ 2 of a grain boundary. The enhancement factor at grain boundaries is specified as: k gb ------- = GBFactor kg (296) pdbSet Oxide_PolySilicon H2O GBFactor {[Arr 10.0 0.0]} pdbSet Oxide_PolySilicon O2 GBFactor {[Arr 10.0 0.0]} pdbSet Oxide_PolySilicon N2O GBFactor {[Arr 10.0 0.0]} Sentaurus™ Process User Guide I-2013.12 257 4: Diffusion Diffusion in Polysilicon The effective thickness of the grain boundaries is given by δT = GBEffThick , where GBEffThick is specified for each material. Boundary Conditions Boundary Conditions for Grain Growth Equation Several boundary conditions to control the grain size (GSize) in the grain growth equation are available. The reflective (HomNeumann) boundary condition assumes that the interface value grows like the bulk value. The minimum value (MinimumSize) boundary condition sets the interface value at the minimum value for GSize. The minimum value is set with: pdbSet GSize minConc {} The initial size (InitialSize) boundary condition fixes the interface value at the initial value of GSize. The boundary conditions can be switched on using: pdbSet Oxide_PolySilicon BoundaryCondition [{HomNeumann MinimumSize InitialSize}] The default setting for the grain growth boundary condition is HomNeumann. Dopant Diffusion Boundary Conditions There are three additional segregation-type boundary conditions available with the polycrystalline diffusion model. The first can be selected with: pdbSet Oxide_PolySilicon Arsenic BoundaryCondition GrainBoundarySegregation The total dopant fluxes at the interfaces between the grain boundary and the neighboring layer are balanced. The fluxes are given by: gb other j • n = k Transfer CA CA – ---------------------------------------------gb f gb s g k Segregation other (297) gb where C A is the concentration of dopant on the other side of the interface, C A is the grain gb boundary concentration, k Transfer is the transfer rate, and k Segregation is the segregation rate of dopant A in the grain boundary. To set these parameters, use: pdbSet Transfer {} pdbSet SegregationGb {} 258 Sentaurus™ Process User Guide I-2013.12 4: Diffusion Diffusion in Polysilicon The coefficient s g is the grain–grain boundary segregation coefficient. To select the second boundary condition, use: pdbSet Oxide_PolySilicon Arsenic BoundaryCondition GrainGrainBoundarySegregation The total dopant fluxes at the interfaces between the grain and the neighboring layer and the grain boundary and neighboring layer are balanced. The fluxes are given by: + other j g • n = k Transfer CA fg CA – ----------------------------k Segregation (298) gb other j gb • n = k Transfer C A CA – -------------------------------------gb s g k Segregation (299) and: (300) j • n = j g • n + j gb • n + where C A is the active concentration of dopant in the grain and k Segregation is the segregation rate of dopant A in the grain. To set this parameter, use: pdbSet Segregation {} To select the third boundary condition, use: pdbSet Oxide_PolySilicon Arsenic BoundaryCondition \ BulkGrainBoundarySegregation The total dopant fluxes at the interfaces between the grain and the neighboring layer and between the grain and the grain boundary are balanced. The fluxes are given by: + j•n = other k Transfer C A fg C fg CA – ----------------------------k Segregation + C gb A A j gb • n = k Transfer ---------------------------- – ---------------------------------------------gb k Segregation Sentaurus™ Process User Guide I-2013.12 f gb s g k (301) (302) Segregation 259 4: Diffusion Dopant Diffusion in SiGe NOTE For the anisotropic polycrystalline model, use the Segregation model for the boundary condition: pdbSet PolySilicon_Silicon Boron BoundaryCondition Segregation pdbSet Oxide_PolySilicon Boron BoundaryCondition Segregation pdbSet Gas_PolySilicon Boron BoundaryCondition Segregation Dopant Diffusion in SiGe The presence of germanium in silicon affects the dopant diffusion in various ways. First, the band gap of silicon is lowered in the presence of germanium. Second, germanium affects the point-defect equilibrium concentration. In addition, germanium can pair with boron forming an immobile but electrically active species. Bandgap Effect The effect of the bandgap narrowing on the dopant diffusion arises from the change in the intrinsic carrier concentration n i ( Si ) . This has been implemented in Sentaurus Process as follows: n i = n i ( Si )e – ∆Eg -------------2kT (303) where ∆Eg is bandgap narrowing due to germanium content. It can be defined using the command: pdbSet Germanium delEg {} The band gap, delEg ( ∆Eg ), is a function of germanium concentration and is given by: ∆Eg ≡ ∆Egs + ( ∆Egr – ∆Egs ) ( 1 – Fpm ) 2 ∆E gs = 0.835x – 1.01x 2 (304) (305) ∆E gr = 0.33x – 0.55x (306) C Ge x = --------------------22 5.0 ×10 (307) where ∆Egs [20] is the bandgap narrowing in strained silicon, ∆Egr [21] is the bandgap narrowing in the relaxed silicon, Fpm is a pseudomorphic factor that shows the degree of the 260 Sentaurus™ Process User Guide I-2013.12 4: Diffusion Dopant Diffusion in SiGe relaxation, and x is the germanium fraction in silicon. Fpm is calculated with respect to lattice mismatch in the substrate. For example: ( a SiGe – a ) Fpm = --------------------------a SiGe – a Si (308) where: ■ a SiGe is the lattice-spacing of the silicon-germanium region. ■ a Si is the lattice-spacing of silicon. ■ a is the lattice-spacing calculated in the mechanics. If the system is fully relaxed, Fpm is zero. If it is fully strained, Fpm is one. ∆Eg will be used if the germanium percentage is greater than 0.1%, and the bandgap narrowing effects due to other strain sources will be ignored (see Pressure-dependent Defect Diffusion on page 275). Potential Equation The permittivity of “Ge-doped” silicon can be calculated by the following formula, in which x Ge is the germanium concentration in silicon: ε = ( 1 – x Ge ) × ε Si + x Ge × ε Ge (309) where ε Si and ε Ge are defined as PDB parameters: pdbSet Ge Potential Permittivity 15.8 pdbSet Si Potential Permittivity 11.7 This calculation is included when setting: pdbSetString Si CompSpecies "Germanium" pdbSetBoolean Si Potential PermittivityContentEffect 1 The product of the density-of-states in the conduction and valence bands, N c × N v , is multiplied by the factor defined as: pdbSetFunction Si Ge factorNcNv [GefactorNcNv] when the following PDB value is set: pdbSetBoolean Si Potential BandgapContentEffect 1 Such a factor can be defined as: ( 1 – x Ge × 0.47 ⁄ 0.81 ) Sentaurus™ Process User Guide I-2013.12 3⁄4 (310) 261 4: Diffusion Dopant Diffusion in SiGe with the following line: fproc GefactorNcNv { } { return "(1.0-(Germanium/5.0e22)*0.47/0.81)^0.75" } Effects on Point-Defect Equilibrium Concentrations The introduction of germanium acts thermodynamically on the equilibrium of the silicon matrix. Compressive strain increases the equilibrium concentration of vacancies and decreases the equilibrium concentration of interstitials, and the tensile strain has the opposite effect on the point-defect equilibrium concentrations [22][23]. These effects are modeled in Sentaurus Process by modifying the equilibrium point-defect concentrations: * CX = * C X ( Si, P ≡ 0 )e – ( ∆V X P + ∆V GeX ) -------------------------------------------kT (311) C Ge ∆V GeX = ∆V olGeX ∆a SiGe ---------------22 5 ×10 (312) where C X is the equilibrium concentration of point defects (interstitial or vacancy), and ∆V X is the activation volume change of equilibrium point defects due to the pressure P . * The following set of commands can be used to modify ∆V X : pdbSet Silicon Interstitial Volume pdbSet Silicon Vacancy Volume 8.59e-24 -5.52e-24 To switch on strain effects on point defects, set the following switches: pdbSet Silicon Interstitial CStarMod FermiPressureDependent pdbSet Silicon Vacancy CStarMod FermiPressureDependent ∆V GeX is the total activation volume change of equilibrium point defects due to the presence of germanium and is calculated from the activation volume change ∆V olGeX , the lattice mismatch coefficient ∆a SiGe , and the germanium fraction in the structure (see Eq. 312). These quantities can be modified using the following commands: pdbSet Silicon Germanium Interstitial delVol 11.8 pdbSet Silicon Germanium Vacancy delVol 25.6 pdbSet Silicon Germanium LatticeMismatch 0.0425 NOTE 262 3 delVol is given in units of eV and Volume is given in units of cm . Sentaurus™ Process User Guide I-2013.12 4: Diffusion Dopant Diffusion in SiGe Effect of Ge on Point-Defect Parameters In this version of Sentaurus Process, you can define the arbitrary Alagator expressions for the point-defect basic parameter prefactors. The names of terms used for the vacancy and interstitial equilibrium concentration are VacCStarFactor and IntCStarFactor, respectively. Corresponding terms for the vacancy and interstitial diffusivity are VacDiffFactor and IntDiffFactor. These expressions can be used to include the effect of germanium on point-defect parameters. For example, the prefactor for the vacancy equilibrium concentration in SiGe can be calculated as follows: MultiplyTerm Si VacCStarFactor "exp((1.088*($x_Ge))*$Vt_i)" –1 where x_Ge is the germanium content, and Vt_i is 1 ⁄ (kT) in eV . NOTE The MultiplyTerm command is not saved to the TDR files. If the input file is split, the command must be included in the new input file. Impact of Ge on Extended-Defect Parameters The parameters IClusterDissIntFactor, C311DiffIntFactor, and CLoopTransfer (used in the Full model for I-clusters) can be used to include the impact of germanium on extended defects. Impact of Dopant Diffusivities The germanium chemical effect is simulated by the activation energy correction using diffusivity prefactors. For example, in the case of boron, it is performed by the term BoronIntDiffFactor, which can be defined in silicon: MultiplyTerm Si BoronIntDiffFactor "exp(-0.227*($x_Ge)*$Vt_i)" NOTE The MultiplyTerm command is not saved to the TDR files. If the input file is split, the command must be included in the new input file. During assembly of the diffusion equations, Sentaurus Process checks each dopant and material for whether such diffusion factors exist. The diffusivity through dopant–interstitial or dopant–vacancy pairs is then multiplied by the corresponding diffusion enhancement factors. A separation between interstitial and vacancy effects is necessary because with increasing germanium content of SiGe, the fractions of diffusion mediated by dopant–interstitial and dopant–vacancy pairs change. Sentaurus™ Process User Guide I-2013.12 263 4: Diffusion Dopant Diffusion in SiGe SiGe Strain and Dopant Activation The solid solubility of dopants depends on the strain. In general, for compressive strain, the solubility of atoms smaller than silicon increases; whereas, the solubility of larger atoms decreases. In the Transient model, the stress effect is taken into account by introducing the pressure-dependent parameters Solubility and TotalSolubility: (313) S ( P ) = S ( P = 0 ) exp ( – P V ⁄ kT ) An example of the definition of boron pressure-dependent solid solubility is: pdbSet Si B SS.Factor "exp(3.636e-24*Pressure*$kT_i)" pdbSet Si B Total.SS.Factor "exp(3.636e-24*Pressure*$kT_i)" Since the emission rate for the silicon side in the three-phase segregation model is proportional to the solid solubility, a corresponding modification also must be included in the boundary condition. For example, this can be achieved by the following line for boron: pdbSetString Si B Side.SS.Factor "exp(3.636e-24*Pressure_Silicon*$kT_i)" NOTE You can define the arbitrary Alagator expressions for the dopant solid solubility prefactors in Sentaurus Process. The name of strings used for the solid solubility, the total solid solubility, and the emission rate correction are SS.Factor, Total.SS.Factor, and Side.SS.Factor, respectively. Germanium–Boron Pairing Germanium can pair with boron and the pairs are known to be electrically active [24] but not mobile: (314) Ge + B ↔ GeB In Sentaurus Process, this reaction is modeled with the following differential equation: ∂C GeB = Kf ( C Ge C B – KbC GeB ) ∂t (315) where C GeB is the concentration of germanium–boron pairs, C Ge is the concentration of germanium, C B is the concentration of boron, and Kf and Kb are the forward reaction rate and equilibrium constant, respectively. 264 Sentaurus™ Process User Guide I-2013.12 4: Diffusion Diffusion in III–V Compounds You can specify the model parameters with the commands: pdbSet Silicon Germanium Boron Kf {} pdbSet Silicon Germanium Boron Kb {} Germanium diffusion is modeled by assuming a constant diffusion model: ∂C Ge = ∇•( D ∇C Ge ) ∂t (316) where D is the diffusivity of germanium and can be set using the command: pdbSet Silicon Germanium Dstar {} NOTE The germanium–boron cluster model is switched off by default. To switched it on, use: solution add name=GeB ifpresent = "Germanium Boron" !negative Of course, if boron is present in silicon, the reaction in Eq. 315 is automatically added to Eq. 316. Table 19 Solution names for germanium model Symbol Solution name C Ge Germanium C GeB GeB Initializing Germanium–Boron Clusters Initially, germanium–boron cluster concentrations are set to zero. If there is an existing cluster concentration field, the field is used. To initialize the cluster concentration field, use the select command in the input command file. Diffusion in III–V Compounds This section discusses diffusion in III–V compounds. Material Conversion At the beginning of a diffusion, the adjacent III–V materials or a III–V material doped with other group III or V atoms can be merged into the proper ternary or quaternary compound Sentaurus™ Process User Guide I-2013.12 265 4: Diffusion Diffusion in III–V Compounds materials. The conversion is performed when the Boolean parameter Convert.IIIVMaterials is switched on: pdbSet Diffuse Convert.IIIVMaterials <0|1> ;# default 1 When different III–V material regions are adjacent and there is a common material derived from each III–V material, the regions merge into the common derived material region. For example, if the neighbor region of a GaAs material region is InAs, two regions are merged and converted into InGaAs material if InGaAs is the derived material from both GaAs and InAs. The derived material is specified by: pdbSet Derived.Materials { } For example: pdbSet GaAs Derived.Materials { InGaAs AlGaAs GaPAs } pdbSet InAs Derived.Materials { InPAs InGaAs } When group III or group V atoms are doped into a III–V material, and the atoms are a different species from the components of the material, the material is converted to the new III–V material with the component list, including the doping species, if the doping concentration exceeds the minimum concentration Min.Conv.Conc for conversion. For example, when indium atoms are doped into a GaAs material region, GaAs is converted to InGaAs if InGaAs is one of the derived materials of GaAs, and the maximum concentration of indium atoms in the region exceeds the indium Min.Conv.Conc parameter value of InGaAs: pdbSet InGaAs Indium Min.Conc {} The atoms of the material components are filled into the region before the material conversion for the mole fraction calculation and the interdiffusion simulation. Physical Parameter Interpolation The parameter for the mole fraction of a ternary (or quaternary) material is specified by: pdbSet MoleFraction.Atoms { x } pdbSet MoleFraction.Atoms { x y } ;# ternary ;# quaternary For example: pdbSet InGaAs MoleFraction.Atoms { x Gallium } ;# In(1-x)Ga(x)As pdbSet AlInGaAs MoleFraction.Atoms {x Aluminum y Indium} ;# Al(x)In(y)Ga(1-x-y)As 266 Sentaurus™ Process User Guide I-2013.12 4: Diffusion Diffusion in III–V Compounds If a physical parameter is not specified on a ternary (or quaternary) material, the parameter value is extracted by the linear interpolation with the parameter values of their base materials that is, binary materials. For the value P M of the parameter of material M : P III P III P III A ( x ) III B ( y ) III C ( 1 – x – y ) V D A ( x ) III B ( 1 – x ) V C ( y ) V D ( 1 – y ) NOTE = P III A ( x ) III B ( 1 – x ) V C = P III = P III ⋅ xy + P III A VC A VD A VD A VC ⋅ x + P III ⋅ x + P III B VD B VC ⋅ y + P III ⋅ x ( 1 – y ) + P III (317) ⋅ (1 – x) B VC C VD (318) ⋅ (1 – x – y) ⋅ ( 1 – x )y + P III B VD ⋅ (1 – x )(1 – y ) (319) For the energy bandgap and affinity, the second-order mole-fraction dependency can be specified (see details in Table 22 on page 278). Dopant Diffusion To model dopant diffusion in a III–V material, the following assumptions are applied: ■ Point defects diffuse by the second nearest neighbor hopping. ■ Group II dopants react only with group III point defects. ■ Group VI dopants react only with group V point defects. ■ There are no antisite defects. ■ The charging reaction is in equilibrium. ■ There are two types of vacancy (that is, at group III and V sites): VacIII and VacV. Since the substitutional concentrations of group IV dopants on group III sites and group V sites are modeled and calculated separately, the autocompensation effect due to the amphoteric behavior is implicitly taken into account. ChargedReact Model ∂AI --------- = ∂t ∂AV ----------- = ∂t ∇⋅ m D AI j η –j m η – z Am m D AV j η m –j η m m ∂A m, s -------------- = – ∂t – z Am AV m z Am ∇ ------------η α AV + R AV – R AVY ( ko ) ( ko ) ( ko ) m m (320) Ym ( ko ) ( ft ) m m (321) Ym ( ft ) ( ft ) R AY – R AV + R AIV + Ym ( ft ) R AY – R AIV m j Sentaurus™ Process User Guide I-2013.12 + m j ∇⋅ AI m z Am ∇ ----------- η α AI R AVY m m (322) Ym 267 4: Diffusion Diffusion in III–V Compounds where: ( ko ) R AY = m j Y m AI m Y m, s ( ko ) –j k AY j Y m, i∗ φ Y j η A m, s --------- – ----------- -------------m m Y m∗ α AI Y m, s∗ ( ko ) ( ko ) k AV j V m, i∗ φ V j η R AV = m m V m AV m A m, s ---------- – -----------V m∗ α AV –j m ( ft ) ( ft ) m j, k k AV j, k m jk α AI m, AI m V m ∗ φ V k η –( j + k ) ---------- ---------- – A m, s m α AI V m∗ (325) Y m, s AVm Y m ∗ φ Y k η – ( j + k ) ----------- --------- – A m, s -------------m α AV Y m∗ Y m, s∗ (326) j V m, i m ( ft ) ( ft ) R AVY = m k AI (324) m j R AIV = (323) m m jk α AV j Y m, i m, m α AI = α AI m –j and αAIm, j ≡ DAIm j ⁄ d AIm j (327) –j and αAVm, j ≡ D AVm j ⁄ dAVm j (328) m, jη m, jη j α AV = α AV m j –1 AI III α AI η III = ------------------------------------------------------------AI –1 1 α AI η + α AI η ⁄ r IIIV III (329) V 1 AI V α AI η V = -------------------------------------------------------- AI –1 1 r IIIV α AI η + α AI η (330) V III –1 α AV η III AV III = -------------------------------------------------------------- AV –1 1 α AV η + α AV η ⁄ r IIIV III (331) V 1 AV V α AV η V = -----------------------------------------------------------AV –1 1 r IIIV α AV η + α AV η III 268 (332) V Sentaurus™ Process User Guide I-2013.12 4: Diffusion Diffusion in III–V Compounds Table 20 Description of symbols for the ChargedReact model Symbol Description Unit m The Mendeleev group number of a constituent atom of III–V material, m ∈ { III, V } . Unitless A m, s Substitutional dopant concentration at group m lattice sites. cm AI Dopant–interstitial pair concentration. For example, AI is SiInt for Silicon. cm AI m Dopant–group m interstitial pair concentration. cm AV Dopant–vacancy pair concentration. For example, AV is SiVac for Silicon. cm AV m Dopant–group m vacancy pair concentration. cm Ym Self-interstitial concentration. For example, Y III is GaInt or InInt, and Y V is AsInt in InGaAs. cm Y m, s Constituent atom concentration. For example, Y III, s is Gallium or Indium, and Y V is Arsenic in InGaAs. cm * Self-interstitial concentration in equilibrium (Eq. 346, p. 272). cm * Self-interstitial concentration in intrinsic equilibrium: pdbSet InGaAs GaInt Cstar {} cm Y m, s * Constituent atom concentration in equilibrium: pdbSet InGaAs Gallium CsubStar {} cm φY Charge-state fractions of self-interstitials: φ Y j = φ Y j ′ ⁄ φ Y j ′ . φ Y j ′ is specified, m m m m for example, by: j pdbSet GaAs GaInt ChargeStates { -2 ... 2 } Unitless Vacancy concentration. V III is VacIII and V V is VacV. cm * Vacancy concentration in equilibrium (Eq. 347, p. 272). cm V m, i * Vacancy concentration in intrinsic equilibrium: pdbSet GaAs VacIII Cstar {} cm φV Charge-state fractions of vacancies: φ V j = φ V j ′ ⁄ φ V j ′ . φ V j ′ is specified, for m m m m example, by: j pdbSet GaAs VacIII ChargeStates { -2 ... 2 } Unitless D AIm Effective diffusivity of dopant–group m interstitial: pdbSet IntIII D { -2 ... 2 } pdbSet IntV D { -2 ... 2 } cm /s D AVm Effective diffusivity of dopant–group m vacancy: pdbSet VacIII D { -2 ... 2 } pdbSet VacV D { -2 ... 2 } cm /s d AIm Self-diffusivity of dopant–group m interstitial: pdbSet IntIII Dpair { -2 ... 2 } pdbSet IntV Dpair { -2 ... 2 } cm /s Ym Y m, i m Vm Vm m Sentaurus™ Process User Guide I-2013.12 –3 –3 –3 –3 –3 –3 –3 –3 –3 –3 –3 –3 –3 2 2 2 269 4: Diffusion Diffusion in III–V Compounds Table 20 Symbol Description Unit d Avm Self-diffusivity of dopant–group m vacancy: pdbSet VacIII Dpair { -2 ... 2 } pdbSet VacV Dpair { -2 ... 2 } cm /s α AI Ratio of dopant–group m interstitial pair concentration to substitutional concentration in equilibrium. Unitless Ratio of dopant–group m vacancy pair concentration to substitutional concentration in equilibrium. Unitless z Am Charge of ionized substitutional atom at group m lattice sites. Unitless η Ratio of electron concentration to intrinsic carrier concentration ( n ⁄ n i ). Unitless Kick-out reaction rate at which Y m kicks out A m, s , generates AI m , and increases the mole fraction of Y . cm /s Kick-out reaction rate at which V m reacts with A m, s and generates a dopant–vacancy pair AV m . cm /s Frank–Turnbull reaction rate at which AI m reacts with V m and generates a substitutional dopant A m, s . cm /s Frank–Turnbull reaction rate at which AV m reacts with Y m , generates a substitutional dopant A m, s , and increases the mole fraction of Y . cm /s m α AV m ( ko ) R AY m ( ko ) R AV m ( ft ) R AIV m ( ft ) R AVY m ( ko ) k AY ( ko ) ( ft ) k AVY r IIIV –3 –3 Reaction rate constant associated with R AIV , for example: m pdbSet GaAs Si VacIII kfFTM { {-2,-2} ... {2,2} } ( ft ) m –3 cm /s ( ft ) m –3 –3 ( ko ) ( ft ) –3 cm /s Reaction rate constant associated with R AV , for example: m pdbSet GaAs Si VacV kfKickOut { -2 ... 2 } m k AIV 2 Reaction rate constant associated with R AY , for example: m pdbSet GaAs Si Gallium kfKickOut { -2 ... 2 } m ( ko ) k AV 270 Description of symbols for the ChargedReact model –3 cm /s –3 Reaction rate constant associated with R AVY , for example: m pdbSet GaAs Si Gallium kfFTM { {-2,-2} ... {2,2} } cm /s Ratio of the substitutional concentration at group III lattice sites to group V sites in intrinsic equilibrium. r IIIV ≡ ( A III, s ⁄ A V, s ) i∗ . Applies only to group IV dopants. For example: pdbSet GaAs Si Csub.Ratio {} Unitless Sentaurus™ Process User Guide I-2013.12 4: Diffusion Diffusion in III–V Compounds Fermi Model The point-defect concentrations are assumed to be at thermal equilibrium: ∂A ------ = ∂t ∇ ⋅ ( D I + D V )η m m –zm zm ∇( A m, s η ) (333) m where: –1 η A III, s = ----------------------------------- As –1 1 η + η ⁄ r IIIV 1 η A V, s = --------------------------------A –1 1 s r IIIV η + η (334) (335) Constant Model The point-defect concentrations are assumed to be at intrinsic equilibrium: ∂A ------ = ∂t ∇ ⋅ ( D ∗ ∇( A m , s ) ) (336) m where: 1 A III, s = --------------------------- A s 1 + 1 ⁄ r IIIV (337) 1 A V, s = -------------------- A s r IIIV + 1 (338) Activation Model The solid solubility model can be specified. For more information, see Dopant Active Model: Solid on page 293. For group IV dopants, the amount of substitutional concentration on group III and group V sites is reduced by the ratio of a given parameter Csub.Clust.Ratio to the clustering concentration, respectively: + + 1 A III, s = A III, s – --------------------------------------------------------- ( A s – A s ) 1 + 1 ⁄ Csub.Clust.Ratio Sentaurus™ Process User Guide I-2013.12 (339) 271 4: Diffusion Diffusion in III–V Compounds + + 1 A V, s = A V, s – -------------------------------------------------- ( A s – A s ) Csub.Clust.Ratio + 1 (340) Point-Defect Diffusion ∂Y m ---------- = ∇ ⋅ ∂t dY Y m, j m , i j ∂V m ---------- = ∇ ⋅ ∂t dV j m, Ym ∗ φ Y j η –j ∇ --------– R YV – m m Y m∗ Vm ∗ φ V j η – j ∇ --------- – m V m∗ ∂Y m, s -------------- = R YV + m ∂t Y′ m (341) m A ( ko ) ( ft ) (342) ( R AV + R AIV ) m Ym ( ft ) m m m A ( ko ) ( ft ) (343) ( R AY + R AVY ) R YY′ + m Y′ m m R YV – j V m, i ( ko ) ( R AY + R AVY ) R YY′ – m m A where: R YV = m j, k Ym Vm Y m, s –( j + k ) k YV j ( Y m, i∗ φ Y j ) ( V m, i∗ φ V k )η --------- ---------- – -------------m m m Y m∗ V m∗ Y m, s∗ (344) Y′ m Y m, s Y m Y′ m, s –j k YY′ j Y′ m, s∗ ( Y m, i∗ φ Y j )η --------- --------------- – ----------- -------------m m ∗ ∗ Y m Y′ m, s Y′ m∗ Y m, s∗ (345) R YY′m = j Y m∗ = –j (346) –j V m, i∗ φ V j η (347) Y m, i ∗ φ Y j η m j V m∗ = m j Table 21 272 Description of symbols for the pair diffusion model Symbol Description Unit m The Mendeleev group number of a constituent atom of III–V material, m ∈ { III, V } . Unitless Ym Self-interstitial concentration. For example, Y III is GaInt or InInt, and Y V is AsInt in InGaAs. cm Y m, s Constituent atom concentration. For example, Y III, s is Gallium or Indium, and Y V is Arsenic in InGaAs. cm –3 –3 Sentaurus™ Process User Guide I-2013.12 4: Diffusion Diffusion in III–V Compounds Table 21 Symbol Description of symbols for the pair diffusion model Description Unit * Self-interstitial concentration in equilibrium (Eq. 346, p. 272). cm * Self-interstitial concentration in intrinsic equilibrium: pdbSet InGaAs GaInt Cstar {} cm Y m, s * Constituent atom concentration in equilibrium: pdbSet InGaAs Gallium CsubStar {} cm φY Charge-state fractions of self-interstitials: φ Y j = φ Y j ′ ⁄ φ Y j ′ . φ Y j ′ is specified, m m m m for example, by: j pdbSet GaAs GaInt ChargeStates { -2 ... 2 } Unitless Vacancy concentration. V III is VacIII and V V is VacV. cm * Vacancy concentration in equilibrium (Eq. 347, p. 272). cm V m, i * Vacancy concentration in intrinsic equilibrium: pdbSet GaAs VacIII Cstar {} cm φV Charge-state fractions of vacancies: φ V j = φ V j ′ ⁄ φ V j ′ . φ V j ′ is specified, for m m m m example, by: j pdbSet GaAs VacIII ChargeStates { -2 ... 2 } Unitless d Ym Self-interstitial diffusivity: pdbSet InGaAs GaInt D { -2 ... 2 } cm /s d Vm Vacancy diffusivity: pdbSet GaAs VacIII D { -2 ... 2 } cm /s η Ratio of electron concentration to intrinsic carrier concentration ( n ⁄ n i ). Unitless Kick-out reaction rate at which Y m kicks out A m, s , generates AI m , and increases the mole fraction of Y . See Eq. 323, p. 268 for details. cm /s Kick-out reaction rate at which Y m reacts with A m, s and generates a dopant–vacancy pair AV m . See Eq. 324, p. 268 for details. cm /s Frank–Turnbull reaction rate at which AI m reacts with V m and generates a substitutional dopant A m, s . See Eq. 325, p. 268 for details. cm /s Frank–Turnbull reaction rate at which AV m reacts with Y m , generates a substitutional dopant A m, s , and increases the mole fraction of Y . See Eq. 326, p. 268 for details. cm /s Interstitial–vacancy bulk recombination rate for group m . cm /s Kick-out reaction rate at which Y m kicks out Y' m, s and occupies the lattice site by generating Y' m . The reaction increases the mole fraction of Y but decreases that of Y' . cm /s Reaction rate constant associated with R YV , for example: m pdbSet GaAs GaInt KbulkChargeStates { -2 ... 2 } cm /s Reaction rate constant associated with R YY′ , for example: m pdbSet InGaAs GaInt Indium kfKickOut { -2 ... 2 } cm /s Ym Y m, i m Vm Vm m ( ko ) R AY m ( ko ) R AV m ( ft ) R AIV m ( ft ) R AVY R YV m R YY′ k YV m m k YY′ m m Sentaurus™ Process User Guide I-2013.12 –3 –3 –3 –3 –3 –3 2 2 –3 –3 –3 –3 –3 –3 –3 –3 273 4: Diffusion Diffusion in III–V Compounds Poisson Equation ∇•( ε r ε 0 ∇( ψ – θ ) ) ( z Am A m, s ) + = –q p – n + A m A m –j jY m, i∗ φ Y j η + m m Ym j ( ( z Am + j )α AI Ym --------- + Y m∗ m, –j AI m ) ----------- + α AI m j –j jV m, i∗ φ V j η m j jη ( ( z Am + j )α AV m, jη –j AV m ) -----------α AV m j Vm ---------V m∗ (348) The band structure parameter (Eq. 359, p. 278) relies on the mole-fraction dependent affinity and energy bandgap. For details, see Poisson Equation for Hetero-junctions on page 278. MoleFractionFields The Tcl procedure MoleFractionFields returns the list of the constituent atom concentrations for given mole-fractions by considering that the lattice density of an alloy varies with mole-fractions. The usage is: MoleFractionFields where y-mole-fraction is required only for quaternary materials. For example: sprocess> Indium = sprocess> Aluminum MoleFractionFields InGaAs 0.53 9.514445e+21 Gallium = 1.072906e+22 MoleFractionFields AlInGaAs 0.2 0.4 = 4.122000e+21 Indium = 8.244000e+21 Gallium = 8.244000e+21 The proc MoleFractionFields is useful for adding the constituent atoms into a deposited layer, for example: deposit InGaAs thickness=0.1 fields.values= “[MoleFractionFields InGaAs 0.53] Be=1e17” 274 Sentaurus™ Process User Guide I-2013.12 4: Diffusion Pressure-dependent Defect Diffusion Pressure-dependent Defect Diffusion * Eq. 153, p. 211 shows that C X depends on the Fermi level. However, you can select one of the available models (Constant, FermiLevelDependent, FermiPressureDependent) using the command: pdbSet CStarMod where defect is interstitial or vacancy, and model is one of the available models. * * The Constant model simply sets C X to C X ( intrinsic ) , the FermiLevelDependent model is given in Eq. 153, and the FermiPressureDependent model includes both Fermi effects and pressure-field effects. The pressure effects are modeled in Sentaurus Process by modifying the equilibrium pointdefect concentrations: * CX = * C X ( Si, P ≡ 0 )e ( ∆V X P ) – -----------------kT (349) where C X is the total equilibrium concentration of point defect X (interstitial or vacancy). ∆V X is the activation volume change of equilibrium point defects due to the pressure P and is given by: * 3 ∆V I = ε4πr o (350) 2 (1 – η) Γ ∆V V = -2πr s 3 ----------------- --1+η µ (351) where ε is the dilatation, r o is the measure of the sphericity of the interstitial, r s is the radius of the vacancy, η is the Poisson ratio of silicon, Γ is the surface tension of the vacancy, and µ is the shear modulus of silicon. The following set of commands can be used to modify ∆V X : pdbSet Silicon Interstitial Volume pdbSet Silicon Vacancy Volume 8.59e-24 -5.52e-24 3 The unit of Volume is cm . Sentaurus™ Process User Guide I-2013.12 275 4: Diffusion Electron Concentration Electron Concentration To calculate the electron concentration or, alternatively, the electron potential, Sentaurus Process solves either the Poisson equation or charge balance equation. By default, the ChargedReact, ChargedPair, and ChargedEquilibrium models all solve the charge balance equation. The uncharged models do not require a separate equation because the electron concentration can be computed directly from the net doping. The Poisson equation is given by: (352) ∇•( ε ∇ψ ) = – q ( p – n + ∆N ) where ε is the permittivity, ψ is the potential, n and p are the electron and hole concentrations, and ∆N is the net charge. Electrons and holes are always assumed to be in equilibrium, such that: 2 pn = n i (353) – n + p + ∆N = 0 (354) The charge balance equation is: In Eq. 352 and Eq. 354, the ∆N must be calculated. The net charge is given by: + ∆N = zj CA + cC c + X j j c ( z j + c )C A X X, c , j ( c + zj ) j (355) where: ■ c is the charge state of the defect X , interstitial, or vacancy. ■ C Xc is the concentration of the defect X in the charge state c . ■ z j is the charge state of dopant A j . ■ A j X is the dopant A j and defect X pair. You can exclude or include the charged dopant–defect pairs or charged defects in Eq. 355, for example: pdbSet Si Dopant ChargeModel DopantOnly pdbSet Si Dopant ChargeModel DopantDefect The first command, which is the default behavior for dopants, includes only the charged dopants in silicon in Eq. 355. The second command includes the charged dopants as well as the charged dopant–defect pairs in Eq. 355. 276 Sentaurus™ Process User Guide I-2013.12 4: Diffusion Electron Concentration In a similar way: pdbSet Si Defect ChargeModel None pdbSet Si Defect ChargeModel Defect The first command, which is the default behavior for defects, excludes the charged defects in silicon in Eq. 355 and the second command includes them. NOTE The diffusion models Constant, Fermi, Pair, and React always exclude the charged dopant–defect pairs. The Poisson equation is switched on or off with the command: pdbSet Si Potential Poisson 1 | 0 NOTE The above switch is used to switch from or to the Poisson equation to or from the charge balance equation. If it is switched off, the charged defects and charged dopant–defect pairs are not included in Eq. 355, and Eq. 356 is used to calculate the potential: 1 2 2 1 ψ = ------ log ------- ( ∆N + ∆N + 4n i ) 2n i V ti (356) where V ti is 1 ⁄ kT , and n i is the intrinsic concentration of electrons and can be set using the command: pdbSet Potential ni {} To switch on or off the solution of the Poisson equation or the charge balance equation, regardless of the diffusion model selected, use the commands: pdbSetBoolean Potential ForcedTurnOff 0/1 pdbSetBoolean Potential ForcedTurnOn 1/0 NOTE If the potential equation is switched off, charge neutrality is assumed. If the selected diffusion or cluster models use complex charges, this may lead to instability in the code. Sentaurus™ Process User Guide I-2013.12 277 4: Diffusion Electron Concentration Poisson Equation for Hetero-junctions ∇•( ε r ε 0 ∇( ψ – θ ) ) = – q ( p – n + ∆N ) (357) E g kT N c qθ = χ + ------ + ------ ln -----2 Nv 2 (358) where: qψ n = n i exp ------kT and p = ni exp – qψ ------- T N c = N c300 --------300 3⁄2 T N v = N v300 --------300 3⁄2 ni = (359) kT (360) (361) Eg N c N v exp – --------2kT 2 (362) 2 T 300 E g = E g300 + E gα ------------------ – ------------300 + β T + β Table 22 278 (363) Description of symbols for Poisson equation Symbol Description Unit ψ Intrinsic Fermi potential. V θ Band structure parameter. V – 12 ε0 Vacuum permittivity ( 8.854 ×10 εr Relative permittivity: pdbSet Potential Permittivity {} Unitless η Ratio of electron concentration to intrinsic carrier concentration ( n ⁄ n i ). Unitless χ Affinity that depends on the mole fraction x . If Affinity is specified on the eV material, then χ = Affinity + Affinity.X1 ⋅ x + Affinity.X2 ⋅ x ( 1 – x ) . Otherwise, χ = χ interpolated + Affinity.X2 ⋅ x ( 1 – x ) , where χ interpolated is calculated by Physical Parameter Interpolation on page 266. pdbSet Potential Affinity {} pdbSetDouble Potential Affinity.X1 {} pdbSetDouble Potential Affinity.X2 {} F/m ). F/m Sentaurus™ Process User Guide I-2013.12 4: Diffusion Electron Concentration Table 22 Description of symbols for Poisson equation Symbol Description Unit E g300 Band gap at 300 K, which depends on the mole fraction x . If Eg300 is eV specified on the material, then E g300 = Eg300 + Eg.X1 ⋅ x + Eg.X2 ⋅ x ( 1 – x ) . Otherwise, E g300 = E g300 interpolated + Eg.X2 ⋅ x ( 1 – x ) , where E g300 interpolated is calculated by Physical Parameter Interpolation on page 266. pdbSet Potential Eg300 {} pdbSetDouble Potential Eg300.X1 {} pdbSetDouble Potential Eg300.X2 {} E gα Bandgap modification for temperature dependency: pdbSet Potential Eg.Alpha {} eV β Temperature constant for band gap depending on temperature: pdbSet Potential Eg.Beta {} K Nc Density-of-states of a conduction band. cm N c300 Density-of-states of a conduction band at 300 K: pdbSet Potential Nc300 {} cm Nv Density-of-states of a valence band. cm N v300 Density-of-states of a valence band at 300 K: pdbSet Potential Nv300 {} cm –3 –3 –3 –3 Turning on Use.DOS specifies to use the density-of-state to calculate the intrinsic carrier concentration. If Use.DOS is turned off, θ is set to 0.0 and n i is given by the PDB parameter ni: pdbSet Silicon Potential Use.DOS 0 To solve Poisson’s equation in insulators or to apply the Dirichlet boundary condition at conductor surfaces, use: pdbSet Potential Poisson 1 For example: pdbSet Oxide Potential Poisson 1 pdbSet Aluminum Potential Poisson 1 NOTE Dirichilet boundary condition is automatically applied at metal– insulator or metal–semiconductor interfaces only when Poisson’s equations are on in both neighboring materials. NOTE Continuous boundary condition is automatically applied at insulator– insulator, insulator–semiconductor, semiconductor–semiconductor only when Poisson’s equations are on in both neighboring materials. Sentaurus™ Process User Guide I-2013.12 279 4: Diffusion Electron Concentration To define a conductor, set Conductor and WorkFunction parameters. For example: pdbSet Aluminum Conductor 1 pdbSet Aluminum Potential WorkFunction 4.1 The default conductors are set as follows: Table 23 Default conductor materials Material Work Function (eV) Aluminum 4.10 Colbalt 5.00 ColbaltSilicide 4.76 Copper 4.70 Nickel 5.20 NickelSilicide 4.84 Platium 5.50 Titanium 4.33 Tungsten 4.80 TungstenSilicide 4.76 TiSilicide 4.56 To specify to turn on Poisson for all material regions in a simulation structure, use: pdbSet Compute All.Poisson 1 Bandgap Narrowing If bandgap narrowing effects need to be considered, Sentaurus Process uses the effective intrinsic electron density, n ie , instead of n i . n ie is given by: n ie = n i e ∆Eg – ---------2kT (364) where ∆E g is the reduction in the bandgap energy of silicon and is defined as: (365) ∆Eg = ∆Egu + ∆Egs where ∆Egu is the user-defined bandgap narrowing and can be set using: pdbSet Potential delEg {} 280 Sentaurus™ Process User Guide I-2013.12 4: Diffusion Electron Concentration ∆Egs is the bandgap narrowing due to strain in the structure. To switch on this effect, the intrinsic electron density model (niMod) must be set to StrainDependent. To select the model, use: pdbSet Potential niMod niMod can have either the value Constant or StrainDependent. The Constant model will ignore ∆Egs . If the StrainDependent model is selected, ∆Egs will be calculated [25] using: (366) ∆Eci = D ci ( ε xx + ε yy + ε zz ) + D cxi ε xx + D cyi ε yy + D czi ε zz ∆Evi = D vi ( ε xx + ε yy + ε zz ) 2 2 2 2 2 2 2 2 ± ( 0.5D vbi ( ( ε xx – ε yy ) + ( ε yy – ε zz ) + ( ε zz – ε xx ) ) + D vdi ( ε xy + ε xz + ε yz ) ) (367) where ε is the strain in the respected direction, and D ci, D vi are the dilatational deformation potentials for the conduction and valence band valleys, respectively. To set, use: pdbSet Silicon Potential Ec Dilatational { 1 -8.6 2 -8.6 3 -8.6 } pdbSet Silicon Potential Ev Dilatational { 1 -2.1 2 -2.1 } D cxi, D cyi, D czi and D vbi, D vdi are the deviatoric deformation potential of conduction and valence band valleys. They can be set using the commands: pdbSet Si Potential Ec Deviatoric(1) { 1 2 3 } pdbSet Si Potential Ec Deviatoric(2) { 1 2 3 } pdbSet Si Potential Ec Deviatoric(3) { 1 2 Sentaurus™ Process User Guide I-2013.12 9.5 0.0 0.0 0.0 9.5 0.0 0.0 0.0 281 4: Diffusion Epitaxy 3 } pdbSet Si Potential Ev Deviatoric(1) { 1 2 } pdbSet Si Potential Ev Deviatoric(2) { 1 2 } 9.5 0.5 4.0 0.5 4.0 Sentaurus Process uses the averaged values of conduction and valence bands energies, ∆Eci, ∆Evi : 3 1 ∆Ec = – kT log --3 e ∆Eci – -----------kT (368) i=1 2 1 ∆Ev = kT log --2 e ∆Evi -----------kT (369) i=1 The bandgap narrowing becomes: (370) ∆Egs = ∆Ec – ∆Ev NOTE ∆Egs is ignored where Germanium ------------------------------- > 0.1% (see Dopant Diffusion in 5e22 SiGe on page 260). Epitaxy Epitaxial growth is simulated when an Epi type ambient is specified on either the diffuse command or in a temp_ramp ramp used by the diffuse command. By default, two Epi type ambients are available: one is called Epi and the other is called LTE. If Epi is specified, Silicon will grow on Silicon and PolySilicon will grow on PolySilicon. If the LTE ambient is specified, Silicon will again grow on Silicon, but PolySilicon will grow on Oxide, Nitride, and PolySilicon. The layer thickness is specified with the thick parameter and doping is specified with the epi.doping parameter. Epitaxy is solved using the Alagator general growth scheme (see Alagator for Generic Growth on page 596). This allows the creation of new epi growth modes (that is, specifying which materials grow) and material-dependent growth rates. 282 Sentaurus™ Process User Guide I-2013.12 4: Diffusion Epitaxy An unlimited number of species can be incorporated into the epitaxial layer. Doping is specified using the epi.doping and epi.doping.final parameters in either the diffuse command or a temp_ramp included in a diffuse command. The parameters Cepi0 and CepiE set the default value of fields in the growing material. The defaults are overwritten by setting the epi.doping and epi.doping.final parameters of the diffuse or temp_ramp commands. The same set of equations as for the single-crystalline silicon is solved for the epitaxial silicon during the diffusion step simulation. If the growth temperature goes below the minimum diffusion temperature, the diffusion equations will be switched off, but the boundary conditions for dopant incorporation will be applied. The Continuous boundary condition is applied to all the mobile species at the interface between the epitaxial layer and single-crystalline silicon to take into account the variable jump. It is also possible to incorporate the auto-doping of dopants during the epitaxial growth using the auto.doping parameter in either the diffuse command or a temp_ramp included in a diffuse command. Auto-doping can be switched on only for dopants that are not listed in the epi.doping or epi.doping.final parameters (see Epi Auto-Doping on page 285). In certain examples, it is easier to specify resistivity to obtain the required doping concentration in the epi layer. The resistivity can be specified using the epi.resist parameter in either the diffuse command or a temp_ramp included in a diffuse command. Two different methods can be selected to simulate the epitaxial growth. The epi.model parameter of the diffuse command is used to switch between them: ■ ■ If epi.model=0 (default), a moving-boundary algorithm similar to the oxidation one is applied. If epi.model=1, alternating doped deposition and inert annealing steps are used. Model 1 supports selective epitaxy, graded doping, and material-dependent growth rates, and can be used with both the Sentaurus Structure Editor and MGOALS modes. Furthermore, for 3D epitaxy, Model 1 is recommended because of the computational time and reliability issues related to moving boundaries (Model 0) in 3D. To set the grid spacing, use the epi.layers parameter. This sets the number of grid layers that are deposited during the corresponding diffuse or temp_ramp steps. NOTE Model 1 is recommended for 3D epitaxy and can be used with 2D. Using LKMC for Deposition Shape The shape of the growing epi layer can be controlled by lattice KMC (LKMC) to obtain more realistic deposition shapes without having the performance penalty associated with pure Sentaurus™ Process User Guide I-2013.12 283 4: Diffusion Epitaxy atomistic mode. To use this mode, specify lkmc on the diffuse command and the pdb parameter KMC Epitaxy, for example: pdbSet KMC Epitaxy 1 diffuse time=1 temperature=550 Epi lkmc epi.thickness=0.02 In this example, the LKMC epi growth rate will be scaled such that the <100> direction (by default the fastest direction) will grow 0.02 µm/s. For more information about controlling LKMC epitaxy, see Epitaxial Deposition on page 519. Epi Doping Two parameters of the diffuse and temp_ramp commands are used to control doping: epi.doping and epi.doping.final. Both parameters take a list of parameters, that is, dopant and field names, as their arguments. If a dopant or field name appears in only one of the lists or in both of the lists with the same value, the value of the doping is constant throughout the step. If the dopant or field appears in both lists with different values, a linear gradient of the doping is applied. For example: temp_ramp name=t1 temperature=550 t.final=700 time=1 temp_ramp name=t1 t.final=700 time=5 Epi thick=0.1 \ epi.doping = { Boron=1e18 Germanium=1e21 } \ epi.doping.final = { Germanium=5e21 } diffuse temp.ramp=t1 In this example, epitaxy is simulated after an inert temperature ramp. During epitaxy, the boron 18 –3 21 –3 concentration is a constant 10 cm , and germanium is ramped from 10 cm to 21 –3 5 × 10 cm . In addition, all these parameters can be set in the diffuse command, for example: diffuse temperature=700 time=5 LTE \ epi.doping.final = { Arsenic=1e18 } thick = 0.1 18 In this case, a constant arsenic doping of 10 cm –3 is applied to an LTE epitaxial growth. Initialization of Dopant Clusters in Epi The dopant cluster concentration in an epitaxial layer is initialized by: ∆C Aclust, i = C Adoping, clust, i + f Aclust, i ⋅ max ( C Adoping, dopant – EpiIni, 0.0 ) 284 (371) Sentaurus™ Process User Guide I-2013.12 4: Diffusion Epitaxy where: FractionEpi i f Aclust, i = ------------------------------------FractionEpi i (372) i EpiInit and FractionEpi are specified by: pdbSet EpiInit {} pdbSet FractionEpi {} The list of epi.doping can include both a dopant and its cluster solutions, for example: epi.doping= { Boron= 1e20 B4= 1e19 } 19 With EpiInit= 2 ×10 , the concentrations of active boron (Boron) and B4 (B4) are set to 19 19 20 19 19 2 ×10 and ( 1 ×10 + ( 1 ×10 – 2 ×10 )/4); in other words, 3 ×10 , respectively. Epi Auto-Doping The auto.doping parameter of the diffuse and temp_ramp commands controls doping. The parameter takes a list of parameters, that is, dopant and field names, as its arguments. If a dopant or field name appears in auto.doping and in either epi.doping or epi.doping.final, auto-doping of this dopant is ignored. For example: temp_ramp name=t1 temperature=550 t.final=700 time=1 temp_ramp name=t1 t.final=700 time=5 Epi thick=0.1 \ auto.doping = { Boron Germanium } epi.doping = { Germanium=1e21 } \ diffuse temp.ramp=t1 In this example, only auto-doping of boron is simulated. The parameter auto.doping switches on the following model automatically at Gas and epitaxially grown material interface: j • n = k Transfer * CA e k Decay ∆t – -------------------t min + CA CA – ----------------------------k Segregation (373) * where k Transfer is the transfer rate, k Segregation is the segregation rate, C A is the peak value of min the dopant concentration in the auto-doped region, C A is the minimum value of the dopant concentration, k Decay is the decay rate of the auto-doping, and C A is the dopant concentration. These parameters can be modified using the commands: pdbSet pdbSet pdbSet pdbSet material> material> material> TransferAutoDoping SegregationAutoDoping Cstar minConc 285 4: Diffusion Epitaxy pdbSet DecayRate where is the Gas and epitaxially grown material interface. In Eq. 373, ∆t is the percentage of the simulation time since the diffusion started, and t is the total simulation time from the beginning to the end of diffusion. Eq. 373 is created automatically and stored in a term called AutoDoping. You can overwrite this by defining your own reactions. For example: term name=BoronAutoDoping EpiOnSilicon /Gas add eqn = \ "1e-3*(1e16-Boron_EpiOnSilicon/0.1)" NOTE Since the model does not solve equations in gas, the dose loss or gain of the dopant is expected. Epi Doping Using Resistivity The epi.resist parameter of the diffuse and temp_ramp commands controls doping. The parameter takes a list of parameters, that is, dopant name and resistivity, as its argument. If more than one dopant name appears in the list, the doping concentration is calculated individually for each dopant by ignoring the other ones. For example: temp_ramp name=t1 temperature=550 t.final=700 time=1 temp_ramp name=t1 t.final=700 time=5 Epi thick=0.1 \ epi.resist= { Arsenic=1e-2 Phosphorus=2e-3 } diffuse temp.ramp=t1 In this example, epitaxy is simulated after an inert temperature ramp. During epitaxy, the 19 –3 arsenic concentration is a constant 4.3 × 10 cm and the phosphorus concentration is 19 –3 9.7 × 10 cm . In addition, all of these parameters can be set in the diffuse command, for example: diffuse temperature=700 time=5 LTE \ epi.resist= { Arsenic=1e-2 Phosphorus=2e-3 } thick= 0.1 The doping concentration calculations use the silicon-based mobility models (see Resistivity on page 857). Epi Growth Settings: Low-Temperature Epitaxy Several parameters are available to allow for the simulation of effects seen in low-temperature epitaxy (LTE). LTE growth can result in the growth of polysilicon on insulators such as oxide 286 Sentaurus™ Process User Guide I-2013.12 4: Diffusion Epitaxy and nitride after a seed layer has nucleated. In addition, the growth rate may depend on the starting material where the growth is occurring. To allow different growth rates and nucleation times, Sentaurus Process uses temporary materials with distinct names that are converted back to standard names at the end of the diffusion command. For example, during LTE, LTEOnOxide is grown on oxide, and LTEOnSilicon is grown on silicon (there are also the materials LTEOnPolySilicon and LTEOnNitride). After the diffusion step is complete, LTEOnOxide is converted to PolySilicon, and LTEOnSilicon is converted to Silicon. In addition, be aware that after material conversion, regions will merge if there are interfaces with the same material on both sides. To set the nucleation delay for LTE growth on oxide, use: pdbSet Gas_ NucleationDelay where is in seconds. For the case of LTEOnOxide, is Oxide and is LTE. NOTE The exposure time is not saved, so nucleation must happen within one diffuse command (use the temp_ramp command to create long diffusion steps with optional ramp-up or ramp-down). The growth rate for all materials is determined by default from the native layer thickness as well as the thick and time parameters of the diffuse or temp_ramp commands. However, the growth rate can be set manually using a callback procedure like this: pdbSet _Gas GrowthRateProc Inside , you should set the pdb parameter GrowthReaction. For example: pdbSet Gas_LTEOnOxide LTE GrowthRateProc MyGRProc proc MyGrProc { Mat Sol } { set myGrowthRate 1.0e-7 ;# in cm/s pdbSetString $Mat $Sol GrowthReaction "$myGrowthRate" } It is possible to set GrowthReaction to any Alagator expression not involving derivative expressions or element values. Simulating Facet Growth during Selective Epitaxy There are two ways to switch on faceting: ■ ■ Using the angles.factors parameter of the temp_ramp (or diffuse) command. Using the PDB parameter angles.factors along with setting the parameter pdbSet Grid AnisotropicGrowth 1. Sentaurus™ Process User Guide I-2013.12 287 4: Diffusion Epitaxy The syntax for both angles.factors parameters is similar: temp_ramp angles.factors= { = { angle1(degrees) factor1(unitless) \ angle2 factor2 ...} \ = ... \ } or: pdbSet angles.factors { angle1(degrees) factor1(unitless) angle2 factor2 ... } where the interface material would be, for example, EpiOnSilicon_Gas for epi growth on silicon and Gas_LTEOnSilicon for LTE on silicon. There are aliases for all materials, so the order of the interface materials is not important. To form facets, a large range of degrees near 0 that have a factor of 1.0 is needed. For larger angles, the factor should monotonously decrease to 0 at the required facet angle. For example, to form 35° facets during epi on silicon, the following setting could be used: temp_ramp thick= epi time=

Source Exif Data:
File Type                       : PDF
File Type Extension             : pdf
MIME Type                       : application/pdf
PDF Version                     : 1.3
Linearized                      : No
XMP Toolkit                     : XMP Core 5.4.0
Title                           : Sentaurus™ Process User Guide
Creator                         : Synopsys, Inc.
Format                          : application/pdf
Description                     : Version I-2013.12
Metadata Date                   : 2016:01:19 08:33:57-08:00
Create Date                     : 2013:11:11 12:11:32Z
Modify Date                     : 2016:01:19 08:33:57-08:00
Creator Tool                    : FrameMaker 9.0
Instance ID                     : uuid:de7fb5f2-5603-8540-9dff-9b6a50c2fb17
Document ID                     : uuid:607c73f4-3aee-4307-97fb-3a236b43d727
Producer                        : Acrobat Distiller 9.2.0 (Windows)
Page Count                      : 1226
Author                          : Synopsys, Inc.
Subject                         : Version I-2013.12
Keywords                        : TCAD Sentaurus Process, kinetic Monte Carlo, MGOALS
Apple Keywords                  : TCAD Sentaurus Process, kinetic Monte Carlo, MGOALS
EXIF Metadata provided by EXIF.tools

Navigation menu